WorldWideScience

Sample records for selective chemical etching

  1. The chemical and electrochemical anisotropic etching of silicon

    International Nuclear Information System (INIS)

    Dixon, E.

    1997-06-01

    The success of silicon IC technology in producing a wide variety of microstructures relies heavily on the orientation dependant etching observed for silicon in alkaline media. Despite the rapid growth of this industry, the chemical and electrochemical mechanisms by which anisotropic etching occurs remain poorly understood. The most common etchant systems in use are ethylenediamine-pyrocatechol-water (EPW) and potassium hydroxide-isopropanol-water (KOH-IPA), and whilst these systems are highly plane selective they each have distinct disadvantages. The occurrence of inhomogeneities such as micropyramids and pits on the surface of etched substrates is a particularly disadvantageous characteristic of many alkaline etching systems. A complete understanding of the chemical and electrochemical anisotropic etching mechanisms is essential in order to obtain more reproducible etching, improved etch rate ratios and the development of more reliable etching baths. Wet chemical etching experiments to evaluate the etching rates for the different alkali metal cations have shown that similar etch rates are observed for LiOH, NaOH and KOH but those of RbOH and CsOH are significantly lower. The presence of impurities was shown to worsen the etched wafer's surface finish obtained in these etching baths. Additives have been shown to dramatically improve the surface finish with the presence of IPA in conjunction with etchant oxygenation virtually eliminating all surface defects. Electrochemical experiments were used to assess the electrochemical behaviour of Si p-(100) in of a wide variety of etchants and variations were seen according to the etchant used. A.C impedance spectroscopy showed a variation in the flat-band potential (V FB ) according to alkali metal hydroxide etchant used. These trends were similarly observed in the presence of isopropanol. Oxygenation was observed to reproducibly alter the flat-band potentials. A.c impedance spectroscopic studies additionally confirmed the

  2. Thermodynamics of nuclear track chemical etching

    Science.gov (United States)

    Rana, Mukhtar Ahmed

    2018-05-01

    This is a brief paper with new and useful scientific information on nuclear track chemical etching. Nuclear track etching is described here by using basic concepts of thermodynamics. Enthalpy, entropy and free energy parameters are considered for the nuclear track etching. The free energy of etching is determined using etching experiments of fission fragment tracks in CR-39. Relationship between the free energy and the etching temperature is explored and is found to be approximately linear. The above relationship is discussed. A simple enthalpy-entropy model of chemical etching is presented. Experimental and computational results presented here are of fundamental interest in nuclear track detection methodology.

  3. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Directory of Open Access Journals (Sweden)

    Kun-Dar Li

    2018-02-01

    Full Text Available To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  4. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Science.gov (United States)

    Li, Kun-Dar; Miao, Jin-Ru

    2018-02-01

    To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  5. Carrier-lifetime-controlled selective etching process for semiconductors using photochemical etching

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.

    1992-01-01

    This patent describes a process for selectively photochemically etching a semiconductor material. It comprises introducing at least one impurity into at least one selected region of a semiconductor material to be etched to increase a local impurity concentration in the at least one selected region relative to an impurity concentration in regions of the semiconductor material adjacent thereto, for reducing minority carrier lifetimes within the at least one selected region relative to the adjacent regions for thereby providing a photochemical etch-inhibiting mask at the at least one selected region; and etching the semiconductor material by subjecting the surface of the semiconductor material to a carrier-driven photochemical etching reaction for selectively etching the regions of the semiconductor material adjacent the at least one selected region having the increase impurity concentration; wherein the step of introducing at least one impurity is performed so as not to produce damage to the at least one selected region before any etching is performed

  6. Selective dry etching of silicon containing anti-reflective coating

    Science.gov (United States)

    Sridhar, Shyam; Nolan, Andrew; Wang, Li; Karakas, Erdinc; Voronin, Sergey; Biolsi, Peter; Ranjan, Alok

    2018-03-01

    Multi-layer patterning schemes involve the use of Silicon containing Anti-Reflective Coating (SiARC) films for their anti-reflective properties. Patterning transfer completion requires complete and selective removal of SiARC which is very difficult due to its high silicon content (>40%). Typically, SiARC removal is accomplished through a non-selective etch during the pattern transfer process using fluorine containing plasmas, or an ex-situ wet etch process using hydrofluoric acid is employed to remove the residual SiARC, post pattern transfer. Using a non-selective etch may result in profile distortion or wiggling, due to distortion of the underlying organic layer. The drawbacks of using wet etch process for SiARC removal are increased overall processing time and the need for additional equipment. Many applications may involve patterning of active structures in a poly-Si layer with an underlying oxide stopping layer. In such applications, SiARC removal selective to oxide using a wet process may prove futile. Removing SiARC selectively to SiO2 using a dry etch process is also challenging, due to similarity in the nature of chemical bonds (Si - O) in the two materials. In this work, we present highly selective etching of SiARC, in a plasma driven by a surface wave radial line slot antenna. The first step in the process involves an in-situ modification of the SiARC layer in O2 plasma followed by selective etching in a NF3/H2 plasma. Surface treatment in O2 plasma resulted in enhanced etching of the SiARC layer. For the right processing conditions, in-situ NF3/H2 dry etch process demonstrated selectivity values greater than 15:1 with respect to SiO2. The etching chemistry, however, was sensitive to NF3:H2 gas ratio. For dilute NF3 in H2, no SiARC etching was observed. Presumably, this is due to the deposition of ammonium fluorosilicate layer that occurs for dilute NF3/H2 plasmas. Additionally, challenges involved in selective SiARC removal (selective to SiO2, organic

  7. Metal-assisted chemical etch porous silicon formation method

    Science.gov (United States)

    Li, Xiuling; Bohn, Paul W.; Sweedler, Jonathan V.

    2004-09-14

    A thin discontinuous layer of metal such as Au, Pt, or Au/Pd is deposited on a silicon surface. The surface is then etched in a solution including HF and an oxidant for a brief period, as little as a couple seconds to one hour. A preferred oxidant is H.sub.2 O.sub.2. Morphology and light emitting properties of porous silicon can be selectively controlled as a function of the type of metal deposited, Si doping type, silicon doping level, and/or etch time. Electrical assistance is unnecessary during the chemical etching of the invention, which may be conducted in the presence or absence of illumination.

  8. UV-assisted selective chemical etching of relief gratings in Er/Yb-codoped IOG1 phosphate glass

    Energy Technology Data Exchange (ETDEWEB)

    Pappas, C; Pissadakis, S [Foundation for Research and Technology-Hellas, Institute of Electronic Structure and Laser, Vasilika Vouton, PO Box 1527, Heraklion 71 110, GREECE (Greece)

    2007-04-15

    The patterning of sub-micron periodicity Bragg reflectors in Er/Yb-codoped IOG1, phosphate glass is demonstrated. A high yield patterning technique is presented, wherein high volume damage is induced into the glass matrix by exposure to intense UV radiation, and subsequently a chemical development in a strong acid selectively etches the exposed areas. The grating reflectors were fabricated by employing an elliptical Talbot interferometer and the output of a 213nm, 150ps frequency quintupled Nd:YAG laser. The grating depth of the etched relief pattern in time was measured at fixed time intervals and the dependence is presented in upon the etching time and exposure conditions. The gratings fabricated are examined by atomic and scanning electron microscopy for revealing the topology of the relief structure. Gratings with period of the order of 500nm were fabricated, having a maximum depth of 60nm.

  9. Chemical etching and polishing of InP

    International Nuclear Information System (INIS)

    Kurth, E.; Reif, A.; Gottschalch, V.; Finster, J.; Butter, E.

    1988-01-01

    This paper describes possibilities of several chemical preparations for the selective cleaning of InP surfaces. The investigations of the surface states after the chemical treatment were carried out by means of XPS measurements. A pre-etching with (NH 4 ) 2 S 2 O 8 :H 2 SO 4 :H 2 O and a polishing with 1% bromine in methanol produce optically smooth (100)-and (111) P surfaces free of oxides. (author)

  10. Dry-plasma-free chemical etch technique for variability reduction in multi-patterning (Conference Presentation)

    Science.gov (United States)

    Kal, Subhadeep; Mohanty, Nihar; Farrell, Richard A.; Franke, Elliott; Raley, Angelique; Thibaut, Sophie; Pereira, Cheryl; Pillai, Karthik; Ko, Akiteru; Mosden, Aelan; Biolsi, Peter

    2017-04-01

    Scaling beyond the 7nm technology node demands significant control over the variability down to a few angstroms, in order to achieve reasonable yield. For example, to meet the current scaling targets it is highly desirable to achieve sub 30nm pitch line/space features at back-end of the line (BEOL) or front end of line (FEOL); uniform and precise contact/hole patterning at middle of line (MOL). One of the quintessential requirements for such precise and possibly self-aligned patterning strategies is superior etch selectivity between the target films while other masks/films are exposed. The need to achieve high etch selectivity becomes more evident for unit process development at MOL and BEOL, as a result of low density films choices (compared to FEOL film choices) due to lower temperature budget. Low etch selectivity with conventional plasma and wet chemical etch techniques, causes significant gouging (un-intended etching of etch stop layer, as shown in Fig 1), high line edge roughness (LER)/line width roughness (LWR), non-uniformity, etc. In certain circumstances this may lead to added downstream process stochastics. Furthermore, conventional plasma etches may also have the added disadvantage of plasma VUV damage and corner rounding (Fig. 1). Finally, the above mentioned factors can potentially compromise edge placement error (EPE) and/or yield. Therefore a process flow enabled with extremely high selective etches inherent to film properties and/or etch chemistries is a significant advantage. To improve this etch selectivity for certain etch steps during a process flow, we have to implement alternate highly selective, plasma free techniques in conjunction with conventional plasma etches (Fig 2.). In this article, we will present our plasma free, chemical gas phase etch technique using chemistries that have high selectivity towards a spectrum of films owing to the reaction mechanism ( as shown Fig 1). Gas phase etches also help eliminate plasma damage to the

  11. Composition and conductance distributions of single GeSi quantum rings studied by conductive atomic force microscopy combined with selective chemical etching.

    Science.gov (United States)

    Lv, Y; Cui, J; Jiang, Z M; Yang, X J

    2013-02-15

    Atomic force microscopy imaging combined with selective chemical etching is employed to quantitatively investigate three-dimensional (3D) composition distributions of single GeSi quantum rings (QRs). In addition, the 3D quantitative composition distributions and the corresponding conductance distributions are simultaneously obtained on the same single GeSi QRs by conductive atomic force microscopy combined with selective chemical etching, allowing us to investigate the correlations between the conductance and composition distributions of single QRs. The results show that the QRs' central holes have higher Ge content, but exhibit lower conductance, indicating that the QRs' conductance distribution is not consistent with their composition distribution. By comparing the topography, composition and conductance profiles of the same single QRs before and after different etching processes, it is found that the conductance distributions of GeSi QRs do not vary with the change of composition distribution. Instead, the QRs' conductance distributions are found to be consistent with their topographic shapes, which can be supposed to be due to the shape determined electronic structures.

  12. Infinitely high etch selectivity during CH4/H2/Ar inductively coupled plasma (ICP) etching of indium tin oxide (ITO) with photoresist mask

    International Nuclear Information System (INIS)

    Kim, D.Y.; Ko, J.H.; Park, M.S.; Lee, N.-E.

    2008-01-01

    Under certain conditions during ITO etching using CH 4 /H 2 /Ar inductively coupled plasmas, the etch rate selectivity of ITO to photoresist (PR) was infinitely high because the ITO films continued to be etched, but a net deposition of the α-C:H layer occurred on the top of the PR. Analyses of plasmas and etched ITO surfaces suggested that the continued consumption of the carbon and hydrogen in the deposited α-C:H layer by their chemical reaction with In and Sn atoms in the ITO resulting in the generation of volatile metal-organic etch products and by the ion-enhanced removal of the α-C:H layer presumably play important roles in determining the ITO etch rate and selectivity

  13. Fabrication of high quality GaN nanopillar arrays by dry and wet chemical etching

    OpenAIRE

    Paramanik, Dipak; Motayed, Abhishek; King, Matthew; Ha, Jong-Yoon; Kryluk, Sergi; Davydov, Albert V.; Talin, Alec

    2013-01-01

    We study strain relaxation and surface damage of GaN nanopillar arrays fabricated using inductively coupled plasma (ICP) etching and post etch wet chemical treatment. We controlled the shape and surface damage of such nanopillar structures through selection of etching parameters. We compared different substrate temperatures and different chlorine-based etch chemistries to fabricate high quality GaN nanopillars. Room temperature photoluminescence and Raman scattering measurements were carried ...

  14. Fluorocarbon based atomic layer etching of Si_3N_4 and etching selectivity of SiO_2 over Si_3N_4

    International Nuclear Information System (INIS)

    Li, Chen; Metzler, Dominik; Oehrlein, Gottlieb S.; Lai, Chiukin Steven; Hudson, Eric A.

    2016-01-01

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO_2 ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar"+ ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO_2 from the surface. In the present article, the authors describe controlled etching of Si_3N_4 and SiO_2 layers of one to several Angstroms using this cyclic ALE approach. Si_3N_4 etching and etching selectivity of SiO_2 over Si_3N_4 were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si_3N_4 were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si_3N_4 has a lower physical sputtering energy threshold than SiO_2, Si_3N_4 physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si_3N_4 to SiO_2 ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO_2 to Si_3N_4 etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si_3N_4 surfaces. This highly selective etching is explained by a lower carbon consumption of Si_3N_4 as compared to SiO_2. The comparison of C_4F_8 and CHF_3 only showed a difference in etching selectivity for FC depleted conditions. For FC accumulation conditions

  15. Two-year Randomized Clinical Trial of Self-etching Adhesives and Selective Enamel Etching.

    Science.gov (United States)

    Pena, C E; Rodrigues, J A; Ely, C; Giannini, M; Reis, A F

    2016-01-01

    The aim of this randomized, controlled prospective clinical trial was to evaluate the clinical effectiveness of restoring noncarious cervical lesions with two self-etching adhesive systems applied with or without selective enamel etching. A one-step self-etching adhesive (Xeno V(+)) and a two-step self-etching system (Clearfil SE Bond) were used. The effectiveness of phosphoric acid selective etching of enamel margins was also evaluated. Fifty-six cavities were restored with each adhesive system and divided into two subgroups (n=28; etch and non-etch). All 112 cavities were restored with the nanohybrid composite Esthet.X HD. The clinical effectiveness of restorations was recorded in terms of retention, marginal integrity, marginal staining, caries recurrence, and postoperative sensitivity after 3, 6, 12, 18, and 24 months (modified United States Public Health Service). The Friedman test detected significant differences only after 18 months for marginal staining in the groups Clearfil SE non-etch (p=0.009) and Xeno V(+) etch (p=0.004). One restoration was lost during the trial (Xeno V(+) etch; p>0.05). Although an increase in marginal staining was recorded for groups Clearfil SE non-etch and Xeno V(+) etch, the clinical effectiveness of restorations was considered acceptable for the single-step and two-step self-etching systems with or without selective enamel etching in this 24-month clinical trial.

  16. Enlargement of halloysite clay nanotube lumen by selective etching of aluminum oxide.

    Science.gov (United States)

    Abdullayev, Elshad; Joshi, Anupam; Wei, Wenbo; Zhao, Yafei; Lvov, Yuri

    2012-08-28

    Halloysite clay tubes have 50 nm diameter and chemically different inner and outer walls (inner surface of aluminum oxide and outer surface of silica). Due to this different chemistry, the selective etching of alumina from inside the tube was realized, while preserving their external diameter (lumen diameter changed from 15 to 25 nm). This increases 2-3 times the tube lumen capacity for loading and further sustained release of active chemical agents such as metals, corrosion inhibitors, and drugs. In particular, halloysite loading efficiency for the benzotriazole increased 4 times by selective etching of 60% alumina within the tubes' lumens. Specific surface area of the tubes increased over 6 times, from 40 to 250 m(2)/g, upon acid treatment.

  17. Chemical etching of fission tracks in ethylene-tetrafluoroethylene copolymer

    International Nuclear Information System (INIS)

    Komaki, Y.; Tsujimura, S.; Seguchi, T.

    1979-01-01

    The chemical etching of fission tracks in ethylene-tetrafluoroethylene copolymer was studied. Etched holes 3000 to 4000 A in diameter were recognized by electron microscopy for a film bombarded by fission fragments in oxygen and etched in a 12N sodium hydroxide solution at 125 0 C. The radial etching rate at 125 0 C was 6 to 8 A/hr, which is less than 17 A/hr for polyvinylidene fluoride in the same sodium hydroxide concentration at 85 0 C. The smaller rate is a reflection of the larger chemical resistivity of ethylene-tetrafluoroethylene copolymer than polyvinylidene fluoride. (author)

  18. Simulation of convection-driven wet-chemical etching

    NARCIS (Netherlands)

    Driesen, C.H.

    1999-01-01

    a wet-chemical etching process, the resulting etched shape is smaller than the originally designed shape at the mask. This is caused by the fact that, as soon as material next to the mask is dissolved, material under the mask will be dissolved too. This is the so-called undercut effect. During an

  19. Simulation of convection-driven wet-chemical etching

    NARCIS (Netherlands)

    Driesen, C.H.

    1999-01-01

    In a wet-chemical etching process, the resulting etched shape is smaller than the originally designed shape at the mask. This is caused by the fact that, as soon as material next to the mask is dissolved, material under the mask will be dissolved too. This is the so-called undercut effect. During an

  20. Defect-selective dry etching for quick and easy probing of hexagonal boron nitride domains

    Science.gov (United States)

    Wu, Qinke; Lee, Joohyun; Park, Sangwoo; Woo, Hwi Je; Lee, Sungjoo; Song, Young Jae

    2018-03-01

    In this study, we demonstrate a new method to selectively etch the point defects or the boundaries of as-grown hexagonal boron nitride (hBN) films and flakes in situ on copper substrates using hydrogen and argon gases. The initial quality of the chemical vapor deposition-grown hBN films and flakes was confirmed by UV-vis absorption spectroscopy, atomic force microscopy, and transmission electron microscopy. Different gas flow ratios of Ar/H2 were then employed to etch the same quality of samples and it was found that etching with hydrogen starts from the point defects and grows epitaxially, which helps in confirming crystalline orientations. However, etching with argon is sensitive to line defects (boundaries) and helps in visualizing the domain size. Finally, based on this defect-selective dry etching technique, it could be visualized that the domains of a polycrystalline hBN monolayer merged together with many parts, even with those that grew from a single nucleation seed.

  1. Model of wet chemical etching of swift heavy ions tracks

    Science.gov (United States)

    Gorbunov, S. A.; Malakhov, A. I.; Rymzhanov, R. A.; Volkov, A. E.

    2017-10-01

    A model of wet chemical etching of tracks of swift heavy ions (SHI) decelerated in solids in the electronic stopping regime is presented. This model takes into account both possible etching modes: etching controlled by diffusion of etchant molecules to the etching front, and etching controlled by the rate of a reaction of an etchant with a material. Olivine ((Mg0.88Fe0.12)2SiO4) crystals were chosen as a system for modeling. Two mechanisms of chemical activation of olivine around the SHI trajectory are considered. The first mechanism is activation stimulated by structural transformations in a nanometric track core, while the second one results from neutralization of metallic atoms by generated electrons spreading over micrometric distances. Monte-Carlo simulations (TREKIS code) form the basis for the description of excitations of the electronic subsystem and the lattice of olivine in an SHI track at times up to 100 fs after the projectile passage. Molecular dynamics supplies the initial conditions for modeling of lattice relaxation for longer times. These simulations enable us to estimate the effects of the chemical activation of olivine governed by both mechanisms. The developed model was applied to describe chemical activation and the etching kinetics of tracks of Au 2.1 GeV ions in olivine. The estimated lengthwise etching rate (38 µm · h-1) is in reasonable agreement with that detected in the experiments (24 µm · h-1).

  2. Fluorocarbon based atomic layer etching of Si{sub 3}N{sub 4} and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Li, Chen [Department of Physics, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Metzler, Dominik; Oehrlein, Gottlieb S., E-mail: oehrlein@umd.edu [Department of Materials Science and Engineering, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Lai, Chiukin Steven; Hudson, Eric A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2016-07-15

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO{sub 2} ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar{sup +} ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO{sub 2} from the surface. In the present article, the authors describe controlled etching of Si{sub 3}N{sub 4} and SiO{sub 2} layers of one to several Angstroms using this cyclic ALE approach. Si{sub 3}N{sub 4} etching and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4} were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si{sub 3}N{sub 4} were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si{sub 3}N{sub 4} has a lower physical sputtering energy threshold than SiO{sub 2}, Si{sub 3}N{sub 4} physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si{sub 3}N{sub 4} to SiO{sub 2} ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO{sub 2} to Si{sub 3}N{sub 4} etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si{sub 3}N{sub 4} surfaces. This highly selective etching is explained by a lower carbon consumption of Si{sub 3}N{sub 4} as compared to Si

  3. Metal-assisted etch combined with regularizing etch

    Energy Technology Data Exchange (ETDEWEB)

    Yim, Joanne; Miller, Jeff; Jura, Michael; Black, Marcie R.; Forziati, Joanne; Murphy, Brian; Magliozzi, Lauren

    2018-03-06

    In an aspect of the disclosure, a process for forming nanostructuring on a silicon-containing substrate is provided. The process comprises (a) performing metal-assisted chemical etching on the substrate, (b) performing a clean, including partial or total removal of the metal used to assist the chemical etch, and (c) performing an isotropic or substantially isotropic chemical etch subsequently to the metal-assisted chemical etch of step (a). In an alternative aspect of the disclosure, the process comprises (a) performing metal-assisted chemical etching on the substrate, (b) cleaning the substrate, including removal of some or all of the assisting metal, and (c) performing a chemical etch which results in regularized openings in the silicon substrate.

  4. Metal-assisted chemical etching of CIGS thin films for grain size analysis

    Energy Technology Data Exchange (ETDEWEB)

    Xue, Chaowei [Research and Development Centre, Hanergy Thin Film Power Group Limited, Chengdu (China); Loi, Huu-Ha; Duong, Anh; Parker, Magdalena [Failure Analysis Department, MiaSole Hi-Tech Corp., Santa Clara, CA (United States)

    2016-09-15

    Grain size of the CIGS absorber is an important monitoring factor in the CIGS solar cell manufacturing. Electron backscatter diffraction (EBSD) analysis is commonly used to perform CIGS grain size analysis in the scanning electron microscope (SEM). Although direct quantification on SEM image using the average grain intercept (AGI) method is faster and simpler than EBSD, it is hardly applicable on CIGS thin films. The challenge is that, not like polycrystalline silicon, to define grain boundaries by selective chemical etching is not easily realizable for the multi-component CIGS alloy. In this Letter, we present direct quantification of CIGS thin film grain size using the AGI method by developing metal-assisted wet chemical etching process to define CIGS grain boundaries. The calculated value is similar to EBSD result. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  5. Selective photochemical dry etching of compound semiconductors

    International Nuclear Information System (INIS)

    Ashby, C.I.H.

    1988-01-01

    When laser-driven etching of a semiconductor requires direct participation of photogenerated carriers, the etching quantum yield will be sensitive to the electronic properties of a specific semiconductor material. The band-gap energy of the semiconductor determines the minimum photon energy needed for carrier-driven etching since sub-gap photons do not generate free carriers. However, only those free carriers that reach the reacting surface contribute to etching and the ultimate carrier flux to the surface is controlled by more subtle electronic properties than the lowest-energy band gap. For example, the initial depth of carrier generation and the probability of carrier recombination between the point of generation and the surface profoundly influence the etching quantum yield. Appropriate manipulation of process parameters can provide additional reaction control based on such secondary electronic properties. Applications to selective dry etching of GaAs and related materials are discussed

  6. Selective laser etching or ablation for fabrication of devices

    KAUST Repository

    Buttner, Ulrich; Salama, Khaled N.; Sapsanis, Christos

    2017-01-01

    Methods of fabricating devices vial selective laser etching are provided. The methods can include selective laser etching of a portion of a metal layer, e.g. using a laser light source having a wavelength of 1,000 nm to 1,500 nm. The methods can

  7. Unveiling the wet chemical etching characteristics of polydimethylsiloxane film for soft micromachining applications

    International Nuclear Information System (INIS)

    Kakati, A; Maji, D; Das, S

    2017-01-01

    Micromachining of a polydimethylsiloxane (PDMS) microstructure by wet chemical etching is explored for microelectromechanical systems (MEMS) and microfluidic applications. A 100 µ m thick PDMS film was patterned with different microstructure designs by wet chemical etching using a N-methyl-2-pyrrolidone (C 16 H 36 FN) and tetra-n-butylammonium fluoride (C 5 H 9 NO) mixture solution with 3:1 volume ratio after lithography for studying etching characteristics. The patterning parameters, such as etch rate, surface roughness, pH of etchant solution with time, were thoroughly investigated. A detailed study of surface morphology with etching time revealed nonlinear behaviour of the PDMS surface roughness and etch rate. A maximum rate of 1.45 µ m min −1 for 10 min etching with surface roughness of 360 nm was achieved. A new approach of wet chemical etching with pH controlled doped etchant was introduced for lower surface roughness of etched microstructures, and a constant etch rate during etching. Variation of the etching rate and surface roughness by pH controlled etching was performed by doping 5–15 gm l −1 of silicic acid (SiO 2xH2 O) into the traditional etchant solution. PDMS etching by silicic acid doped etchant solution showed a reduction in surface roughness from 400 nm to 220 nm for the same 15 µ m etching. This study is beneficial for micromachining of various MEMS and microfluidic structures such as micropillars, microchannels, and other PDMS microstructures. (paper)

  8. Site-controlled fabrication of silicon nanotips by indentation-induced selective etching

    Science.gov (United States)

    Jin, Chenning; Yu, Bingjun; Liu, Xiaoxiao; Xiao, Chen; Wang, Hongbo; Jiang, Shulan; Wu, Jiang; Liu, Huiyun; Qian, Linmao

    2017-12-01

    In the present study, the indentation-induced selective etching approach is proposed to fabricate site-controlled pyramidal nanotips on Si(100) surface. Without any masks, the site-controlled nanofabrication can be realized by nanoindentation and post etching in potassium hydroxide (KOH) solution. The effect of indentation force and etching time on the formation of pyramidal nanotips was investigated. It is found that the height and radius of the pyramidal nanotips increase with the indentation force or etching time, while long-time etching can lead to the collapse of the tips. The formation of pyramidal tips is ascribed to the anisotropic etching of silicon and etching stop of (111) crystal planes in KOH aqueous solution. The capability of this fabrication method was further demonstrated by producing various tip arrays on silicon surface by selective etching of the site-controlled indent patterns, and the maximum height difference of these tips is less than 10 nm. The indentation-induced selective etching provides a new strategy to fabricate well site-controlled tip arrays for multi-probe SPM system, Si nanostructure-based sensors and high-quality information storage.

  9. Physical chemistry of wet chemical anisotropic etching of silicon

    NARCIS (Netherlands)

    Elwenspoek, Michael Curt

    1995-01-01

    In this paper we explain a view to understand the anisotropy of the etching of silicon in certain wet chemical agents (such as KOH). The starting point is the assumption that the [Left angle bracket]111[Right Angle Bracket] face of silicon is a flat face, the etch rate of which is then governed by a

  10. Wet-etch sequence optimisation incorporating time dependent chemical maintenance

    NARCIS (Netherlands)

    Kruif, B.J. de

    2015-01-01

    Wafer fabrication is the major cost contributor in semiconductor manufacturing. One of the steps in the fabrication is the removal of exposed layers in an automatic wet-etch station with chemicals. In time, these chemicals get polluted and their effectiveness decreases. Therefore, the chemicals in

  11. Sub-micrometer-scale patterning on Zr-based metallic glass using focused ion beam irradiation and chemical etching

    Energy Technology Data Exchange (ETDEWEB)

    Kawasegi, Noritaka [Graduate School of Science and Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Morita, Noboru [Graduate School of Science and Engineering for Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Yamada, Shigeru [Graduate School of Science and Engineering for Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Takano, Noboru [Graduate School of Science and Engineering for Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Oyama, Tatsuo [Department of Mechanical and Intellectual Systems Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Ashida, Kiwamu [Advanced Manufacturing Research Institute, National Institute of Advanced Industrial Science and Technology, 1-2-1 Namiki, Tsukuba, Ibaraki 305-8564 (Japan); Momota, Sadao [Department of Intelligent Mechanical Systems Engineering, Kochi University of Technology, 185 Tosayamada, Kochi 782-8502 (Japan); Taniguchi, Jun [Department of Applied Electronics, Tokyo University of Science, 2641 Yamazaki, Noda, Chiba 278-8510 (Japan); Miyamoto, Iwao [Department of Applied Electronics, Tokyo University of Science, 2641 Yamazaki, Noda, Chiba 278-8510 (Japan); Ofune, Hitoshi [YKK Corporation, 200 Yoshida, Kurobe, Toyama 938-8601 (Japan)

    2007-09-19

    This report describes a method of sub-micrometer-scale rapid patterning on a Zr-based metallic glass surface using a combination of focused ion beam irradiation and wet chemical etching. We found that a Zr-based metallic glass surface irradiated with Ga{sup +} ions could be selectively etched; a concave structure with a width and depth of several tens to hundreds of nanometers rapidly formed in the irradiated area. Moreover, we determined that the etching was enhanced by the presence of Ga{sup +} ions rather than a change in the crystal structure, and the structure could be fabricated while the substrate remained amorphous. The shape of the structure was principally a function of the dose and the etch time.

  12. The mechanism of selective corrugation removal by KOH anisotropic wet etching

    International Nuclear Information System (INIS)

    Shikida, M; Inagaki, N; Sasaki, H; Amakawa, H; Fukuzawa, K; Sato, K

    2010-01-01

    The mechanism of selective corrugation removal by anisotropic wet etching—which reduces a periodic corrugation, called 'scalloping', formed on the sidewalls of microstructures by the Bosch process in deep reactive-ion etching (D-RIE)—was investigated. In particular, the corrugation-removal mechanism was analyzed by using the etching rate distribution pattern, and two equations for predicting the corrugation-removal time by the etching were derived. A Si{1 0 0} wafer was first etched by D-RIE at a depth of 29.4 µm (60 cycles) to form the corrugation on the sidewall surface. The height and pitch of the corrugation were 196 and 494 nm, respectively. Selective removal of the corrugation by using 50% KOH (40 °C) was experimentally tried. The corrugation formed on Si{1 0 0} sidewall surfaces was gradually reduced in size as the etching progressed, and it was completely removed after 5 min of etching. Similarly, the corrugation formed on a Si{1 1 0} sidewall surface was also selectively removed by KOH etching (etching time: 3 min). The roughness value of the sidewall surface was reduced from 17.6 nm to a few nanometers by the etching. These results confirm that the corrugation-removal mechanism using anisotropic wet etching can be explained in terms of the distribution pattern of etching rate

  13. Improvement of Plating Characteristics Between Nickel and PEEK by Plasma Treatment and Chemical Etching

    International Nuclear Information System (INIS)

    Lee, Hye W.; Lee, Jong K.; Park, Ki Y.

    2009-01-01

    Surface of PEEK(poly-ether-ether-ketone) was modified by chemical etching, plasma treatment and mechanical grinding to improve the plating adhesion. The plating characteristics of these samples were studied by the contact angle, plating thickness, gloss and adhesion. Chemical etching and plasma treatment increased wettability, adhesion and gloss. The contact angle of as-received PEEK was 61 .deg. . The contact angles of chemical etched, plasma treated or both were improved to the range of 15∼33 .deg. . In the case of electroless plating, the thickest layer without blister was 1.6 μm. The adhesion strengths by chemical etching, plasma treatment or both chemical etching and plasma treatment were 75 kgf/cm 2 , 102 kgf/cm 2 , 113 kgf/cm 2 , respectively, comparing to the 24 kgf/cm 2 of as-received. In the case of mechanically ground PEEKs, the adhesion strengths were higher than those unground, with the sacrifice of surface gloss. The gloss of untreated PEEK were greater than mechanically ground PEEKs. Plating thickness increased linearly with the plating times

  14. Etching in microsystem technology

    CERN Document Server

    Kohler, Michael

    2008-01-01

    Microcomponents and microdevices are increasingly finding application in everyday life. The specific functions of all modern microdevices depend strongly on the selection and combination of the materials used in their construction, i.e., the chemical and physical solid-state properties of these materials, and their treatment. The precise patterning of various materials, which is normally performed by lithographic etching processes, is a prerequisite for the fabrication of microdevices.The microtechnical etching of functional patterns is a multidisciplinary area, the basis for the etching p

  15. Selective recovery of pure copper nanopowder from indium-tin-oxide etching wastewater by various wet chemical reduction process: Understanding their chemistry and comparisons of sustainable valorization processes

    Energy Technology Data Exchange (ETDEWEB)

    Swain, Basudev, E-mail: swain@iae.re.kr [Institute for Advanced Engineering, Advanced Materials & Processing Center, Yongin, 449-863 (Korea, Republic of); Mishra, Chinmayee [Institute for Advanced Engineering, Advanced Materials & Processing Center, Yongin, 449-863 (Korea, Republic of); Hong, Hyun Seon [Sungshin University, Dept. of Interdisciplinary ECO Science, Seoul, 142-732 (Korea, Republic of); Cho, Sung-Soo [Institute for Advanced Engineering, Advanced Materials & Processing Center, Yongin, 449-863 (Korea, Republic of)

    2016-05-15

    Sustainable valorization processes for selective recovery of pure copper nanopowder from Indium-Tin-Oxide (ITO) etching wastewater by various wet chemical reduction processes, their chemistry has been investigated and compared. After the indium recovery by solvent extraction from ITO etching wastewater, the same is also an environmental challenge, needs to be treated before disposal. After the indium recovery, ITO etching wastewater contains 6.11 kg/m{sup 3} of copper and 1.35 kg/m{sup 3} of aluminum, pH of the solution is very low converging to 0 and contain a significant amount of chlorine in the media. In this study, pure copper nanopowder was recovered using various reducing reagents by wet chemical reduction and characterized. Different reducing agents like a metallic, an inorganic acid and an organic acid were used to understand reduction behavior of copper in the presence of aluminum in a strong chloride medium of the ITO etching wastewater. The effect of a polymer surfactant Polyvinylpyrrolidone (PVP), which was included to prevent aggregation, to provide dispersion stability and control the size of copper nanopowder was investigated and compared. The developed copper nanopowder recovery techniques are techno-economical feasible processes for commercial production of copper nanopowder in the range of 100–500 nm size from the reported facilities through a one-pot synthesis. By all the process reported pure copper nanopowder can be recovered with>99% efficiency. After the copper recovery, copper concentration in the wastewater reduced to acceptable limit recommended by WHO for wastewater disposal. The process is not only beneficial for recycling of copper, but also helps to address environment challenged posed by ITO etching wastewater. From a complex wastewater, synthesis of pure copper nanopowder using various wet chemical reduction route and their comparison is the novelty of this recovery process. - Highlights: • From the Indium-Tin-Oxide etching

  16. Selective recovery of pure copper nanopowder from indium-tin-oxide etching wastewater by various wet chemical reduction process: Understanding their chemistry and comparisons of sustainable valorization processes

    International Nuclear Information System (INIS)

    Swain, Basudev; Mishra, Chinmayee; Hong, Hyun Seon; Cho, Sung-Soo

    2016-01-01

    Sustainable valorization processes for selective recovery of pure copper nanopowder from Indium-Tin-Oxide (ITO) etching wastewater by various wet chemical reduction processes, their chemistry has been investigated and compared. After the indium recovery by solvent extraction from ITO etching wastewater, the same is also an environmental challenge, needs to be treated before disposal. After the indium recovery, ITO etching wastewater contains 6.11 kg/m 3 of copper and 1.35 kg/m 3 of aluminum, pH of the solution is very low converging to 0 and contain a significant amount of chlorine in the media. In this study, pure copper nanopowder was recovered using various reducing reagents by wet chemical reduction and characterized. Different reducing agents like a metallic, an inorganic acid and an organic acid were used to understand reduction behavior of copper in the presence of aluminum in a strong chloride medium of the ITO etching wastewater. The effect of a polymer surfactant Polyvinylpyrrolidone (PVP), which was included to prevent aggregation, to provide dispersion stability and control the size of copper nanopowder was investigated and compared. The developed copper nanopowder recovery techniques are techno-economical feasible processes for commercial production of copper nanopowder in the range of 100–500 nm size from the reported facilities through a one-pot synthesis. By all the process reported pure copper nanopowder can be recovered with>99% efficiency. After the copper recovery, copper concentration in the wastewater reduced to acceptable limit recommended by WHO for wastewater disposal. The process is not only beneficial for recycling of copper, but also helps to address environment challenged posed by ITO etching wastewater. From a complex wastewater, synthesis of pure copper nanopowder using various wet chemical reduction route and their comparison is the novelty of this recovery process. - Highlights: • From the Indium-Tin-Oxide etching wastewater

  17. Fabrication and characterization of a nanometer-sized optical fiber electrode based on selective chemical etching for scanning electrochemical/optical microscopy.

    Science.gov (United States)

    Maruyama, Kenichi; Ohkawa, Hiroyuki; Ogawa, Sho; Ueda, Akio; Niwa, Osamu; Suzuki, Koji

    2006-03-15

    We have already reported a method for fabricating ultramicroelectrodes (Suzuki, K. JP Patent, 2004-45394, 2004). This method is based on the selective chemical etching of optical fibers. In this work, we undertake a detailed investigation involving a combination of etched optical fibers with various types of tapered tip (protruding-shape, double- (or pencil-) shape and triple-tapered electrode) and insulation with electrophoretic paint. Our goal is to establish a method for fabricating nanometer-sized optical fiber electrodes with high reproducibility. As a result, we realized pencil-shaped and triple-tapered electrodes that had radii in the nanometer range with high reproducibility. These nanometer-sized electrodes showed well-defined sigmoidal curves and stable diffusion-limited responses with cyclic voltammetry. The pencil-shaped optical fiber, which has a conical tip with a cone angle of 20 degrees , was effective for controlling the electrode radius. The pencil-shaped electrodes had higher reproducibility and smaller electrode radii (r(app) etched optical fiber electrodes. By using a pencil-shaped electrode with a 105-nm radius as a probe, we obtained simultaneous electrochemical and optical images of an implantable interdigitated array electrode. We achieved nanometer-scale resolution with a combination of scanning electrochemical microscopy SECM and optical microscopy. The resolution of the electrochemical and optical images indicated sizes of 300 and 930 nm, respectively. The neurites of living PC12 cells were also successfully imaged on a 1.6-microm scale by using the negative feedback mode of an SECM.

  18. Chemical etching studies of a Brazilian polycarbonate to fast neutron detection

    International Nuclear Information System (INIS)

    Souto, E.B.; Campos, L.L.

    2006-01-01

    The Dosimetric Materials Laboratory (LMD) of the Radiation Metrology Center (CMR) is developing a personal dosimeter for fast neutrons using the technique of solid state nuclear track detectors (SSNTD). This technique is based on the recorded damage (tracks) in dielectric materials due to the impact of charged particles. The tracks are revealed and amplified for visualization in optic microscope through a technique known as chemical etching. The LMD is investigating a Brazilian commercial polycarbonate as a new passive fast neutron's detector in substitution to the traditional materials, as the cellulose nitrate LR-115 and the polycarbonates Makrofol and CR-39. The variation of the etching parameters (chemical solution, time and temperature) alters the response of the material; the best revelation conditions provide the best relationship among the amount of revealed tracks, their clearness and the time spent for this. The polycarbonate studied is a resin of same chemical monomer of Makrofol (C,6H,403). Samples of 3 x 1 cm 2 of the polycarbonate were irradiated with 5 mSv of fast neutrons ( 241 Am-Be) and revealed with the chemical solution PEW-40 (15% KOH, 45% H 2 O, 40% C 2 H 5 OH), commonly used for Makrofol. The studied etching parameters were time and temperature. Groups of four samples were revealed at temperatures of 50, 65, 75, 90 and 100 C with etching times varying from one to six hours. The used track's counting procedure was that referred in the literature. The best response to fast neutrons was obtained at 75 C; in spite of their similar answers, smaller temperatures join larger uncertainties in the track's counting and poorer clearness. At this temperature, the number of revealed tracks increases with the etching time approximately until a plateau at three hours. For etching times higher than four hours the polycarbonate presents overlap of tracks. If the temperature is adjusted to 75 C, the etching time should be in the plateau to avoid that small

  19. Selective Laser Sintering And Melting Of Pristine Titanium And Titanium Ti6Al4V Alloy Powders And Selection Of Chemical Environment For Etching Of Such Materials

    Directory of Open Access Journals (Sweden)

    Dobrzański L.A.

    2015-09-01

    Full Text Available The aim of the investigations described in this article is to present a selective laser sintering and melting technology to fabricate metallic scaffolds made of pristine titanium and titanium Ti6Al4V alloy powders. Titanium scaffolds with different properties and structure were manufactured with this technique using appropriate conditions, notably laser power and laser beam size. The purpose of such elements is to replace the missing pieces of bones, mainly cranial and facial bones in the implantation treatment process. All the samples for the investigations were designed in CAD/CAM (3D MARCARM ENGINEERING AutoFab (Software for Manufacturing Applications software suitably integrated with an SLS/SLM system. Cube-shaped test samples dimensioned 10×10×10 mm were designed for the investigations using a hexagon-shaped base cell. The so designed 3D models were transferred to the machine software and the actual rapid manufacturing process was commenced. The samples produced according to the laser sintering technology were subjected to chemical processing consisting of etching the scaffolds’ surface in different chemical mediums. Etching was carried out to remove the loosely bound powder from the surface of scaffolds, which might detach from their surface during implantation treatment and travel elsewhere in an organism. The scaffolds created were subjected to micro- and spectroscopic examinations

  20. Optimization of microwave-induced chemical etching for rapid development of neutron-induced recoil tracks in CR-39 detectors

    International Nuclear Information System (INIS)

    Sahoo, G.S.; Tripathy, S.P.; Bandyopadhyay, T.

    2014-01-01

    A systematic investigation is carried out to optimize the recently established microwave-induced chemical etching (MICE) parameters for rapid development of neutron-induced recoil tracks in CR-39 detectors. Several combinations of all available microwave powers with different etching durations were analysed to determine the most suitable etching condition. The etching duration was found to reduce with increasing microwave power and the tracks were observed at about 18, 15, 12, and 6 min for 300, 450, 600 and 900 W of microwave powers respectively compared to a few hours in chemical etching (CE) method. However, for complete development of tracks the etching duration of 30, 40, 50 and 60 min were found to be suitable for the microwave powers of 900, 600, 450 and 300 W, respectively. Temperature profiles of the etchant for all the available microwave powers at different etching durations were generated to regulate the etching process in a controlled manner. The bulk etch rates at different microwave powers were determined by 2 methods, viz., gravimetric and removed thickness methods. A logarithmic expression was used to fit the variation of bulk etch rate with microwave power. Neutron detection efficiencies were obtained for all the cases and the results on track parameters obtained with MICE technique were compared with those obtained from another detector processed with chemical etching. - Highlights: • Microwave-induced chemical etching method is optimized for rapid development of recoil tracks due to neutrons in CR-39 detector. • Several combinations of microwave powers and etching durations are investigated to standardize the suitable etching condition. • Bulk-etch rates are determined for all microwave powers by two different methods, viz. gravimetric and removed thickness method. • The method is found to be simple, effective and much faster compared to conventional chemical etching

  1. Nanoporous polymeric nanofibers based on selectively etched PS-b-PDMS block copolymers.

    Science.gov (United States)

    Demirel, Gokcen B; Buyukserin, Fatih; Morris, Michael A; Demirel, Gokhan

    2012-01-01

    One-dimensional nanoporous polymeric nanofibers have been fabricated within an anodic aluminum oxide (AAO) membrane by a facile approach based on selective etching of poly(dimethylsiloxane) (PDMS) domains in polystyrene-block-poly(dimethylsiloxane) (PS-b-PDMS) block copolymers that had been formed within the AAO template. It was observed that prior to etching, the well-ordered PS-b-PDMS nanofibers are solid and do not have any porosity. The postetched PS nanofibers, on the other hand, had a highly porous structure having about 20-50 nm pore size. The nanoporous polymeric fibers were also employed as a drug carrier for the native, continuous, and pulsatile drug release using Rhodamine B (RB) as a model drug. These studies showed that enhanced drug release and tunable drug dosage can be achieved by using ultrasound irradiation. © 2011 American Chemical Society

  2. Chemical etching studies of a Brazilian polycarbonate to fast neutron detection

    Energy Technology Data Exchange (ETDEWEB)

    Souto, E.B.; Campos, L.L. [Instituto de Pesquisas Energeticas e Nucleares, IPEN- CNEN/SP Radiation Metrology Center (CMR) Av. Prof. Lineu Prestes, 2242 CEP: 05508-000 Sao Paulo - SP (Brazil)]. e-mail: ebsouto@ipen.br

    2006-07-01

    The Dosimetric Materials Laboratory (LMD) of the Radiation Metrology Center (CMR) is developing a personal dosimeter for fast neutrons using the technique of solid state nuclear track detectors (SSNTD). This technique is based on the recorded damage (tracks) in dielectric materials due to the impact of charged particles. The tracks are revealed and amplified for visualization in optic microscope through a technique known as chemical etching. The LMD is investigating a Brazilian commercial polycarbonate as a new passive fast neutron's detector in substitution to the traditional materials, as the cellulose nitrate LR-115 and the polycarbonates Makrofol and CR-39. The variation of the etching parameters (chemical solution, time and temperature) alters the response of the material; the best revelation conditions provide the best relationship among the amount of revealed tracks, their clearness and the time spent for this. The polycarbonate studied is a resin of same chemical monomer of Makrofol (C,6H,403). Samples of 3 x 1 cm{sup 2} of the polycarbonate were irradiated with 5 mSv of fast neutrons ({sup 241}Am-Be) and revealed with the chemical solution PEW-40 (15% KOH, 45% H{sub 2}O, 40% C{sub 2}H{sub 5}OH), commonly used for Makrofol. The studied etching parameters were time and temperature. Groups of four samples were revealed at temperatures of 50, 65, 75, 90 and 100 C with etching times varying from one to six hours. The used track's counting procedure was that referred in the literature. The best response to fast neutrons was obtained at 75 C; in spite of their similar answers, smaller temperatures join larger uncertainties in the track's counting and poorer clearness. At this temperature, the number of revealed tracks increases with the etching time approximately until a plateau at three hours. For etching times higher than four hours the polycarbonate presents overlap of tracks. If the temperature is adjusted to 75 C, the etching time should be in

  3. Metallographic examination of TD-nickel base alloys. [thermal and chemical etching technique evaluation

    Science.gov (United States)

    Kane, R. D.; Petrovic, J. J.; Ebert, L. J.

    1975-01-01

    Techniques are evaluated for chemical, electrochemical, and thermal etching of thoria dispersed (TD) nickel alloys. An electrochemical etch is described which yielded good results only for large grain sizes of TD-nickel. Two types of thermal etches are assessed for TD-nickel: an oxidation etch and vacuum annealing of a polished specimen to produce an etch. It is shown that the first etch was somewhat dependent on sample orientation with respect to the processing direction, the second technique was not sensitive to specimen orientation or grain size, and neither method appear to alter the innate grain structure when the materials were fully annealed prior to etching. An electrochemical etch is described which was used to observe the microstructures in TD-NiCr, and a thermal-oxidation etch is shown to produce better detail of grain boundaries and to have excellent etching behavior over the entire range of grain sizes of the sample.

  4. Catalyst and processing effects on metal-assisted chemical etching for the production of highly porous GaN

    International Nuclear Information System (INIS)

    Geng, Xuewen; Grismer, Dane A; Bohn, Paul W; Duan, Barrett K; Zhao, Liancheng

    2013-01-01

    Metal-assisted chemical etching is a facile method to produce micro-/nanostructures in the near-surface region of gallium nitride (GaN) and other semiconductors. Detailed studies of the production of porous GaN (PGaN) using different metal catalysts and GaN doping conditions have been performed in order to understand the mechanism by which metal-assisted chemical etching is accomplished in GaN. Patterned catalysts show increasing metal-assisted chemical etching activity to n-GaN in the order Ag < Au < Ir < Pt. In addition, the catalytic behavior of continuous films is compared to discontinuous island films. Continuous metal films strongly shield the surface, hindering metal-assisted chemical etching, an effect which can be overcome by using discontinuous films or increasing the irradiance of the light source. With increasing etch time or irradiance, PGaN morphologies change from uniform porous structures to ridge and valley structures. The doping type plays an important role, with metal-assisted chemical etching activity increasing in the order p-GaN < intrinsic GaN < n-GaN. Both the catalyst identity and the doping type effects are explained by the work functions and the related band offsets that affect the metal-assisted chemical etching process through a combination of different barriers to hole injection and the formation of hole accumulation/depletion layers at the metal–semiconductor interface. (paper)

  5. Inverse metal-assisted chemical etching produces smooth high aspect ratio InP nanostructures.

    Science.gov (United States)

    Kim, Seung Hyun; Mohseni, Parsian K; Song, Yi; Ishihara, Tatsumi; Li, Xiuling

    2015-01-14

    Creating high aspect ratio (AR) nanostructures by top-down fabrication without surface damage remains challenging for III-V semiconductors. Here, we demonstrate uniform, array-based InP nanostructures with lateral dimensions as small as sub-20 nm and AR > 35 using inverse metal-assisted chemical etching (I-MacEtch) in hydrogen peroxide (H2O2) and sulfuric acid (H2SO4), a purely solution-based yet anisotropic etching method. The mechanism of I-MacEtch, in contrast to regular MacEtch, is explored through surface characterization. Unique to I-MacEtch, the sidewall etching profile is remarkably smooth, independent of metal pattern edge roughness. The capability of this simple method to create various InP nanostructures, including high AR fins, can potentially enable the aggressive scaling of InP based transistors and optoelectronic devices with better performance and at lower cost than conventional etching methods.

  6. Nitride-based Schottky diodes and HFETs fabricated by photo-enhanced chemical wet etching

    International Nuclear Information System (INIS)

    Su, Y.K.; Chang, S.J.; Kuan, T.M.; Ko, C.H.; Webb, J.B.; Lan, W.H.; Cherng, Y.T.; Chen, S.C.

    2004-01-01

    Photo-enhanced chemical (PEC) wet etching technology was used to etch GaN and AlGaN epitaxial layers. It was found that the maximum etch rates were 510, 1960, 300, and 0 nm/mm for GaN, Al 0.175 Ga 0.825 N, Al 0.23 Ga 0.77 N, and Al 0.4 Ga 0.6 N, respectively. It was also found that we could achieve a high Al 0.175 Ga 0.825 N to GaN etch rate ratio of 12.6. Nitride-based Schottky diodes and heterostructure field effect transistors (HFETs) were also fabricated by PEC wet etching. It was found that we could achieve a saturated I D larger than 850 mA/mm and a maximum g m about 163 mS/mm from PEC wet etched HFET with a 0.5 μm gate length. Compared with dry etched devices, the leakage currents observed from the PEC wet etched devices were also found to be smaller

  7. Effect of chemical etching on the Cu/Ni metallization of poly (ether ether ketone)/carbon fiber composites

    International Nuclear Information System (INIS)

    Di Lizhi; Liu Bin; Song Jianjing; Shan Dan; Yang Dean

    2011-01-01

    Poly(ether ether ketone)/carbon fiber composites (PEEK/Cf) were chemical etched by Cr 2 O 3 /H 2 SO 4 solution, electroless plated with copper and then electroplated with nickel. The effects of chemical etching time and temperature on the adhesive strength between PEEK/Cf and Cu/Ni layers were studied by thermal shock method. The electrical resistance of some samples was measured. X-ray photoelectron spectroscopy (XPS) was used to analyze the surface composition and functional groups. Scanning electron microscopy (SEM) was performed to observe the surface morphology of the composite, the chemical etched sample, the plated sample and the peeled metal layer. The results indicated that C=O bond increased after chemical etching. With the increasing of etching temperature and time, more and more cracks and partially exposed carbon fibers appeared at the surface of PEEK/Cf composites, and the adhesive strength increased consequently. When the composites were etched at 60 deg. C for 25 min and at 70-80 deg. C for more than 15 min, the Cu/Ni metallization layer could withstand four thermal shock cycles without bubbling, and the electrical resistivity of the metal layer of these samples increased with the increasing of etching temperature and time.

  8. Mechanisms and selectivity for etching of HfO2 and Si in BCl3 plasmas

    International Nuclear Information System (INIS)

    Wang Chunyu; Donnelly, Vincent M.

    2008-01-01

    The authors have investigated plasma etching of HfO 2 , a high dielectric constant material, and poly-Si in BCl 3 plasmas. Etching rates were measured as a function of substrate temperature (T s ) at several source powers. Activation energies range from 0.2 to 1.0 kcal/mol for HfO 2 and from 0.8 to 1.8 kcal/mol for Si, with little or no dependence on source power (20-200 W). These low activation energies suggest that product removal is limited by chemical sputtering of the chemisorbed Hf or Si-containing layer, with a higher T s only modestly increasing the chemical sputtering rate. The slightly lower activation energy for HfO 2 results in a small improvement in selectivity over Si at low temperature. The surface layers formed on HfO 2 and Si after etching in BCl 3 plasmas were also investigated by vacuum-transfer x-ray photoelectron spectroscopy. A thin boron-containing layer was observed on partially etched HfO 2 and on poly-Si after etching through HfO 2 films. For HfO 2 , a single B(1s) feature at 194 eV was ascribed to a heavily oxidized species with bonding similar to B 2 O 3 . B(1s) features were observed for poly-Si surfaces at 187.6 eV (B bound to Si), 189.8 eV, and 193 eV (both ascribed to BO x Cl y ). In the presence of a deliberately added 0.5% air, the B-containing layer on HfO 2 is largely unaffected, while that on Si converts to a thick layer with a single B(1s) peak at 194 eV and an approximate stoichiometry of B 3 O 4 Cl

  9. Simulation of the evolution of fused silica's surface defect during wet chemical etching

    Science.gov (United States)

    Liu, Taixiang; Yang, Ke; Li, Heyang; Yan, Lianghong; Yuan, Xiaodong; Yan, Hongwei

    2017-08-01

    Large high-power-laser facility is the basis for achieving inertial confinement fusion, one of whose missions is to make fusion energy usable in the near future. In the facility, fused silica optics plays an irreplaceable role to conduct extremely high-intensity laser to fusion capsule. But the surface defect of fused silica is a major obstacle limiting the output power of the large laser facility and likely resulting in the failure of ignition. To mitigate, or event to remove the surface defect, wet chemical etching has been developed as a practical way. However, how the surface defect evolves during wet chemical etching is still not clearly known so far. To address this problem, in this work, the three-dimensional model of surface defect is built and finite difference time domain (FDTD) method is developed to simulate the evolution of surface defect during etching. From the simulation, it is found that the surface defect will get smooth and result in the improvement of surface quality of fused silica after etching. Comparatively, surface defects (e.g. micro-crack, scratch, series of pinholes, etc.) of a typical fused silica at different etching time are experimentally measured. It can be seen that the simulation result agrees well with the result of experiment, indicating the FDTD method is valid for investigating the evolution of surface defect during etching. With the finding of FDTD simulation, one can optimize the treatment process of fused silica in practical etching or even to make the initial characterization of surface defect traceable.

  10. Wet etching and chemical polishing of InAs/GaSb superlattice photodiodes

    International Nuclear Information System (INIS)

    Chaghi, R; Cervera, C; Aït-Kaci, H; Grech, P; Rodriguez, J B; Christol, P

    2009-01-01

    In this paper, we studied wet chemical etching fabrication of the InAs/GaSb superlattice mesa photodiode for the mid-infrared region. The details of the wet chemical etchants used for the device process are presented. The etching solution is based on orthophosphoric acid (H 3 PO 4 ), citric acid (C 6 H 8 O 7 ) and H 2 O 2 , followed by chemical polishing with the sodium hypochlorite (NaClO) solution and protection with photoresist polymerized. The photodiode performance is evaluated by current–voltage measurements. The zero-bias resistance area product R 0 A above 4 × 10 5 Ω cm 2 at 77 K is reported. The device did not show dark current degradation at 77 K after exposition during 3 weeks to the ambient air

  11. Integration, gap formation, and sharpening of III-V heterostructure nanowires by selective etching

    DEFF Research Database (Denmark)

    Kallesoe, C.; Mølhave, Kristian; Larsen, K. F.

    2010-01-01

    Epitaxial growth of heterostructure nanowires allows for the definition of narrow sections with specific semiconductor composition. The authors demonstrate how postgrowth engineering of III-V heterostructure nanowires using selective etching can form gaps, sharpening of tips, and thin sections...... lithography is used for deposition of catalyst particles on trench sidewalls and the lateral growth of III-V nanowires is achieved from such catalysts. The selectivity of a bromine-based etch on gallium arsenide segments in gallium phosphide nanowires is examined, using a hydrochloride etch to remove the III...

  12. Fibre Laser Cutting and Chemical Etching of AZ31 for Manufacturing Biodegradable Stents

    Directory of Open Access Journals (Sweden)

    Ali Gökhan Demir

    2013-01-01

    Full Text Available The use of magnesium-alloy stents shows promise as a less intrusive solution for the treatment of cardiovascular pathologies as a result of the high biocompatibility of the material and its intrinsic dissolution in body fluids. However, in addition to requiring innovative solutions in material choice and design, these stents also require a greater understanding of the manufacturing process to achieve the desired quality with improved productivity. The present study demonstrates the manufacturing steps for the realisation of biodegradable stents in AZ31 magnesium alloy. These steps include laser microcutting with a Q-switched fibre laser for the generation of the stent mesh and subsequent chemical etching for the cleaning of kerf and surface finish. Specifically, for the laser microcutting step, inert and reactive gas cutting conditions were compared. The effect of chemical etching on the reduction in material thickness, as well as on spatter removal, was also evaluated. Prototype stents were produced, and the material composition and surface quality were characterised. The potentialities of combining nanosecond laser microcutting and chemical etching are shown and discussed.

  13. A Study of the arsenic profiles in NMOS by using chemical etching and simulation

    International Nuclear Information System (INIS)

    Jung, Won-Chae; Lee, Kil-Dong

    2004-01-01

    For integrated semiconductor devices, the one-, two-, and three-dimensional impurity distributions are very important for the analyzing the devices. The one-dimensional arsenic profiles were measured by using secondary ion mass spectroscopy (SIMS), and simulation data were obtained by using the TSUPREM4 and UT-Marlowe programs. The two-dimensional profiles of arsenic were directly measured by using the chemical etching-method, and the measured 2D profiles were compared with simulation data obtained from TSUPREM4. A Taurus simulation tool was used to obtain the 3D arsenic profiles. The simulated data of UT-Marlowe in 1D agreed very well with the SIMS data. The measured two-dimensional transmission electron microscope (TEM) data obtained by using the chemical etching-method matched very well with the results of the TSUPREM4 simulation. The chemical etching and the TEM measurement methods demonstrated and visualized the two-dimensional impurity distributions and structures of the devices.

  14. Selective laser etching or ablation for fabrication of devices

    KAUST Repository

    Buttner, Ulrich

    2017-01-12

    Methods of fabricating devices vial selective laser etching are provided. The methods can include selective laser etching of a portion of a metal layer, e.g. using a laser light source having a wavelength of 1,000 nm to 1,500 nm. The methods can be used to fabricate a variety of features, including an electrode, an interconnect, a channel, a reservoir, a contact hole, a trench, a pad, or a combination thereof. A variety of devices fabricated according to the methods are also provided. In some aspects, capacitive humidity sensors are provided that can be fabricated according to the provided methods. The capacitive humidity sensors can be fabricated with intricate electrodes, e.g. having a fractal pattern such as a Peano curve, a Hilbert curve, a Moore curve, or a combination thereof.

  15. Evaluation study between the chemical and electrochemical etching for solid state nuclear track detectors

    International Nuclear Information System (INIS)

    Ramos, S.; Espinosa, G.; Golzarri, J.I.

    1991-01-01

    Since there are several methods of etching in the solid state nuclear track detectors (SSNTD) it is necessary to know which gives the best results for a specific problem. The purpose of this work is to analyze and compare both the chemical etching and the electrochemical etching. The SSNTD has a preferential response to certain kinds of particles and energies, according to the material used as detector. On the other hand the efficiency is a function of the incidence angle of the radiation and some other parameters such as temperature, concentration and type of solvent used in the etching process, and the method used for the etching. Therefore, it is necessary to extend as much as possible our knowledge of such parameters in order to choose the more efficient one for a specific problem

  16. Masking considerations in chemically assisted ion beam etching of GaAs/AlGaAs laser structures

    International Nuclear Information System (INIS)

    Behfar-Rad, A.; Wong, S.S.; Davis, R.J.; Wolf, E.D.; Cornell Univ., Ithaca, NY

    1989-01-01

    The use of photoresist, Cr, and SiO 2 as etch masks for GaAs/AlGaAs structures in chemically assisted ion beam etching is reported. The optimized etch with a photoresist mask results in a high degree of anisotropy and smooth sidewalls. However, the etched surface contains undesirable features. The etch with a Cr mask is also highly anisotropic, and the etched surface is free of features. The drawback with Cr masks is that the sidewalls are rough. Vertical and smooth sidewalls as well as a featureless surface are obtained with a SiO 2 mask. The SiO 2 mask has been employed to etch the facets of monolithic GaAs/AlGaAs-based laser structures

  17. Selective etching characteristics of the AgInSbTe phase-change film in laser thermal lithography

    International Nuclear Information System (INIS)

    Li, Hao; Geng, Yongyou; Wu, Yiqun

    2012-01-01

    In the current work, the etching selectivity of the AgInSbTe phase-change film in laser thermal lithography is reported for the first time. Film phase change induced by laser irradiation and etching selectivity to crystalline and amorphous states in different etchants, including hydrochloric acid, sulfuric acid, phosphoric acid, nitric acid, sodium hydroxide, sodium sulfide, ammonium sulfide and ammonium hydroxide, are investigated. The results indicated that ammonium sulfide solvent (2.5 mol/L) had excellent etching selectivity to crystalline and amorphous states of the AgInSbTe film, and the etching characteristics were strongly influenced by the laser power density and laser irradiation time. The etching rate of the crystalline state of the AgInSbTe film was 40.4 nm/min, 20 times higher than that of the amorphous state under optimized irradiation conditions (power density: 6.63 mW/μm 2 and irradiation time: 330 ns), with ammonium sulfide solvent (2.5 mol/L) as etchant. The step profile produced in the selective etching was clear, and smooth surfaces remained both on the step-up and step-down with a roughness of less than 4 nm (10 x 10 μm). The excellent performance of the AgInSbTe phase-change film in selective etching is significant for fabrication of nanostructures with super-resolution in laser thermal lithography. (orig.)

  18. Roles of Ag in fabricating Si nanowires by the electroless chemical etching technique

    International Nuclear Information System (INIS)

    Wan, X.; Wang, Q. K.; Wangyang, P. H.; Tao, H.

    2012-01-01

    Silicon wafers coated with a film of Ag pattern are used for investigating roles of Ag in the fabrication of silicon nanowire arrays (SiNWs) by the electroless chemical etching technique. The diameter of SiNWs grown in the mixed AgNO 3 /HF solution ranges from 20 to 250 nm. A growth mechanism for such obtained SiNWs is proposed and further experimentally verified. As a comparison as well as to better understand this chemical process, another popular topic on growing SiNWs in the H 2 O 2 /HF solution is also studied. Originating from different chemical reaction mechanisms, Ag film could protect the underneath Si in the AgNO 3 /HF solution and it could, on the contrary, accelerate etching of the underneath Si in the H 2 O 2 /HF solution.

  19. Bilayer–metal assisted chemical etching of silicon microwire arrays for photovoltaic applications

    Directory of Open Access Journals (Sweden)

    R. W. Wu

    2016-02-01

    Full Text Available Silicon microwires with lateral dimension from 5 μm to 20 μm and depth as long as 20 μm are prepared by bilayer metal assisted chemical etching (MaCE. A bilayer metal configuration (Metal 1 / Metal 2 was applied to assist etching of Si where metal 1 acts as direct catalyst and metal 2 provides mechanical support. Different metal types were investigated to figure out the influence of metal catalyst on morphology of etched silicon. We find that silicon microwires with vertical side wall are produced when we use Ag/Au bilayer, while cone–like and porous microwires formed when Pt/Au is applied. The different micro-/nano-structures in as-etched silicon are demonstrated to be due to the discrepancy of work function of metal catalyst relative to Si. Further, we constructed a silicon microwire arrays solar cells in a radial p–n junction configurations in a screen printed aluminum paste p–doping process.

  20. Three-Dimensional Optical Trapping for Cell Isolation Using Tapered Fiber Probe by Dynamic Chemical Etching

    International Nuclear Information System (INIS)

    Taguchi, K; Okada, J; Nomura, Y; Tamura, K

    2012-01-01

    In this paper, chemically etched fiber probe was proposed for laser trapping and manipulation of cells. We fabricated tapered fiber probe by dynamic chemical etching technique. Three-Dimensional optical trap of a yeast cell dispersed in water solution could be formed by the fiber tip with 17deg tip. Optical forces were sufficient to move the yeast cell for trapping and manipulation. From these experimental results, it was found that our proposed tapered fiber tip was a promising tool for cell isolation.

  1. Growth of ZnO nanowire arrays directly onto Si via substrate topographical adjustments using both wet chemical and dry etching methods

    Energy Technology Data Exchange (ETDEWEB)

    Smith, Nathan A., E-mail: 523615@swansea.ac.uk [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom); Evans, Jon E.; Jones, Daniel R. [Multidisciplinary Nanotechnology Centre, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Lord, Alex M. [Centre for Nanohealth, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Wilks, S.P. [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom)

    2015-03-15

    Highlights: • Arrays of catalyst-free ZnO NWs have been grown by CVD without seed layers on Si. • Si surface topography was altered by substrate etching, resulting in NW growth. • XPS analysis shows growth is related to topography and not surface contamination. • Using e-beam lithography with etching, selective nanowire growth is demonstrated. • Electrical measurements on the arrays show improved conduction through the Si. - Abstract: Arrays of CVD catalyst-free ZnO nanowires have been successfully grown without the use of seed layers, using both wet chemical and dry plasma etching methods to alter surface topography. XPS analysis indicates that the NW growth cannot be attributed to a substrate surface chemistry and is therefore directly related to the substrate topography. These nanowires demonstrate structural and optical properties typical of CVD ZnO nanowires. Moreover, the NW arrays exhibit a degree of vertical alignment of less than 20° from the substrate normal. Electrical measurements suggest an improved conduction path through the substrate over seed layer grown nanowires. Furthermore, the etching technique was combined with e-beam lithography to produce high resolution selective area nanowire growth. The ability to pattern uniform nanowires using mature dry etch technology coupled with the increased charge transport through the substrate demonstrates the potential of this technique in the vertical integration of nanowire arrays.

  2. Selective Etching of Silicon in Preference to Germanium and Si0.5Ge0.5.

    Science.gov (United States)

    Ahles, Christopher F; Choi, Jong Youn; Wolf, Steven; Kummel, Andrew C

    2017-06-21

    The selective etching characteristics of silicon, germanium, and Si 0.5 Ge 0.5 subjected to a downstream H 2 /CF 4 /Ar plasma have been studied using a pair of in situ quartz crystal microbalances (QCMs) and X-ray photoelectron spectroscopy (XPS). At 50 °C and 760 mTorr, Si can be etched in preference to Ge and Si 0.5 Ge 0.5 , with an essentially infinite Si/Ge etch-rate ratio (ERR), whereas for Si/Si 0.5 Ge 0.5 , the ERR is infinite at 22 °C and 760 mTorr. XPS data showed that the selectivity is due to the differential suppression of etching by a ∼2 ML thick C x H y F z layer formed by the H 2 /CF 4 /Ar plasma on Si, Ge, and Si 0.5 Ge 0.5 . The data are consistent with the less exothermic reaction of fluorine radicals with Ge or Si 0.5 Ge 0.5 being strongly suppressed by the C x H y F z layer, whereas, on Si, the C x H y F z layer is not sufficient to completely suppress etching. Replacing H 2 with D 2 in the feed gas resulted in an inverse kinetic isotope effect (IKIE) where the Si and Si 0.5 Ge 0.5 etch rates were increased by ∼30 times with retention of significant etch selectivity. The use of D 2 /CF 4 /Ar instead of H 2 /CF 4 /Ar resulted in less total carbon deposition on Si and Si 0.5 Ge 0.5 and gave less Ge enrichment of Si 0.5 Ge 0.5 . These results are consistent with the selectivity being due to the differential suppression of etching by an angstrom-scale carbon layer.

  3. On the topography of sputtered or chemically etched crystals: surface energies minimised

    International Nuclear Information System (INIS)

    Chadderton, L.T.; Cope, J.O.

    1984-01-01

    The sputtering of single or polycrystalline metal surfaces by heavy ions gives rise to the characteristic topographical features of etch pits, ripples, and cones (pyramids). For cones and pyramids, in particular, no completely satisfactory explanation exists as to the origin of the basic geometry. Scanning electron micrographs are shown. It is proposed that for topographical features of both chemical etch and ion beam origin on single crystal surfaces, the presence of facets on cones and pyramids in particular, is due to the minimization of surface energy. (U.K.)

  4. Anisotropic chemical etching of semipolar {101-bar 1-bar}/{101-bar +1} ZnO crystallographic planes: polarity versus dangling bonds

    International Nuclear Information System (INIS)

    Palacios-Lidon, E; Perez-GarcIa, B; Colchero, J; Vennegues, P; Zuniga-Perez, J; Munoz-Sanjose, V

    2009-01-01

    ZnO thin films grown by metal-organic vapor phase epitaxy along the nonpolar [112-bar] direction and exhibiting semipolar {101-bar 1-bar}/{101-bar +1} facets have been chemically etched with HCl. In order to get an insight into the influence of the ZnO wurtzite structure in the chemical reactivity of the material, Kelvin probe microscopy and convergent beam electron diffraction have been employed to unambiguously determine the absolute polarity of the facets, showing that {101-bar +1} facets are unstable upon etching in an HCl solution and transform into (000+1)/{101-bar 1-bar} planes. In contrast, {101-bar 1-bar} undergo homogeneous chemical etching perpendicular to the initial crystallographic plane. The observed etching behavior has been explained in terms of surface oxygen dangling bond density, suggesting that the macroscopic polarity plays a secondary role in the etching process.

  5. Damage-Free Smooth-Sidewall InGaAs Nanopillar Array by Metal-Assisted Chemical Etching.

    Science.gov (United States)

    Kong, Lingyu; Song, Yi; Kim, Jeong Dong; Yu, Lan; Wasserman, Daniel; Chim, Wai Kin; Chiam, Sing Yang; Li, Xiuling

    2017-10-24

    Producing densely packed high aspect ratio In 0.53 Ga 0.47 As nanostructures without surface damage is critical for beyond Si-CMOS nanoelectronic and optoelectronic devices. However, conventional dry etching methods are known to produce irreversible damage to III-V compound semiconductors because of the inherent high-energy ion-driven process. In this work, we demonstrate the realization of ordered, uniform, array-based In 0.53 Ga 0.47 As pillars with diameters as small as 200 nm using the damage-free metal-assisted chemical etching (MacEtch) technology combined with the post-MacEtch digital etching smoothing. The etching mechanism of In x Ga 1-x As is explored through the characterization of pillar morphology and porosity as a function of etching condition and indium composition. The etching behavior of In 0.53 Ga 0.47 As, in contrast to higher bandgap semiconductors (e.g., Si or GaAs), can be interpreted by a Schottky barrier height model that dictates the etching mechanism constantly in the mass transport limited regime because of the low barrier height. A broader impact of this work relates to the complete elimination of surface roughness or porosity related defects, which can be prevalent byproducts of MacEtch, by post-MacEtch digital etching. Side-by-side comparison of the midgap interface state density and flat-band capacitance hysteresis of both the unprocessed planar and MacEtched pillar In 0.53 Ga 0.47 As metal-oxide-semiconductor capacitors further confirms that the surface of the resultant pillars is as smooth and defect-free as before etching. MacEtch combined with digital etching offers a simple, room-temperature, and low-cost method for the formation of high-quality In 0.53 Ga 0.47 As nanostructures that will potentially enable large-volume production of In 0.53 Ga 0.47 As-based devices including three-dimensional transistors and high-efficiency infrared photodetectors.

  6. Formation of nanogaps in InAs nanowires by selectively etching embedded InP segments.

    Science.gov (United States)

    Schukfeh, M I; Storm, K; Hansen, A; Thelander, C; Hinze, P; Beyer, A; Weimann, T; Samuelson, L; Tornow, M

    2014-11-21

    We present a method to fabricate nanometer scale gaps within InAs nanowires by selectively etching InAs/InP heterostructure nanowires. We used vapor-liquid-solid grown InAs nanowires with embedded InP segments of 10-60 nm length and developed an etching recipe to selectively remove the InP segment. A photo-assisted wet etching process in a mixture of acetic acid and hydrobromic acid gave high selectivity, with accurate removal of InP segments down to 20 nm, leaving the InAs wire largely unattacked, as verified using scanning electron and transmission electron microscopy. The obtained nanogaps in InAs wires have potential as semiconducting electrodes to investigate electronic transport in nanoscale objects. We demonstrate this functionality by dielectrophoretically trapping 30 nm diameter gold nanoparticles into the gap.

  7. Formation of nanogaps in InAs nanowires by selectively etching embedded InP segments

    International Nuclear Information System (INIS)

    Schukfeh, M I; Hansen, A; Tornow, M; Storm, K; Thelander, C; Samuelson, L; Hinze, P; Weimann, T; Beyer, A

    2014-01-01

    We present a method to fabricate nanometer scale gaps within InAs nanowires by selectively etching InAs/InP heterostructure nanowires. We used vapor–liquid–solid grown InAs nanowires with embedded InP segments of 10–60 nm length and developed an etching recipe to selectively remove the InP segment. A photo-assisted wet etching process in a mixture of acetic acid and hydrobromic acid gave high selectivity, with accurate removal of InP segments down to 20 nm, leaving the InAs wire largely unattacked, as verified using scanning electron and transmission electron microscopy. The obtained nanogaps in InAs wires have potential as semiconducting electrodes to investigate electronic transport in nanoscale objects. We demonstrate this functionality by dielectrophoretically trapping 30 nm diameter gold nanoparticles into the gap. (paper)

  8. Formation of biaxial texture in metal films by selective ion beam etching

    Energy Technology Data Exchange (ETDEWEB)

    Park, S.J. [Department of Materials Science and Engineering, University of Florida, 106 Rhines Hall, P.O. Box 116400, Gainesville, FL 32611 (United States); Norton, D.P. [Department of Materials Science and Engineering, University of Florida, 106 Rhines Hall, P.O. Box 116400, Gainesville, FL 32611 (United States)]. E-mail: dnort@mse.ufl.edu; Selvamanickam, Venkat [IGC-SuperPower, LLC, 450 Duane Avenue, Schenectady, NY 12304 (United States)

    2006-05-15

    The formation of in-plane texture via ion bombardment of uniaxially textured metal films was investigated. In particular, selective grain Ar ion beam etching of uniaxially textured (0 0 1) Ni was used to achieve in-plane aligned Ni grains. Unlike conventional ion beam assisted deposition, the ion beam irradiates the uniaxially textured film surface with no impinging deposition flux. The initial uniaxial texture is established via surface energy minimization with no ion irradiation. Within this sequential texturing method, in-plane grain alignment is driven by selective etching and grain overgrowth. Biaxial texture was achieved for ion beam irradiation at elevated temperature.

  9. Formation of biaxial texture in metal films by selective ion beam etching

    International Nuclear Information System (INIS)

    Park, S.J.; Norton, D.P.; Selvamanickam, Venkat

    2006-01-01

    The formation of in-plane texture via ion bombardment of uniaxially textured metal films was investigated. In particular, selective grain Ar ion beam etching of uniaxially textured (0 0 1) Ni was used to achieve in-plane aligned Ni grains. Unlike conventional ion beam assisted deposition, the ion beam irradiates the uniaxially textured film surface with no impinging deposition flux. The initial uniaxial texture is established via surface energy minimization with no ion irradiation. Within this sequential texturing method, in-plane grain alignment is driven by selective etching and grain overgrowth. Biaxial texture was achieved for ion beam irradiation at elevated temperature

  10. Mechanisms for plasma etching of HfO{sub 2} gate stacks with Si selectivity and photoresist trimming

    Energy Technology Data Exchange (ETDEWEB)

    Shoeb, Juline; Kushner, Mark J. [Department of Electrical and Computer Engineering, Iowa State University, Ames, Iowa 50011 (United States); Department of Electrical Engineering and Computer Science, University of Michigan, Ann Arbor, Michigan 48109-2122 (United States)

    2009-11-15

    To minimize leakage currents resulting from the thinning of the insulator in the gate stack of field effect transistors, high-dielectric constant (high-k) metal oxides, and HfO{sub 2} in particular, are being implemented as a replacement for SiO{sub 2}. To speed the rate of processing, it is desirable to etch the gate stack (e.g., metal gate, antireflection layers, and dielectric) in a single process while having selectivity to the underlying Si. Plasma etching using Ar/BCl{sub 3}/Cl{sub 2} mixtures effectively etches HfO{sub 2} while having good selectivity to Si. In this article, results from integrated reactor and feature scale modeling of gate-stack etching in Ar/BCl{sub 3}/Cl{sub 2} plasmas, preceded by photoresist trimming in Ar/O{sub 2} plasmas, are discussed. It was found that BCl{sub n} species react with HfO{sub 2}, which under ion impact, form volatile etch products such as B{sub m}OCl{sub n} and HfCl{sub n}. Selectivity to Si is achieved by creating Si-B bonding as a precursor to the deposition of a BCl{sub n} polymer which slows the etch rate relative to HfO{sub 2}. The low ion energies required to achieve this selectivity then challenge one to obtain highly anisotropic profiles in the metal gate portion of the stack. Validation was performed with data from literature. The effect of bias voltage and key reactant probabilities on etch rate, selectivity, and profile are discussed.

  11. The influence of chemical etching time on efficiency of radon detection using CR-39

    Energy Technology Data Exchange (ETDEWEB)

    Reway, Adriana P.; Kappke, Jaqueline; Narloch, Danielle C., E-mail: adrireway@hotmail.com, E-mail: jaquelinekappke@gmail.com, E-mail: daninarloch@hotmail.com [Universidade Tecnologica Federal do Parana (UTFPR), Curitiba, PR (Brazil). Departamento Academico de Fisica; Del Claro, Flavia; Paschuk, Sergei A., E-mail: flaviadelclaro@gmail.com, E-mail: spaschuk@gmail.com [Universidade Tecnologica Federal do Parana (UTFPR), Curitiba, PR (Brazil). Programa de Pos-Graduaca em Engenharia Eletrica e Informatica Industrial; Correa, Janine N., E-mail: janine_nicolosi@hotmail.com [Universidade Tecnologica Federal do Parana (UTFPR), Curitiba, PR (Brazil). Departamento Academico de Construcao Civil

    2015-07-01

    Natural radiation is the principal source of human exposure to ionizing radiation. Radon is noble radioactive gas that emanates from the soil and rocks entering the atmosphere of dwellings where it could be accumulated. The inhalation of {sup 222}Rn represents a significant health risk. Solid-State Nuclear Track Detectors (SSNTD) represents an efficient method for alpha particle detection and measurements of the activity concentration of {sup 222}Rn. The aim of present work was to study the etching time impact on CR-39 efficiency in radon activity measurements. The investigation was performed using 80 CR-39 detectors, which were exposed to a source of radon. After the exposition, alpha particle tracks development was achieved by chemical etching using 6.25M NaOH solution and ethanol (2%) at 70°C. Etching alpha particle tracks were identified and counted manually using the optical microscope with magnification of 100x and glass overlay mask. The etching time ranged from 7 to 14 hours. The results show that there is an increase in the number of visible tracks with increased etching time. The number of traces obtained for 7 hours and 8 hours of revelation was 1430 +/- 90 and 2090 +/- 160, respectively. However, for etching time of 13 and 14 hours was not observed statistical increase in the number of visible tracks. The number of tracks in this situation was 3630 +/- 180 and 3870 +/- 160 to 13 and 14 hours etching. Thus, for assumed etching parameters, the etching optimal time was observed 14 hours. (author)

  12. The influence of chemical etching time on efficiency of radon detection using CR-39

    International Nuclear Information System (INIS)

    Reway, Adriana P.; Kappke, Jaqueline; Narloch, Danielle C.; Del Claro, Flavia; Paschuk, Sergei A.; Correa, Janine N.

    2015-01-01

    Natural radiation is the principal source of human exposure to ionizing radiation. Radon is noble radioactive gas that emanates from the soil and rocks entering the atmosphere of dwellings where it could be accumulated. The inhalation of 222 Rn represents a significant health risk. Solid-State Nuclear Track Detectors (SSNTD) represents an efficient method for alpha particle detection and measurements of the activity concentration of 222 Rn. The aim of present work was to study the etching time impact on CR-39 efficiency in radon activity measurements. The investigation was performed using 80 CR-39 detectors, which were exposed to a source of radon. After the exposition, alpha particle tracks development was achieved by chemical etching using 6.25M NaOH solution and ethanol (2%) at 70°C. Etching alpha particle tracks were identified and counted manually using the optical microscope with magnification of 100x and glass overlay mask. The etching time ranged from 7 to 14 hours. The results show that there is an increase in the number of visible tracks with increased etching time. The number of traces obtained for 7 hours and 8 hours of revelation was 1430 +/- 90 and 2090 +/- 160, respectively. However, for etching time of 13 and 14 hours was not observed statistical increase in the number of visible tracks. The number of tracks in this situation was 3630 +/- 180 and 3870 +/- 160 to 13 and 14 hours etching. Thus, for assumed etching parameters, the etching optimal time was observed 14 hours. (author)

  13. High density plasma via hole etching in SiC

    International Nuclear Information System (INIS)

    Cho, H.; Lee, K.P.; Leerungnawarat, P.; Chu, S.N.G.; Ren, F.; Pearton, S.J.; Zetterling, C.-M.

    2001-01-01

    Throughwafer vias up to 100 μm deep were formed in 4H-SiC substrates by inductively coupled plasma etching with SF 6 /O 2 at a controlled rate of ∼0.6 μm min-1 and use of Al masks. Selectivities of >50 for SiC over Al were achieved. Electrical (capacitance-voltage: current-voltage) and chemical (Auger electron spectroscopy) analysis techniques showed that the etching produced only minor changes in reverse breakdown voltage, Schottky barrier height, and near surface stoichiometry of the SiC and had high selectivity over common frontside metallization. The SiC etch rate was a strong function of the incident ion energy during plasma exposure. This process is attractive for power SiC transistors intended for high current, high temperature applications and also for SiC micromachining

  14. Chemically etched fiber tips for near-field optical microscopy: a process for smoother tips.

    Science.gov (United States)

    Lambelet, P; Sayah, A; Pfeffer, M; Philipona, C; Marquis-Weible, F

    1998-11-01

    An improved method for producing fiber tips for scanning near-field optical microscopy is presented. The improvement consists of chemically etching quartz optical fibers through their acrylate jacket. This new method is compared with the previous one in which bare fibers were etched. With the new process the meniscus formed by the acid along the fiber does not move during etching, leading to a much smoother surface of the tip cone. Subsequent metallization is thus improved, resulting in better coverage of the tip with an aluminum opaque layer. Our results show that leakage can be avoided along the cone, and light transmission through the tip is spatially limited to an optical aperture of a 100-nm dimension.

  15. Obtaining porous silicon suitable for sensor technology using MacEtch nonelectrolytic etching

    Directory of Open Access Journals (Sweden)

    Iatsunskyi I. R.

    2013-12-01

    Full Text Available The author suggests to use the etching method MacEtch (metal-assisted chemical etching for production of micro- and nanostructures of porous silicon. The paper presents research results on the morphology structures obtained at different parameters of deposition and etching processes. The research has shown that, depending on the parameters of deposition of silver particles and silicon wafers etching, the obtained surface morphology may be different. There may be both individual crater-like pores and developed porous or macroporous surface. These results indicate that the MacEtch etching is a promising method for obtaining micro-porous silicon nanostructures suitable for effective use in gas sensors and biological object sensors.

  16. Fluorinion transfer in silver-assisted chemical etching for silicon nanowires arrays

    Science.gov (United States)

    Feng, Tianyu; Xu, Youlong; Zhang, Zhengwei; Mao, Shengchun

    2015-08-01

    Uniform silicon nanowires arrays (SiNWAs) were fabricated on unpolished rough silicon wafers through KOH pretreatment followed by silver-assisted chemical etching (SACE). Density functional theory (DFT) calculations were used to investigate the function of silver (Ag) at atomic scale in the etching process. Among three adsorption sites of Ag atom on Si(1 0 0) surface, Ag(T4) above the fourth-layer surface Si atoms could transfer fluorinion (F-) to adjacent Si successfully due to its stronger electrostatic attraction force between Ag(T4) and F-, smaller azimuth angle of Fsbnd Ag(T4)sbnd Si, shorter bond length of Fsbnd Si compared with Fsbnd Ag. As F- was transferred to adjacent Si by Ag(T4) one by one, the Si got away from the wafer in the form of SiF4 when it bonded with enough F- while Ag(T4) was still attached onto the Si wafer ready for next transfer. Cyclic voltammetry tests confirmed that Ag can improve the etching rate by transferring F- to Si.

  17. Atomic Layer Etching of Silicon to Solve ARDE-Selectivity-Profile-Uniformity Trade-Offs

    Science.gov (United States)

    Wang, Mingmei; Ranjan, Alok; Ventzek, Peter; Koshiishi, Akira

    2014-10-01

    With shrinking critical dimensions, dry etch faces more and more challenges. Minimizing each of aspect ratio dependent etching (ARDE), bowing, undercut, selectivity, and within die uniformly across a wafer are met by trading off one requirement against another. At the root of the problem is that roles radical flux, ion flux and ion energy play may be both good and bad. Increasing one parameter helps meeting one requirement but hinders meeting the other. Self-limiting processes like atomic layer etching (ALE) promise a way to escape the problem of balancing trade-offs. ALE was realized in the mid-1990s but the industrial implementation has been slow. In recent years interest in ALE has revived. We present how ARDE, bowing/selectivity trade-offs may be overcome by varying radical/ion ratio, byproduct re-deposition. We overcome many of the practical implementation issues associated with ALE by precise passivation process control. The Monte Carlo Feature Profile Model (MCFPM) is used to illustrate realistic scenarios built around an Ar/Cl2 chemistry driven etch of Si masked by SiO2. We demonstrate that ALE can achieve zero ARDE and infinite selectivity. Profile control depends on careful management of the ion energies and angles. For ALE to be realized in production environment, tight control of IAD is a necessary. Experimental results are compared with simulation results to provide context to the work.

  18. Synthesis and Characterization of Chemically Etched Nanostructured Silicon

    KAUST Repository

    Mughal, Asad Jahangir

    2012-05-01

    Silicon is an essential element in today’s modern world. Nanostructured Si is a more recently studied variant, which has currently garnered much attention. When its spatial dimensions are confined below a certain limit, its optical properties change dramatically. It transforms from an indirect bandgap material that does not absorb or emit light efficiently into one which can emit visible light at room temperatures. Although much work has been conducted in understanding the properties of nanostructured Si, in particular porous Si surfaces, a clear understanding of the origin of photoluminescence has not yet been produced. Typical synthesis approaches used to produce nanostructured Si, in particular porous Si and nanocrystalline Si have involved complex preparations used at high temperatures, pressures, or currents. The purpose of this thesis is to develop an easier synthesis approach to produce nanostructured Si as well as arrive at a clearer understanding of the origin of photoluminescence in these systems. We used a simple chemical etching technique followed by sonication to produce nanostructured Si suspensions. The etching process involved producing pores on the surface of a Si substrate in a solution containing hydrofluoric acid and an oxidant. Nanocrystalline Si as well as nanoscale amorphous porous Si suspensions were successfully synthesized using this process. We probed into the phase, composition, and origin of photoluminescence in these materials, through the use of several characterization techniques. TEM and SEM were used to determine morphology and phase. FT-IR and XPS were employed to study chemical compositions, and steady state and time resolved optical spectroscopy techniques were applied to resolve their photoluminescent properties. Our work has revealed that the type of oxidant utilized during etching had a significant impact on the final product. When using nitric acid as the oxidant, we formed nanocrystalline Si suspensions composed of

  19. Sensitivity of chemically and electrochemically etched CR 39 polymers to the neutrons of AmBe source

    International Nuclear Information System (INIS)

    Turek, K.; Spurny, F.; Dajko, G.; Somogyi, G.

    1981-01-01

    Seven samples of polymers by different manufacturers were used in a study of the sensitivity of CR 39 polymers to Am-Be neutrons. In the polymer, proton tracks for a relatively broad energy range can also be recorded. The following characteristics were studied: the sample background for different etching methods, the dependence of sensitivity on the etched thickness and on neutron fluence, the effect of type and thickness of external proton emitters, and the effect of the choice of electric parameters on the resulting sensitivity in electrochemical etching. Good results were obtained when chemical and electrochemical etching was used in combination. It was found that with electrochemical etching, sensitivity decreases for neutron fluence exceeding 10 8 cm -2 . The sensitivity of the studied CR 39 polymer samples only little differed. When the most sensitive polymer was used, the minimum dose equivalent in the human body for Am-Be neutrons which could be determined using combination etching was 0.4 mSv (ie., 40 mrems). (B.S.)

  20. Fluorinion transfer in silver-assisted chemical etching for silicon nanowires arrays

    International Nuclear Information System (INIS)

    Feng, Tianyu; Xu, Youlong; Zhang, Zhengwei; Mao, Shengchun

    2015-01-01

    Graphical abstract: - Highlights: • How Ag transfers F − to the adjacent Si atom was investigated and deduced by DFT at atomic scale. • Three-electrode CV tests proved the transferring function of Ag in the etching reaction. • Uniform SiNWAs were fabricated on unpolished silicon wafers with KOH pretreatment. - Abstract: Uniform silicon nanowires arrays (SiNWAs) were fabricated on unpolished rough silicon wafers through KOH pretreatment followed by silver-assisted chemical etching (SACE). Density functional theory (DFT) calculations were used to investigate the function of silver (Ag) at atomic scale in the etching process. Among three adsorption sites of Ag atom on Si(1 0 0) surface, Ag(T4) above the fourth-layer surface Si atoms could transfer fluorinion (F − ) to adjacent Si successfully due to its stronger electrostatic attraction force between Ag(T4) and F − , smaller azimuth angle of F−Ag(T4)−Si, shorter bond length of F−Si compared with F−Ag. As F − was transferred to adjacent Si by Ag(T4) one by one, the Si got away from the wafer in the form of SiF 4 when it bonded with enough F − while Ag(T4) was still attached onto the Si wafer ready for next transfer. Cyclic voltammetry tests confirmed that Ag can improve the etching rate by transferring F − to Si

  1. Bio-inspired silicon nanospikes fabricated by metal-assisted chemical etching for antibacterial surfaces

    Science.gov (United States)

    Hu, Huan; Siu, Vince S.; Gifford, Stacey M.; Kim, Sungcheol; Lu, Minhua; Meyer, Pablo; Stolovitzky, Gustavo A.

    2017-12-01

    The recently discovered bactericidal properties of nanostructures on wings of insects such as cicadas and dragonflies have inspired the development of similar nanostructured surfaces for antibacterial applications. Since most antibacterial applications require nanostructures covering a considerable amount of area, a practical fabrication method needs to be cost-effective and scalable. However, most reported nanofabrication methods require either expensive equipment or a high temperature process, limiting cost efficiency and scalability. Here, we report a simple, fast, low-cost, and scalable antibacterial surface nanofabrication methodology. Our method is based on metal-assisted chemical etching that only requires etching a single crystal silicon substrate in a mixture of silver nitrate and hydrofluoric acid for several minutes. We experimentally studied the effects of etching time on the morphology of the silicon nanospikes and the bactericidal properties of the resulting surface. We discovered that 6 minutes of etching results in a surface containing silicon nanospikes with optimal geometry. The bactericidal properties of the silicon nanospikes were supported by bacterial plating results, fluorescence images, and scanning electron microscopy images.

  2. Optical and Electrical Performance of ZnO Films Textured by Chemical Etching

    Directory of Open Access Journals (Sweden)

    Shiuh-Chuan HER

    2015-11-01

    Full Text Available Zinc oxide (ZnO films were prepared by radio frequency (RF magnetron sputtering on the glass substrate as transparent conductive oxide films. For silicon solar cells, a proper surface texture is essential to introduce light scattering and subsequent light trapping to enhance the current generation. In this study, the magnetron-sputtered ZnO films were textured by wet-chemical etching in diluted hydrochloric acid (HCl for better light scattering. The diffuse transmittance of the surface textured ZnO films was measured to evaluate the light scattering. The influence of hydrochloric acid concentration on the morphology, optical and electrical properties of the surface-textured ZnO film was investigated. The ZnO film etched in 0.05M HCl solution for 30 s exhibited average diffuse transmittance in the visible wavelength range of 9.52 % and good resistivity of 1.10 x 10-3 W×cm while the as-deposited ZnO film had average diffuse transmittance of 0.51 % and relatively high resistivity of 5.84 x 10-2 W×cm. Experimental results illustrated that the optical and electrical performance of ZnO films can be significantly improved by introducing the surface texture through the wet-chemical etching process.DOI: http://dx.doi.org/10.5755/j01.ms.21.4.9624

  3. Relationship between deprotection and film thickness loss during plasma etching of positive tone chemically amplified resists

    International Nuclear Information System (INIS)

    Mahorowala, A.P.; Medeiros, D.R.

    2001-01-01

    Positive tone chemically amplified (CA) resists have demonstrated the sensitivity, contrast, and resolution necessary to print state-of-the-art subwavelength features using 248 nm and more recently 193 nm lithography. These materials are also being considered for printing sub-100 nm features with 157 nm and next-generation lithography technologies such as extreme ultraviolet and electron beam projection lithography. The basis for solubility differential and image formation in these resists is the acid catalyzed deprotection of labile protecting groups of an inherently base soluble polymer. The deprotection is effected by the photochemical generation of strong acid during the exposure process. Such acid-catalyzed deprotection reactions can also occur in unexposed resist areas when etched in a plasma. This can be due to UV exposure, high-energy ion bombardment, elevated substrate temperatures, or interaction of the resist surface with plasma species to form acidic moieties. Deprotection has been associated with resist mass loss and film shrinkage during plasma etching, leaving inadequate masking material for the entire etch step. In this article, we report the film thickness loss of several unexposed CA resists as a function of etch time in a variety of plasmas and correlate these data with film composition, monitored by Fourier transform infrared spectroscopy. These results are compared with theoretical predictions based on generally accepted deprotection mechanisms. Our findings indicate that the 'acidic' nature of certain plasmas such as Cl 2 /O 2 can result in deprotection in the resist film, even in the absence of a photoacid generator. Additionally, the data suggest that the nature of the resist polymer and, in turn, the identity of the deprotection products directly influence resist mass loss and etch rate linearity, both of which can be controlled by careful selection of resist materials

  4. Superhydrophobic coatings for aluminium surfaces synthesized by chemical etching process

    Directory of Open Access Journals (Sweden)

    Priya Varshney

    2016-10-01

    Full Text Available In this paper, the superhydrophobic coatings on aluminium surfaces were prepared by two-step (chemical etching followed by coating and one-step (chemical etching and coating in a single step processes using potassium hydroxide and lauric acid. Besides, surface immersion time in solutions was varied in both processes. Wettability and surface morphologies of treated aluminium surfaces were characterized using contact angle measurement technique and scanning electron microscopy, respectively. Microstructures are formed on the treated aluminium surfaces which lead to increase in contact angle of the surface (>150°. Also on increasing immersion time, contact angle further increases due to increase in size and depth of microstructures. Additionally, these superhydrophobic coatings show excellent self-cleaning and corrosion-resistant behavior. Water jet impact, floatation on water surface, and low temperature condensation tests assert the excellent water-repellent nature of coatings. Further, coatings are to be found mechanically, thermally, and ultraviolet stable. Along with, these coatings are found to be excellent regeneration ability as verified experimentally. Although aforesaid both processes generate durable and regenerable superhydrophobic aluminium surfaces with excellent self-cleaning, corrosion-resistant, and water-repellent characteristics, but one-step process is proved more efficient and less time consuming than two-step process and promises to produce superhydrophobic coatings for industrial applications.

  5. Optically transparent glass micro-actuator fabricated by femtosecond laser exposure and chemical etching

    NARCIS (Netherlands)

    Lenssen, B.L.K.; Bellouard, Y.

    2012-01-01

    Femtosecond laser manufacturing combined with chemical etching has recently emerged as a flexible platform for fabricating three-dimensional devices and integrated optical elements in glass substrates. Here, we demonstrate an optically transparent micro-actuator fabricated out of a single piece of

  6. Chemically Etched Silicon Nanowires as Anodes for Lithium-Ion Batteries

    Energy Technology Data Exchange (ETDEWEB)

    West, Hannah Elise [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2015-08-01

    This study focused on silicon as a high capacity replacement anode for Lithium-ion batteries. The challenge of silicon is that it expands ~270% upon lithium insertion which causes particles of silicon to fracture, causing the capacity to fade rapidly. To account for this expansion chemically etched silicon nanowires from the University of Maine were studied as anodes. They were built into electrochemical half-cells and cycled continuously to measure the capacity and capacity fade.

  7. Etched ion track polymer membranes for sustained drug delivery

    International Nuclear Information System (INIS)

    Rao, Vijayalakshmi; Amar, J.V.; Avasthi, D.K.; Narayana Charyulu, R.

    2003-01-01

    The method of track etching has been successfully used for the production of polymer membranes with capillary pores. In the present paper, micropore membranes have been prepared by swift heavy ion irradiation of polycarbonate (PC). PC films were irradiated with ions of gold, silicon and oxygen of varying energies and fluence. The ion tracks thus obtained were etched chemically for various time intervals to get pores and these etched films were used as membranes for the drug release. Ciprofloxacine hydrochloride was used as model drug for the release studies. The drug content was estimated spectrophotometrically. Pore size and thus the drug release is dependent on the etching conditions, ions used, their energy and fluence. Sustained drug release has been observed in these membranes. The films can be selected for practical utilization by optimizing the irradiation and etching conditions. These films can be used as transdermal patches after medical treatment

  8. Minimizing Isolate Catalyst Motion in Metal-Assisted Chemical Etching for Deep Trenching of Silicon Nanohole Array.

    Science.gov (United States)

    Kong, Lingyu; Zhao, Yunshan; Dasgupta, Binayak; Ren, Yi; Hippalgaonkar, Kedar; Li, Xiuling; Chim, Wai Kin; Chiam, Sing Yang

    2017-06-21

    The instability of isolate catalysts during metal-assisted chemical etching is a major hindrance to achieve high aspect ratio structures in the vertical and directional etching of silicon (Si). In this work, we discussed and showed how isolate catalyst motion can be influenced and controlled by the semiconductor doping type and the oxidant concentration ratio. We propose that the triggering event in deviating isolate catalyst motion is brought about by unequal etch rates across the isolate catalyst. This triggering event is indirectly affected by the oxidant concentration ratio through the etching rates. While the triggering events are stochastic, the doping concentration of silicon offers a good control in minimizing isolate catalyst motion. The doping concentration affects the porosity at the etching front, and this directly affects the van der Waals (vdWs) forces between the metal catalyst and Si during etching. A reduction in the vdWs forces resulted in a lower bending torque that can prevent the straying of the isolate catalyst from its directional etching, in the event of unequal etch rates. The key understandings in isolate catalyst motion derived from this work allowed us to demonstrate the fabrication of large area and uniformly ordered sub-500 nm nanoholes array with an unprecedented high aspect ratio of ∼12.

  9. Selective etching of n-type silicon in pn junction structure in hydrofluoric acid and its application in silicon nanowire fabrication

    International Nuclear Information System (INIS)

    Wang Huiquan; Jin Zhonghe; Zheng Yangming; Ma Huilian; Wang Yuelin; Li Tie

    2008-01-01

    Boron is selectively implanted on the surface of an n-type silicon wafer to form a p-type area surrounded by an n-type area. The wafer is then put into a buffered oxide etch solution. It is found that the n-type area can be selectively etched without illumination, with an etching rate lower than 1 nm min -1 , while the p-type area can be selectively etched under illumination with a much higher etching rate. The possible mechanism of the etching phenomenon is discussed. A simple fabrication process of silicon nanowires is proposed according to the above phenomenon. In this process only traditional micro-electromechanical system technology is used. Dimensions of the fabricated nanowire can be controlled well. A 50 nm wide and 50 nm thick silicon nanowire has been formed using this method

  10. Fabrication mechanism of friction-induced selective etching on Si(100) surface.

    Science.gov (United States)

    Guo, Jian; Song, Chenfei; Li, Xiaoying; Yu, Bingjun; Dong, Hanshan; Qian, Linmao; Zhou, Zhongrong

    2012-02-23

    As a maskless nanofabrication technique, friction-induced selective etching can easily produce nanopatterns on a Si(100) surface. Experimental results indicated that the height of the nanopatterns increased with the KOH etching time, while their width increased with the scratching load. It has also found that a contact pressure of 6.3 GPa is enough to fabricate a mask layer on the Si(100) surface. To understand the mechanism involved, the cross-sectional microstructure of a scratched area was examined, and the mask ability of the tip-disturbed silicon layer was studied. Transmission electron microscope observation and scanning Auger nanoprobe analysis suggested that the scratched area was covered by a thin superficial oxidation layer followed by a thick distorted (amorphous and deformed) layer in the subsurface. After the surface oxidation layer was removed by HF etching, the residual amorphous and deformed silicon layer on the scratched area can still serve as an etching mask in KOH solution. The results may help to develop a low-destructive, low-cost, and flexible nanofabrication technique suitable for machining of micro-mold and prototype fabrication in micro-systems.

  11. Atomic force microscopy of histological sections using a chemical etching method

    International Nuclear Information System (INIS)

    Tiribilli, B.; Bani, D.; Quercioli, F.; Ghirelli, A.; Vassalli, M.

    2005-01-01

    Physiology and pathology have a big deal on tissue morphology, and the intrinsic spatial resolution of an atomic force microscope (AFM) is able to observe ultrastructural details. In order to investigate cellular and subcellular structures in histological sections with the AFM, we used a new simple method for sample preparation, i.e. chemical etching of semithin sections from epoxy resin-embedded specimens: such treatment appears to melt the upper layers of the embedding resin; thus, removing the superficial roughness caused by the edge of the microtome knife and bringing into high relief the biological structures hidden in the bulk. Consecutive ultrathin sections embedded in epoxy resin were observed with a transmission electron microscope (TEM) to compare the different imaging properties on the same specimen sample. In this paper we report, as an example, our AFM and TEM images of two different tissue specimens, rat pancreas and skeletal muscle fibres, showing that most of the inner details are visible with the AFM. These results suggest that chemical etching of histological sections may be a simple, fast and cost-effective method for AFM imaging with ultrastructural resolution

  12. On the long standing question of nuclear track etch induction time: Surface-cap model

    International Nuclear Information System (INIS)

    Rana, Mukhtar Ahmed

    2008-01-01

    Using a systematic set of experiments, nuclear track etch induction time measurements in a widely used CR-39 detector were completed for accessible track-forming particles (fission fragments, 5.2 MeV alpha particles and 5.9 MeV antiprotons). Results of the present work are compared with appropriately selected published results. The possibility of the use of etch induction time for charged particle identification is evaluated. Analysis of experimental results along with the use of well-established theoretical concepts yielded a model about delay in the start of chemical etching of nuclear tracks. The suggested model proposes the formation of a surface-cap (top segment) in each nuclear track consisting of chemically modified material with almost same or even higher resistance to chemical etching compared with bulk material of the track detector. Existing track formation models are reviewed very briefly, which provide one of the two bases of the proposed model. The other basis of the model is the general behavior of hot or energised material having a connection with an environment containing a number of species like ordinary air. Another reason for the delay in the start of etching is suggested as the absence of localization of etching atoms/molecules, which is present during etching at depth along the latent track

  13. Selective etching of injection molded zirconia-toughened alumina: Towards osseointegrated and antibacterial ceramic implants.

    Science.gov (United States)

    Flamant, Quentin; Caravaca, Carlos; Meille, Sylvain; Gremillard, Laurent; Chevalier, Jérôme; Biotteau-Deheuvels, Katia; Kuntz, Meinhard; Chandrawati, Rona; Herrmann, Inge K; Spicer, Christopher D; Stevens, Molly M; Anglada, Marc

    2016-12-01

    Due to their outstanding mechanical properties and excellent biocompatibility, zirconia-toughened alumina (ZTA) ceramics have become the gold standard in orthopedics for the fabrication of ceramic bearing components over the last decade. However, ZTA is bioinert, which hampers its implantation in direct contact with bone. Furthermore, periprosthetic joint infections are now the leading cause of failure for joint arthroplasty prostheses. To address both issues, an improved surface design is required: a controlled micro- and nano-roughness can promote osseointegration and limit bacterial adhesion whereas surface porosity allows loading and delivery of antibacterial compounds. In this work, we developed an integrated strategy aiming to provide both osseointegrative and antibacterial properties to ZTA surfaces. The micro-topography was controlled by injection molding. Meanwhile a novel process involving the selective dissolution of zirconia (selective etching) was used to produce nano-roughness and interconnected nanoporosity. Potential utilization of the porosity for loading and delivery of antibiotic molecules was demonstrated, and the impact of selective etching on mechanical properties and hydrothermal stability was shown to be limited. The combination of injection molding and selective etching thus appears promising for fabricating a new generation of ZTA components implantable in direct contact with bone. Zirconia-toughened alumina (ZTA) is the current gold standard for the fabrication of orthopedic ceramic components. In the present work, we propose an innovative strategy to provide both osseointegrative and antibacterial properties to ZTA surfaces: we demonstrate that injection molding allows a flexible design of surface micro-topography and can be combined with selective etching, a novel process that induces nano-roughness and surface interconnected porosity without the need for coating, avoiding reliability issues. These surface modifications have the

  14. Effects of high neutron doses and duration of the chemical etching on the optical properties of CR-39

    International Nuclear Information System (INIS)

    Sahoo, G.S.; Tripathy, S.P.; Paul, S.; Sharma, S.C.; Joshi, D.S.; Gupta, A.K.; Bandyopadhyay, T.

    2015-01-01

    Effects of the duration of chemical etching on the transmittance, absorbance and optical band gap width of the CR-39 (Polyallyl diglycol carbonate) detectors irradiated to high neutron doses (12.7, 22.1, 36.0 and 43.5 Sv) were studied. The neutrons were produced by bombardment of a thick Be target with 12 MeV protons of different fluences. The unirradiated and neutron-irradiated CR-39 detectors were subjected to a stepwise chemical etching at 1 h intervals. After each step, the transmission spectra of the detectors were recorded in the range from 200 to 900 nm, and the absorbances and optical band gap widths were determined. The effect of the etching on the light transmittance of unirradiated detectors was insignificant, whereas it was very significant in the case of the irradiated detectors. The dependence of the optical absorbance on the neutron dose is linear at short etching periods, but exponential at longer ones. The optical band gap narrows with increasing etching time. It is more significant for the irradiated dosimeters than for the unirradiated ones. The rate of the narrowing of the optical band gap with increasing neutron dose increases with increasing duration of the etching. - Highlights: • The variation of optical properties of CR-39 at very high neutron dose is analyzed. Etching process is found to play a crucial role for change in optical properties of neutron-irradiated CR-39. • The optical absorbance varies linearly at lower dose, at very high dose absorbance saturation occurs. The dose at which saturation absorbance is observed shifts towards lower neutron dose with increase in etching time. • The rate of decrease in optical band gap with respect to neutron dose is found to be more at higher etching durations

  15. Porous CrN thin films by selectively etching CrCuN for symmetric supercapacitors

    KAUST Repository

    Wei, Binbin

    2018-03-18

    Transition metal nitrides are regarded as a new class of excellent electrode materials for high-performance supercapacitors due to their superior chemical stability and excellent electrical conductivity. We synthesize successfully the porous CrN thin films for binder-free supercapacitor electrodes by reactive magnetron co-sputtering and selective chemical etching. The porous CrN thin film electrodes exhibit high-capacitance performance (31.3 mF cm−2 at 1.0 mA cm−2) and reasonable cycling stability (94% retention after 20000 cycles). Moreover, the specific capacitance is more than two-fold higher than that of the CrN thin film electrodes in previous work. In addition, a symmetric supercapacitor device with a maximum energy density of 14.4 mWh cm−3 and a maximum power density of 6.6 W cm−3 is achieved. These findings demonstrate that the porous CrN thin films will have potential applications in supercapacitors.

  16. Porous CrN thin films by selectively etching CrCuN for symmetric supercapacitors

    Science.gov (United States)

    Wei, Binbin; Mei, Gui; Liang, Hanfeng; Qi, Zhengbing; Zhang, Dongfang; Shen, Hao; Wang, Zhoucheng

    2018-05-01

    Transition metal nitrides are regarded as a new class of excellent electrode materials for high-performance supercapacitors due to their superior chemical stability and excellent electrical conductivity. We synthesize successfully the porous CrN thin films for binder-free supercapacitor electrodes by reactive magnetron co-sputtering and selective chemical etching. The porous CrN thin film electrodes exhibit high-capacitance performance (31.3 mF cm-2 at 1.0 mA cm-2) and reasonable cycling stability (94% retention after 20000 cycles). Moreover, the specific capacitance is more than two-fold higher than that of the CrN thin film electrodes in previous work. In addition, a symmetric supercapacitor device with a maximum energy density of 14.4 mWh cm-3 and a maximum power density of 6.6 W cm-3 is achieved. These findings demonstrate that the porous CrN thin films will have potential applications in supercapacitors.

  17. Facile fabrication of superhydrophobic surfaces from austenitic stainless steel (AISI 304) by chemical etching

    Science.gov (United States)

    Kim, Jae-Hun; Mirzaei, Ali; Kim, Hyoun Woo; Kim, Sang Sub

    2018-05-01

    Stainless steels are among the most common engineering materials and are used extensively in humid areas. Therefore, it is important that these materials must be robust to humidity and corrosion. This paper reports the fabrication of superhydrophobic surfaces from austenitic stainless steel (type AISI 304) using a facile two-step chemical etching method. In the first step, the stainless steel plates were etched in a HF solution, followed by a fluorination process, where they showed a water contact angle (WCA) of 166° and a sliding angle of 5° under the optimal conditions. To further enhance the superhydrophobicity, in the second step, they were dipped in a 0.1 wt.% NaCl solution at 100 °C, where the WCA was increased to 168° and the sliding angle was decreased to ∼2°. The long-term durability of the fabricated superhydrophobic samples for 1 month storage in air and water was investigated. The potential applicability of the fabricated samples was demonstrated by the excellent superhydrophobicity after 1 month. In addition, the self-cleaning properties of the fabricated superhydrophobic surface were also demonstrated. This paper outlines a facile, low-cost and scalable chemical etching method that can be adopted easily for large-scale purposes.

  18. Characteristics of neutron-irradiated CR-39 foils treated by sequential chemical and electrochemical etching

    International Nuclear Information System (INIS)

    Somogyi, G.; Dajko, G.; Turek, K.; Spurny, F.

    1982-01-01

    The density of background spots revealed by chemical (CE) and electrochemical (ECE) etching and by their sequential application (CE + ECE) has been measured in several sorts of CR-39 material. The trends in the variation of sensitivity to Am-Be neutrons have been determined in CR-39 sheets covered by thick proton-radiator, when changing the field strength, frequency, etchant concentration, pre-etch duration and the fluence of neutrons. The results are analyzed in order to find out an optimum set of experimental parameters which may be proposed to attain high registration sensitivity to neutrons. (author)

  19. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  20. More vertical etch profile using a Faraday cage in plasma etching

    Science.gov (United States)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Ryu, Jung-Hyun; Moon, Sang Heup

    1999-05-01

    Scanning electron microscope images of sidewalls obtained by plasma etching of an SiO2 film with and without a Faraday cage have been compared. When the substrate film is etched in the Faraday cage, faceting is effectively suppressed and the etch profile becomes more vertical regardless of the process conditions. This is because the electric potential in the cage is nearly uniform and therefore distortion of the electric field at the convex corner of a microfeature is prevented. The most vertical etch profile is obtained when the cage is used in fluorocarbon plasmas, where faceting is further suppressed due to the decrease in the chemical sputtering yield and the increase in the radical/ion flux on the substrate.

  1. Fabrication and Optical Characterization of Silicon Nanostructure Arrays by Laser Interference Lithography and Metal-Assisted Chemical Etching

    Directory of Open Access Journals (Sweden)

    P. Heydari

    2014-10-01

    Full Text Available In this paper metal-assisted chemical etching has been applied to pattern porous silicon regions and silicon nanohole arrays in submicron period simply by using positive photoresist as a mask layer. In order to define silicon nanostructures, Metal-assisted chemical etching (MaCE was carried out with silver catalyst. Provided solution (or materiel in combination with laser interference lithography (LIL fabricated different reproducible pillars, holes and rhomboidal structures. As a result, Submicron patterning of porous areas and nanohole arrays on Si substrate with a minimum feature size of 600nm was achieved. Measured reflection spectra of the samples present different optical characteristics which is dependent on the shape, thickness of metal catalyst and periodicity of the structure. These structures can be designed to reach a photonic bandgap in special range or antireflection layer in energy harvesting applications. The resulted reflection spectra of applied method are comparable to conventional expensive and complicated dry etching techniques.

  2. Isolating GaSb Membranes Grown Metamorphically on GaAs Substrates Using Highly Selective Substrate Removal Etch Processes

    Science.gov (United States)

    Renteria, E. J.; Muniz, A. J.; Addamane, S. J.; Shima, D. M.; Hains, C. P.; Balakrishnan, G.

    2015-05-01

    The etch rates of NH4OH:H2O2 and C6H8O7:H2O2 for GaAs and GaSb have been investigated to develop a selective etch for GaAs substrates and to isolate GaSb epilayers grown on GaAs. The NH4OH:H2O2 solution has a greater etch rate differential for the GaSb/GaAs material system than C6H8O7:H2O2 solution. The selectivity of NH4OH:H2O2 for GaAs/GaSb under optimized etch conditions has been observed to be as high as 11,000 ± 2000, whereas that of C6H8O7:H2O2 has been measured up to 143 ± 2. The etch contrast has been verified by isolating 2- μm-thick GaSb epilayers that were grown on GaAs substrates. GaSb membranes were tested and characterized with high- resolution x-ray diffraction and atomic force microscopy.

  3. The effect of CF4 addition on Ru etching with inductively coupled plasma

    International Nuclear Information System (INIS)

    Lim, Kyu Tae; Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il

    2003-01-01

    Ru thin films were etched in CF 4 /O 2 plasma using an ICP (inductively coupled plasma etching) system. The etch rate of Ru thin films was examined as a function of gas mixing ratio. The maximum etch rate of Ru thin films was 168 nm/min at a CF 4 /O 2 gas mixing ratio of 10 %. The selectivity of Ru over SiO 2 was 1.3. From the OES (optical emission spectroscopy), the optical emission intensity of the O radical had a maximum value at 10 % of CF 4 gas concentration and decrease with further addition of CF 4 gas. From XPS (x-ray photoelectron spectroscopy) analysis, Ru-F bonds by the chemical reaction of Ru and F appeared in the surface of the etched Ru thin film in CF 4 /O 2 chemistry. RuF 3-4 compounds were suggested as a surface passivation layer that reduces the chemical reactions between Ru and O radicals. In a FE-SEM (field emission scanning electron microscope) micrograph, we had an almost perpendicular taper angle of 89 .deg.

  4. Selective formation of porous layer on n-type InP by anodic etching combined with scratching

    International Nuclear Information System (INIS)

    Seo, Masahiro; Yamaya, Tadafumi

    2005-01-01

    The selective formation of porous layer on n-type InP (001) surface was investigated by using scratching with a diamond scriber followed by anodic etching in deaerated 0.5M HCl. Since the InP specimen was highly doped, the anodic etching proceeded in the dark. The potentiodynamic polarization showed the anodic current shoulder in the potential region between 0.8 and 1.3V (SHE) for the scratched area in addition to the anodic current peak at 1.7V (SHE) for the intact area. The selective formation of porous layer on the scratched are was brought by the anodic etching at a constant potential between 1.0 and 1.2V (SHE) for a certain time. The nucleation and growth of etch pits on intact area, however, took place when the time passed the critical value. The cross section of porous layer on the scratched area perpendicular to the [1-bar 10] or [110] scratching direction had a V-shape, while the cross section of porous layer on the scratched area parallel to the [1-bar 10] or [110] scratching direction had a band structure with stripes oriented to the [1-bar 11] or [11-bar 1] direction. Moreover, nano-scratching at a constant normal force in the micro-Newton range followed by anodic etching showed the possibility for selective formation of porous wire with a nano-meter width

  5. Selective formation of porous layer on n-type InP by anodic etching combined with scratching

    Energy Technology Data Exchange (ETDEWEB)

    Seo, Masahiro [Graduate School of Engineering, Hokkaido University, Kita-13 Jo, Nishi-8 Chome, Kita-ku, Sapporo 060-8628 (Japan)]. E-mail: seo@elechem1-mc.eng.hokudai.ac.jp; Yamaya, Tadafumi [Graduate School of Engineering, Hokkaido University, Kita-13 Jo, Nishi-8 Chome, Kita-ku, Sapporo 060-8628 (Japan)

    2005-11-10

    The selective formation of porous layer on n-type InP (001) surface was investigated by using scratching with a diamond scriber followed by anodic etching in deaerated 0.5M HCl. Since the InP specimen was highly doped, the anodic etching proceeded in the dark. The potentiodynamic polarization showed the anodic current shoulder in the potential region between 0.8 and 1.3V (SHE) for the scratched area in addition to the anodic current peak at 1.7V (SHE) for the intact area. The selective formation of porous layer on the scratched are was brought by the anodic etching at a constant potential between 1.0 and 1.2V (SHE) for a certain time. The nucleation and growth of etch pits on intact area, however, took place when the time passed the critical value. The cross section of porous layer on the scratched area perpendicular to the [1-bar 10] or [110] scratching direction had a V-shape, while the cross section of porous layer on the scratched area parallel to the [1-bar 10] or [110] scratching direction had a band structure with stripes oriented to the [1-bar 11] or [11-bar 1] direction. Moreover, nano-scratching at a constant normal force in the micro-Newton range followed by anodic etching showed the possibility for selective formation of porous wire with a nano-meter width.

  6. High-performance macroporous bulk silicon anodes synthesized by template-free chemical etching

    Energy Technology Data Exchange (ETDEWEB)

    Bang, Byoung Man; Lee, Jung-In; Kim, Hyunjung; Cho, Jaephil; Park, Soojin [Interdisciplinary School of Green Energy, Ulsan National Institute of Science and Technology (UNIST), Ulsan (Korea, Republic of)

    2012-07-15

    Three-dimensional porous silicon particles can be produced via the combination of a galvanic displacement reaction and a metal-assisted chemical etching process. This simple synthetic route can be applied to make high-performance anode materials, including high specific capacity, stable cycling retention, and high rate capability, in lithium-ion batteries. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  7. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    Energy Technology Data Exchange (ETDEWEB)

    Qi, Yangyang; Wang, Zhen; Zhang, Mingliang; Wang, Xiaodong, E-mail: xdwang@semi.ac.cn; Ji, An; Yang, Fuhua [Engineering Research Center for Semiconductor Integrated Technology, Institute of Semiconductors, Chinese Academy of Sciences, Beijing, 100083 (China)

    2014-03-15

    The electron transport characteristics of silicon nanowires (SiNWs) fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V) characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  8. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    Science.gov (United States)

    Qi, Yangyang; Wang, Zhen; Zhang, Mingliang; Wang, Xiaodong; Ji, An; Yang, Fuhua

    2014-03-01

    The electron transport characteristics of silicon nanowires (SiNWs) fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V) characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  9. Angular dependence of Si3N4 etch rates and the etch selectivity of SiO2 to Si3N4 at different bias voltages in a high-density C4F8 plasma

    International Nuclear Information System (INIS)

    Lee, Jin-Kwan; Lee, Gyeo-Re; Min, Jae-Ho; Moon, Sang Heup

    2007-01-01

    The dependence of Si 3 N 4 etch rates and the etch selectivity of SiO 2 to Si 3 N 4 on ion-incident angles was studied for different bias voltages in a high-density C 4 F 8 plasma. A Faraday cage and specially designed substrate holders were used to accurately control the angles of incident ions on the substrate surface. The normalized etch yield (NEY), defined as the etch yield obtained at a given ion-incident angle normalized to that obtained on a horizontal surface, was unaffected by the bias voltage in Si 3 N 4 etching, but it increased with the bias voltage in SiO 2 etching in the range of -100 to -300 V. The NEY changed showing a maximum with an increase in the ion-incident angle in the etching of both substrates. In the Si 3 N 4 etching, a maximum NEY of 1.7 was obtained at 70 deg. in the above bias voltage range. However, an increase in the NEY at high ion-incident angles was smaller for SiO 2 than for Si 3 N 4 and, consequently, the etch selectivity of SiO 2 to Si 3 N 4 decreased with an increase in the ion-incident angle. The etch selectivity decreased to a smaller extent at high bias voltage because the NEY of SiO 2 had increased. The characteristic changes in the NEY for different substrates could be correlated with the thickness of a steady-state fluorocarbon (CF x ) film formed on the substrates

  10. Gold core@silver semishell Janus nanoparticles prepared by interfacial etching

    Science.gov (United States)

    Chen, Limei; Deming, Christopher P.; Peng, Yi; Hu, Peiguang; Stofan, Jake; Chen, Shaowei

    2016-07-01

    Gold core@silver semishell Janus nanoparticles were prepared by chemical etching of Au@Ag core-shell nanoparticles at the air/water interface. Au@Ag core-shell nanoparticles were synthesized by chemical deposition of a silver shell onto gold seed colloids followed by the self-assembly of 1-dodecanethiol onto the nanoparticle surface. The nanoparticles then formed a monolayer on the water surface of a Langmuir-Blodgett trough, and part of the silver shell was selectively etched away by the mixture of hydrogen peroxide and ammonia in the water subphase, where the etching was limited to the side of the nanoparticles that was in direct contact with water. The resulting Janus nanoparticles exhibited an asymmetrical distribution of silver on the surface of the gold cores, as manifested in transmission electron microscopy, UV-vis absorption, and X-ray photoelectron spectroscopy measurements. Interestingly, the Au@Ag semishell Janus nanoparticles exhibited enhanced electrocatalytic activity in oxygen reduction reactions, as compared to their Au@Ag and Ag@Au core-shell counterparts, likely due to a synergistic effect between the gold cores and silver semishells that optimized oxygen binding to the nanoparticle surface.Gold core@silver semishell Janus nanoparticles were prepared by chemical etching of Au@Ag core-shell nanoparticles at the air/water interface. Au@Ag core-shell nanoparticles were synthesized by chemical deposition of a silver shell onto gold seed colloids followed by the self-assembly of 1-dodecanethiol onto the nanoparticle surface. The nanoparticles then formed a monolayer on the water surface of a Langmuir-Blodgett trough, and part of the silver shell was selectively etched away by the mixture of hydrogen peroxide and ammonia in the water subphase, where the etching was limited to the side of the nanoparticles that was in direct contact with water. The resulting Janus nanoparticles exhibited an asymmetrical distribution of silver on the surface of the gold

  11. Polypropylene Track Membranes for Mikro and Ultrafiltration of Chemically Aggressive Agents

    CERN Document Server

    Kravets, L I; Apel, P Yu

    2000-01-01

    A production process for track membranes on the basis of chemically resistant polymer polypropylene has been developed. Research in all stages of the formation of the polypropylene track membranes has been conducted: the main principles of the process of chemical etching of polypropylene irradiated with accelerated ions have been investigated, the most effective structure of the etchant for a selective etching of the heavy ion tracks has been selected, the parameters of etching have been optimized. A method for sensibilization of latent tracks in polypropylene by effect of solvents has been developed. It helps to reach a significant increase in etching selectivity. A method for destruction of an absorbed chromocontaining layer on the surface of polypropylene track membranes formed during etching has been elaborated. Experimental samples of the membranes for micro and ultrafiltration have been obtained and their properties have been studied in course of their exploitation in chemically aggressive agents. For t...

  12. Effect of chemical etching on the surface roughness of CdZnTe and CdMnTe gamma radiation detectors

    International Nuclear Information System (INIS)

    Hossain, A.; Babalola, S.; Bolotnikov, A.E.; Camarda, G.S.; Cui, Y.; Yang, G.; Guo, M.; Kochanowska, D.; Mycielski, A.; Burger, A.; James, R.B.

    2008-01-01

    Generally, mechanical polishing is performed to diminish the cutting damage followed by chemical etching to remove the remaining damage on crystal surfaces. In this paper, we detail the findings from our study of the effects of various chemical treatments on the roughness of crystal surfaces. We prepared several CdZnTe (CZT) and CdMnTe (CMT) crystals by mechanical polishing with 5 (micro)m and/or lower grits of Al 2 O 3 abrasive papers including final polishing with 0.05-(micro)m particle size alumina powder and then etched them for different periods with a 2%, 5% Bromine-Methanol (B-M) solution, and also with an E-solution (HNO 3 :H 2 O:Cr 2 O 7 ). The material removal rate (etching rate) from the crystals was found to be 10 (micro)m, 30 (micro)m, and 15 (micro)m per minute, respectively. The roughness of the resulting surfaces was determined by the Atomic Force Microscopy (AFM) to identify the most efficient surface processing method by combining mechanical and chemical polishing

  13. A reconsideration for forming mechanism of optic fiber probe fabricated by static chemical etching

    Science.gov (United States)

    Chen, Yiru; Shen, Ruiqi

    2016-07-01

    The studies on the mechanism of static chemical etching are supplemented in this paper. Surface tension and diffusion effect are both taken into account. Theoretical analysis and data fitting show that the slant angle of the liquid-liquid interface leads to the maximum liquid rising, when diffusion effect is negligible.

  14. 3D electrostatic actuator fabricated by non-ablative femtosecond laser exposure and chemical etching

    Directory of Open Access Journals (Sweden)

    Yang Tao

    2015-01-01

    Full Text Available We demonstrate the novel design of an electrostatic micro-actuator based on monolithic three-dimensional (3D shapes fabricated by non-ablative femtosecond laser exposure combined with chemical etching. Further, we present a single-scan stacking approach exploited in the fabrication of the 3D actuator to create crack-free, highcontrast, high fidelity and integrated micro-structures. Influential parameters: energy per pulse, polarization, scanning spacing and stacking directionwere systematically studied to predict and control the etching rate of 3D planes.Finally, we report the characterization of the actuator and its potential application in optomechanics to show a complete scenario of femtosecond laser machined integrated 3D micro-systems incorporating multiple functionalities.

  15. Silicon germanium as a novel mask for silicon deep reactive ion etching

    KAUST Repository

    Serry, Mohamed Y.

    2013-10-01

    This paper reports on the use of p-type polycrystalline silicon germanium (poly-Si1-xGex) thin films as a new masking material for the cryogenic deep reactive ion etching (DRIE) of silicon. We investigated the etching behavior of various poly-Si1-xGex:B (0Etching selectivity for silicon, silicon oxide, and photoresist was determined at different etching temperatures, ICP and RF powers, and SF6 to O2 ratios. The study demonstrates that the etching selectivity of the SiGe mask for silicon depends strongly on three factors: Ge content; boron concentration; and etching temperature. Compared to conventional SiO2 and SiN masks, the proposed SiGe masking material exhibited several advantages, including high etching selectivity to silicon (>1:800). Furthermore, the SiGe mask was etched in SF6/O2 plasma at temperatures ≥ - 80°C and at rates exceeding 8 μm/min (i.e., more than 37 times faster than SiO2 or SiN masks). Because of the chemical and thermodynamic stability of the SiGe film as well as the electronic properties of the mask, it was possible to deposit the proposed film at CMOS backend compatible temperatures. The paper also confirms that the mask can easily be dry-removed after the process with high etching-rate by controlling the ICP and RF power and the SF6 to O2 ratios, and without affecting the underlying silicon substrate. Using low ICP and RF power, elevated temperatures (i.e., > - 80°C), and an adjusted O2:SF6 ratio (i.e., ~6%), we were able to etch away the SiGe mask without adversely affecting the final profile. Ultimately, we were able to develop deep silicon- trenches with high aspect ratio etching straight profiles. © 1992-2012 IEEE.

  16. Pattern transfer on fused silica samples using sub-aperture reactive ion beam etching

    Energy Technology Data Exchange (ETDEWEB)

    Miessler, Andre; Arnold, Thomas [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), Permoserstrasse 15, D-04318 Leipzig (Germany)

    2012-07-01

    In comparison to sole Ar ion beam sputtering Reactive Ion Beam Etching (RIBE) reveals the main advantage of increasing the selectivity for different kind of materials due to chemical contributions during the material removal. Therefore RIBE is qualified to be an excellent candidate for pattern transfer applications. The goal of the present study is to apply a sub-aperture reactive ion beam for pattern transfer on large fused silica samples. Concerning this matter, the etching behavior in the ion beam periphery plays a decisive role. Using a Kaufman-typed ion source with NF{sub 3} as reactive gas, XPS measurements of the modified surface exposes impurities like Ni, Fe and Cr, which belongs to chemically eroded material of the plasma pot and a layer formation of silicon nitride, handicaps the etching process mainly in the beam periphery where the sputtering contribution decrease. These side effects influence the pattern transfer of trench structures, produced in AZ MIR 701 photoresist by lithography on a 2'' fused silica plate, by changing the selectivity due to modified chemical reactions of the resist layer. Concerning this we investigate a RF-Ion source for sub aperture reactive ion beam applications and finally we examine the pattern transfer on large fused silica plates using NF{sub 3}-sub-aperture RIBE.

  17. Sputtering yields and surface chemical modification of tin-doped indium oxide in hydrocarbon-based plasma etching

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hu; Karahashi, Kazuhiro; Hamaguchi, Satoshi, E-mail: hamaguch@ppl.eng.osaka-u.ac.jp [Center for Atomic and Molecular Technologies, Osaka University, Yamadaoka 2-1, Suita 565-0871 (Japan); Fukasawa, Masanaga; Nagahata, Kazunori; Tatsumi, Tetsuya [Device and Material R& D Group, RDS Platform, Sony Corporation, Kanagawa 243-0014 (Japan)

    2015-11-15

    Sputtering yields and surface chemical compositions of tin-doped indium oxide (or indium tin oxide, ITO) by CH{sup +}, CH{sub 3}{sup +}, and inert-gas ion (He{sup +}, Ne{sup +}, and Ar{sup +}) incidence have been obtained experimentally with the use of a mass-selected ion beam system and in-situ x-ray photoelectron spectroscopy. It has been found that etching of ITO is chemically enhanced by energetic incidence of hydrocarbon (CH{sub x}{sup +}) ions. At high incident energy incidence, it appears that carbon of incident ions predominantly reduce indium (In) of ITO and the ITO sputtering yields by CH{sup +} and CH{sub 3}{sup +} ions are found to be essentially equal. At lower incident energy (less than 500 eV or so), however, a hydrogen effect on ITO reduction is more pronounced and the ITO surface is more reduced by CH{sub 3}{sup +} ions than CH{sup +} ions. Although the surface is covered more with metallic In by low-energy incident CH{sub 3}{sup +} ions than CH{sup +} ions and metallic In is in general less resistant against physical sputtering than its oxide, the ITO sputtering yield by incident CH{sub 3}{sup +} ions is found to be lower than that by incident CH{sup +} ions in this energy range. A postulation to account for the relation between the observed sputtering yield and reduction of the ITO surface is also presented. The results presented here offer a better understanding of elementary surface reactions observed in reactive ion etching processes of ITO by hydrocarbon plasmas.

  18. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    Directory of Open Access Journals (Sweden)

    Yangyang Qi

    2014-02-01

    Full Text Available The electron transport characteristics of silicon nanowires (SiNWs fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  19. Composite silicon nanostructure arrays fabricated on optical fibre by chemical etching of multicrystal silicon film

    International Nuclear Information System (INIS)

    Zuo, Zewen; Zhu, Kai; Ning, Lixin; Cui, Guanglei; Qu, Jun; Huang, Wanxia; Shi, Yi; Liu, Hong

    2015-01-01

    Integrating nanostructures onto optical fibers presents a promising strategy for developing new-fashioned devices and extending the scope of nanodevices’ applications. Here we report the first fabrication of a composite silicon nanostructure on an optical fiber. Through direct chemical etching using an H 2 O 2 /HF solution, multicrystal silicon films with columnar microstructures are etched into a vertically aligned, inverted-cone-like nanorod array embedded in a nanocone array. A faster dissolution rate of the silicon at the void-rich boundary regions between the columns is found to be responsible for the separation of the columns, and thus the formation of the nanostructure array. The morphology of the nanorods primarily depends on the microstructure of the columns in the film. Through controlling the microstructure of the as-grown film and the etching parameters, the structural control of the nanostructure is promising. This fabrication method can be extended to a larger length scale, and it even allows roll-to-roll processing. (paper)

  20. Composite silicon nanostructure arrays fabricated on optical fibre by chemical etching of multicrystal silicon film.

    Science.gov (United States)

    Zuo, Zewen; Zhu, Kai; Ning, Lixin; Cui, Guanglei; Qu, Jun; Huang, Wanxia; Shi, Yi; Liu, Hong

    2015-04-17

    Integrating nanostructures onto optical fibers presents a promising strategy for developing new-fashioned devices and extending the scope of nanodevices' applications. Here we report the first fabrication of a composite silicon nanostructure on an optical fiber. Through direct chemical etching using an H2O2/HF solution, multicrystal silicon films with columnar microstructures are etched into a vertically aligned, inverted-cone-like nanorod array embedded in a nanocone array. A faster dissolution rate of the silicon at the void-rich boundary regions between the columns is found to be responsible for the separation of the columns, and thus the formation of the nanostructure array. The morphology of the nanorods primarily depends on the microstructure of the columns in the film. Through controlling the microstructure of the as-grown film and the etching parameters, the structural control of the nanostructure is promising. This fabrication method can be extended to a larger length scale, and it even allows roll-to-roll processing.

  1. Simple method to transfer graphene from metallic catalytic substrates to flexible surfaces without chemical etching

    International Nuclear Information System (INIS)

    Ko, P J; Takahashi, H; Sakai, H; Thu, T V; Okada, H; Sandhu, A; Koide, S

    2013-01-01

    Graphene shows promise for applications in flexible electronics. Here, we describe our procedure to transfer graphene grown on copper substrates by chemical vapor deposition to polydimethylsiloxane (PDMS) and SiO 2 /Si surfaces. The transfer of graphene was achieved by a simple, etching-free method onto flexible PDMS substrates.

  2. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed

    2014-07-29

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  3. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed; Rubin, Andrew; Refaat, Mohamed; Sedky, Sherif; Abdo, Mohammad

    2014-01-01

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  4. Selective laser-induced photochemical dry etching of semiconductors controlled by ion-bombardment-induced damage

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.; Vook, F.L.

    1987-01-01

    When a photochemical dry etching process requires direct participation of photogenerated carriers in the chemical reaction, it is sensitive to the electronic properties of the semiconductor. For such solid-excitation-based dry etching processes, the balance between reaction and carrier recombination rates determines the practical utility of a particular reaction for device fabrication. The distance from the surface at which the photocarriers are generated by light adsorption is determined by the absorption coefficient. In the absence of an external bias potential, only those carriers formed within a diffusion length of the surface space-charge region will have an opportunity to drive the dry etching reaction. When the absorption coefficient is high, most of the photons generate carriers within a diffusion length from the surface space-charge region, and the etching rate is largely determined by the balance between the rate of the carrier-driven reaction and the surface recombination velocity. When the recombination rate of free carriers in the bulk of the semiconductor is high, the effective diffusion length is reduced and fewer of the carriers generated in the subsurface region ever reach the surface. An important effect of ion bombardment is the creation of many lattice defects that increase the rate of recombination of electrons and holes. When a sufficient number of defects, which act as recombination sites, are formed during ion implantation, the recombination of photogenerated carriers at these defects in the subsurface region can greatly reduce the number of carriers which can reach the surface and drive a photochemical etching reaction

  5. Isolating GaSb membranes grown metamorphically on GaAs substrates using highly selective substrate removal etch processes

    Energy Technology Data Exchange (ETDEWEB)

    Lavrova, Olga [Univ. of New Mexico, Albuquerque, NM (United States). Dept. of Electrical and Computer Engineering. Center for High Technology Materials; Balakrishnan, Ganesh [Univ. of New Mexico, Albuquerque, NM (United States). Dept. of Electrical and Computer Engineering. Center for High Technology Materials

    2017-02-24

    The etch rates of NH4OH:H2O2 and C6H8O7:H2O2 for GaAs and GaSb have been investigated to develop a selective etch for GaAs substrates and to isolate GaSb epilayers grown on GaAs. The NH4OH:H2O2 solution has a greater etch rate differential for the GaSb/GaAs material system than C6H8O7:H2O2 solution. The selectivity of NH4OH:H2O2 for GaAs/GaSb under optimized etch conditions has been observed to be as high as 11471 ± 1691 whereas that of C6H8O7:H2O2 has been measured up to 143 ± 2. The etch contrast has been verified by isolating 2 μm thick GaSb epi-layers that were grown on GaAs substrates. GaSb membranes were tested and characterized with high-resolution X-Ray diffraction (HR-XRD) and atomic force microscopy (AFM).

  6. Chemically etched sharpened tip of transparent crystallized glass fibers with nonlinear optical Ba2TiSi2O8 nanocrystals

    International Nuclear Information System (INIS)

    Enomoto, Itaru; Benino, Yasuhiko; Komatsu, Takayuki; Fujiwara, Takumi

    2007-01-01

    Glass fibers with a diameter of ∼100 μm are drawn by just pulling up melts of 40BaO·20TiO 2 ·40SiO 2 glass, and transparent crystallized glass fibers consisting of nonlinear optical fresnoite Ba 2 TiSi 2 O 8 nanocrystals (particle size: ∼100-200 nm) are fabricated by crystallization of glass fibers. Precursor glass fibers and nanocrystallized glass fibers are etched chemically using a meniscus method, in which an etching solution of 0.1wt%-HF/hexane is used. Glass fibers with sharpened tips (e.g., the taper length is ∼L=200 μm and the tip angle is ∼θ=23deg) are obtained. It is found that etched nanocrystallized glass fibers also have sharpened tips (L=50 μm, θ=80deg). Compared with precursor glass fibers, nanocrystallized glass fibers show a high resistance against chemical etching in a 0.1 wt%HF solution. Although sharpened tips in nanocrystallized glass fibers do not have nanoscaled apertures, the present study suggests that nanocrystallized glass fibers showing second harmonic generations would have a potential for fiber-type light control optical devices. (author)

  7. Homogeneity of Ge-rich nanostructures as characterized by chemical etching and transmission electron microscopy

    International Nuclear Information System (INIS)

    Bollani, Monica; Chrastina, Daniel; Montuori, Valeria; Vanacore, Giovanni M; Tagliaferri, Alberto; Sordan, Roman; Terziotti, Daniela; Bonera, Emiliano; Spinella, Corrado; Nicotra, Giuseppe

    2012-01-01

    The extension of SiGe technology towards new electronic and optoelectronic applications on the Si platform requires that Ge-rich nanostructures be obtained in a well-controlled manner. Ge deposition on Si substrates usually creates SiGe nanostructures with relatively low and inhomogeneous Ge content. We have realized SiGe nanostructures with a very high (up to 90%) Ge content. Using substrate patterning, a regular array of nanostructures is obtained. We report that electron microscopy reveals an abrupt change in Ge content of about 20% between the filled pit and the island, which has not been observed in other Ge island systems. Dislocations are mainly found within the filled pit and only rarely in the island. Selective chemical etching and electron energy-loss spectroscopy reveal that the island itself is homogeneous. These Ge-rich islands are possible candidates for electronic applications requiring locally induced stress, and optoelectronic applications which exploit the Ge-like band structure of Ge-rich SiGe. (paper)

  8. Homogeneity of Ge-rich nanostructures as characterized by chemical etching and transmission electron microscopy.

    Science.gov (United States)

    Bollani, Monica; Chrastina, Daniel; Montuori, Valeria; Terziotti, Daniela; Bonera, Emiliano; Vanacore, Giovanni M; Tagliaferri, Alberto; Sordan, Roman; Spinella, Corrado; Nicotra, Giuseppe

    2012-02-03

    The extension of SiGe technology towards new electronic and optoelectronic applications on the Si platform requires that Ge-rich nanostructures be obtained in a well-controlled manner. Ge deposition on Si substrates usually creates SiGe nanostructures with relatively low and inhomogeneous Ge content. We have realized SiGe nanostructures with a very high (up to 90%) Ge content. Using substrate patterning, a regular array of nanostructures is obtained. We report that electron microscopy reveals an abrupt change in Ge content of about 20% between the filled pit and the island, which has not been observed in other Ge island systems. Dislocations are mainly found within the filled pit and only rarely in the island. Selective chemical etching and electron energy-loss spectroscopy reveal that the island itself is homogeneous. These Ge-rich islands are possible candidates for electronic applications requiring locally induced stress, and optoelectronic applications which exploit the Ge-like band structure of Ge-rich SiGe.

  9. Current problems in chemical track etching

    International Nuclear Information System (INIS)

    Somogyi, G.

    1984-01-01

    A schematic survey is given on the current relevant problems of the etching (or revelation) of multi-track and single-track events in dielectric solids. Some aspects of the research trends and possible new applications of the effects observable here, are also considered. (author)

  10. Enhanced Etching, Surface Damage Recovery, and Submicron Patterning of Hybrid Perovskites using a Chemically Gas-Assisted Focused-Ion Beam for Subwavelength Grating Photonic Applications

    KAUST Repository

    Alias, Mohd Sharizal; Yang, Yang; Ng, Tien Khee; Dursun, Ibrahim; Shi, Dong; Saidaminov, Makhsud I.; Priante, Davide; Bakr, Osman; Ooi, Boon S.

    2015-01-01

    is challenging, particularly for patterning. Here, we report the direct patterning of perovskites using chemically gas-assisted focused-ion beam (GAFIB) etching with XeF2 and I2 precursors. We demonstrate etching enhancement in addition to controllability

  11. Dependence of wet etch rate on deposition, annealing conditions and etchants for PECVD silicon nitride film

    International Nuclear Information System (INIS)

    Tang Longjuan; Zhu Yinfang; Yang Jinling; Li Yan; Zhou Wei; Xie Jing; Liu Yunfei; Yang Fuhua

    2009-01-01

    The influence of deposition, annealing conditions, and etchants on the wet etch rate of plasma enhanced chemical vapor deposition (PECVD) silicon nitride thin film is studied. The deposition source gas flow rate and annealing temperature were varied to decrease the etch rate of SiN x :H by HF solution. A low etch rate was achieved by increasing the SiH 4 gas flow rate or annealing temperature, or decreasing the NH 3 and N2 gas flow rate. Concentrated, buffered, and dilute hydrofluoric acid were utilized as etchants for SiO 2 and SiN x :H. A high etching selectivity of SiO 2 over SiN x :H was obtained using highly concentrated buffered HF.

  12. Fabrication of micropillar substrates using replicas of alpha-particle irradiated and chemically etched PADC films

    International Nuclear Information System (INIS)

    Ng, C.K.M.; Chong, E.Y.W.; Roy, V.A.L.; Cheung, K.M.C.; Yeung, K.W.K.; Yu, K.N.

    2012-01-01

    We proposed a simple method to fabricate micropillar substrates. Polyallyldiglycol carbonate (PADC) films were irradiated by alpha particles and then chemically etched to form a cast with micron-scale spherical pores. A polydimethylsiloxane (PDMS) replica of this PADC film gave a micropillar substrate with micron-scale spherical pillars. HeLa cells cultured on such a micropillar substrate had significantly larger percentage of cells entering S-phase, attached cell numbers and cell spreading areas. - Highlights: ► We proposed a simple method to fabricate micropillar substrates. ► Polyallyldiglycol carbonate films were irradiated and etched to form casts. ► Polydimethylsiloxane replica then formed the micropillar substrates. ► Attachment and proliferation of HeLa cells were enhanced on these substrates.

  13. Characterization of selectively etched halloysite nanotubes by acid treatment

    Science.gov (United States)

    Garcia-Garcia, Daniel; Ferri, Jose M.; Ripoll, Laura; Hidalgo, Montserrat; Lopez-Martinez, Juan; Balart, Rafael

    2017-11-01

    Halloysite nanotubes (HNTs) are a type of naturally occurring inorganic nanotubes that are characterized by a different composition between their external and internal walls. The internal walls are mainly composed of alumina whilst external walls are composed of silica. This particular structure offers a dual surface chemistry that allows different selective surface treatments which can be focused on increasing the lumen, increasing porosity, etc. In this work, HNTs were chemically treated with different acids (sulphuric, acetic and acrylic acid), for 72 h at a constant temperature of 50 °C. As per the obtained results, the treatment with sulphuric acid is highly aggressive and the particular shape of HNTs is almost lost, with a remarkable increase in porosity. The BET surface area increases from 52.9 (untreated HNTs) up to 132.4 m2 g-1 with sulphuric acid treatment, thus showing an interesting potential in the field of catalysis. On the other hand, the treatment with acetic acid led to milder effects with a noticeable increase in the lumen diameter that changed from 13.8 nm (untreated HNTs) up to 18.4 nm which the subsequent increase in the loading capacity by 77.8%. The aluminium content was measured by X-ray fluorescence (XRF) and laser induced breakdown spectroscopy (LIBS). The final results using two systems, suggest a good correlation between the acid strength and the aluminium reduction. Consequently, is possible to conclude that new applications for HNTs can be derived from selective etching with acids. Sulphuric acid widens the potential of HNTs in the field of catalysis while weak acids such as acetic and acrylic acids give a controlled and homogeneous lumen increase with the corresponding increase in the loading capacity.

  14. Rapid prototyping of 2D glass microfluidic devices based on femtosecond laser assisted selective etching process

    Science.gov (United States)

    Kim, Sung-Il; Kim, Jeongtae; Koo, Chiwan; Joung, Yeun-Ho; Choi, Jiyeon

    2018-02-01

    Microfluidics technology which deals with small liquid samples and reagents within micro-scale channels has been widely applied in various aspects of biological, chemical, and life-scientific research. For fabricating microfluidic devices, a silicon-based polymer, PDMS (Polydimethylsiloxane), is widely used in soft lithography, but it has several drawbacks for microfluidic applications. Glass has many advantages over PDMS due to its excellent optical, chemical, and mechanical properties. However, difficulties in fabrication of glass microfluidic devices that requires multiple skilled steps such as MEMS technology taking several hours to days, impedes broad application of glass based devices. Here, we demonstrate a rapid and optical prototyping of a glass microfluidic device by using femtosecond laser assisted selective etching (LASE) and femtosecond laser welding. A microfluidic droplet generator was fabricated as a demonstration of a microfluidic device using our proposed prototyping. The fabrication time of a single glass chip containing few centimeter long and complex-shaped microfluidic channels was drastically reduced in an hour with the proposed laser based rapid and simple glass micromachining and hermetic packaging technique.

  15. Localized etching of polymer films using an atmospheric pressure air microplasma jet

    International Nuclear Information System (INIS)

    Guo, Honglei; Liu, Jingquan; Yang, Bin; Chen, Xiang; Yang, Chunsheng

    2015-01-01

    A direct-write process device based on the atmospheric pressure air microplasma jet (AμPJ) has been developed for the localized etching of polymer films. The plasma was generated by the air discharge ejected out through a tip-nozzle (inner diameter of 100 μm), forming the microplasma jet. The AμPJ was capable of reacting with the polymer surface since it contains a high concentration of oxygen reactive species and thus resulted in the selective removal of polymer films. The experimental results demonstrated that the AμPJ could fabricate different microstructures on a parylene-C film without using any masks or causing any heat damage. The etch rate of parylene-C reached 5.1 μm min −1 and microstructures of different depth and width could also be realized by controlling two process parameters, namely, the etching time and the distance between the nozzle and the substrate. In addition, combining XPS analysis and oxygen-induced chemical etching principles, the potential etching mechanism of parylene-C by the AμPJ was investigated. Aside from the etching of parylene-C, micro-holes on the photoresist and polyimide film were successfully created by the AμPJ. In summary, maskless pattern etching of polymer films could be achieved using this AμPJ. (paper)

  16. Plasma etching: Yesterday, today, and tomorrow

    Energy Technology Data Exchange (ETDEWEB)

    Donnelly, Vincent M.; Kornblit, Avinoam [Department of Chemical and Biomolecular Engineering, University of Houston, Houston, Texas 77204 (United States)

    2013-09-15

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices.

  17. Plasma etching: Yesterday, today, and tomorrow

    International Nuclear Information System (INIS)

    Donnelly, Vincent M.; Kornblit, Avinoam

    2013-01-01

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices

  18. Acid-catalyzed kinetics of indium tin oxide etching

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Jae-Hyeok; Kim, Seong-Oh; Hilton, Diana L. [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); Cho, Nam-Joon, E-mail: njcho@ntu.edu.sg [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); School of Chemical and Biomedical Engineering, Nanyang Technological University, 62 Nanyang Drive, 637459 (Singapore)

    2014-08-28

    We report the kinetic characterization of indium tin oxide (ITO) film etching by chemical treatment in acidic and basic electrolytes. It was observed that film etching increased under more acidic conditions, whereas basic conditions led to minimal etching on the time scale of the experiments. Quartz crystal microbalance was employed in order to track the reaction kinetics as a function of the concentration of hydrochloric acid and accordingly solution pH. Contact angle measurements and atomic force microscopy experiments determined that acid treatment increases surface hydrophilicity and porosity. X-ray photoelectron spectroscopy experiments identified that film etching is primarily caused by dissolution of indium species. A kinetic model was developed to explain the acid-catalyzed dissolution of ITO surfaces, and showed a logarithmic relationship between the rate of dissolution and the concentration of undisassociated hydrochloric acid molecules. Taken together, the findings presented in this work verify the acid-catalyzed kinetics of ITO film dissolution by chemical treatment, and support that the corresponding chemical reactions should be accounted for in ITO film processing applications. - Highlights: • Acidic conditions promoted indium tin oxide (ITO) film etching via dissolution. • Logarithm of the dissolution rate depended linearly on the solution pH. • Acid treatment increased ITO surface hydrophilicity and porosity. • ITO film etching led to preferential dissolution of indium species over tin species.

  19. Synthesis of Platinum Nanotubes and Nanorings via Simultaneous Metal Alloying and Etching

    KAUST Repository

    Huang, Zhiqi

    2016-04-19

    Metallic nanotubes represent a class of hollow nanostructures with unique catalytic properties. However, the wet-chemical synthesis of metallic nanotubes remains a substantial challenge, especially for those with dimensions below 50 nm. This communication describes a simultaneous alloying-etching strategy for the synthesis of Pt nanotubes with open ends by selective etching Au core from coaxial Au/Pt nanorods. This approach can be extended for the preparation of Pt nanorings when Saturn-like Au core/Pt shell nanoparticles are used. The diameter and wall thickness of both nanotubes and nanorings can be readily controlled in the range of 14-37 nm and 2-32 nm, respectively. We further demonstrated that the nanotubes with ultrathin side walls showed superior catalytic performance in oxygen reduction reaction. © 2016 American Chemical Society.

  20. Preparation of fluorescent mesoporous hollow silica-fullerene nanoparticles via selective etching for combined chemotherapy and photodynamic therapy

    Science.gov (United States)

    Yang, Yannan; Yu, Meihua; Song, Hao; Wang, Yue; Yu, Chengzhong

    2015-07-01

    Well-dispersed mesoporous hollow silica-fullerene nanoparticles with particle sizes of ~50 nm have been successfully prepared by incorporating fullerene molecules into the silica framework followed by a selective etching method. The fabricated fluorescent silica-fullerene composite with high porosity demonstrates excellent performance in combined chemo/photodynamic therapy.Well-dispersed mesoporous hollow silica-fullerene nanoparticles with particle sizes of ~50 nm have been successfully prepared by incorporating fullerene molecules into the silica framework followed by a selective etching method. The fabricated fluorescent silica-fullerene composite with high porosity demonstrates excellent performance in combined chemo/photodynamic therapy. Electronic supplementary information (ESI) available. See DOI: 10.1039/c5nr02769a

  1. Real-Time Observation of Carbon Nanotube Etching Process Using Polarized Optical Microscope.

    Science.gov (United States)

    Zhao, Qiuchen; Yao, Fengrui; Wang, Zequn; Deng, Shibin; Tong, Lianming; Liu, Kaihui; Zhang, Jin

    2017-08-01

    Controllable synthesis of carbon nanotubes (CNTs) is of great importance in its further application, which attracts broad attention. As growth and etching are the two sides in the process of material crystallography and the control of the competition between them forms the foundation for modern technology of materials design and manufacture, the understanding on etching process of carbon nanotubes is still very unclear because technically it is of great challenge to characterize the dynamics in such small one-dimensional (1D) scale. Here the real-time investigation on the etching process of CNTs is reported, by the hot-wall chemical reactor equipped with a polarized optical microscope. It is discovered that the CNT etching behavior in air is totally of random, including the etching sites, termination sites, and structure dependence. Combining with the dynamic simulation, it is revealed that the random behavior reflects the unique "self-termination" phenomenon. A structure-independent etching propagation barrier of 2.4 eV is also obtained, which indicates that the etching propagation process still follows the conventional Kinetic Wulff construction theory. The results represent the new knowledge on the etching process in carbon nanotube and can contribute to its selective enrichment. Furthermore, the "self-termination" phenomenon may be a universal behavior in 1D process. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Applications for Track-etched Templates and Films

    International Nuclear Information System (INIS)

    Ferain, E.

    2006-01-01

    Track etching technology of first generation is mainly used for the production of self-supported membranes made of polycarbonate (PC) or polyethylene terephthalate (PET) with randomly distributed pores. Typical membrane thickness is between 10 and 20 microns and pore size is in the range 0,1 μm to 10 μm. Second generation track etching technology overcomes many of limitations and offers new advantages : true nanopores down to 10 nm with well-controlled pore shape in a large range of pore densities, use of polymer (polyimide-PI) resistant to high temperature (up to 430 degree), ability to track etch a thin polymeric layer deposited on a substrate (such as glass, quartz, silicon, oxides, ...) and ability to confine nanopores into zones as small as 10 micron square (patterning process). This second generation technology, when applied to larger pore size, also contributes to a better membrane with potential benefits as e.g. a more precise cut-off. Another feature of the second generation technology is the patterning of the polymer layers - i.e. the nanopores can be grown in defined areas of the polymer layers. Smart membranes are used as separation barriers and flow controllers in devices such as chemical and biochemical sensors and analysers (lab on a chip, microtitre plates, ...). For example, a specific track etched membrane has been designed to be used as a selective separation barrier in a project intends to develop, improve and validate an efficient reliable bioartificial pancreas for human application. A variety of materials (metals, semiconductors, oxides, heterostructures) can be deposited into the pores as nanowires or nanotubes; these structures can be produced with over wide range of aspect ratios with excellent shape control, and can be either used in-situ or easily harvested by simple chemical dissolution

  3. Studies of biocompatibility of chemically etched CR-39 SSNTDs in view of their applications in alpha-particle radiobiological experiments

    International Nuclear Information System (INIS)

    Li, W.Y.; Chan, K.F.; Tse, A.K.W.; Fong, W.F.; Yu, K.N.

    2006-01-01

    Alpha-particle radiobiological experiments involve irradiating cells with alpha particles and require thin biocompatible materials which can record alpha-particle traversals as substrates for cell cultures. The biocompatibilities of chemically etched CR-39 solid-state nuclear track detectors (SSNTDs) using aqueous NaOH or NaOH/ehtanol are studied through the abundance and morphology of the cultured HeLa cells. The wetting properties of these etched CR-39 SSNTDs are also studied. The moderately hydrophobic CR-39 SSNTDs as well as the hydrophobic NaOH/ethanol-etched CR-39 SSNTDs are more biocompatible than the hydrophilic aqueous-NaOH-etched SSNTDs. Too small water contact angles, too large surface energy (γ s ) or the polar component γ s p do not favor the cell culture. On the other hand, the dispersive component γ s d of the surface energy and the ratio γ s p /γ s d do not seem to significantly affect the biocompatibility

  4. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1980-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotropic and anisotropic bulk etching as well as for thick and thin detectors. It is summarized how one can calculate the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, track profile and track contour. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (orig.)

  5. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1979-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotopic and unisotropic bulk etching as well as for thick and thin detectors. It is summarized how the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, the track profile and the track contour can be calculated. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (author)

  6. Fabrication of micropillar substrates using replicas of alpha-particle irradiated and chemically etched PADC films

    Energy Technology Data Exchange (ETDEWEB)

    Ng, C.K.M. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon Tong (Hong Kong); Chong, E.Y.W. [Department of Orthopaedics and Traumatology, University of Hong Kong (Hong Kong); Roy, V.A.L. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon Tong (Hong Kong); Cheung, K.M.C.; Yeung, K.W.K. [Department of Orthopaedics and Traumatology, University of Hong Kong (Hong Kong); Yu, K.N., E-mail: appetery@cityu.edu.hk [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon Tong (Hong Kong)

    2012-07-15

    We proposed a simple method to fabricate micropillar substrates. Polyallyldiglycol carbonate (PADC) films were irradiated by alpha particles and then chemically etched to form a cast with micron-scale spherical pores. A polydimethylsiloxane (PDMS) replica of this PADC film gave a micropillar substrate with micron-scale spherical pillars. HeLa cells cultured on such a micropillar substrate had significantly larger percentage of cells entering S-phase, attached cell numbers and cell spreading areas. - Highlights: Black-Right-Pointing-Pointer We proposed a simple method to fabricate micropillar substrates. Black-Right-Pointing-Pointer Polyallyldiglycol carbonate films were irradiated and etched to form casts. Black-Right-Pointing-Pointer Polydimethylsiloxane replica then formed the micropillar substrates. Black-Right-Pointing-Pointer Attachment and proliferation of HeLa cells were enhanced on these substrates.

  7. Determination of etching parameters for pulsed XeF2 etching of silicon using chamber pressure data

    Science.gov (United States)

    Sarkar, Dipta; Baboly, M. G.; Elahi, M. M.; Abbas, K.; Butner, J.; Piñon, D.; Ward, T. L.; Hieber, Tyler; Schuberth, Austin; Leseman, Z. C.

    2018-04-01

    A technique is presented for determination of the depletion of the etchant, etched depth, and instantaneous etch rate for Si etching with XeF2 in a pulsed etching system in real time. The only experimental data required is the pressure data collected temporally. Coupling the pressure data with the knowledge of the chemical reactions allows for the determination of the etching parameters of interest. Using this technique, it is revealed that pulsed etching processes are nonlinear, with the initial etch rate being the highest and monotonically decreasing as the etchant is depleted. With the pulsed etching system introduced in this paper, the highest instantaneous etch rate of silicon was recorded to be 19.5 µm min-1 for an initial pressure of 1.2 Torr for XeF2. Additionally, the same data is used to determine the rate constant for the reaction of XeF2 with Si; the reaction is determined to be second order in nature. The effect of varying the exposed surface area of Si as well as the effect that pressure has on the instantaneous etch rate as a function of time is shown applying the same technique. As a proof of concept, an AlN resonator is released using XeF2 pulses to remove a sacrificial poly-Si layer.

  8. Effect of additive gases and injection methods on chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F2 remote plasmas

    International Nuclear Information System (INIS)

    Yun, Y. B.; Park, S. M.; Kim, D. J.; Lee, N.-E.; Kim, K. S.; Bae, G. H.

    2007-01-01

    The authors investigated the effects of various additive gases and different injection methods on the chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F 2 remote plasmas. N 2 and N 2 +O 2 gases in the F 2 /Ar/N 2 and F 2 /Ar/N 2 /O 2 remote plasmas effectively increased the etch rate of the layers. The addition of direct-injected NO gas increased the etch rates most significantly. NO radicals generated by the addition of N 2 and N 2 +O 2 or direct-injected NO molecules contributed to the effective removal of nitrogen and oxygen in the silicon nitride and oxide layers, by forming N 2 O and NO 2 by-products, respectively, and thereby enhancing SiF 4 formation. As a result of the effective removal of the oxygen, nitrogen, and silicon atoms in the layers, the chemical dry etch rates were enhanced significantly. The process regime for the etch rate enhancement of the layers was extended at elevated temperature

  9. Silver ion mediated shape control of platinum nanoparticles: Removal of silver by selective etching leads to increased catalytic activity

    Energy Technology Data Exchange (ETDEWEB)

    Grass, Michael E.; Yue, Yao; Habas, Susan E.; Rioux, Robert M.; Teall, Chelsea I.; Somorjai, G.A.

    2008-01-09

    A procedure has been developed for the selective etching of Ag from Pt nanoparticles of well-defined shape, resulting in the formation of elementally-pure Pt cubes, cuboctahedra, or octahedra, with a largest vertex-to-vertex distance of {approx}9.5 nm from Ag-modified Pt nanoparticles. A nitric acid etching process was applied Pt nanoparticles supported on mesoporous silica, as well as nanoparticles dispersed in aqueous solution. The characterization of the silica-supported particles by XRD, TEM, and N{sub 2} adsorption measurements demonstrated that the structure of the nanoparticles and the mesoporous support remained conserved during etching in concentrated nitric acid. Both elemental analysis and ethylene hydrogenation indicated etching of Ag is only effective when [HNO{sub 3}] {ge} 7 M; below this concentration, the removal of Ag is only {approx}10%. Ethylene hydrogenation activity increased by four orders of magnitude after the etching of Pt octahedra that contained the highest fraction of silver. High-resolution transmission electron microscopy of the unsupported particles after etching demonstrated that etching does not alter the surface structure of the Pt nanoparticles. High [HNO{sub 3}] led to the decomposition of the capping agent, polyvinylpyrollidone (PVP); infrared spectroscopy confirmed that many decomposition products were present on the surface during etching, including carbon monoxide.

  10. Inductively coupled plasma etching of III-V antimonides in BCl3/SiCl4 etch chemistry

    International Nuclear Information System (INIS)

    Swaminathan, K.; Janardhanan, P.E.; Sulima, O.V.

    2008-01-01

    Inductively coupled plasma etching of GaSb using BCl 3 /SiCl 4 etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved (∼ 4 μm/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth ∼ 90 μm. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range

  11. Synthesis of nanocrystals in KNb(Ge,Si)O5 glasses and chemical etching of nanocrystallized glass fibers

    International Nuclear Information System (INIS)

    Enomoto, Itaru; Benino, Yasuhiko; Fujiwara, Takumi; Komatsu, Takayuki

    2006-01-01

    The nanocrystallization behavior of 25K 2 O-25Nb 2 O 5 -(50-x)GeO 2 -xSiO 2 glasses with x=0,25,and50 (i.e., KNb(Ge,Si)O 5 glasses) and the chemical etching behavior of transparent nanocrystallized glass fibers have been examined. All glasses show nanocrystallization, and the degree of transparency of the glasses studied depends on the heat treatment temperature. Transparent nanocrystallized glasses can be obtained if the glasses are heat treated at the first crystallization peak temperature. Transparent nanocrystallized glass fibers with a diameter of about 100μm in 25K 2 O-25Nb 2 O 5 -50GeO 2 are fabricated, and fibers with sharpened tips (e.g., the taper length is about 450μm and the tip angle is about 12 o ) are obtained using a meniscus chemical etching method, in which etching solutions of 10wt%-HF/hexane and 10M-NaOH/hexane are used. Although the tip (aperture size) has not a nanoscaled size, the present study suggests that KNb(Ge,Si)O 5 nanocrystallized glass fibers have a potential for new near-field optical fiber probes with high refractive indices of around n=1.8 and high dielectric constants of around ε=58 (1kHz, room temperature)

  12. STUDYING THE STRUCTURAL, OPTICAL, CHEMICAL AND ELECTROCHEMICAL ETCHING CHANGES OF CR-39 FOR DOSEMETRIC APPLICATIONS.

    Science.gov (United States)

    Zaki, M F; Elshaer, Y H; Taha, Doaa H

    2017-12-01

    The present work shows the induced modification of the structural, optical, chemical etching and electrochemical etching parameters of CR-39 irradiated with alpha-particles. CR-39 polymer track detectors were irradiated with different fluences (1.62 × 106, 2.72 × 106, 3.82 × 106 and 5.21 × 106 particles/cm2) of alpha-particles using 241Am source. The structural and optical properties were measured by FT-IR spectroscopy, X-ray diffraction and UV/Vis spectroscopy, respectively. The FT-IR spectra reveal that no major changes in the typical functional groups of irradiated polymer detectors. The X-ray diffraction patterns show that a broad band in the region of 12° 27°, which refers to the presence of the combination of amorphous and crystalline phases. UV/Vis responses of irradiated polymer track detectors exhibit a single absorption band in the range of 254-352 nm that is correlated to the occurrence of electronic transition. Also, the changes in the chemical and electrochemical parameters due to alpha-irradiation are examined and thoroughly discussed. © The Author 2017. Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  13. Passivation of mechanically polished, chemically etched and anodized zirconium in various aqueous solutions: Impedance measurements

    International Nuclear Information System (INIS)

    Abo-Elenien, G.M.; Abdel-Salam, O.E.

    1987-01-01

    Zirconium and its alloys are finding increasing applications especially in water-cooled nuclear reactors. Because of the fact that zirconium is electronegative (E 0 = -1.529V) its corrosion resistance in aqueous solutions is largely determined by the existence of a thin oxide film on its surface. The structure and properties of this film depend in the first place on the method of surface pre-treatment. This paper presents an experimental study of the nature of the oxide film on mechanically polished, chemically etched and anodized zirconium. Ac impedance measurements carried out in various acidic, neutral and alkaline solutions show that the film thickness depends on the method of surface pre-treatment and the type of electrolyte solution. The variation of the potential and impedance during anodization of zirconium at low current density indicates that the initial stages of polarization consist of oxide build-up at a rate dependent on the nature of the electrode surface and the electrolyte. Oxygen evolution commences at a stage where oxide thickening starts to decline. The effect of frequency on the measured impedance indicates that the surface reactivity, and hence the corrosion rate, decreases in the following order: mechanically polished > chemically etched > anodized

  14. Selective SiO2 etching in three dimensional structures using parylene-C as mask

    NARCIS (Netherlands)

    Veltkamp, Henk-Willem; Zhao, Yiyuan; de Boer, Meint J.; Wiegerink, Remco J.; Lötters, Joost Conrad

    2017-01-01

    This abstract describes an application of an easy and straightforward method for selective SiO2 etching in three dimensional structures, which is developed by our group. The application in this abstract is the protection of the buried-oxide (BOX) layer of a silicon-on-insulator (SOI) wafer against

  15. High-Performance Black Multicrystalline Silicon Solar Cells by a Highly Simplified Metal-Catalyzed Chemical Etching Method

    KAUST Repository

    Ying, Zhiqin

    2016-05-20

    A wet-chemical surface texturing technique, including a two-step metal-catalyzed chemical etching (MCCE) and an extra alkaline treatment, has been proven as an efficient way to fabricate high-efficiency black multicrystalline (mc) silicon solar cells, whereas it is limited by the production capacity and the cost cutting due to the complicated process. Here, we demonstrated that with careful control of the composition in etching solution, low-aspect-ratio bowl-like nanostructures with atomically smooth surfaces could be directly achieved by improved one-step MCCE and with no posttreatment, like alkali solution. The doublet surface texture of implementing this nanobowl structure upon the industrialized acidic-textured surface showed concurrent improvement in optical and electrical properties for realizing 18.23% efficiency mc-Si solar cells (156 mm × 156 mm), which is sufficiently higher than 17.7% of the solely acidic-textured cells in the same batch. The one-step MCCE method demonstrated in this study may provide a cost-effective way to manufacture high-performance mc-Si solar cells for the present photovoltaic industry. © 2016 IEEE.

  16. High-density plasma etching characteristics of indium-gallium-zinc oxide thin films in CF4/Ar plasma

    International Nuclear Information System (INIS)

    Joo, Young-Hee; Kim, Chang-Il

    2015-01-01

    We investigated the etching process of indium-gallium-zinc oxide (IGZO) thin films in an inductively coupled plasma system. The dry etching characteristics of the IGZO thin films were studied by varying the CF 4 /Ar gas mixing ratio, RF power, DC-bias voltage, and process pressure. We determined the following optimized process conditions: an RF power of 700 W, a DC-bias voltage of − 150 V, and a process pressure of 2 Pa. A maximum etch rate of 25.63 nm/min for the IGZO thin films was achieved in a plasma with CF 4 /Ar(= 25:75), and the selectivity of IGZO to Al and TiN was found to be 1.3 and 0.7, respectively. We determined the ionic composition of the CF 4 /Ar plasma using optical emission spectroscopy. Analysis of chemical reactions at the IGZO thin film surfaces was performed using X-ray photoelectron spectroscopy. - Highlights: • IGZO thin film was etched by CF 4 /Ar plasma as a function of gas mixing ratio. • IGZO bonds were broken Ar + sputtering and then reacted with the C-F x radicals. • The physical sputtering is dominant in etch control compared with chemical etching

  17. Functionalization of nanochannels by radio-induced grafting polymerization on PET track-etched membranes

    International Nuclear Information System (INIS)

    Soto Espinoza, S.L.; Arbeitman, C.R.; Clochard, M.C.; Grasselli, M.

    2014-01-01

    The application of swift-heavy ion bombardment to polymers is a well-established technique to manufacture micro- and nanopores onto polymeric films to obtain porous membranes. A few years ago, it was realized that, during ion bombardment, the high energy deposition along the ion path through the polymer reached cylindrical damage regions corresponding to the core trace and the penumbra. After the etching procedure, there are still enough active sites left in the penumbra that can be used to initiate a polymerization process selectively inside the membrane pores. In this study, we report the grafting polymerization of glycidyl methacrylate onto etched PET foils to obtain functionalized nanochannels. Grafted polymers were labeled with a fluorescent tag and analyzed by different fluorescence techniques such as direct fluorescence, fluorescence microscopy and confocal microscopy. These techniques allowed identifying and quantifying the grafted regions on the polymeric foils. - Highlights: • Irradiated PET foils with swift-heavy ions were etched and grafted in a step-by-step process. • Grafting polymerization was performed on the remaining active sites after etching. • Track-etched PET membranes were fluorescently labeled by chemical functionalization. • Functionalized track-etched PET membranes were analyzed by fluorescence and confocal microscopy

  18. Characterization of etch pit formation via the Everson-etching method on CdZnTe crystal surfaces from the bulk to the nanoscale

    International Nuclear Information System (INIS)

    Teague, Lucile C.; Duff, Martine C.; Cadieux, James R.; Soundararajan, Raji; Shick, Charles R.; Lynn, Kelvin G.

    2011-01-01

    A combination of atomic force microscopy, optical microscopy, and mass spectrometry was employed to study CdZnTe crystal surface and used etchant solution following exposure of the CdZnTe crystal to the Everson etch solution. We discuss the results of these studies in relationship to the initial surface preparation methods, the performance of the crystals as radiation spectrometers, the observed etch pit densities, and the chemical mechanism of surface etching. Our results show that the surface features that are exposed to etchants result from interactions with the chemical components of the etchants as well as pre-existing mechanical polishing.

  19. Nanorods on surface of GaN-based thin-film LEDs deposited by post-annealing after photo-assisted chemical etching

    Science.gov (United States)

    Chen, Lung-Chien; Lin, Wun-Wei; Liu, Te-Yu

    2017-01-01

    This study investigates the optoelectronic characteristics of gallium nitride (GaN)-based thin-film light-emitting diodes (TF-LEDs) that are formed by a two-step transfer process that involves wet etching and post-annealing. In the two-step transfer process, GaN LEDs were stripped from sapphire substrates by the laser lift-off (LLO) method using a KrF laser and then transferred onto ceramic substrates. Ga-K nanorods were formed on the surface of the GaN-based TF-LEDs following photo-assisted chemical etching and photo-enhanced post-annealing at 100 °C for 1 min. As a result, the light output power of GaN-based TF-LEDs with wet etching and post-annealing was over 72% more than that of LEDs that did not undergo these treatments.

  20. Crystallographic dependent in-situ CBr4 selective nano-area etching and local regrowth of InP/InGaAs by MOVPE

    DEFF Research Database (Denmark)

    Kuznetsova, Nadezda; Kulkova, Irina; Semenova, Elizaveta

    2014-01-01

    Selective area etching and growth in the metalorganic vapor phase epitaxy (MOVPE) reactor on nano-scale structures have been examined. Using different mask orientations, crystallographic dependent etching of InP can be observed when carbon tetrabromide (CBr4) is used as an etchant. Scanning...

  1. Fabrication and Photovoltaic Characteristics of Coaxial Silicon Nanowire Solar Cells Prepared by Wet Chemical Etching

    Directory of Open Access Journals (Sweden)

    Chien-Wei Liu

    2012-01-01

    Full Text Available Nanostructured solar cells with coaxial p-n junction structures have strong potential to enhance the performances of the silicon-based solar cells. This study demonstrates a radial junction silicon nanowire (RJSNW solar cell that was fabricated simply and at low cost using wet chemical etching. Experimental results reveal that the reflectance of the silicon nanowires (SNWs declines as their length increases. The excellent light trapping was mainly associated with high aspect ratio of the SNW arrays. A conversion efficiency of ∼7.1% and an external quantum efficiency of ∼64.6% at 700 nm were demonstrated. Control of etching time and diffusion conditions holds great promise for the development of future RJSNW solar cells. Improving the electrode/RJSNW contact will promote the collection of carries in coaxial core-shell SNW array solar cells.

  2. Cyclic etching of tin-doped indium oxide using hydrogen-induced modified layer

    Science.gov (United States)

    Hirata, Akiko; Fukasawa, Masanaga; Nagahata, Kazunori; Li, Hu; Karahashi, Kazuhiro; Hamaguchi, Satoshi; Tatsumi, Tetsuya

    2018-06-01

    The rate of etching of tin-doped indium oxide (ITO) and the effects of a hydrogen-induced modified layer on cyclic, multistep thin-layer etching were investigated. It was found that ITO cyclic etching is possible by precisely controlling the hydrogen-induced modified layer. Highly selective etching of ITO/SiO2 was also investigated, and it was suggested that cyclic etching by selective surface adsorption of Si can precisely control the etch rates of ITO and SiO2, resulting in an almost infinite selectivity for ITO over SiO2 and in improved profile controllability.

  3. Chemical Etching, AFM, Laser Damage Threshold, and Nonlinear Optical Studies of Potential Nonlinear Optical Crystal: Bis (L-Glutamine Potassium Nitrate

    Directory of Open Access Journals (Sweden)

    Redrothu Hanumantharao

    2013-01-01

    Full Text Available A novel semiorganic nonlinear optical crystal bis (L-glutamine potassium nitrate (BGPN grown by slow evaporation technique at ambient temperature. The grown crystal surface has been analyzed by chemical etching and atomic force microscopy (AFM studies. Amplitude parameters like area roughness, roughness average, valley height, valley depth, peak height, and peak valley height were measured successfully from AFM studies. Etching studies were carried out by various solvents like water, methanol and ethanol. The etching study indicates the occurrence of different types of etch pit patterns like striations and steplike pattern. The laser damage threshold energy has been measured by irradiating laser beam using a Q-switched Nd: YAG laser (1064 nm. Second harmonic generation (SHG studies have been performed by famous Kurtz powder technique with reference to standard potassium dihydrogen phosphate single crystals (KDP. It is found from this technique that SHG efficiency of BGPN is in comparison to that of standard KDP crystals.

  4. High-density plasma etching characteristics of indium-gallium-zinc oxide thin films in CF{sub 4}/Ar plasma

    Energy Technology Data Exchange (ETDEWEB)

    Joo, Young-Hee; Kim, Chang-Il

    2015-05-29

    We investigated the etching process of indium-gallium-zinc oxide (IGZO) thin films in an inductively coupled plasma system. The dry etching characteristics of the IGZO thin films were studied by varying the CF{sub 4}/Ar gas mixing ratio, RF power, DC-bias voltage, and process pressure. We determined the following optimized process conditions: an RF power of 700 W, a DC-bias voltage of − 150 V, and a process pressure of 2 Pa. A maximum etch rate of 25.63 nm/min for the IGZO thin films was achieved in a plasma with CF{sub 4}/Ar(= 25:75), and the selectivity of IGZO to Al and TiN was found to be 1.3 and 0.7, respectively. We determined the ionic composition of the CF{sub 4}/Ar plasma using optical emission spectroscopy. Analysis of chemical reactions at the IGZO thin film surfaces was performed using X-ray photoelectron spectroscopy. - Highlights: • IGZO thin film was etched by CF{sub 4}/Ar plasma as a function of gas mixing ratio. • IGZO bonds were broken Ar{sup +} sputtering and then reacted with the C-F{sub x} radicals. • The physical sputtering is dominant in etch control compared with chemical etching.

  5. Comparison of damage introduced into GaN/AlGaN/GaN heterostructures using selective dry etch recipes

    International Nuclear Information System (INIS)

    Green, R T; Luxmoore, I J; Houston, P A; Ranalli, F; Wang, T; Parbrook, P J; Uren, M J; Wallis, D J; Martin, T

    2009-01-01

    A SiCl 4 /SF 6 dry etch plasma recipe is presented giving a selectivity of 14:1 between GaN and AlGaN. Using a leakage test structure, which enables bulk and surface leakage components to be identified independently, the optimized recipe is compared to an un-etched sample and devices recessed using a Cl 2 /Ar/O 2 -based plasma chemistry. Devices etched using the SiCl 4 /SF 6 recipe demonstrated reduced bulk and surface leakage currents when operated over a wide range of temperatures. Consequently the SiCl 4 /SF 6 recipe is identified as most suitable for the fabrication of gate recessed AlGaN/GaN HEMTs

  6. Highly selective etching of silicon nitride to physical-vapor-deposited a-C mask in dual-frequency capacitively coupled CH2F2/H2 plasmas

    International Nuclear Information System (INIS)

    Kim, J. S.; Kwon, B. S.; Heo, W.; Jung, C. R.; Park, J. S.; Shon, J. W.; Lee, N.-E.

    2010-01-01

    A multilevel resist (MLR) structure can be fabricated based on a very thin amorphous carbon (a-C) layer ( congruent with 80 nm) and Si 3 N 4 hard-mask layer ( congruent with 300 nm). The authors investigated the selective etching of the Si 3 N 4 layer using a physical-vapor-deposited (PVD) a-C mask in a dual-frequency superimposed capacitively coupled plasma etcher by varying the process parameters in the CH 2 F 2 /H 2 /Ar plasmas, viz., the etch gas flow ratio, high-frequency source power (P HF ), and low-frequency source power (P LF ). They found that under certain etch conditions they obtain infinitely high etch selectivities of the Si 3 N 4 layers to the PVD a-C on both the blanket and patterned wafers. The etch gas flow ratio played a critical role in determining the process window for infinitely high Si 3 N 4 /PVD a-C etch selectivity because of the change in the degree of polymerization. The etch results of a patterned ArF photoresisit/bottom antireflective coating/SiO x /PVD a-C/Si 3 N 4 MLR structure supported the idea of using a very thin PVD a-C layer as an etch-mask layer for the Si 3 N 4 hard-mask pattern with a pattern width of congruent with 80 nm and high aspect ratio of congruent with 5.

  7. Nuclear track evolution by capillary condensation during etching in SSNT detectors

    International Nuclear Information System (INIS)

    Martín-Landrove, R.; Sajo-Bohus, L.; Palacios, D.

    2013-01-01

    The microscopic process taking place during chemical etching is described in terms of a dynamic framework governed by capillary condensation. The aim is to obtain physical information on how the cone shaped tracks with curved walls evolve during chemical etching under a close examination of first principles. The results obtained with the proposed theory are compared with published values to establish their range of validity. - Highlights: ► Capillary condensation seems to play a role at early etched track evolution. ► The etched track shape and the first principles behind it are easily related. ► In spite of its simplicity, theory was able to pass stringent experimental tests. ► Theory results have a simple analytical form which includes etch induction time

  8. Chemical etching of a GaSb crystal incorporated with Mn grown by the Bridgman method under microgravity conditions

    International Nuclear Information System (INIS)

    Chen Xiaofeng; Chen Nuofu; Wu Jinliang; Zhang Xiulan; Chai Chunlin; Yu Yude

    2009-01-01

    A GaSb crystal incorporated with Mn has been grown by the Bridgman method on the Polizon facility onboard the FOTON-M3 spacecraft. Structural defects and growth striations have been successfully revealed by the chemical etching method. By calculating various parameters of the convection, the striation patterns can be explained, and the critical value of the Taylor number, which characterizes the convective condition of the rotating magnetic field induced azimuthal flow, was shown. The stresses generated during crystal growth can be reflected by the observations of etch pit distribution and other structural defects. Suggestions for improving the space experiment to improve the quality of the crystal are given. (semiconductor materials)

  9. Chemical etching of a GaSb crystal incorporated with Mn grown by the Bridgman method under microgravity conditions

    Energy Technology Data Exchange (ETDEWEB)

    Chen Xiaofeng; Chen Nuofu; Wu Jinliang; Zhang Xiulan; Chai Chunlin; Yu Yude, E-mail: xfchen@semi.ac.c, E-mail: nfchen@semi.ac.c [Key Laboratory of Semiconductor Materials and Devices, Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China)

    2009-08-15

    A GaSb crystal incorporated with Mn has been grown by the Bridgman method on the Polizon facility onboard the FOTON-M3 spacecraft. Structural defects and growth striations have been successfully revealed by the chemical etching method. By calculating various parameters of the convection, the striation patterns can be explained, and the critical value of the Taylor number, which characterizes the convective condition of the rotating magnetic field induced azimuthal flow, was shown. The stresses generated during crystal growth can be reflected by the observations of etch pit distribution and other structural defects. Suggestions for improving the space experiment to improve the quality of the crystal are given. (semiconductor materials)

  10. Wet-chemical etching of atom probe tips for artefact free analyses of nanoscaled semiconductor structures.

    Science.gov (United States)

    Melkonyan, D; Fleischmann, C; Veloso, A; Franquet, A; Bogdanowicz, J; Morris, R J H; Vandervorst, W

    2018-03-01

    We introduce an innovative specimen preparation method employing the selectivity of a wet-chemical etching step to improve data quality and success rates in the atom probe analysis of contemporary semiconductor devices. Firstly, on the example of an SiGe fin embedded in SiO 2 we demonstrate how the selective removal of SiO 2 from the final APT specimen significantly improves accuracy and reliability of the reconstructed data. With the oxide removal, we eliminate the origin of shape artefacts, i.e. the formation of a non-hemispherical tip shape, that are typically observed in the reconstructed volume of complex systems. Secondly, using the same approach, we increase success rates to ∼90% for the damage-free, 3D site-specific localization of short (250 nm), vertical Si nanowires at the specimen apex. The impact of the abrupt emitter radius change that is introduced by this specimen preparation method is evaluated as being minor using field evaporation simulation and comparison of different reconstruction schemes. The Ge content within the SiGe fin as well as the 3D boron distribution in the Si NW as resolved by atom probe analysis are in good agreement with TEM/EDS and ToF-SIMS analysis, respectively. Copyright © 2017 Elsevier B.V. All rights reserved.

  11. Selective Etching via Soft Lithography of Conductive Multilayered Gold Films with Analysis of Electrolyte Solutions

    Science.gov (United States)

    Gerber, Ralph W.; Oliver-Hoyo, Maria T.

    2008-01-01

    This experiment is designed to expose undergraduate students to the process of selective etching by using soft lithography and the resulting electrical properties of multilayered films fabricated via self-assembly of gold nanoparticles. Students fabricate a conductive film of gold on glass, apply a patterned resist using a polydimethylsiloxane…

  12. Dry etching technologies for reflective multilayer

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Kase, Yoshihisa; Yoshimori, Tomoaki; Muto, Makoto; Nonaka, Mikio; Iwami, Munenori

    2012-11-01

    We have developed a highly integrated methodology for patterning Extreme Ultraviolet (EUV) mask, which has been highlighted for the lithography technique at the 14nm half-pitch generation and beyond. The EUV mask is characterized as a reflective-type mask which is completely different compared with conventional transparent-type of photo mask. And it requires not only patterning of absorber layer without damaging the underlying multi reflective layers (40 Si/Mo layers) but also etching multi reflective layers. In this case, the dry etch process has generally faced technical challenges such as the difficulties in CD control, etch damage to quartz substrate and low selectivity to the mask resist. Shibaura Mechatronics ARESTM mask etch system and its optimized etch process has already achieved the maximal etch performance at patterning two-layered absorber. And in this study, our process technologies of multi reflective layers will be evaluated by means of optimal combination of process gases and our optimized plasma produced by certain source power and bias power. When our ARES™ is used for multilayer etching, the user can choose to etch the absorber layer at the same time or etch only the multilayer.

  13. Formation of Micro- and Nanostructures on the Nanotitanium Surface by Chemical Etching and Deposition of Titania Films by Atomic Layer Deposition (ALD

    Directory of Open Access Journals (Sweden)

    Denis V. Nazarov

    2015-12-01

    Full Text Available In this study, an integrated approach was used for the preparation of a nanotitanium-based bioactive material. The integrated approach included three methods: severe plastic deformation (SPD, chemical etching and atomic layer deposition (ALD. For the first time, it was experimentally shown that the nature of the etching medium (acidic or basic Piranha solutions and the etching time have a significant qualitative impact on the nanotitanium surface structure both at the nano- and microscale. The etched samples were coated with crystalline biocompatible TiO2 films with a thickness of 20 nm by Atomic Layer Deposition (ALD. Comparative study of the adhesive and spreading properties of human osteoblasts MG-63 has demonstrated that presence of nano- and microscale structures and crystalline titanium oxide on the surface of nanotitanium improve bioactive properties of the material.

  14. Comparative study of resist stabilization techniques for metal etch processing

    Science.gov (United States)

    Becker, Gerry; Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Livesay, William R.

    1999-06-01

    This study investigates resist stabilization techniques as they are applied to a metal etch application. The techniques that are compared are conventional deep-UV/thermal stabilization, or UV bake, and electron beam stabilization. The electron beam tool use din this study, an ElectronCure system from AlliedSignal Inc., ELectron Vision Group, utilizes a flood electron source and a non-thermal process. These stabilization techniques are compared with respect to a metal etch process. In this study, two types of resist are considered for stabilization and etch: a g/i-line resist, Shipley SPR-3012, and an advanced i-line, Shipley SPR 955- Cm. For each of these resist the effects of stabilization on resist features are evaluated by post-stabilization SEM analysis. Etch selectivity in all cases is evaluated by using a timed metal etch, and measuring resists remaining relative to total metal thickness etched. Etch selectivity is presented as a function of stabilization condition. Analyses of the effects of the type of stabilization on this method of selectivity measurement are also presented. SEM analysis was also performed on the features after a compete etch process, and is detailed as a function of stabilization condition. Post-etch cleaning is also an important factor impacted by pre-etch resist stabilization. Results of post- etch cleaning are presented for both stabilization methods. SEM inspection is also detailed for the metal features after resist removal processing.

  15. Inductively coupled plasma etching of III-V antimonides in BCl{sub 3}/SiCl{sub 4} etch chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Swaminathan, K. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)], E-mail: swaminak@ece.osu.edu; Janardhanan, P.E.; Sulima, O.V. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)

    2008-10-01

    Inductively coupled plasma etching of GaSb using BCl{sub 3}/SiCl{sub 4} etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved ({approx} 4 {mu}m/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth {approx} 90 {mu}m. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range.

  16. The memory characteristics of submicron feature-size PZT capacitors with PtOx top electrode by using dry-etching

    International Nuclear Information System (INIS)

    Huang, C.-K.; Wang, C.-C.; Wu, T.-B.

    2007-01-01

    Dry etching and its effect on the characteristics of submicron feature-size PbZr 1-x Ti x O 3 (PZT) capacitors with PtO x top electrode were investigated. The photoresist (PR)-masked PtO x films were etched by an Ar/(20%)Cl 2 /O 2 helicon wave plasma. A fence-free pattern with a significantly high etch rate and sidewall slope was obtained by the addition of O 2 into the etching gas mixture, due to the chemical instability of PtO x and the formation of a PtO 2 passivation layer to suppress redeposition of the etch by-products on the etched surface. The patterned PtO x electrode can be further used as a hard mask for etching the PZT film, subsequently, with the gas mixture of Ar, CF 4 and O 2 . A high etching rate of PZT and a good etching selectivity to PtO x can be obtained at 30% O 2 addition into the Ar/(50%)CF 4 plasma. The etched capacitors have a steep, 72 0 , sidewall angle with a clean surface. Moreover, the addition of O 2 into the etching gas can well preserve the properties and the fatigue endurance of PtO x /PZT capacitors

  17. III-Nitride Blue Laser Diode with Photoelectrochemically Etched Current Aperture

    Science.gov (United States)

    Megalini, Ludovico

    Group III-nitride is a remarkable material system to make highly efficient and high-power optoelectronics and electronic devices because of the unique electrical, physical, chemical and structural properties it offers. In particular, InGaN-based blue Laser Diodes (LDs) have been successfully employed in a variety of applications ranging from biomedical and military devices to scientific instrumentation and consumer electronics. Recently their use in highly efficient Solid State Lighting (SSL) has been proposed because of their superior beam quality and higher efficiency at high input power density. Tremendous advances in research of GaN semi-polar and non-polar crystallographic planes have led both LEDs and LDs grown on these non-basal planes to rival with, and with the promise to outperform, their equivalent c-plane counterparts. However, still many issues need to be addressed, both related to material growth and device fabrication, including a lack of conventional wet etching techniques. GaN and its alloys with InN and AlN have proven resistant essentially to all known standard wet etching techniques, and the predominant etching methods rely on chlorine-based dry etching (RIE). These introduce sub-surface damage which can degrade the electrical properties of the epitaxial structure and reduce the reliability and lifetime of the final device. Such reasons and the limited effectiveness of passivation techniques have so far suggested to etch the LD ridges before the active region, although it is well-known that this can badly affect the device performance, especially in narrow stripe width LDs, because the gain guiding obtained in the planar configuration is weak and the low index step and high lateral current leakage result in devices with threshold current density higher than devices whose ridge is etched beyond the active region. Moreover, undercut etching of III-nitride layers has proven even more challenging, with limitations in control of the lateral etch

  18. Etching properties of BLT films in CF4/Ar plasma

    International Nuclear Information System (INIS)

    Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il

    2003-01-01

    CF 4 /Ar plasma mass content and etching rate behavior of BLT thin films were investigated in inductively coupled plasma (ICP) reactor as functions of CF 4 /Ar gas mixing ratio, rf power, and dc bias voltage. The variation of relative volume densities for F and Ar atoms were measured by the optical emission spectroscopy (OES). The etching rate as functions of Ar content showed the maximum of 803 A/min at 80 % Ar addition into CF 4 plasma. The presence of maximum etch rate may be explained by the concurrence of two etching mechanisms such as physical sputtering and chemical reaction. The role of Ar ion bombardment includes destruction of metal (Bi, La, Ti)-O bonds as well as support of chemical reaction of metals with fluorine atoms

  19. Method of plastic track detector electrochemical etching

    International Nuclear Information System (INIS)

    D'yakov, A.A.

    1984-01-01

    The review of studies dealing with the development of the method for the electro-chemical etching (ECE) of the plastic track detectors on the base of polyethy-leneterephthalate (PET) and polycarbonate (PC) is given. Physical essence of the method, basic parameters of the processes, applied equipment and methods of measurement automation are considered. The advantages of the method over the traditional chemical etching are pointed out. Recommendations on the detector operation modes when detecting fission fragments, α-particles and fast neutrons are given. The ECE method is based on the condition that during chemical etching the high-voltage sound frequency alternating electric field is applied to the detector. In this case the detector serves as an isolating layer betWeen two vessels with etching solution in which high-voltage electrode are submerged. At a fixed electric field potential higher (over than the threshold value) at the end of the etching track cone atree-like discharge spot arises. It is shown that when PET is used for fast neutron detection it is advisable to apply for ECE the PEW solution (15g KOH+40 g C 2 H 2 OH + 45g H 2 O) the field potential should constitute 30 kVxcm -1 at the freqUency of 9 kHz. In the case of fission fragment detection Using ECE and PC the following ECE conditions are recommended: 30% KOH etcher, field potential of 10 kVxcm -1 , 2-4 kHz frequency. It is concluded that the ECE method permits considerably eXtend the sphere of plastic track detector application for detecting ionizing particles,

  20. Preparation of Chemically Etched Tips for Ambient Instructional Scanning Tunneling Microscopy

    Science.gov (United States)

    Zaccardi, Margot J.; Winkelmann, Kurt; Olson, Joel A.

    2010-01-01

    A first-year laboratory experiment that utilizes concepts of electrochemical tip etching for scanning tunneling microscopy (STM) is described. This experiment can be used in conjunction with any STM experiment. Students electrochemically etch gold STM tips using a time-efficient method, which can then be used in an instructional grade STM that…

  1. The etching of InP in HCl solutions : a chemical mechanism

    NARCIS (Netherlands)

    Notten, P.H.L.

    1984-01-01

    The etch rate of InP in solutions of high HCl concentration was shown to be independent of the applied potential ina wide potential range negative with respect to the flatband value. Dissolution of the solid led to the formation of PH3.The etch rate, which was not mass-transport controlled, was

  2. Effects of gas-flow structures on radical and etch-product density distributions on wafers in magnetomicrowave plasma etching reactors

    International Nuclear Information System (INIS)

    Ikegawa, Masato; Kobayashi, Jun'ichi; Fukuyama, Ryoji

    2001-01-01

    To achieve high etch rate, uniformity, good selectivity, and etch profile control across large diameter wafers, the distributions of ions, radicals, and etch products in magnetomicrowave high-etch-rate plasma etching reactors must be accurately controlled. In this work the effects of chamber heights, a focus ring around the wafer, and gas supply structures (or gas flow structures) on the radicals and etch products flux distribution onto the wafer were examined using the direct simulation Monte Carlo method and used to determine the optimal reactor geometry. The pressure uniformity on the wafer was less than ±1% when the chamber height was taller than 60 mm. The focus ring around the wafer produced uniform radical and etch-product fluxes but increased the etch-product flux on the wafer. A downward-flow gas-supply structure (type II) produced a more uniform radical distribution than that produced by a radial gas-supply structure (type I). The impact flow of the type II structure removed etch products from the wafer effectively and produced a uniform etch-product distribution even without the focus ring. Thus the downward-flow gas-supply structure (type II) was adopted in the design for the second-generation of a magnetomicrowave plasma etching reactor with a higher etching rate

  3. Low-frequency magnetization processes in chemically etched Co-based amorphous ribbons

    International Nuclear Information System (INIS)

    Betancourt, I.; Martinez, L.A.; Valenzuela, R.

    2005-01-01

    In this report we present a study of the magnetization processes for Co-based amorphous ribbons at low frequencies (10 Hz-13 MHz) as a function of decreasing thicknesses attained by chemical etching. Reversible domain-wall bulging, characterized by initial permeability and relaxation frequency, was monitored by means of inductance measurements. The real part of inductance (proportional to initial permeability) exhibited a decreasing trend with diminishing ribbon thickness, together with an increasing tendency for the relaxation frequency. For high amplitude of the ac field (leading to domain-wall unpinning), reduced ribbon thickness showed a deleterious-enhancement effect on irreversible domain-wall displacement, which was observed for both real and imaginary inductance spectroscopic plots. Results are interpreted in terms of reduced domain-wall pinning distances resulting from thinner alloy samples

  4. Dry etch challenges for CD shrinkage in memory process

    Science.gov (United States)

    Matsushita, Takaya; Matsumoto, Takanori; Mukai, Hidefumi; Kyoh, Suigen; Hashimoto, Kohji

    2015-03-01

    Line pattern collapse attracts attention as a new problem of the L&S formation in sub-20nm H.P feature. Line pattern collapse that occurs in a slight non-uniformity of adjacent CD (Critical dimension) space using double patterning process has been studied with focus on micro-loading effect in Si etching. Bias RF pulsing plasma etching process using low duty cycle helped increase of selectivity Si to SiO2. In addition to the effect of Bias RF pulsing process, the thin mask obtained from improvement of selectivity has greatly suppressed micro-loading in Si etching. However it was found that micro-loading effect worsen again in sub-20nm space width. It has been confirmed that by using cycle etch process to remove deposition with CFx based etching micro-loading effect could be suppressed. Finally, Si etching process condition using combination of results above could provide finer line and space without "line pattern collapse" in sub-20nm.

  5. Influence of the doping level on the porosity of silicon nanowires prepared by metal-assisted chemical etching

    International Nuclear Information System (INIS)

    Geyer, Nadine; Wollschläger, Nicole; Tonkikh, Alexander; Berger, Andreas; Werner, Peter; Fuhrmann, Bodo; Leipner, Hartmut S; Jungmann, Marco; Krause-Rehberg, Reinhard

    2015-01-01

    A systematic method to control the porosity of silicon nanowires is presented. This method is based on metal-assisted chemical etching (MACE) and takes advantage of an HF/H_2O_2 etching solution and a silver catalyst in the form of a thin patterned film deposited on a doped silicon wafer. It is found that the porosity of the etched nanowires can be controlled by the doping level of the wafer. For low doping concentrations, the wires are primarily crystalline and surrounded by only a very thin layer of porous silicon (pSi) layer, while for highly doped silicon, they are porous in their entire volume. We performed a series of controlled experiments to conclude that there exists a well-defined critical doping concentration separating the crystalline and porous regimes. Furthermore, transmission electron microscopy investigations showed that the pSi has also a crystalline morphology on a length scale smaller than the pore size, determined from positron annihilation lifetime spectroscopy to be mesoscopic. Based on the experimental evidence, we devise a theoretical model of the pSi formation during MACE and apply it for better control of the nanowire morphology. (paper)

  6. Reactive ion etching of microphotonic structures

    International Nuclear Information System (INIS)

    Du, J.; Glasscock, J.; Vanajek, J.; Savvides, N.

    2004-01-01

    Full text: Fabrication of microphotonic structures such as planar waveguides and other periodic structures based on silicon technology has become increasingly important due to the potential for integration of planar optical devices. We have fabricated various periodic microstructures on silicon wafers using standard optical lithography and reactive ion etching (RIE). For optical applications the surface roughness and the sidewall angle or steepness of microstructures are the most critical factors. In particular, sidewall roughness of the etched waveguide core accounts for most of the optical propagation loss. We show that by varying the main RIE parameters such as gas pressure, RF power and CF 4 /Ar/O 2 gas composition it is possible to produce microstructures with near-vertical sidewalls and very smooth surfaces. In addition to plasma etching conditions, poor edge quality of the mask often causes sidewall roughness. We employed Ni/Cr metal masks in these experiments for deep etching, and used Ar + ion milling instead of wet chemical etching to open the mask. This improves the edge quality of the mask and ultimately results in smooth sidewalls

  7. Etching of polymers, proteins and bacterial spores by atmospheric pressure DBD plasma in air

    Science.gov (United States)

    Kuzminova, A.; Kretková, T.; Kylián, O.; Hanuš, J.; Khalakhan, I.; Prukner, V.; Doležalová, E.; Šimek, M.; Biederman, H.

    2017-04-01

    Many studies proved that non-equilibrium discharges generated at atmospheric pressure are highly effective for the bio-decontamination of surfaces of various materials. One of the key processes that leads to a desired result is plasma etching and thus the evaluation of etching rates of organic materials is of high importance. However, the comparison of reported results is rather difficult if impossible as different authors use diverse sources of atmospheric plasma that are operated at significantly different operational parameters. Therefore, we report here on the systematic study of the etching of nine different common polymers that mimic the different structures of more complicated biological systems, bovine serum albumin (BSA) selected as the model protein and spores of Bacillus subtilis taken as a representative of highly resistant micro-organisms. The treatment of these materials was performed by means of atmospheric pressure dielectric barrier discharge (DBD) sustained in open air at constant conditions. All tested polymers, BSA and spores, were readily etched by DBD plasma. However, the measured etching rates were found to be dependent on the chemical structure of treated materials, namely on the presence of oxygen in the structure of polymers.

  8. Dry etching of thin chalcogenide films

    Energy Technology Data Exchange (ETDEWEB)

    Petkov, Kiril [Acad. J. Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl. 109, 1113 Sofia (Bulgaria); Vassilev, Gergo; Vassilev, Venceslav, E-mail: kpetkov@clf.bas.b [Department of Semiconductors, University of Chemical Technology and Metallurgy, 8 Kl. Ohridsky Blvd., 1756 Sofia (Bulgaria)

    2010-04-01

    Fluorocarbon plasmas (pure and mixtures with Ar) were used to investigate the changes in the etching rate depending on the chalcogenide glasses composition and light exposure. The experiments were performed on modified commercial HZM-4 vacuum equipment in a diode electrode configuration. The surface microstructure of thin chalcogenide layers and its change after etching in CCl{sub 2}F{sub 2} and CF{sub 4} plasmas were studied by SEM. The dependence of the composition of As-S-Ge, As-Se and multicomponent Ge-Se-Sb-Ag-I layers on the etching rate was discussed. The selective etching of some glasses observed after light exposure opens opportunities for deep structure processing applications.

  9. Temperature dependence on plasma-induced damage and chemical reactions in GaN etching processes using chlorine plasma

    Science.gov (United States)

    Liu, Zecheng; Ishikawa, Kenji; Imamura, Masato; Tsutsumi, Takayoshi; Kondo, Hiroki; Oda, Osamu; Sekine, Makoto; Hori, Masaru

    2018-06-01

    Plasma-induced damage (PID) on GaN was optimally reduced by high-temperature chlorine plasma etching. Energetic ion bombardments primarily induced PID involving stoichiometry, surface roughness, and photoluminescence (PL) degradation. Chemical reactions under ultraviolet (UV) irradiation and chlorine radical exposure at temperatures higher than 400 °C can be controlled by taking into account the synergism of simultaneous photon and radical irradiations to effectively reduce PID.

  10. Fabrication of micromechanical structures on substrates selectively etched using a micropatterned ion-implantation method

    International Nuclear Information System (INIS)

    Nakano, Shizuka; Nakagawa, Sachiko; Ishikawa, Haruo; Ogiso, Hisato

    2001-01-01

    An advanced micromachining technique using ion implantation to modify materials was studied. Gold ion implantation into silicon decreased the etching rate when the silicon was etched in potassium hydroxide solution after the ion implantation; the implanted region remained, thus forming the microstructure. Observation of the cross-section of the resulting etched structure by transmission electron microscopy showed that the structure was made only from the ion-implanted region, and that gold was precipitated on the surface. To clarify the mechanism involved in the decrease in the etching rate, we varied the etching conditions. Our results show that precipitation of implanted gold on the surface decreased the etching rate, because solubility of gold is lower

  11. Electronegativity-dependent tin etching from thin films

    Energy Technology Data Exchange (ETDEWEB)

    Pachecka, M., E-mail: m.pachecka@utwente.nl; Sturm, J. M.; Kruijs, R. W. E. van de; Lee, C. J.; Bijkerk, F. [Industrial Focus Group XUV Optics, MESA+ Institute for Nanotechnology, University of Twente, Drienerlolaan 5, Enschede (Netherlands)

    2016-07-15

    The influence of a thin film substrate material on the etching of a thin layer of deposited tin (Sn) by hydrogen radicals was studied. The amount of remaining Sn was quantified for materials that cover a range of electronegativities. We show that, for metals, etching depends on the relative electronegativity of the surface material and Sn. Tin is chemically etched from surfaces with an electronegativity smaller than Sn, while incomplete Sn etching is observed for materials with an electronegativity larger than Sn. Furthermore, the amount of remaining Sn increases as the electronegativity of the surface material increases. We speculate, that, due to Fermi level differences in the material’s electronic structure, the energy of the two conduction bands shift such that the availability of electrons for binding with hydrogen is significantly reduced.

  12. Chemical etching of Tungsten thin films for high-temperature surface acoustic wave-based sensor devices

    Energy Technology Data Exchange (ETDEWEB)

    Spindler, M., E-mail: m.spindler@ifw-dresden.de [IFW Dresden, SAWLab Saxony, P.O. Box 270116, D-01171 Dresden (Germany); Herold, S.; Acker, J. [BTU Cottbus – Senftenberg, Faculty of Sciences, P.O. Box 101548, 01968 Senftenberg (Germany); Brachmann, E.; Oswald, S.; Menzel, S.; Rane, G. [IFW Dresden, SAWLab Saxony, P.O. Box 270116, D-01171 Dresden (Germany)

    2016-08-01

    Surface acoustic wave devices are widely used as wireless sensors in different application fields. Recent developments aimed to utilize those devices as temperature sensors even in the high temperature range (T > 300 °C) and in harsh environmental conditions. Therefore, conventional materials, which are used for the substrate and for the interdigital transducer finger electrodes such as multilayers or alloys based on Al or Cu have to be exchanged by materials, which fulfill some important criteria regarding temperature related effects. Electron beam evaporation as a standard fabrication method is not well applicable for depositing high temperature stable electrode materials because of their very high melting points. Magnetron sputtering is an alternative deposition process but is also not applicable for lift-off structuring without any further improvement of the structuring process. Due to a relatively high Ar gas pressure of about 10{sup −1} Pa, the sidewalls of the photoresist line structures are also covered by the metallization, which subsequently prevents a successful lift-off process. In this study, we investigate the chemical etching of thin tungsten films as an intermediate step between magnetron sputtering deposition of thin tungsten finger electrodes and the lift-off process to remove sidewall covering for a successful patterning process of interdigital transducers. - Highlights: • We fabricated Tungsten SAW Electrodes by magnetron sputtering technology. • An etching process removes sidewall covering of photoresist, which allows lift-off. • Tungsten etching rates based on a hydrogen peroxide solutions were determined.

  13. High-aspect-ratio microstructures with versatile slanting angles on silicon by uniform metal-assisted chemical etching

    Science.gov (United States)

    Li, Liyi; Zhang, Cheng; Tuan, Chia-Chi; Chen, Yun; Wong, C.-P.

    2018-05-01

    High-aspect-ratio (HAR) microstructures on silicon (Si) play key roles in photonics and electromechanical devices. However, it has been challenging to fabricate HAR microstructures with slanting profiles. Here we report successful fabrication of uniform HAR microstructures with controllable slanting angles on (1 0 0)-Si by slanted uniform metal-assisted chemical etching (SUMaCE). The trenches have width of 2 µm, aspect ratio greater than 20:1 and high geometric uniformity. The slanting angles can be adjusted between 2-70° with respect to the Si surface normal. The results support a fundamental hypothesis that under the UMaCE condition, the preferred etching direction is along the normal of the thin film catalysts, regardless of the relative orientation of the catalyst to Si substrates or the crystalline orientation of the substrates. The SUMaCE method paves the way to HAR 3D microfabrication with arbitrary slanting profiles inside Si.

  14. Three-Dimensional Glass Monolithic Micro-Flexure Fabricated by Femtosecond Laser Exposure and Chemical Etching

    Directory of Open Access Journals (Sweden)

    Viktor Tielen

    2014-09-01

    Full Text Available Flexures are components of micro-mechanisms efficiently replacing classical multi-part joints found at the macroscale. So far, flexures have been limited to two-dimensional planar designs due to the lack of a suitable three-dimensional micromanufacturing process. Here we demonstrate and characterize a high-strength transparent monolithic three-dimensional flexural component fabricated out of fused silica using non-ablative femtosecond laser processing combined with chemical etching. As an illustration of the potential use of this flexure, we propose a design of a Hoecken linkage entirely made with three-dimensional cross-spring pivot hinges.

  15. Bulk and track etching of PET studied by spectrophotometer

    International Nuclear Information System (INIS)

    Zhu, Z.Y.; Duan, J.L.; Maekawa, Y.; Koshikawa, H.; Yoshida, M.

    2004-01-01

    UV-VIS spectra of poly(ethylene terephthalate) (PET) solutions formed by etching PET in NaOH solution were analyzed with respect to the etching time. A linear relationship between absorptions centered at 4.45 and 5.11 eV with weight loss of PET in NaOH solution was established. The relation was applied to study the influence of UV light illumination on bulk etching of PET and to evaluate pore size of etched-through tracks. It is found that bulk etching of PET can be greatly enhanced by UV illumination in air in the wavelength range around 313 nm. A surface area of about 350 nm in thickness shows a 23 times increase in bulk-etching rate after illuminated for 6 h. The phenomenon is attributed to the oxygen-assisted photo-degradation through generating of new photo-unstable species. The enhancement in bulk etching was immediately reduced as the etching proceeds below the surface with an exponential decay constant of about 1.5 μm -1 . Etching of Xe ion irradiated PET films gives extra etching products with similar chemical structure as revealed by spectrophotometer measurements. Quantitative analysis of etching products from latent tracks implies that pores of about 14.6 nm in radius are formed after etching in 0.74 N NaOH at 40 deg. C for 35 min, which is in agreement with the conductometric measurement

  16. Development of deep silicon plasma etching for 3D integration technology

    Directory of Open Access Journals (Sweden)

    Golishnikov А. А.

    2014-02-01

    Full Text Available Plasma etch process for thought-silicon via (TSV formation is one of the most important technological operations in the field of metal connections creation between stacked circuits in 3D assemble technology. TSV formation strongly depends on parameters such as Si-wafer thickness, aspect ratio, type of metallization material, etc. The authors investigate deep silicon plasma etch process for formation of TSV with controllable profile. The influence of process parameters on plasma etch rate, silicon etch selectivity to photoresist and the structure profile are researched in this paper. Technology with etch and passivation steps alternation was used as a method of deep silicon plasma etching. Experimental tool «Platrane-100» with high-density plasma reactor based on high-frequency ion source with transformer coupled plasma was used for deep silicon plasma etching. As actuation gases for deep silicon etching were chosen the following gases: SF6 was used for the etch stage and CHF3 was applied on the polymerization stage. As a result of research, the deep plasma etch process has been developed with the following parameters: silicon etch rate 6 µm/min, selectivity to photoresist 60 and structure profile 90±2°. This process provides formation of TSV 370 µm deep and about 120 µm in diameter.

  17. Surface characterization after subaperture reactive ion beam etching

    Energy Technology Data Exchange (ETDEWEB)

    Miessler, Andre; Arnold, Thomas; Rauschenbach, Bernd [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), Leipzig (Germany)

    2010-07-01

    In usual ion beam etching processes using inert gas (Ar, Xe, Kr..) the material removal is determined by physical sputtering effects on the surface. The admixture of suitable gases (CF{sub 4}+O{sub 2}) into the glow discharge of the ion beam source leads to the generation of reactive particles, which are accelerated towards the substrate where they enhance the sputtering process by formation of volatile chemical reaction products. During the last two decades research in Reactive Ion Beam Etching (RIBE) has been done using a broad beam ion source which allows the treatment of smaller samples (diameter sample < diameter beam). Our goal was to apply a sub-aperture Kaufman-type ion source in combination with an applicative movement of the sample with respect to the source, which enables us to etch areas larger than the typical lateral dimensions of the ion beam. Concerning this matter, the etching behavior in the beam periphery plays a decisive role and has to be investigated. We use interferometry to characterize the final surface topography and XPS measurements to analyze the chemical composition of the samples after RIBE.

  18. Modeling of block copolymer dry etching for directed self-assembly lithography

    Science.gov (United States)

    Belete, Zelalem; Baer, Eberhard; Erdmann, Andreas

    2018-03-01

    Directed self-assembly (DSA) of block copolymers (BCP) is a promising alternative technology to overcome the limits of patterning for the semiconductor industry. DSA exploits the self-assembling property of BCPs for nano-scale manufacturing and to repair defects in patterns created during photolithography. After self-assembly of BCPs, to transfer the created pattern to the underlying substrate, selective etching of PMMA (poly (methyl methacrylate)) to PS (polystyrene) is required. However, the etch process to transfer the self-assemble "fingerprint" DSA patterns to the underlying layer is still a challenge. Using combined experimental and modelling studies increases understanding of plasma interaction with BCP materials during the etch process and supports the development of selective process that form well-defined patterns. In this paper, a simple model based on a generic surface model has been developed and an investigation to understand the etch behavior of PS-b-PMMA for Ar, and Ar/O2 plasma chemistries has been conducted. The implemented model is calibrated for etch rates and etch profiles with literature data to extract parameters and conduct simulations. In order to understand the effect of the plasma on the block copolymers, first the etch model was calibrated for polystyrene (PS) and poly (methyl methacrylate) (PMMA) homopolymers. After calibration of the model with the homopolymers etch rate, a full Monte-Carlo simulation was conducted and simulation results are compared with the critical-dimension (CD) and selectivity of etch profile measurement. In addition, etch simulations for lamellae pattern have been demonstrated, using the implemented model.

  19. Etch characteristics of BCB film using inductively coupled plasma

    International Nuclear Information System (INIS)

    Kang, Pil Seung; Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il; Kim, Sang Gi

    2003-01-01

    The etching characteristics and mechanism of BCB thin films were investigated as a function of CF 4 /O 2 mixing ratio in ICP system. Maximum etch rate of 830 nm/min is obtained at the mixture of O 2 /CF 4 (=80%/20%). OES actinometry results showed that volume density of oxygen atoms fallows the same extreme behavior with the BCB etch rate, while the density of fluorine atoms changes monotonously. Therefore chemical destruction of BCB by oxygen atoms was proposed as the dominant etch mechanism. XPS analysis showed that the addition of CF 4 to O 2 helps to volatilize silicon atoms containing in BCB but leads to the formation of F-containing polymer layer. The profile of etched BCB film was close to 90 .deg. and the surface was clean

  20. Evaluation of Pentafluoroethane and 1,1-Difluoroethane for a Dielectric Etch Application in an Inductively Coupled Plasma Etch Tool

    Science.gov (United States)

    Karecki, Simon; Chatterjee, Ritwik; Pruette, Laura; Reif, Rafael; Sparks, Terry; Beu, Laurie; Vartanian, Victor

    2000-07-01

    In this work, a combination of two hydrofluorocarbon compounds, pentafluoroethane (FC-125, C2HF5) and 1,1-difluoroethane (FC-152a, CF2H-CH3), was evaluated as a potential replacement for perfluorocompounds in dielectric etch applications. A high aspect ratio oxide via etch was used as the test vehicle for this study, which was conducted in a commercial inductively coupled high density plasma etch tool. Both process and emissions data were collected and compared to those provided by a process utilizing a standard perfluorinated etch chemistry (C2F6). Global warming (CF4, C2F6, CHF3) and hygroscopic gas (HF, SiF4) emissions were characterized using Fourier transform infrared (FTIR) spectroscopy. FC-125/FC-152a was found to produce significant reductions in global warming emissions, on the order of 68 to 76% relative to the reference process. Although etch stopping, caused by a high degree of polymer deposition inside the etched features, was observed, process data otherwise appeared promising for an initial study, with good resist selectivity and etch rates being achieved.

  1. A model of chemical etching of olivine in the vicinity of the trajectory of a swift heavy ion

    Energy Technology Data Exchange (ETDEWEB)

    Gorbunov, S.A., E-mail: s.a.gorbunov@mail.ru [Lebedev Physical Institute of the Russian Academy of Sciences, Leninskij pr. 53, 119991 Moscow (Russian Federation); Rymzhanov, R.A. [Joint Institute for Nuclear Research, Joliot-Curie 6, 141980 Dubna, Moscow Region (Russian Federation); Starkov, N.I. [Lebedev Physical Institute of the Russian Academy of Sciences, Leninskij pr. 53, 119991 Moscow (Russian Federation); Volkov, A.E. [Lebedev Physical Institute of the Russian Academy of Sciences, Leninskij pr. 53, 119991 Moscow (Russian Federation); Joint Institute for Nuclear Research, Joliot-Curie 6, 141980 Dubna, Moscow Region (Russian Federation); National Research Centre ‘Kurchatov Institute’, Kurchatov Sq. 1, 123182 Moscow (Russian Federation); Malakhov, A.I. [Joint Institute for Nuclear Research, Joliot-Curie 6, 141980 Dubna, Moscow Region (Russian Federation)

    2015-12-15

    Searching of superheavy elements, the charge spectra of heavy nuclei in Galactic Cosmic Rays was investigated within the OLYMPIA experiment using the database of etched ion tracks in meteorite olivine. Etching results in the formation of hollow syringe-like channels with diameters of 1–10 μm along the trajectories of these swift heavy ions (SHI). According to the activated complex theory, the local chemical activity is determined by an increase of the specific Gibbs energy of the lattice stimulated by structure transformations, long-range elastic fields, and interatomic bonds breaking generated in the vicinity of the ion trajectory. To determine the dependencies of the Gibbs free energy increase in SHI tracks in olivine on the mass, energy and charge of a projectile, we apply a multiscale model of excitation and relaxation of materials in the vicinity of the SHI trajectory (SHI tracks). Effect of spreading of fast electrons from the ion trajectory causing neutralization of metallic atoms resulting in an increase of the chemical activity of olivine at long distances from the ion trajectory (up to 5 μm) is estimated and discussed.

  2. Cryogenic Etching of High Aspect Ratio 400 nm Pitch Silicon Gratings.

    Science.gov (United States)

    Miao, Houxun; Chen, Lei; Mirzaeimoghri, Mona; Kasica, Richard; Wen, Han

    2016-10-01

    The cryogenic process and Bosch process are two widely used processes for reactive ion etching of high aspect ratio silicon structures. This paper focuses on the cryogenic deep etching of 400 nm pitch silicon gratings with various etching mask materials including polymer, Cr, SiO 2 and Cr-on-polymer. The undercut is found to be the key factor limiting the achievable aspect ratio for the direct hard masks of Cr and SiO 2 , while the etch selectivity responds to the limitation of the polymer mask. The Cr-on-polymer mask provides the same high selectivity as Cr and reduces the excessive undercut introduced by direct hard masks. By optimizing the etching parameters, we etched a 400 nm pitch grating to ≈ 10.6 μ m depth, corresponding to an aspect ratio of ≈ 53.

  3. Biological functionalization and patterning of porous silicon prepared by Pt-assisted chemical etching

    Science.gov (United States)

    Li, Hong-Fang; Han, Huan-Mei; Wu, Ya-Guang; Xiao, Shou-Jun

    2010-04-01

    Porous silicon fabricated via Pt-assisted chemical etching of p-type Si (1 0 0) in 1:1:1 EtOH/HF/H 2O 2 solution possesses a longer durability in air and in aqueous media than anodized one, which is advantageous for biomedical applications. Its surface SiH x ( x = 1 and 2) species can react with 10-undecylenic acid completely under microwave irradiation, and subsequent derivatizations of the end carboxylic acid result in affinity capture of proteins. We applied two approaches to produce protein microarrays: photolithography and spotting. The former provides a homogeneous microarray with a very low fluorescence background, while the latter presents an inhomogeneous microarray with a high noise background.

  4. Biological functionalization and patterning of porous silicon prepared by Pt-assisted chemical etching

    International Nuclear Information System (INIS)

    Li Hongfang; Han Huanmei; Wu Yaguang; Xiao Shoujun

    2010-01-01

    Porous silicon fabricated via Pt-assisted chemical etching of p-type Si (1 0 0) in 1:1:1 EtOH/HF/H 2 O 2 solution possesses a longer durability in air and in aqueous media than anodized one, which is advantageous for biomedical applications. Its surface SiHx (x = 1 and 2) species can react with 10-undecylenic acid completely under microwave irradiation, and subsequent derivatizations of the end carboxylic acid result in affinity capture of proteins. We applied two approaches to produce protein microarrays: photolithography and spotting. The former provides a homogeneous microarray with a very low fluorescence background, while the latter presents an inhomogeneous microarray with a high noise background.

  5. Fabrication of low reflective nanopore-type black Si layer using one-step Ni-assisted chemical etching for Si solar cell application

    Science.gov (United States)

    Takaloo, AshkanVakilipour; Kolahdouz, Mohammadreza; Poursafar, Jafar; Es, Firat; Turan, Rasit; Ki-Joo, Seung

    2018-03-01

    Nanotextured Si fabricated through metal-assisted chemical etching (MACE) technique exhibits a promising potential for producing antireflective layer for photovoltaic (PV) application. In this study, a novel single-step nickel (Ni) assisted etching technique was applied to produce an antireflective, nonporous Si (black Si) in an aqueous solution containing hydrofluoric acid (HF), hydrogen peroxide (H2O2) and NiSO4 at 40 °C. Field emission scanning electron microscope was used to characterize different morphologies of the textured Si. Optical reflection measurements of samples were carried out to compare the reflectivity of different morphologies. Results indicated that vertical as well as horizontal pores with nanosized diameters were bored in the Si wafer after 1 h treatment in the etching solution containing different molar ratios of H2O2 to HF. Increasing H2O2 concentration in electrochemical etching solution had a considerable influence on the morphology due to higher injection of positive charges from Ni atoms onto the Si surface. Optimized concentration of H2O2 led to formation of an antireflective layer with 2.1% reflectance of incident light.

  6. Semiconductor structure and recess formation etch technique

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching process stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.

  7. Change of wettability of PTFE surface by sputter etching and excimer laser. Sputter etching oyobi excimer laser ni yoru PTFE hyomen no shinsuika

    Energy Technology Data Exchange (ETDEWEB)

    Yamamoto, S. (Nitto Denko Corp., Osaka (Japan)); Kubo, U. (Kinki University, Osaka (Japan))

    1994-06-20

    The wettability of PTFE (polytetrafluoroethylene) surfaces was improved by sputter etching and excimer laser irradiation. In sputter etching, the PTFE surface was treated by reactive sputter etching with H2O gas to give active groups on the surface. In laser irradiation, the surface was irradiated in pure water by high-energy KrF excimer laser. As the surface wettability was evaluated with a contact angle to water, the contact angle decreased remarkably in both treatments resulting in a good improvement effect. In sputter etching, various new chemical bonds such as F-C=O, F2C-FC-O, F2C-C-O and C-O were observed because of a decrease in F and incorporation of oxygen. Such chemical bonds could be eliminated by ultraviolet ray irradiation, and the treated surface condition approached the initial condition after irradiation of 200 hours. In laser irradiation, it was suggested that C-F bonds were broken, and OH groups were added to the surface by dissociation of H2O to H and OH. 7 refs., 8 figs., 1 tab.

  8. Micropatterning on cylindrical surfaces via electrochemical etching using laser masking

    International Nuclear Information System (INIS)

    Cho, Chull Hee; Shin, Hong Shik; Chu, Chong Nam

    2014-01-01

    Highlights: • Various micropatterns were fabricated on the cylindrical surface of a stainless steel shaft. • Selective electrochemical dissolution was achieved via a series process of laser masking and electrochemical etching. • Laser masking characteristics on the non-planar surface were investigated. • A uniform mask layer was formed on the cylindrical surface via synchronized laser line scanning with a rotary system. • The characteristics of electrochemical etching on the non-planar surface were investigated. - Abstract: This paper proposes a method of selective electrochemical dissolution on the cylindrical surfaces of stainless steel shafts. Selective electrochemical dissolution was achieved via electrochemical etching using laser masking. A micropatterned recast layer was formed on the surface via ytterbium-doped pulsed fiber laser irradiation. The micropatterned recast layer could be used as a mask layer during the electrochemical etching process. Laser masking condition to form adequate mask layer on the planar surface for etching cannot be used directly on the non-planar surface. Laser masking condition changes depending on the morphological surface. The laser masking characteristics were investigated in order to form a uniform mask layer on the cylindrical surface. To minimize factors causing non-uniformity in the mask layer on the cylindrical surface, synchronized laser line scanning with a rotary system was applied during the laser masking process. Electrochemical etching characteristics were also investigated to achieve deeper etched depth, without collapsing the recast layer. Consequently, through a series process of laser masking and electrochemical etching, various micropatternings were successfully performed on the cylindrical surfaces

  9. Aluminum oxide mask fabrication by focused ion beam implantation combined with wet etching

    International Nuclear Information System (INIS)

    Liu Zhengjun; Iltanen, Kari; Chekurov, Nikolai; Tittonen, Ilkka; Grigoras, Kestutis

    2013-01-01

    A novel aluminum oxide (Al 2 O 3 ) hard mask fabrication process with nanoscale resolution is introduced. The Al 2 O 3 mask can be used for various purposes, but in this work it was utilized for silicon patterning using cryogenic deep reactive ion etching (DRIE). The patterning of Al 2 O 3 is a two-step process utilizing focused ion beam (FIB) irradiation combined with wet chemical etching. Gallium (Ga + ) FIB maskless patterning confers wet etch selectivity between the irradiated region and the non-irradiated one on the Al 2 O 3 layer, and mask patterns can easily be revealed by wet etching. This method is a modification of Ga + FIB mask patterning for the silicon etch stop, which eliminates the detrimental lattice damage and doping of the silicon substrate in critical devices. The shallow surface gallium FIB irradiated Al 2 O 3 mask protects the underlying silicon from Ga + ions. The performance of the masking capacity was tested by drawing pairs consisting of a line and an empty space with varying width. The best result was seven such pairs for 1 μm. The smallest half pitch was 59 nm. This method is capable of arbitrary pattern generation. The fabrication of a freestanding single-ended tuning fork resonator utilizing the introduced masking method is demonstrated. (paper)

  10. Influence factors on etching rate of PET nuclear pore membrane

    International Nuclear Information System (INIS)

    Zuo Zhenzhong; Wu Zhendong; Liang Haiying; Ju Wei; Chen Dongfeng; Fu Yuanyong; Qu Guopu

    2014-01-01

    Background: The nuclear pore membrane is a kind of liquid filtration material manufactured by irradiation and chemical etching. Various conditions in etch process have a great influence on etch rate. Purpose: The influence factors of concentration and temperature of etch solution and the irradiation energy of heavy ions on etch rate was studied. Methods: Four layers of PET (polyethylene terephthalate) films were stacked together and were irradiated with 140-MeV 32 S ions at room temperature under vacuum conditions. Utilizing conductivity measurement technique, the electrical current changes through the u:radiated PET film were monitored during etching, from which the breakthrough time and therefore the track etching rate was calculated. Results: The results show that there is an exponential correlation between etch rate and temperature, and a linear correlation between etch rate and concentration. The track etching rate increases linearly with energy loss rate. Empirical formula for the bulk etching rate as a function of etchant concentration and temperature was also established via fitting of measurements. Conclusion: It is concluded that by using 1.6-MeV·u -1 32 S ions, PET nuclear pore membrane with cylindrical pore shape can be prepared at 85℃ with etchant concentration of l mol·L -1 . (authors)

  11. Ion transport by gating voltage to nanopores produced via metal-assisted chemical etching method

    Science.gov (United States)

    Van Toan, Nguyen; Inomata, Naoki; Toda, Masaya; Ono, Takahito

    2018-05-01

    In this work, we report a simple and low-cost way to create nanopores that can be employed for various applications in nanofluidics. Nano sized Ag particles in the range from 1 to 20 nm are formed on a silicon substrate with a de-wetting method. Then the silicon nanopores with an approximate 15 nm average diameter and 200 μm height are successfully produced by the metal-assisted chemical etching method. In addition, electrically driven ion transport in the nanopores is demonstrated for nanofluidic applications. Ion transport through the nanopores is observed and could be controlled by an application of a gating voltage to the nanopores.

  12. A method to control the fabrication of etched optical fiber probes with nanometric tips

    International Nuclear Information System (INIS)

    Tao, Miaomiao; Gu, Ning; Huang, Lan; Jin, Yonglong

    2010-01-01

    Optical fiber probes with small size tips have attracted much interest in the areas of biosensor and near-field scanning optical microscopy. Chemical etching is a common useful method to fabricate such probes. But it is difficult to study or determine the etching time and control the shape of the fiber during the etching. In this work, a new method combining a fiber optic spectrometer with static chemical etching has been developed to fabricate optical fiber probe nanotips, where the fiber optic spectrometer is used to measure the optical signal during the etching. By calculating and analyzing the testing data, the relationship between the apex angle and the optical signal can be obtained. Accordingly, the process of fabricating optical fibers based on the optical signal can be controlled

  13. Enhanced Etching, Surface Damage Recovery, and Submicron Patterning of Hybrid Perovskites using a Chemically Gas-Assisted Focused-Ion Beam for Subwavelength Grating Photonic Applications

    KAUST Repository

    Alias, Mohd Sharizal

    2015-12-22

    The high optical gain and absorption of organic–inorganic hybrid perovskites have attracted attention for photonic device applications. However, owing to the sensitivity of organic moieties to solvents and temperature, device processing is challenging, particularly for patterning. Here, we report the direct patterning of perovskites using chemically gas-assisted focused-ion beam (GAFIB) etching with XeF2 and I2 precursors. We demonstrate etching enhancement in addition to controllability and marginal surface damage compared to focused-ion beam (FIB) etching without precursors. Utilizing the GAFIB etching, we fabricated a uniform and periodic submicron perovskite subwavelength grating (SWG) absorber with broadband absorption and nanoscale precision. Our results demonstrate the use of FIB as a submicron patterning tool and a means of providing surface treatment (after FIB patterning to minimize optical loss) for perovskite photonic nanostructures. The SWG absorber can be patterned on perovskite solar cells to enhance the device efficiency through increasing light trapping and absorption.

  14. Introducing etch kernels for efficient pattern sampling and etch bias prediction

    Science.gov (United States)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2018-01-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels, as well as the choice of calibration patterns, is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels-"internal, external, curvature, Gaussian, z_profile"-designed to represent the finest details of the resist geometry to characterize precisely the etch bias at any point along a resist contour. By evaluating the etch kernels on various structures, it is possible to map their etch signatures in a multidimensional space and analyze them to find an optimal sampling of structures. The etch kernels evaluated on these structures were combined with experimental etch bias derived from scanning electron microscope contours to train artificial neural networks to predict etch bias. The method applied to contact and line/space layers shows an improvement in etch model prediction accuracy over standard etch model. This work emphasizes the importance of the etch kernel definition to characterize and predict complex etch effects.

  15. Spot formation of radiation particles by electrochemical etching

    International Nuclear Information System (INIS)

    Nozaki, Tetsuya

    1999-01-01

    An electrochemical etching (ECE) spot formation from the top of chemical etching (CE) spot was confirmed by a series of experiments. One of polycarbonate (Iupilon) could not make the spot, because ECE spot had grown up before the microscope confirming the CE spot. Clear CEC spots by α-ray and neutron were found on Harzlas and Baryotrak, both improvements of CR-39. Under the same etching conditions, the growth of ECE spot on Harzlas was more rapid than Baryotrak, but both spots were almost the same. All CE spot by α-ray produced the CEC spots, but a part of CE circle spot by neutron formed them. (S.Y.)

  16. Ion-Exchange-Induced Selective Etching for the Synthesis of Amino-Functionalized Hollow Mesoporous Silica for Elevated-High-Temperature Fuel Cells.

    Science.gov (United States)

    Zhang, Jin; Liu, Jian; Lu, Shanfu; Zhu, Haijin; Aili, David; De Marco, Roland; Xiang, Yan; Forsyth, Maria; Li, Qingfeng; Jiang, San Ping

    2017-09-20

    As differentiated from conventional synthetic processes, amino-functionalized hollow mesoporous silica (NH 2 -HMS) has been synthesized using a new and facile strategy of ion-exchange-induced selective etching of amino-functionalized mesoporous silica (NH 2 -meso-silica) by an alkaline solution. Nuclear magnetic resonance (NMR) spectroscopy and in situ time-resolved small-angle X-ray scattering (SAXS) reveal that ion-exchange-induced selective etching arises from the gradient distribution of OH - in the NH 2 -meso-silica nanospheres. Moreover, the ion-exchange-induced selective etching mechanism is verified through a successful synthesis of hollow mesoporous silica. After infiltration with phosphotungstic acid (PWA), PWA-NH 2 -HMS nanoparticles are dispersed in the poly(ether sulfone)-polyvinylpyrrolidone (PES-PVP) matrix, forming a hybrid PWA-NH 2 -HMS/PES-PVP nanocomposite membrane. The resultant nanocomposite membrane with an optimum loading of 10 wt % of PWA-NH 2 -HMS showed an enhanced proton conductivity of 0.175 S cm -1 and peak power density of 420 mW cm -2 at 180 °C under anhydrous conditions. Excellent durability of the hybrid composite membrane fuel cell has been demonstrated at 200 °C. The results of this study demonstrated the potential of the facile synthetic strategy in the fabrication of NH 2 -HMS with controlled mesoporous structure for application in nanocomposite membranes as a technology platform for elevated-temperature proton exchange membrane fuel cells.

  17. Modification of the Surface Topography and Composition of Ultrafine and Coarse Grained Titanium by Chemical Etching.

    Science.gov (United States)

    Nazarov, Denis V; Zemtsova, Elena G; Solokhin, Alexandr Yu; Valiev, Ruslan Z; Smirnov, Vladimir M

    2017-01-13

    In this study, we present the detailed investigation of the influence of the etching medium (acidic or basic Piranha solutions) and the etching time on the morphology and surface relief of ultrafine grained (UFG) and coarse grained (CG) titanium. The surface relief and morphology have been studied by means of scanning electron microscopy (SEM), atomic force microscopy (AFM), and the spectral ellipsometry. The composition of the samples has been determined by X-ray fluorescence analysis (XRF) and X-ray Photoelectron Spectroscopy (XPS). Significant difference in the etching behavior of UFG and CG titanium has been found. UFG titanium exhibits higher etching activity independently of the etching medium. Formed structures possess higher homogeneity. The variation of the etching medium and time leads to micro-, nano-, or hierarchical micro/nanostructures on the surface. Significant difference has been found between surface composition for UFG titanium etched in basic and acidic Piranha solution. Based on the experimental data, the possible reasons and mechanisms are considered for the formation of nano- and microstructures. The prospects of etched UFG titanium as the material for implants are discussed.

  18. Influence of laboratory degradation methods and bonding application parameters on microTBS of self-etch adhesives to dentin.

    Science.gov (United States)

    Erhardt, Maria Carolina G; Pisani-Proença, Jatyr; Osorio, Estrella; Aguilera, Fátima S; Toledano, Manuel; Osorio, Raquel

    2011-04-01

    To evaluate the laboratory resistance to degradation and the use of different bonding treatments on resin-dentin bonds formed with three self-etching adhesive systems. Flat, mid-coronal dentin surfaces from extracted human molars were bonded according to manufacturer's directions and submitted to two challenging regimens: (A) chemical degradation with 10% NaOC1 immersion for 5 hours; and (B) fatigue loading at 90 N using 50,000 cycles at 3.0 Hz. Additional dentin surfaces were bonded following four different bonding application protocols: (1) according to manufacturer's directions; (2) acid-etched with 36% phosphoric acid (H3PO4) for 15 seconds; (3) 10% sodium hypochlorite (NaOClaq) treated for 2 minutes, after H3PO4-etching; and (4) doubling the application time of the adhesives. Two one-step self-etch adhesives (an acetone-based: Futurabond/FUT and an ethanol-based: Futurabond NR/FNR) and a two-step self-etch primer system (Clearfil SE Bond/CSE) were examined. Specimens were sectioned into beams and tested for microtensile bond strength (microTBS). Selected debonded specimens were observed under scanning electron microscopy (SEM). Data (MPa) were analyzed by ANOVA and multiple comparisons tests (alpha= 0.05). microTBS significantly decreased after chemical and mechanical challenges (Padhesive systems, regardless the bonding protocol. FUT attained the highest microTBS after doubling the application time. H3PO4 and H3PO4 + NaOCl pretreatments significantly decreased bonding efficacy of the adhesives.

  19. Self-etch and etch-and-rinse adhesive systems in clinical dentistry.

    Science.gov (United States)

    Ozer, Fusun; Blatz, Markus B

    2013-01-01

    Current adhesive systems follow either an "etch-and-rinse" or "self-etch" approach, which differ in how they interact with natural tooth structures. Etch-and-rinse systems comprise phosphoric acid to pretreat the dental hard tissues before rinsing and subsequent application of an adhesive. Self-etch adhesives contain acidic monomers, which etch and prime the tooth simultaneously. Etch-and-rinse adhesives are offered as two- or three-step systems, depending on whether primer and bonding are separate or combined in a single bottle. Similarly, self-etch adhesives are available as one- or two-step systems. Both etch-and-rinse and self-etch systems form a hybrid layer as a result of resins impregnating the porous enamel or dentin. Despite current trends toward fewer and simpler clinical application steps, one-step dentin bonding systems exhibit bonding agent lower bond strengths and seem less predictable than multi-step etch-and-rinse and self-etch systems. The varying evidence available today suggests that the choice between etch-and-rinse and self-etch systems is often a matter of personal preference. In general, however, phosphoric acid creates a more pronounced and retentive etching pattern in enamel. Therefore, etch-and-rinse bonding systems are often preferred for indirect restorations and when large areas of enamel are still present. Conversely, self-etch adhesives provide superior and more predictable bond strength to dentin and are, consequently, recommended for direct composite resin restorations, especially when predominantly supported by dentin.

  20. Precise thickness control in recess etching of AlGaN/GaN hetero-structure using photocarrier-regulated electrochemical process

    Science.gov (United States)

    Kumazaki, Yusuke; Uemura, Keisuke; Sato, Taketomo; Hashizume, Tamotsu

    2017-05-01

    The photocarrier-regulated electrochemical (PREC) process was developed for fabricating recessed-gate AlGaN/GaN high-electron-mobility transistors (HEMTs) for normally off operation. The PREC process is based on photo-assisted electrochemical etching using low-energy chemical reactions. The fundamental photo-electrochemical measurements on AlGaN/GaN heterostructures revealed that the photo-carriers generated in the top AlGaN layer caused homogeneous etching of AlGaN with a smooth surface, but those generated in the GaN layer underneath caused inhomogeneous etching that roughens the surface. The concept of the PREC process is to supply the photo-carriers generated only in the AlGaN layer by selecting proper conditions on light wavelength and voltage. The phenomenon of self-termination etching has been observed during the PREC process, where the etching depth was controlled by light intensity. The recessed-gate AlGaN/GaN HEMT fabricated with the PREC process showed positive threshold voltage and improvement in transconductance compared to planar-gate AlGaN/GaN HEMTs.

  1. Longevity of Self-etch Dentin Bonding Adhesives Compared to Etch-and-rinse Dentin Bonding Adhesives: A Systematic Review.

    Science.gov (United States)

    Masarwa, Nader; Mohamed, Ahmed; Abou-Rabii, Iyad; Abu Zaghlan, Rawan; Steier, Liviu

    2016-06-01

    A systematic review and meta-analysis were performed to compare longevity of Self-Etch Dentin Bonding Adhesives to Etch-and-Rinse Dentin Bonding Adhesives. The following databases were searched for PubMed, MEDLINE, Web of Science, CINAHL, the Cochrane Library complemented by a manual search of the Journal of Adhesive Dentistry. The MESH keywords used were: "etch and rinse," "total etch," "self-etch," "dentin bonding agent," "bond durability," and "bond degradation." Included were in-vitro experimental studies performed on human dental tissues of sound tooth structure origin. The examined Self-Etch Bonds were of two subtypes; Two Steps and One Step Self-Etch Bonds, while Etch-and-Rinse Bonds were of two subtypes; Two Steps and Three Steps. The included studies measured micro tensile bond strength (μTBs) to evaluate bond strength and possible longevity of both types of dental adhesives at different times. The selected studies depended on water storage as the aging technique. Statistical analysis was performed for outcome measurements compared at 24 h, 3 months, 6 months and 12 months of water storage. After 24 hours (p-value = 0.051), 3 months (p-value = 0.756), 6 months (p-value=0.267), 12 months (p-value=0.785) of water storage self-etch adhesives showed lower μTBs when compared to the etch-and-rinse adhesives, but the comparisons were statistically insignificant. In this study, longevity of Dentin Bonds was related to the measured μTBs. Although Etch-and-Rinse bonds showed higher values at all times, the meta-analysis found no difference in longevity of the two types of bonds at the examined aging times. Copyright © 2016 Elsevier Inc. All rights reserved.

  2. High-Density Plasma-Induced Etch Damage of GaN

    International Nuclear Information System (INIS)

    Baca, A.G.; Han, J.; Lester, L.F.; Pearton, S.J.; Ren, F.; Shul, R.J.; Willison, C.G.; Zhang, L.; Zolper, J.C.

    1999-01-01

    Anisotropic, smooth etching of the group-III nitrides has been reported at relatively high rates in high-density plasma etch systems. However, such etch results are often obtained under high de-bias and/or high plasma flux conditions where plasma induced damage can be significant. Despite the fact that the group-III nitrides have higher bonding energies than more conventional III-V compounds, plasma-induced etch damage is still a concern. Attempts to minimize such damage by reducing the ion energy or increasing the chemical activity in the plasma often result in a loss of etch rate or anisotropy which significantly limits critical dimensions and reduces the utility of the process for device applications requiring vertical etch profiles. It is therefore necessary to develop plasma etch processes which couple anisotropy for critical dimension and sidewall profile control and high etch rates with low-damage for optimum device performance. In this study we report changes in sheet resistance and contact resistance for n- and p-type GaN samples exposed to an Ar inductively coupled plasma (ICP). In general, plasma-induced damage was more sensitive to ion bombardment energies as compared to plasma flux. In addition, p-GaN was typically more sensitive to plasma-induced damage as compared to n-GaN

  3. Highly selective SiO2 etching over Si3N4 using a cyclic process with BCl3 and fluorocarbon gas chemistries

    Science.gov (United States)

    Matsui, Miyako; Kuwahara, Kenichi

    2018-06-01

    A cyclic process for highly selective SiO2 etching with atomic-scale precision over Si3N4 was developed by using BCl3 and fluorocarbon gas chemistries. This process consists of two alternately performed steps: a deposition step using BCl3 mixed-gas plasma and an etching step using CF4/Ar mixed-gas plasma. The mechanism of the cyclic process was investigated by analyzing the surface chemistry at each step. BCl x layers formed on both SiO2 and Si3N4 surfaces in the deposition step. Early in the etching step, the deposited BCl x layers reacted with CF x radicals by forming CCl x and BF x . Then, fluorocarbon films were deposited on both surfaces in the etching step. We found that the BCl x layers formed in the deposition step enhanced the formation of the fluorocarbon films in the CF4 plasma etching step. In addition, because F radicals that radiated from the CF4 plasma reacted with B atoms while passing through the BCl x layers, the BCl x layers protected the Si3N4 surface from F-radical etching. The deposited layers, which contained the BCl x , CCl x , and CF x components, became thinner on SiO2 than on Si3N4, which promoted the ion-assisted etching of SiO2. This is because the BCl x component had a high reactivity with SiO2, and the CF x component was consumed by the etching reaction with SiO2.

  4. Modification of the Surface Topography and Composition of Ultrafine and Coarse Grained Titanium by Chemical Etching

    Directory of Open Access Journals (Sweden)

    Denis V. Nazarov

    2017-01-01

    Full Text Available In this study, we present the detailed investigation of the influence of the etching medium (acidic or basic Piranha solutions and the etching time on the morphology and surface relief of ultrafine grained (UFG and coarse grained (CG titanium. The surface relief and morphology have been studied by means of scanning electron microscopy (SEM, atomic force microscopy (AFM, and the spectral ellipsometry. The composition of the samples has been determined by X-ray fluorescence analysis (XRF and X-ray Photoelectron Spectroscopy (XPS. Significant difference in the etching behavior of UFG and CG titanium has been found. UFG titanium exhibits higher etching activity independently of the etching medium. Formed structures possess higher homogeneity. The variation of the etching medium and time leads to micro-, nano-, or hierarchical micro/nanostructures on the surface. Significant difference has been found between surface composition for UFG titanium etched in basic and acidic Piranha solution. Based on the experimental data, the possible reasons and mechanisms are considered for the formation of nano- and microstructures. The prospects of etched UFG titanium as the material for implants are discussed.

  5. Development and application of the electrochemical etching technique. Annual progress report

    International Nuclear Information System (INIS)

    1979-08-01

    This report documents advances in the development and application of the electrochemical etching technique for thermal and epithermal neutron dosimetry as well as track geometry determinations. The bulk and track etching rates were studied by evaluating the track geometry during electrochemical etching. The foil surface removed versus etching time for two different etchants at 1000 V, 2 kHz, and 22 0 C were studied. Results indicated that the bulk etching rates were constant for the two etchants, i.e. 45% KOH and 45% KOH mixed with an equal volume of C 2 H 5 OH 5 and were equal to 0.20 +- 0.14 μm/hr and 2.7 +- 0.27 μm/hr from each side of the foil. The track etching rate (as contrasted with the bulk etching rate) can be determined by the microscope focus at various depths. The increase of track depth values as a function of etching time for the two etchants are plotted. The track cone angles were determined and found to be much larger for electrochemically etched polycarbonate foils than for most plastics etched with passive chemical techniques

  6. Anisotropic etching of polycrystalline silicon with a hot Cl2 molecular beam

    International Nuclear Information System (INIS)

    Suzuki, K.; Hiraoka, S.; Nishimatsu, S.

    1988-01-01

    A hot Cl 2 molecular (Cl/sup */ 2 ) beam was successfully applied to achieve highly anisotropic, highly selective, and almost damage-free etching of polycrystalline Si. The anisotropy, the ratio of etch rates in vertical and horizontal directions, was larger than 25. The selectivity, the ratio of polycrystalline Si and SiO 2 etch rates, was larger than 1000. The Cl/sup */ 2 beam was produced by free jet expansion of a Cl 2 gas heated in a graphite furnace. The furnace temperature was 830 0 C. The substrate temperature was 180 0 C. The average total energy (0.38 eV) of a Cl/sup */ 2 molecule impinging on a substrate surface is much lower than the critical energy (approximately 10 eV) to displace the atoms of the etched material and to cause surface damage. This is the essential reason why this highly selective and almost damage-free etching has been achieved. The highly anisotropic etching mechanism is explained by a model taking into account the directional incidence of Cl/sup */ 2 molecules to the surface, and the deactivation process of the Cl/sup */ 2 molecules on a cold surface

  7. Future developments in etched track detectors for neutron dosimetry

    International Nuclear Information System (INIS)

    Tommasino, L.

    1987-01-01

    Many laboratories engaged in the field of personal neutron dosimetry are interested in developing better etching processes and improving the CR-39 detecting materials. To know how much effort must still be devoted to the development of etch track dosimetry, it is necessary to understand the advantages. limitations and degree of exploitation of the currently available techniques. So much has been learned about the chemical and electrochemical etching processes that an optimised combination of etching processes could make possible the elimination of many of the existing shortcomings. Limitations of etched track detectors for neutron dosimetry arise mainly because the registration occurs only on the detector surface. These damage type detectors are based on radiation induced chain scission processes in polymers, which result in hole-type tracks in solids. The converse approach, yet to be discovered, would be the development of cure-track detectors, where radiation induced cross linking between organic polymer chains could result in solid tracks in liquids. (author)

  8. Anisotropic diamond etching through thermochemical reaction between Ni and diamond in high-temperature water vapour.

    Science.gov (United States)

    Nagai, Masatsugu; Nakanishi, Kazuhiro; Takahashi, Hiraku; Kato, Hiromitsu; Makino, Toshiharu; Yamasaki, Satoshi; Matsumoto, Tsubasa; Inokuma, Takao; Tokuda, Norio

    2018-04-27

    Diamond possesses excellent physical and electronic properties, and thus various applications that use diamond are under development. Additionally, the control of diamond geometry by etching technique is essential for such applications. However, conventional wet processes used for etching other materials are ineffective for diamond. Moreover, plasma processes currently employed for diamond etching are not selective, and plasma-induced damage to diamond deteriorates the device-performances. Here, we report a non-plasma etching process for single crystal diamond using thermochemical reaction between Ni and diamond in high-temperature water vapour. Diamond under Ni films was selectively etched, with no etching at other locations. A diamond-etching rate of approximately 8.7 μm/min (1000 °C) was successfully achieved. To the best of our knowledge, this rate is considerably greater than those reported so far for other diamond-etching processes, including plasma processes. The anisotropy observed for this diamond etching was considerably similar to that observed for Si etching using KOH.

  9. Optimization of silver-assisted nano-pillar etching process in silicon

    Science.gov (United States)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-12-01

    In this study, a respond surface methodology (RSM) model is developed using three-level Box-Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H2O2), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H2O2 concentration and etching time. The predicted model is in good agreement with the experimental data where R2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time. This lack of uniformity could be attributed to the surface condition of the wafer. Optimization of the process parameters show adequate accuracy of the model with acceptable percentage errors of 6%, 59%, 1.8%, 38% and 61% for determination of the height, separation, size, the pore size and the etching rate respectively.

  10. Ion-beam nanopatterning: experimental results with chemically-assisted beam

    Science.gov (United States)

    Pochon, Sebastien C. R.

    2018-03-01

    The need for forming gratings (for example used in VR headsets) in materials such as SiO2 has seen a recent surge in the use of Ion beam etching techniques. However, when using an argon-only beam, the selectivity is limited as it is a physical process. Typically, gases such as CHF3, SF6, O2 and Cl2 can be added to argon in order to increase selectivity; depending on where the gas is injected, the process is known as Reactive Ion Beam Etching (RIBE) or Chemically Assisted Ion Beam Etching (CAIBE). The substrate holder can rotate in order to provide an axisymmetric etch rate profile. It can also be tilted over a range of angles to the beam direction. This enables control over the sidewall profile as well as radial uniformity optimisation. Ion beam directionality in conjunction with variable incident beam angle via platen angle setting enables profile control and feature shaping during nanopatterning. These hardware features unique to the Ion Beam etching methods can be used to create angled etch features. The CAIBE technique is also well suited to laser diode facet etch (for optoelectronic devices); these typically use III-V materials like InP. Here, we report on materials such as SiO2 etched without rotation and at a fixed platen angle allowing the formation of gratings and InP etched at a fixed angle with rotation allowing the formation of nanopillars and laser facets.

  11. Optimization of some electrochemical etching parameters for cellulose derivatives

    International Nuclear Information System (INIS)

    Chowdhury, Annis; Gammage, R.B.

    1978-01-01

    Electrochemical etching of fast neutron induced recoil particle tracks in cellulose derivatives and other polymers provides an inexpensive and sensitive means of fast neutron personnel dosimetry. A study of the shape, clarity, and size of the tracks in Transilwrap polycarbonate indicated that the optimum normality of the potassium hydroxide etching solution is 9 N. Optimizations have also been attempted for cellulose nitrate, triacetate, and acetobutyrate with respect to such electrochemical etching parameters as frequency, voltage gradient, and concentration of the etching solution. The measurement of differential leakage currents between the undamaged and the neutron damaged foils aided in the selection of optimum frequencies. (author)

  12. Advanced dry etching studies for micro- and nano-systems

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted

    and even contaminate the surface with metal flakes after resist removal. Ion beam etching has also been used for etching of steel without any problems with redeposition. For steel the etch rate was low which reduced the selectivity to the photo resist. Sapphire, a crystal of aluminum oxide, has a very low....... However, just generating an oxygen plasma does not result in a controllable etch and may give rise to a poor surface for later use. It may be necessary to introduce other gases such as SF6 to reduce surface roughness. Roughness can also be introduced by the mask in the form of redeposition of material...

  13. Chemical etching of stainless steel 301 for improving performance of electrochemical capacitors in aqueous electrolyte

    Science.gov (United States)

    Jeżowski, P.; Nowicki, M.; Grzeszkowiak, M.; Czajka, R.; Béguin, F.

    2015-04-01

    The main purpose of the study was to increase the surface roughness of stainless steel 301 current collectors by etching, in order to improve the electrochemical performance of electrical double-layer capacitors (EDLC) in 1 mol L-1 lithium sulphate electrolyte. Etching was realized in 1:3:30 (HNO3:HCl:H2O) solution with times varying up to 10 min. For the considered 15 μm thick foil and a mass loss around 0.4 wt.%, pitting was uniform, with diameter of pits ranging from 100 to 300 nm. Atomic force microscopy (AFM) showed an increase of average surface roughness (Ra) from 5 nm for the as-received stainless steel foil to 24 nm for the pitted material. Electrochemical impedance spectroscopy realized on EDLCs with coated electrodes either on as-received or pitted foil in 1 mol L-1 Li2SO4 gave equivalent distributed resistance (EDR) of 8 Ω and 2 Ω, respectively, demonstrating a substantial improvement of collector/electrode interface after pitting. Correlatively, the EDLCs with pitted collector displayed a better charge propagation and low ohmic losses even at relatively high current of 20 A g-1. Hence, chemical pitting of stainless steel current collectors is an appropriate method for optimising the performance of EDLCs in neutral aqueous electrolyte.

  14. Etching and oxidation of InAs in planar inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Dultsev, F.N., E-mail: fdultsev@thermo.isp.nsc.ru [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation); Kesler, V.G. [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation)

    2009-10-15

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH{sub 4}/H{sub 2}/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  15. Etching and oxidation of InAs in planar inductively coupled plasma

    Science.gov (United States)

    Dultsev, F. N.; Kesler, V. G.

    2009-10-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4/H 2/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  16. Etching and oxidation of InAs in planar inductively coupled plasma

    International Nuclear Information System (INIS)

    Dultsev, F.N.; Kesler, V.G.

    2009-01-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4 /H 2 /Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  17. Suboxide/subnitride formation on Ta masks during magnetic material etching by reactive plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hu; Muraki, Yu; Karahashi, Kazuhiro; Hamaguchi, Satoshi, E-mail: hamaguch@ppl.eng.osaka-u.ac.jp [Center for Atomic and Molecular Technologies, Osaka University, Yamadaoka 2-1, Suita 565-0871 (Japan)

    2015-07-15

    Etching characteristics of tantalum (Ta) masks used in magnetoresistive random-access memory etching processes by carbon monoxide and ammonium (CO/NH{sub 3}) or methanol (CH{sub 3}OH) plasmas have been examined by mass-selected ion beam experiments with in-situ surface analyses. It has been suggested in earlier studies that etching of magnetic materials, i.e., Fe, Ni, Co, and their alloys, by such plasmas is mostly due to physical sputtering and etch selectivity of the process arises from etch resistance (i.e., low-sputtering yield) of the hard mask materials such as Ta. In this study, it is shown that, during Ta etching by energetic CO{sup +} or N{sup +} ions, suboxides or subnitrides are formed on the Ta surface, which reduces the apparent sputtering yield of Ta. It is also shown that the sputtering yield of Ta by energetic CO{sup +} or N{sup +} ions has a strong dependence on the angle of ion incidence, which suggests a correlation between the sputtering yield and the oxidation states of Ta in the suboxide or subnitride; the higher the oxidation state of Ta, the lower is the sputtering yield. These data account for the observed etch selectivity by CO/NH{sub 3} and CH{sub 3}OH plasmas.

  18. A preliminary study on the etching behavior of SiO sub 2 aerogel film with CHF sub 3 gas

    CERN Document Server

    Wang, S J; Yeom, G Y

    1998-01-01

    Etching behavior of SiO sub 2 aerogel film has been investigated in order to examine the feasibility of its application to an interlevel dielectric material. Low dielectric property of SiO sub 2 aerogel film is simply originated from its highly porous structure, but interconnected particles are covered with surface chemical bondings (-OH, -OC sub 2 H sub 5 , etc). Etching experiments have been performed with high density inductively coupled CHF sub 3 plasma. The effects of porous structure and surface chemical bondings on the etching of SiO sub 2 aerogel film have been analyzed. The changes of surface morphology were observed using scanning electron microscopy. X-ray photoelectron spectroscopic analyses revealed compositions and chemical bonding states of reaction layer. From the analyses, 3-dimensional etching was not feasible macroscopically in SiO sub 2 aerogel film even with its porous nature because network structure was maintained through the etching process. Internal surface chemicals seemed to act an ...

  19. Quantifying the Sub-Cellular Distributions of Gold Nanospheres Uptaken by Cells through Stepwise, Site-Selective Etching.

    Science.gov (United States)

    Xia, Younan; Huo, Da

    2018-04-10

    A quantitative understanding of the sub-cellular distributions of nanoparticles uptaken by cells is important to the development of nanomedicine. With Au nanospheres as a model system, here we demonstrate, for the first time, how to quantify the numbers of nanoparticles bound to plasma membrane, accumulated in cytosol, and entrapped in lysosomes, respectively, through stepwise, site-selective etching. Our results indicate that the chance for nanoparticles to escape from lysosomes is insensitive to the presence of targeting ligand although ligand-receptor binding has been documented as a critical factor in triggering internalization. Furthermore, the presence of serum proteins is shown to facilitate the binding of nanoparticles to plasma membrane lacking the specific receptor. Collectively, these findings confirm the potential of stepwise etching in quantitatively analyzing the sub-cellular distributions of nanoparticles uptaken by cells in an effort to optimize the therapeutic effect. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Studies of the confinement at laser-induced backside dry etching using infrared nanosecond laser pulses

    Science.gov (United States)

    Ehrhardt, M.; Lorenz, P.; Bayer, L.; Han, B.; Zimmer, K.

    2018-01-01

    In the present study, laser-induced backside etching of SiO2 at an interface to an organic material using laser pulses with a wavelength of λ = 1064 nm and a pulse length of τ = 7 ns have been performed in order to investigate selected processes involved in etching of the SiO2 at confined ablation conditions with wavelengths well below the band gap of SiO2. Therefore, in between the utilized metallic absorber layer and the SiO2 surface, a polymer interlayer with a thickness between 20 nm to 150 nm was placed with the aim, to separate the laser absorption process in the metallic absorber layer from the etching process of the SiO2 surface due to the provided organic interlayer. The influence of the confinement of the backside etching process was analyzed by the deposition of different thick polymer layers on top of the metallic absorber layer. In particular, it was found that the SiO2 etching depth decreases with higher polymer interlayer thickness. However, the etching depth increases with increasing the confinement layer thickness. SEM images of the laser processed areas show that the absorber and confinement layers are ruptured from the sample surface without showing melting, and suggesting a lift off process of these films. The driving force for the layers lift off and the etching of the SiO2 is probably the generated laser-induce plasma from the confined ablation that provides the pressure for lift off, the high temperatures and reactive organic species that can chemically attack the SiO2 surface at these conditions.

  1. Self-assembled monolayer resists and nanoscale lithography of silicon dioxide thin films by chemically enhanced vapor etching (CEVE)

    Science.gov (United States)

    Pan, M.; Yun, M.; Kozicki, M. N.; Whidden, T. K.

    1996-10-01

    We report on the use of electron-beam exposed monolayers of undecylenic acid in the etch rate enhancement of silicon dioxide films in HF vapor for the formation of nanoscale features in the oxide. Variations of the etching characteristics with electron beam parameters are examined and the results analyzed in terms of proposed models of the etching mechanism. Apparent variations in the relative concentrations of etch initiator with the thermal history of the samples prior to etching provides support for the dominant etch initiator within this system as the carboxylic acid moiety bound at the oxide surface. Other variations in the etching characteristics are discussed in terms of differences in localized concentrations of hydrocarbon crosslinks and the effect that this has upon the etch initiation. The process has been employed in the production of features in silicon dioxide surface masks with sizes down to 50 nm.

  2. Thermal etching of silver: Influence of rolling defects

    Energy Technology Data Exchange (ETDEWEB)

    Ollivier, M., E-mail: o.maelig@imperial.ac.uk [Department of Materials, Imperial College London, SW7 2AZ (United Kingdom); Harker, R.M. [AWE Aldermaston, Aldermaston, Reading RG7 4PR (United Kingdom); Chater, R.J.; Gourlay, C.M. [Department of Materials, Imperial College London, SW7 2AZ (United Kingdom)

    2016-08-15

    Silver is well known to be thermally etched in an oxygen-rich atmosphere and has been extensively studied in the laboratory to understand thermal etching and to limit its effect when this material is used as a catalyst. Yet, in many industrial applications the surface of rolled silver sheets is used without particular surface preparation. Here, it is shown by combining FIB-tomography, FIB-SIMS and analytical SEM that the kinetics of thermal etch pitting are significantly faster on rolled Ag surfaces than on polished surfaces. This occurs due to range of interacting phenomena including (i) the reaction of subsurface carbon-contamination with dissolved oxygen to form pores that grow to intersect the surface, (ii) surface reconstruction around corrosion pits and surface scratches, and (iii) sublimation at low pressure and high temperature. A method to identify subsurface pores is developed to show that the pores have (111) and (100) internal facets and may be filled with a gas coming from the chemical reaction of oxygen and carbon contamination. - Highlights: Thermal etching of industrial silver sheets vs. polished silver sheets Effect of annealing atmosphere on the thermal etching of silver: surface and subsurface characterization Link between etch pitting and defects induced by rolling. FIB-tomography coupled with EBSD for determining crystal planes of the facets of subsurface pores. FIB-SIMS characterization to probe the gas confined inside subsurface pores.

  3. Dry Phosphorus silicate glass etching and surface conditioning and cleaning for multi-crystalline silicon solar cell processing

    International Nuclear Information System (INIS)

    Kagilik, Ahmed S.

    2014-01-01

    As an alternative to the wet chemical etching method, dry chemical etching processes for Phosphorus silicate glass [PSG} layer removal using Trifluormethane/Sulfur Hexafluoride (CHF 3 / SF 6 ) gas mixture in commercial silicon-nitride plasma enhanced chemical vapour deposition (SiN-PECVD) system is applied. The dependence of the solar cell performance on the etching temperature is investigated and optimized. It is found that the SiN-PECVD system temperature variation has a significant impact on the whole solar cell characteristics. A dry plasma cleaning treatment of the Si wafer surface after the PSG removal step is also investigated and developed. The cleaning step is used to remove the polymer film which is formed during the PSG etching using both oxygen and hydrogen gases. By applying an additional cleaning step, the polymer film deposited on the silicon wafer surface after PSG etching is eliminated. The effect of different plasma cleaning conditions on solar cell performance is investigated. After optimization of the plasma operating conditions, the performance of the solar cell is improved and the overall gain in efficiency of 0.6% absolute is yielded compared to a cell without any further cleaning step. On the other hand, the best solar cell characteristics can reach values close to that achieved by the conventional wet chemical etching processes demonstrating the effectiveness of the additional O 2 /H 2 post cleaning treatment.(author)

  4. Plasma etching of (Ba,Sr)TiO3 thin films using inductively coupled Cl2/Ar and BCl3/Cl2/Ar plasma

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2005-01-01

    BST thin films were etched with inductively coupled plasmas. A chemically assisted physical etch of BST was experimentally confirmed by ICP under various gas mixtures. After a 20% addition of BCl 3 to the Cl 2 /Ar mixture, resulting in an increased the chemical effect. As increases of RF power and substrate power, and decrease of working pressure, the ion energy flux and chlorine atoms density increased. The maximum etch rate of the BST thin films was 90.1 nm/min, and at the RF power, substrate power, and working pressure were 700 W, 300 W, and 1.6 Pa, respectively. It was proposed that sputter etching is dominant etching mechanism while the contribution of chemical reaction is relatively low due to low volatility of etching products

  5. Characterisation of anisotropic etching in KOH using network etch rate function model: influence of an applied potential in terms of microscopic properties

    International Nuclear Information System (INIS)

    Nguyen, Q D; Elwenspoek, M

    2006-01-01

    Using the network etch rate function model, the anisotropic etch rate of p-type single crystal silicon was characterised in terms of microscopic properties including step velocity, step and terrace roughening. The anisotropic etch rate data needed have been obtained using a combination of 2 wagon wheel patterns on different substrate and 1 offset trench pattern. Using this procedure the influence of an applied potential has been investigated in terms of microscopic properties. Model parameter trends show a good correlation with chemical/electrochemical reaction mechanism and mono- and dihydride terminated steps reactivity difference. Results also indicate a minimum in (111) terrace roughening which results in a peak in anisotropic ratio at the non-OCP applied potential of -1250 mV vs OCP

  6. Wet etch methods for InAs nanowire patterning and self-aligned electrical contacts

    Science.gov (United States)

    Fülöp, G.; d'Hollosy, S.; Hofstetter, L.; Baumgartner, A.; Nygård, J.; Schönenberger, C.; Csonka, S.

    2016-05-01

    Advanced synthesis of semiconductor nanowires (NWs) enables their application in diverse fields, notably in chemical and electrical sensing, photovoltaics, or quantum electronic devices. In particular, indium arsenide (InAs) NWs are an ideal platform for quantum devices, e.g. they may host topological Majorana states. While the synthesis has been continously perfected, only a few techniques have been developed to tailor individual NWs after growth. Here we present three wet chemical etch methods for the post-growth morphological engineering of InAs NWs on the sub-100 nm scale. The first two methods allow the formation of self-aligned electrical contacts to etched NWs, while the third method results in conical shaped NW profiles ideal for creating smooth electrical potential gradients and shallow barriers. Low temperature experiments show that NWs with etched segments have stable transport characteristics and can serve as building blocks of quantum electronic devices. As an example we report the formation of a single electrically stable quantum dot between two etched NW segments.

  7. Surfactant-controlled etching of ion track nanopores and its practical applications in membrane technology

    International Nuclear Information System (INIS)

    Apel, P.Yu.; Blonskaya, I.V.; Dmitriev, S.N.; Mamonova, T.I.; Orelovitch, O.L.; Sartowska, B.; Yamauchi, Yu.

    2008-01-01

    The effect of surfactants on chemical development of ion tracks in polymers has been studied. It has been shown that surface-active agents added to an alkaline etching solution adsorb on the polymer surface at the pore entrances. This reduces the etch rate, which leads to the formation of pores tapered toward the surface. Self-assembly of surfactant molecules at the pore entrance creates a barrier for their penetration into the etched-out nanopores, whereas hydroxide ions diffuse freely. Due to this, the internal pore volume grows faster than the pore surface diameter. The ability to control pore shape is demonstrated with the fabrication of profiled nano- and micropores in polyethylene terephthalate, polycarbonate. Some earlier published data on small track-etched pores in polycarbonate (in particular, the pore diameter vs. etching time curves measured conductometrically) have been revised in light of the above findings. Adding surfactants to chemical etchants makes it possible to optimize the structure of track membranes, thus improving their retention and permeation properties. Asymmetric membranes with thin skin retention layers have been produced and their performance studied

  8. Influence of copper foil polycrystalline structure on graphene anisotropic etching

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Kamal P. [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Mahyavanshi, Rakesh D. [Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Kalita, Golap, E-mail: kalita.golap@nitech.ac.jp [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Tanemura, Masaki [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan)

    2017-01-30

    Graphical abstract: Hexagonal hole formation with anisotropic etching independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. - Highlights: • Reveal the influence of copper polycrystalline structure on anisotropic etching of graphene. • Hexagonal hole formation with etching is observed to be independent of stripes and wrinkles in graphene. • Variation in etched pattern of graphene depending on the base Cu grain is confirmed. • This finding will help to understand the nature of microscopic etched pattern in graphene. - Abstract: Anisotropic etching of graphene and other two dimensional materials is an important tool to understand the growth process as well as enabling fabrication of various well-defined structures. Here, we reveal the influence of copper foil polycrystalline structure on anisotropic etching process of as-synthesized graphene. Graphene crystals were synthesized on the polycrystalline Cu foil by a low-pressure chemical vapor deposition (LPCVD) system. Microscopic analysis shows difference in shape, size and stripes alignment of graphene crystals with dissimilar nucleation within closure vicinity of neighboring Cu grains. Post-growth etching of such graphene crystals also significantly affected by the crystallographic nature of Cu grains as observed by the field emission scanning electron microscope (FE-SEM) and electron back scattered diffraction (EBSD) analysis. Hexagonal hole formation with anisotropic etching is observed to be independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. The findings can facilitate to understand the nature of microscopic etched pattern depending on metal

  9. Influence of copper foil polycrystalline structure on graphene anisotropic etching

    International Nuclear Information System (INIS)

    Sharma, Kamal P.; Mahyavanshi, Rakesh D.; Kalita, Golap; Tanemura, Masaki

    2017-01-01

    Graphical abstract: Hexagonal hole formation with anisotropic etching independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. - Highlights: • Reveal the influence of copper polycrystalline structure on anisotropic etching of graphene. • Hexagonal hole formation with etching is observed to be independent of stripes and wrinkles in graphene. • Variation in etched pattern of graphene depending on the base Cu grain is confirmed. • This finding will help to understand the nature of microscopic etched pattern in graphene. - Abstract: Anisotropic etching of graphene and other two dimensional materials is an important tool to understand the growth process as well as enabling fabrication of various well-defined structures. Here, we reveal the influence of copper foil polycrystalline structure on anisotropic etching process of as-synthesized graphene. Graphene crystals were synthesized on the polycrystalline Cu foil by a low-pressure chemical vapor deposition (LPCVD) system. Microscopic analysis shows difference in shape, size and stripes alignment of graphene crystals with dissimilar nucleation within closure vicinity of neighboring Cu grains. Post-growth etching of such graphene crystals also significantly affected by the crystallographic nature of Cu grains as observed by the field emission scanning electron microscope (FE-SEM) and electron back scattered diffraction (EBSD) analysis. Hexagonal hole formation with anisotropic etching is observed to be independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. The findings can facilitate to understand the nature of microscopic etched pattern depending on metal

  10. Micro-texturing into DLC/diamond coated molds and dies via high density oxygen plasma etching

    Directory of Open Access Journals (Sweden)

    Yunata Ersyzario Edo

    2015-01-01

    Full Text Available Diamond-Like Carbon (DLC and Chemical Vapor Deposition (CVD-diamond films have been widely utilized not only as a hard protective coating for molds and dies but also as a functional substrate for bio-MEMS/NEMS. Micro-texturing into these hard coated molds and dies provides a productive tool to duplicate the original mother micro-patterns onto various work materials and to construct any tailored micro-textures for sensors and actuators. In the present paper, the high density oxygen plasma etching method is utilized to make micro-line and micro-groove patterns onto the DLC and diamond coatings. Our developing oxygen plasma etching system is introduced together with characterization on the plasma state during etching. In this quantitative plasma diagnosis, both the population of activated species and the electron and ion densities are identified through the emissive light spectroscopy and the Langmuir probe method. In addition, the on-line monitoring of the plasmas helps to describe the etching process. DLC coated WC (Co specimen is first employed to describe the etching mechanism by the present method. Chemical Vapor Deposition (CVD diamond coated WC (Co is also employed to demonstrate the reliable capacity of the present high density oxygen plasma etching. This oxygen plasma etching performance is discussed by comparison of the etching rates.

  11. Optimization of silver-assisted nano-pillar etching process in silicon

    International Nuclear Information System (INIS)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-01-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert ® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H 2 O 2 ), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H 2 O 2 concentration and etching time. The predicted model is in good agreement with the experimental data where R 2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time

  12. Optimization of silver-assisted nano-pillar etching process in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Azhari, Ayu Wazira, E-mail: ayuwazira@unimap.edu.my [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); School of Environmental Engineering, Universiti Malaysia Perlis, 01000, Kangar, Perlis (Malaysia); Sopian, Kamaruzzaman [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); Desa, Mohd Khairunaz Mat [School of Electrical and Electronic Engineering, Universiti Sains Malaysia, Nibong Tebal, Pulau Pinang, 14300 (Malaysia); Zaidi, Saleem H. [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia)

    2015-12-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert{sup ®} software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H{sub 2}O{sub 2}), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H{sub 2}O{sub 2} concentration and etching time. The predicted model is in good agreement with the experimental data where R{sup 2} is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant

  13. Etching mechanism of MgO thin films in inductively coupled Cl2/Ar plasma

    International Nuclear Information System (INIS)

    Efremov, A.M.; Koo, Seong-Mo; Kim, Dong-Pyo; Kim, Kyoung-Tae; Kim, Chang-Il

    2004-01-01

    The etching mechanism of MgO thin films in Cl 2 /Ar plasma was investigated. It was found that the increasing Ar in the mixing ratio of Cl 2 /Ar plasma causes nonmonotonic MgO etch rate, which reaches a maximum value at 70%Ar+30%Cl 2 . Langmuir probe measurement showed the noticeable influence of Cl 2 /Ar mixing ratio on electron temperature and electron density. The zero-dimensional plasma model indicated monotonic changes of both densities and fluxes of active species. At the same time, analyses of surface kinetics showed the possibility of nonmonotonic etch rate behavior due to the concurrence of physical and chemical pathways in ion-assisted chemical reaction

  14. Highly sensitive refractive index fiber inline Mach-Zehnder interferometer fabricated by femtosecond laser micromachining and chemical etching

    Science.gov (United States)

    Sun, Xiao-Yan; Chu, Dong-Kai; Dong, Xin-Ran; Zhou, Chu; Li, Hai-Tao; Luo-Zhi; Hu, You-Wang; Zhou, Jian-Ying; Cong-Wang; Duan, Ji-An

    2016-03-01

    A High sensitive refractive index (RI) sensor based on Mach-Zehnder interferometer (MZI) in a conventional single-mode optical fiber is proposed, which is fabricated by femtosecond laser transversal-scanning inscription method and chemical etching. A rectangular cavity structure is formed in part of fiber core and cladding interface. The MZI sensor shows excellent refractive index sensitivity and linearity, which exhibits an extremely high RI sensitivity of -17197 nm/RIU (refractive index unit) with the linearity of 0.9996 within the refractive index range of 1.3371-1.3407. The experimental results are consistent with theoretical analysis.

  15. Exploration of suitable dry etch technologies for directed self-assembly

    Science.gov (United States)

    Yamashita, Fumiko; Nishimura, Eiichi; Yatsuda, Koichi; Mochiki, Hiromasa; Bannister, Julie

    2012-03-01

    Directed self-assembly (DSA) has shown the potential to replace traditional resist patterns and provide a lower cost alternative for sub-20-nm patterns. One of the possible roadblocks for DSA implementation is the ability to etch the polymers to produce quality masks for subsequent etch processes. We have studied the effects of RF frequency and etch chemistry for dry developing DSA patterns. The results of the study showed a capacitively-coupled plasma (CCP) reactor with very high frequency (VHF) had superior pattern development after the block co-polymer (BCP) etch. The VHF CCP demonstrated minimal BCP height loss and line edge roughness (LER)/line width roughness (LWR). The advantage of CCP over ICP is the low dissociation so the etch rate of BCP is maintained low enough for process control. Additionally, the advantage of VHF is the low electron energy with a tight ion energy distribution that enables removal of the polymethyl methacrylate (PMMA) with good selectivity to polystyrene (PS) and minimal LER/LWR. Etch chemistries were evaluated on the VHF CCP to determine ability to treat the BCPs to increase etch resistance and feature resolution. The right combination of RF source frequencies and etch chemistry can help overcome the challenges of using DSA patterns to create good etch results.

  16. Chemically etched edges of YBa2Cu3O7 films for interconnects, crossovers and Josephson junctions

    International Nuclear Information System (INIS)

    Poppe, U.; Faley, M.I.; Urban, K.; Soltner, H.

    1993-01-01

    To produce damage-free edges is one of the main problems during the preparation of Josephson edge-type junctions and interconnects in multilayer structures including high temperature superconductors. The inherently short and anisotropic coherence length in high temperature superconductors makes it also difficult to fabricate Josephson junctions from these materials. One promising technique which helps to overcome such problems using a nonaqueous chemical etching with a Br-ethanol solution was first presented in a recent publication. Here we report results obtained with the use of this method: test of insulation properties of PrBa 2 Cu 3 O 7 , PrBa 2 Cu 2.85 Ga 0.15 O 7 , and SrTiO 3 used for crossovers and Josephson junctions. Some features of interconnects and Josephson junctions, prepared on the basis of the chemical technique are also discussed. (orig.)

  17. Metal-assisted chemical etching in HF/Na2S2O8 OR HF/KMnO4 produces porous silicon

    NARCIS (Netherlands)

    Hadjersi, T.; Gabouze, N.; Kooij, Ernst S.; Zinine, A.; Zinine, A.; Ababou, A.; Chergui, W.; Cheraga, H.; Belhousse, S.; Djeghri, A.

    2004-01-01

    A new metal-assisted chemical etching method using Na2S2O8 or KMnO4 as an oxidizing agent was proposed to form a porous silicon layer on a highly resistive p-type silicon. A thin layer of Ag or Pd is deposited on the Si(100) surface prior to immersion in a solution of HF and Na2S2O8 or HF and KMnO4.

  18. Surface etching technologies for monocrystalline silicon wafer solar cells

    Science.gov (United States)

    Tang, Muzhi

    With more than 200 GW of accumulated installations in 2015, photovoltaics (PV) has become an important green energy harvesting method. The PV market is dominated by solar cells made from crystalline silicon wafers. The engineering of the wafer surfaces is critical to the solar cell cost reduction and performance enhancement. Therefore, this thesis focuses on the development of surface etching technologies for monocrystalline silicon wafer solar cells. It aims to develop a more efficient alkaline texturing method and more effective surface cleaning processes. Firstly, a rapid, isopropanol alcohol free texturing method is successfully demonstrated to shorten the process time and reduce the consumption of chemicals. This method utilizes the special chemical properties of triethylamine, which can form Si-N bonds with wafer surface atoms. Secondly, a room-temperature anisotropic emitter etch-back process is developed to improve the n+ emitter passivation. Using this method, 19.0% efficient screen-printed aluminium back surface field solar cells are developed that show an efficiency gain of 0.15% (absolute) compared with conventionally made solar cells. Finally, state-of-the-art silicon surface passivation results are achieved using hydrogen plasma etching as a dry alternative to the classical hydrofluoric acid wet-chemical process. The effective native oxide removal and the hydrogenation of the silicon surface are shown to be the reasons for the excellent level of surface passivation achieved with this novel method.

  19. Etch Defect Characterization and Reduction in Hard-Mask-Based Al Interconnect Etching

    International Nuclear Information System (INIS)

    Lee, H.J.; Hung, C.L.; Leng, C.H.; Lian, N.T.; Young, L.W.

    2009-01-01

    This paper identifies the defect adders, for example, post hard-mask etch residue, post metal etch residue, and blocked etch metal island and investigates the removal characteristics of these defects within the oxide-masked Al etching process sequence. Post hard-mask etch residue containing C atom is related to the hardening of photoresist after the conventional post-RIE ashing at 275 degree C. An in situ O 2 -based plasma ashing on RIE etcher was developed to prevent the photoresist hardening from the high-ashing temperature; followed wet stripping could successfully eliminate such hardened polymeric residue. Post metal etch residue was caused from the attack of the Al sidewall by Cl atoms, and too much CHF 3 addition in the Al main etch step passivated the surface of Al resulting in poor capability to remove the Al-containing residue. The lower addition of CHF 3 in the Al main etch step would benefit from the residue removal. One possibility of blocked etch metal island creating was due to the micro masking formed on the opening of Ti N during the hard-mask patterning. We report that an additional Ti N surface pretreatment with the Ar/CHF 3 /N 2 plasmas could reduce the impact of the micro masking residues on blocked metal etch.

  20. The electrochemistry of InP in Br2/HBr solutions and its relevance to etching behaviour

    NARCIS (Netherlands)

    Notten, P.H.L.; Damen, A.A.J.M.

    1987-01-01

    Etch rate-potential curves of p-InP in HBr and Br2/HBr solutions in the dark and under illumination were correlated with current-potential curves. It was found that InP is etched via a "chemical" mechanism both by HBr and Br2. In aqueous HBr solutions InP is only etched at a significant rate at

  1. A Reactive-Ion Etch for Patterning Piezoelectric Thin Film

    Science.gov (United States)

    Yang, Eui-Hyeok; Wild, Larry

    2003-01-01

    Reactive-ion etching (RIE) under conditions described below has been found to be a suitable means for patterning piezoelectric thin films made from such materials as PbZr(1-x)Ti(x)O3 or Ba(x)Sr(1.x)TiO3. In the original application for which this particular RIE process was developed, PbZr(1-x)Ti(x)O3 films 0.5 microns thick are to be sandwiched between Pt electrode layers 0.1 microns thick and Ir electrode layers 0.1 microns thick to form piezoelectric capacitor structures. Such structures are typical of piezoelectric actuators in advanced microelectromechanical systems now under development or planned to be developed in the near future. RIE of PbZr(1-x)Ti(x)O3 is usually considered to involve two major subprocesses: an ion-assisted- etching reaction, and a sputtering subprocess that removes reactive byproducts. RIE is favored over other etching techniques because it offers a potential for a high degree of anisotropy, high-resolution pattern definition, and good process control. However, conventional RIE is not ideal for patterning PbZr(1-x)Ti(x)O3 films at a thickness as great as that in the original intended application. In order to realize the potential benefits mentioned above, it is necessary to optimize process conditions . in particular, the composition of the etching gas and the values of such other process parameters as radio-frequency power, gas pressure, gas-flow rate, and duration of the process. Guidelines for determining optimum conditions can be obtained from experimental determination of etch rates as functions of these parameters. Etch-gas mixtures of BCl3 and Cl2, some also including Ar, have been found to offer a high degree of selectivity as needed for patterning of PbZr(1-x)Ti(x)O3 films on top of Ir electrode layers in thin-film capacitor structures. The selectivity is characterized by a ratio of approx.10:1 (rate of etching PbZr(1-x)Ti(x)O3 divided by rate of etching Ir and IrO(x)). At the time of reporting the information for this article

  2. Formation of hydrogen-related traps in electron-irradiated n-type silicon by wet chemical etching

    International Nuclear Information System (INIS)

    Tokuda, Yutaka; Shimada, Hitoshi

    1998-01-01

    Interaction of hydrogen atoms and vacancy-related defects in 10 MeV electron-irradiated n-type silicon has been studied by deep-level transient spectroscopy. Hydrogen has been incorporated into electron-irradiated n-type silicon by wet chemical etching. The reduction of the concentration of the vacancy-oxygen pair and divacancy occurs by the incorporation of hydrogen, while the formation of the NH1 electron trap (E c - 0.31 eV) is observed. Further decrease of the concentration of the vacancy-oxygen pair and further increase of the concentration of the NH1 trap are observed upon subsequent below-band-gap light illumination. It is suggested that the trap NH1 is tentatively ascribed to the vacancy-oxygen pair which is partly saturated with hydrogen

  3. Surfactant-enhanced control of track-etch pore morphology

    International Nuclear Information System (INIS)

    Apel', P.Yu.; Blonskaya, I.V.; Didyk, A.Yu.; Dmitriev, S.N.; Orelovich, O.L.; Samojlova, L.I.; Vutsadakis, V.A.; Root, D.

    2000-01-01

    The influence of surfactants on the process of chemical development of ion tracks in polymers is studied. Based on the experimental data, a mechanism of the surfactant effect on the track-etch pore morphology is proposed. In the beginning of etching the surfactant is adsorbed on the surface and creates a layer that is quasi-solid and partially protects the surface from the etching agent. However, some etchant molecules diffuse through the barrier and react with the polymer surface. This results in the formation of a small hole at the entrance to the ion track. After the hole has attained a few annometers in diameter, the surfactant molecules penetrate into the track and cover its walls. Further diffusion of the surfactant into the growing pore is hindered. The adsorbed surfactant layer is not permeable for large molecules. In contrast, small alkali molecules and water molecules diffuse into the track and provide the etching process enlarging the pore. At this stage the transport of the surfactant into the pore channel can proceed only due to the lateral diffusion in the adsorbed layer. The volume inside the pore is free of surfactant molecules and grows at a higher rate than pore entrance. After a more prolonged etching the bottle-like (or 'cigar-like') pore channels are formed. The bottle-like shape of the pore channels depends on the etching conditions such as alkali and surfactant concentration, temperature, and type of the surfactant. The use of surfactants enables one to produce track-etch membranes with improved flow rate characteristics compared with those having cylindrical pores with the same nominal pore diameters

  4. Plasma etching a ceramic composite. [evaluating microstructure

    Science.gov (United States)

    Hull, David R.; Leonhardt, Todd A.; Sanders, William A.

    1992-01-01

    Plasma etching is found to be a superior metallographic technique for evaluating the microstructure of a ceramic matrix composite. The ceramic composite studied is composed of silicon carbide whiskers (SiC(sub W)) in a matrix of silicon nitride (Si3N4), glass, and pores. All four constituents are important in evaluating the microstructure of the composite. Conventionally prepared samples, both as-polished or polished and etched with molten salt, do not allow all four constituents to be observed in one specimen. As-polished specimens allow examination of the glass phase and porosity, while molten salt etching reveals the Si3N4 grain size by removing the glass phase. However, the latter obscures the porosity. Neither technique allows the SiC(sub W) to be distinguished from the Si3N4. Plasma etching with CF4 + 4 percent O2 selectively attacks the Si3N4 grains, leaving SiC(sub W) and glass in relief, while not disturbing the pores. An artifact of the plasma etching reaction is the deposition of a thin layer of carbon on Si3N4, allowing Si3N4 grains to be distinguished from SiC(sub W) by back scattered electron imaging.

  5. Grafting on nuclear tracks using the active sites that remain after the etching process

    International Nuclear Information System (INIS)

    Mazzei, R.; Bermudez, G. Garcia; Chappa, V.C.; Grosso, M.F. del; Fernandez, A.

    2006-01-01

    Poly(propylene) foils were irradiated with Ag ions and then chemically etched to produce samples with structured surfaces. After the etching procedure the active sites that remain on the latent track were used to graft acrylic acid. Nuclear tracks before grafting were visualised using a transmission electron microscope. The grafting yields were determined by weight measurements as a function of ion fluence, etching and grafting time, and were also analysed using Fourier transform infrared spectroscopy. Both measurements suggest that the acrylic acid was grafted on etched tracks using the active sites produced by the swift heavy ion beam

  6. Grafting on nuclear tracks using the active sites that remain after the etching process

    Energy Technology Data Exchange (ETDEWEB)

    Mazzei, R. [Unidad de Aplicaciones Tecnologicas y Agropecuarias, CNEA, 1429 Buenos Aires (Argentina) and Universidad Tecnologica Nacional, Buenos Aires (Argentina)]. E-mail: mazzei@cae.cnea.gov.ar; Bermudez, G. Garcia [U. A. de Fisica, Tandar, CNEA, 1429 Buenos Aires (Argentina); Escuela de Ciencia y Tecnologia, UNSAM, 1653 Buenos Aires (Argentina); Consejo Nacional de Investigaciones Cientificas y Tecnicas (Argentina); Chappa, V.C. [U. A. de Fisica, Tandar, CNEA, 1429 Buenos Aires (Argentina); Grosso, M.F. del [U. A. de Fisica, Tandar, CNEA, 1429 Buenos Aires (Argentina); U. A. de Materiales, CNEA, 1429 Buenos Aires (Argentina); Fernandez, A. [Universidad Tecnologica Nacional, Buenos Aires (Argentina)

    2006-09-15

    Poly(propylene) foils were irradiated with Ag ions and then chemically etched to produce samples with structured surfaces. After the etching procedure the active sites that remain on the latent track were used to graft acrylic acid. Nuclear tracks before grafting were visualised using a transmission electron microscope. The grafting yields were determined by weight measurements as a function of ion fluence, etching and grafting time, and were also analysed using Fourier transform infrared spectroscopy. Both measurements suggest that the acrylic acid was grafted on etched tracks using the active sites produced by the swift heavy ion beam.

  7. Influence of Pre-etching Times on Fatigue Strength of Self-etch Adhesives to Enamel.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Endo, Hajime; Tsuchiya, Kenji; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    To use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence of phosphoric acid pre-etching times prior to application of self-etch adhesives on enamel bonding. Two single-step self-etch universal adhesives (Prime&Bond Elect and Scotchbond Universal), a conventional single-step self-etch adhesive (G-ӕnial Bond), and a conventional two-step self-etch adhesive (OptiBond XTR) were used. The SBS and SFS were obtained with phosphoric acid pre-etching for 3, 10, or 15 s prior to application of the adhesives, and without pre-etching (0 s) as a control. A staircase method was used to determine the SFS with 10 Hz frequency for 50,000 cycles or until failure occurred. The mean demineralization depth for each treated enamel surface was also measured using a profilometer. For all the adhesives, the groups with pre-etching showed significantly higher SBS and SFS than groups without pre-etching. However, there was no significant difference in SBS and SFS among groups with > 3 s of preetching. In addition, although the groups with pre-etching showed significantly deeper demineralization depths than groups without pre-etching, there was no significant difference in depth among groups with > 3 s of pre-etching. Three seconds of phosphoric acid pre-etching prior to application of self-etch adhesive can enhance enamel bonding effectiveness.

  8. Etching and anti-etching strategy for sensitive colorimetric sensing of H2O2 and biothiols based on silver/carbon nanomaterial.

    Science.gov (United States)

    Hou, Wenli; Liu, Xiaoying; Lu, Qiujun; Liu, Meiling; Zhang, Youyu; Yao, Shouzhuo

    2018-02-01

    In this paper, the colorimetric sensing of H 2 O 2 related molecules and biothiols based on etching and anti-etching strategy was firstly proposed. Ag/carbon nanocomposite (Ag/C NC) was served as the sensing nanoprobe, which was synthesized via carbon dots (C-dots) as the reductant and stabilizer. The characteristic surface plasmon resonance (SPR) absorbance of Ag nanoparticles (AgNPs) was sensitive to the amount of hydrogen peroxide (H 2 O 2 ). It exhibited strong optical responses to H 2 O 2 with the solution colour changing from yellow to nearly colourless, which is resulted from the etching of Ag by H 2 O 2 . The sensing platform was further extended to detect H 2 O 2 related molecules such as lactate in coupling with the specific catalysis oxidation of L-lactate by lactate oxidase (LOx) and formation of H 2 O 2 . It provides wide linear range for detecting H 2 O 2 in 0.1-80μM and 80-220μM with the detection limit as low as 0.03μM (S/N=3). In the presence of biothiols, the etching from the H 2 O 2 can be hampered. Other biothiols exhibit anti-etching effects well. The strategy works well in detecting of typical biothiols including cysteine (Cys), homocysteine (Hcy) and glutathione (GSH). Thus, a simple colorimetric strategy for sensitive detection of H 2 O 2 and biothiols is proposed. It is believed that the colorimetric sensor based on etching and anti-etching strategy can be applied in other systems in chemical and biosensing areas. Copyright © 2017 Elsevier B.V. All rights reserved.

  9. Self-etching adhesive on intact enamel, with and without pre-etching.

    Science.gov (United States)

    Devarasa, G M; Subba Reddy, V V; Chaitra, N L; Swarna, Y M

    2012-05-01

    Bond strengths of composite resin to enamel using self-etch adhesive (SEA) Clearfil SE bond system on intact enamel and enamel pre-etched with phosphoric acid were compared. The objective was to determine if the pre-etching would increase the bond strengths of the SEA systems to intact enamel and to evaluate the effect of pre-etching on bond formation of self-etch adhesives on intact enamel. Labial surfaces of 40 caries free permanent upper central and lateral incisors were cleaned, sectioned of their roots. All specimens were mounted on acrylic block and divided randomly into four groups. In two groups the application of self-etch adhesive, Clearfil SE bond was carried as per manufacturer's instructions, composite cylinders were built, whereas in the other two groups, 37% phosphoric acid etching was done before the application of self-etching adhesives. Then the resin tags were analyzed using scanning electron microscope and shear bond strength was measured using Instron universal testing machine. When phosphoric acid was used, there was significant increase in the depth of penetration of resin tags and in the Shear Bond Strength of composite to enamel. The results indicate that out of both treatment groups, pre-etching the intact enamel with 37% phosphoric acid resulted in formation of longer resin tags and higher depth of penetration of resin tags of the Clearfil SE bond, and attaining higher bond strength of the Clearfil SE bond to intact enamel. Copyright © 2011 Wiley Periodicals, Inc.

  10. Study on morphology of high-aspect-ratio grooves fabricated by using femtosecond laser irradiation and wet etching

    International Nuclear Information System (INIS)

    Chen, Tao; Pan, An; Li, Cunxia; Si, Jinhai; Hou, Xun

    2015-01-01

    Highlights: • We studied morphologies of silicon grooves fabricated by laser irradiation and wet etching. • We found nano-ripple structures formed on the groove sidewall. • Formations of nano-ripples were due to the formation of standing wave and nanoplanes. • Remaining debris on the groove bottom was removed by KOH etching. - Abstract: Morphologies of high-aspect-ratio silicon grooves fabricated by using femtosecond laser irradiation and selective chemical etching of hydrofluoric acid (HF) were studied. Oxygen was deeply doped into silicon under femtosecond laser irradiation in air, and then the oxygen-doped regions were removed by HF etching to form high-aspect-ratio grooves. After HF etching, periodic nano-ripples which were induced in silicon by femtosecond laser were observed on the groove sidewalls. The ripple orientation was perpendicular or parallel to the laser propagation direction (z direction), which depended on the relative direction between the laser polarization direction and the scanning direction. The formation of nano-ripples with orientations perpendicular to z direction could be attributed to the standing wave generated by the interference of the incident light and the reflected light in z direction. The formation of nano-ripples with orientations parallel to z direction could be attributed to the formation of self-organized periodic nanoplanes (bulk nanogratings) induced by femtosecond laser inside silicon. Materials in the tail portion of laser-induced oxygen doping (LIOD) regions were difficult to be etched by HF solution due to low oxygen concentration. The specimen was etched further in KOH solution to remove remaining materials in LIOD regions and all-silicon grooves were fabricated

  11. Titanium-based spectrally selective surfaces for solar thermal systems

    Energy Technology Data Exchange (ETDEWEB)

    Wilson, A D; Holmes, J P

    1983-10-01

    A study of spectrally selective surfaces based on anodic oxide films on titanium is presented. These surfaces have low values of solar absorptance, 0.77, due to the nonideal optical properties of the anodic TiO2 for antireflection of titanium. A simple chemical etching process is described which gives a textured surface with dimensions similar to the wavelengths of solar radiation, leading to spectral selectivity. The performance of this dark-etched surface can be further improved by anodising, and optimum absorbers have been produced with alpha(s) 0.935 and hemispherical emittances (400 K) 0.23. The surface texturing effects a significant improvement in alpha(s) at oblique incidence.

  12. Effect of ferric sulfate contamination on the bonding effectiveness of etch-and-rinse and self-etch adhesives to superficial dentin

    OpenAIRE

    Shahram Farzin Ebrahimi; Niloofar Shadman; Arezoo Abrishami

    2013-01-01

    Aim: This study investigated the effect of one hemostatic agent on the shear bond strength of self-etch and etch-and-rinse adhesive systems. Materials and Methods: Sixty extracted third molars were selected. After preparing a flat surface of superficial dentin, they were randomly divided into six groups. Adhesives were Tetric N-Bond, AdheSE, and AdheSE One F. Before applying adhesives, surfaces were contaminated with ViscoStat for 60 s in three groups and rinsed. Then composite were attached ...

  13. Dry etching technology for semiconductors

    CERN Document Server

    Nojiri, Kazuo

    2015-01-01

    This book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits.  The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes.  The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning ...

  14. Etching of semiconductor cubic crystals: Determination of the dissolution slowness surfaces

    Science.gov (United States)

    Tellier, C. R.

    1990-03-01

    Equations of the representative surface of dissolution slowness for cubic crystals are determined in the framework of a tensorial approach of the orientation-dependent etching process. The independent dissolution constants are deduced from symmetry considerations. Using previous data on the chemical etching of germanium and gallium arsenide crystals, some possible polar diagrams of the dissolution slowness are proposed. A numerical and graphical simulation method is used to obtain the derived dissolution shapes. The influence of extrema in the dissolution slowness on the successive dissolution shapes is also examined. A graphical construction of limiting shapes of etched crystals appears possible using the tensorial representation of the dissolution slowness.

  15. Etching of LiNbO/sub 3/ by laser-driven fusion of salts

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Brannon, P.J.

    1987-01-01

    Lithium niobate exhibits low reactivity with most chemical etchants. Consequently, etching a LiNbO/sub 3/ surface to produce optical structures such as ridge waveguides or grooves for fiber coupling normally requires relatively slow processes such as ion milling. The authors have developed a laser-driven chemical etching process for etching highly unreactive ionic solids based on the fusion of salts in the molten phase and show that the etch rate can be more than 100 times faster than ion milling rates. This process involves spatially localized melting of LiNbO/sub 3/ by high-power density laser pulses with photon energies in excess of the band gap of LiNbO/sub 3/. While molten, LiNbO/sub 3/ undergoes reaction with a surface coating of KF to form niobium oxyfluoride anions by fusion of the salts. The resulting solid is highly water soluble. The insolubility of LiNbO/sub 3/ permits subsequent removal of only the irradiated area by rinsing in water. Surface morphology is determined by laser power density. The process exhibits a wavelength dependence

  16. ECE laboratory in the Vinca Institute - its basic characteristics and fundamentals of electrochemical etching on polycarbonate

    International Nuclear Information System (INIS)

    Zunic, Z.S.; Ujic, P.; Celikovic, I.; Fujimoto, K.

    2003-01-01

    This paper deals with the introductory aspects of the Electrochemical Etching Laboratory installed at the VINCA Institute in the year 2003. The main purpose of the laboratory is its field application for radon and thoron large-scale survey using passive radon/thoron UFO type detectors. Since the etching techniques together with the laboratory equipment were transferred from the National Institute of Radiological Sciences, Chiba, Japan, it was necessary for both etching conditions to be confirmed and to be checked up, i. e., bulk etching speeds of chemical etching and electrochemical etching in the VINCA Electrochemical Etching Laboratory itself. Beside this initial step, other concerns were taken into consideration in this preliminary experimental phase such as the following: the measurable energy range of the polycarbonate film, background etch pit density of the film and its standard deviation and reproducibility of the response to alpha particles for different sets of etchings. (author)

  17. Synchrotron radiation stimulated etching of SiO sub 2 thin films with a Co contact mask for the area-selective deposition of self-assembled monolayer

    CERN Document Server

    Wang, C

    2003-01-01

    The area-selective deposition of a self-assembled monolayer (SAM) was demonstrated on a pattern structure fabricated by synchrotron radiation (SR) stimulated etching of a SiO sub 2 thin film on the Si substrate. The etching was conducted by irradiating the SiO sub 2 thin film with SR through a Co contact mask and using a mixture of SF sub 6 + O sub 2 as the reaction gas. The SR etching stopped completely at the SiO sub 2 /Si interface. After the SR etching, the Si surface and the SiO sub 2 surface beneath the Co mask were evaluated by an atomic force microscope (AFM). A dodecene SAM was deposited on the Si surface, and trichlorosilane-derived SAMs (octadecyltrichlorosilane, and octenyltrichlorosilane) were deposited on the SiO sub 2 surface beneath the Co mask. The structure of the deposited SAMs showed a densely packed and well-ordered molecular architecture, which was characterized by infrared spectroscopy, ellipsometry, and water contact angle (WCA) measurements. (author)

  18. The etching property of the surface of CR-39 and the track core radius of fission fragment

    CERN Document Server

    Mineyama, D; Yamauchi, T; Oda, K; El-Rahman, A

    2002-01-01

    The etch pits of fission fragments in CR-39 detector have been observed carefully using an atomic force microscope (AFM) after extremely short chemical etching in stirred 6N KOH solution kept at 70degC. It was found that there existed a thin layer where the bulk etch rate is relativity from large the etch-pit growth curve for the etching duration between 10 and 1800 seconds. The track core radius of fission fragment was evaluated to be about 6 nm from the extrapolation of the growth curve in a thinner region. (author)

  19. Study of Thermal Electrical Modified Etching for Glass and Its Application in Structure Etching

    Directory of Open Access Journals (Sweden)

    Zhan Zhan

    2017-02-01

    Full Text Available In this work, an accelerating etching method for glass named thermal electrical modified etching (TEM etching is investigated. Based on the identification of the effect in anodic bonding, a novel method for glass structure micromachining is proposed using TEM etching. To validate the method, TEM-etched glasses are prepared and their morphology is tested, revealing the feasibility of the new method for micro/nano structure micromachining. Furthermore, two kinds of edge effect in the TEM and etching processes are analyzed. Additionally, a parameter study of TEM etching involving transferred charge, applied pressure, and etching roughness is conducted to evaluate this method. The study shows that TEM etching is a promising manufacture method for glass with low process temperature, three-dimensional self-control ability, and low equipment requirement.

  20. Surface kinetics of Bi4-xLaxTi3O12 films etched in a CF4/Ar gas chemistry

    International Nuclear Information System (INIS)

    Kim, Dong-Pyo; Kim, Kyoung-Tae; Efremov, A. M.; Kim, Chang-Il

    2004-01-01

    The surface reactions and the etch rate of Bi 4-x La x Ti 3 O 12 (BLT) films in a CF 4 /Ar plasma were investigated in an inductively coupled plasma (ICP) reactor in terms of the gas mixing ratio. The variation of relative volume densities for the F and the Ar atoms were measured with optical emission spectroscopy (OES). The maximum etch rate of 803 A/min was obtained in a CF 4 (20 %)/Ar(80 %) plasma. The presence of a maximum in the BLT etch rate at CF 4 (20 %)/Ar(80 %) may be explained by the concurrence of two etching mechanisms, physical sputtering and chemical reaction. Ar-ion bombardment played roles of destroying the metal (Bi, La, Ti)-O bonds and assisting the chemical reaction between metal and fluorine atoms. The chemical states of BLT were investigated using X-ray photoelectron spectroscopy (XPS), which confirmed the existence of nonvolatile etch byproducts (La-fluorides).

  1. Bulk etching characteristics of CR-39 track detectors in hydroxide solutions

    International Nuclear Information System (INIS)

    Fonseca, E.S. da; Knoefel, T.M.J.; Tavares, O.A.P.

    1983-01-01

    A systematic study of the bulk etch rate of CR-39 track detectors in KOH and NaOH aqueous solutions is presented. A number of unirradiated and non-thermally treated CR-39 samples were chemically attacked in KOH and NaOH solutions of concentration and temperature in the range 2-10 N and 50-90 0 C, respectively. From measurements of the thickness of layers removed as a function of the etching time, the bulk etch rate υ β and the induction time T ο for surface removal were obtained for each etching condition. For both NaOH and KOH solution the activation energy of the process was derived as E = 0.76 ± 0.05 eV. It was observed that the induction time decreases both with increasing normality and temperature of the solution. (author) [pt

  2. Fluorescence turn-on sensing of trace cadmium ions based on EDTA-etched CdTe@CdS quantum dot.

    Science.gov (United States)

    Wang, Si-Nan; Zhu, Jian; Li, Xin; Li, Jian-Jun; Zhao, Jun-Wu

    2018-05-01

    Cadmium-caused environmental pollution and diseases have always been worldwide problems. Thus it is extremely urgent to establish a cheap, rapid, simple and selective detection method for trace cadmium in drinking water. In this study, a fluorescence "turn-on" method based on ethylene diamine tetraacetic acid (EDTA)-etched CdTe@CdS quantum dots (QDs) was designed to detect Cd 2+ . High resolution transmission electron microscopy (HRTEM) and X-ray photoelectron spectroscopy (XPS) were utilized for chemical and structural characterization of the as-prepared QDs. Based on chemical etching of EDTA on the surface of CdTe@CdS QDs, specific Cd 2+ recognition sites were produced, and then results in fluorescence quenching. The introduction of Cd 2+ could identify these sites and restore the fluorescence of the EDTA-QDs system. Under the optimum conditions, the nanoprobe shows a linear response range from 0.05 to 9 μM with a very low detection limit of 0.032 μM. In addition, the reported fluorescence probe in this work displays a good selectivity for trace Cd 2+ over other metal ions and an admirable practicability in real water samples. Copyright © 2018 Elsevier B.V. All rights reserved.

  3. Nanoscale silver-assisted wet etching of crystalline silicon for anti-reflection surface textures.

    Science.gov (United States)

    Li, Rui; Wang, Shuling; Chuwongin, Santhad; Zhou, Weidong

    2013-01-01

    We report here an electro-less metal-assisted chemical etching (MacEtch) process as light management surface-texturing technique for single crystalline Si photovoltaics. Random Silver nanostructures were formed on top of the Si surface based on the thin film evaporation and annealing process. Significant reflection reduction was obtained from the fabricated Si sample, with approximately 2% reflection over a wide spectra range (300 to 1050 nm). The work demonstrates the potential of MacEtch process for anti-reflection surface texture fabrication of large area, high efficiency, and low cost thin film solar cell.

  4. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    International Nuclear Information System (INIS)

    Marciano, F.R.; Bonetti, L.F.; Pessoa, R.S.; Massi, M.; Santos, L.V.; Trava-Airoldi, V.J.

    2009-01-01

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  5. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Marciano, F.R., E-mail: fernanda@las.inpe.b [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil); Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Bonetti, L.F. [Clorovale Diamantes Industria e Comercio Ltda, Estr. do Torrao de Ouro, 500-Sao Jose dos Campos, 12229-390, SP (Brazil); Pessoa, R.S.; Massi, M. [Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Santos, L.V.; Trava-Airoldi, V.J. [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil)

    2009-08-03

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  6. Reactive Ion Etching as Cleaning Method Post Chemical Mechanical Polishing for Phase Change Memory Device

    International Nuclear Information System (INIS)

    Min, Zhong; Zhi-Tang, Song; Bo, Liu; Song-Lin, Feng; Bomy, Chen

    2008-01-01

    In order to improve nano-scale phase change memory performance, a super-clean interface should be obtained after chemical mechanical polishing (CMP) of Ge 2 Sb 2 Te 5 phase change films. We use reactive ion etching (RIE) as the cleaning method. The cleaning effect is analysed by scanning electron microscopy and an energy dispersive spectrometer. The results show that particle residue on the surface has been removed. Meanwhile, Ge 2 Sb 2 Te 5 material stoichiometric content ratios are unchanged. After the top electrode is deposited, current-voltage characteristics test demonstrates that the set threshold voltage is reduced from 13 V to 2.7V and the threshold current from 0.1mA to 0.025mA. Furthermore, we analyse the RIE cleaning principle and compare it with the ultrasonic method

  7. Silicon etch process

    International Nuclear Information System (INIS)

    Day, D.J.; White, J.C.

    1984-01-01

    A silicon etch process wherein an area of silicon crystal surface is passivated by radiation damage and non-planar structure produced by subsequent anisotropic etching. The surface may be passivated by exposure to an energetic particle flux - for example an ion beam from an arsenic, boron, phosphorus, silicon or hydrogen source, or an electron beam. Radiation damage may be used for pattern definition and/or as an etch stop. Ethylenediamine pyrocatechol or aqueous potassium hydroxide anisotropic etchants may be used. The radiation damage may be removed after etching by thermal annealing. (author)

  8. Bond efficacy and interface morphology of self-etching adhesives to ground enamel.

    Science.gov (United States)

    Abdalla, Ali I; El Zohairy, Ahmed A; Abdel Mohsen, Mohamed M; Feilzer, Albert J

    2010-02-01

    This study compared the microshear bond strengths to ground enamel of three one-step self-etching adhesive systems, a self-etching primer system and an etch-and-rinse adhesive system. Three self-etching adhesives, Futurabond DC (Voco), Clearfil S Tri Bond (Kuraray) and Hybrid bond (Sun-Medical), a self-etching primer, Clearfil SE Bond (Kuraray), and an etch-and-rinse system, Admira Bond (Voco), were selected. Thirty human molars were used. The root of each tooth was removed and the crown was sectioned into halves. The convex enamel surfaces were reduced by polishing on silicone paper to prepare a flat surface. The bonding systems were applied on this surface. Prior to adhesive curing, a hollow cylinder (2.0 mm height/0.75 mm internal diameter) was placed on the treated surfaces. A resin composite was then inserted into the tube and cured. After water storage for 24 h, the tube was removed and shear bond strength was determined in a universal testing machine at a crosshead speed of 0.5 mm/min. The results were analyzed with ANOVA and the Tukey.-Kramer test at a 59 degrees confidence level. The enamel of five additional teeth was ground, and the etching component of each adhesive was applied and removed with absolute ethanol instead of being light cured. These teeth and selected fractured surfaces were examined by SEM. Adhesion to ground enamel of the Futurabond DC (25 +/- 3.5 MPa) and Clearfil SE Bond (23 +/- 2.9 MPa) self-etching systems was not significantly different from the etch-and-rinse system Admira Bond (27 +/- 2.3 MPa). The two self-etching adhesives Clearfil S Tri bond and Hybrid Bond demonstrated significantly lower bond strengths (14 +/- 1.4 MPa; 11 +/- 1.9 MPa) with no significant differences between them (p adhesive systems are dependent on the type of adhesive system. Some of the new adhesive systems showed bond strength values comparable to that of etch-and-rinse systems. There was no correlation between bond strength and morphological changes in

  9. Dry etching of ferroelectric Bi4-xEuxTi3O12 (BET) thin films

    International Nuclear Information System (INIS)

    Lim, Kyu-Tae; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2004-01-01

    Bi 4-x Eu x Ti 3 O 12 (BET) thin films were etched by using a inductively coupled Cl 2 /Ar plasma. We obtained a maximum etch rate of 69 nm/min at a gas mixing ratio of Cl 2 (20 %)/Ar (80 %). This result suggests that an effective method for BET etching is chemically assisted physical etching. With increasing coil RF power, the plasma density increases so that the increased reactive free radicals and ions enhance the etch rates of BET, Pt, and SiO 2 . As the dc-bias voltage is increased, the increased ion energy leads to an increased etch rate of BET films. From X-ray photoelectron spectroscopy, the intensities of the Bi-O, the Eu-O, and the Ti-O peaks change with increasing Cl 2 concentration. For a pure Ar plasma, the peak associated with the oxygen-metal (O-M: TiO 2 , Bi 2 O 3 , Eu 2 O 3 ) bond seems to disappear while the pure oxygen peak does not appear. After the BET thin films is etched by using a Cl 2 /Ar plasma, the peak associated with the O-M bond increases slowly, but more quickly than the peak associated with pure oxygen atoms, due to a decrease in the Ar-ion bombardment. These results seem to indicate that Bi and Eu react little with Cl atoms and are removed predominantly by argon-ion bombardment. Also, Ti reacts little with Cl radicals and is mainly removed by chemically assisted physical etching.

  10. Etching characteristic and mechanism of BST thin films using inductively coupled Cl2/Ar plasma with additive CF4 gas

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2004-01-01

    BST thin films were etched with inductively coupled CF 4 /(Cl 2 +Ar) plasmas. The maximum etch rate of the BST thin films was 53.6 nm/min for a 10% CF 4 to the Cl 2 /Ar gas mixture at RF power of 700 W, DC bias of -150 V, and chamber pressure of 2 Pa. Small addition of CF 4 to the Cl 2 /Ar mixture increased chemical effect. Consequently, the increased chemical effect caused the increase in the etch rate of the BST thin films. To clarify the etching mechanism, the surface reaction of the BST thin films was investigated by X-ray photoelectron spectroscopy

  11. Evaluation of different polymers for fast neutron personnel dosimetry using electrochemical etching

    International Nuclear Information System (INIS)

    Gammage, R.B.; Cotter, S.J.

    1977-01-01

    There is considerable optimism for the enhancement by electrochemical etching of fast neutron-induced recoil tracks in polycarbonate for the purpose of personnel dosimetry. The threshold energy, however, is rather high. A desirable improvement would be to lower this energy below 1 MeV. With this objective in mind, we have commenced an investigation of cellulose acetate, triacetate, and acetobutyrate in addition to polycarbonate. These cellulose derivatives are chemically more reactive and physically weaker than polycarbonate. It might, therefore, be possible to initiate the electrochemical amplification at the sites of shorter recoil atom damage tracks than is possible with polycarbonate. Some characteristics important for electrochemically etching in aqueous electrolytes are listed. Chemical etching is combined with treeing, an electrical breakdown process that starts when the dielectric strength is exceeded. These mechanical and electrical properties pertain to the dry plastics. The absorption of water molecules and electrolyte ions will cause these values to be reduced. Results and conclusions of the study are presented

  12. In-situ photoluminescence imaging for passivation-layer etching process control for photovoltaics

    Science.gov (United States)

    Lee, J. Z.; Michaelson, L.; Munoz, K.; Tyson, T.; Gallegos, A.; Sullivan, J. T.; Buonassisi, T.

    2014-07-01

    Light-induced plating (LIP) of solar-cell metal contacts is a scalable alternative to silver paste. However, LIP requires an additional patterning step to create openings in the silicon nitride (SiNx) antireflection coating (ARC) layer prior to metallization. One approach to pattern the SiNx is masking and wet chemical etching. In-situ real-time photoluminescence imaging (PLI) is demonstrated as a process-monitoring method to determine when SiNx has been fully removed during etching. We demonstrate that the change in PLI signal intensity during etching is caused by a combination of (1) decreasing light absorption from the reduction in SiNx ARC layer thickness and (2) decreasing surface lifetime as the SiNx/Si interface transitions to an etch-solution/Si. Using in-situ PLI to guide the etching process, we demonstrate a full-area plated single-crystalline silicon device. In-situ PLI has the potential to be integrated into a commercial processing line to improve process control and reliability.

  13. Influence of different pre-etching times on fatigue strength of self-etch adhesives to dentin.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Suzuki, Takayuki; Scheidel, Donal D; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-04-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence on dentin bonding of phosphoric acid pre-etching times before the application of self-etch adhesives. Two single-step self-etch universal adhesives [Prime & Bond Elect (EL) and Scotchbond Universal (SU)], a conventional single-step self-etch adhesive [G-aenial Bond (GB)], and a two-step self-etch adhesive [OptiBond XTR (OX)] were used. The SBS and SFS values were obtained with phosphoric acid pre-etching times of 3, 10, or 15 s before application of the adhesives, and for a control without pre-etching. For groups with 3 s of pre-etching, SU and EL showed higher SBS values than control groups. No significant difference was observed for GB among the 3 s, 10 s, and control groups, but the 15 s pre-etching group showed significantly lower SBS and SFS values than the control group. No significant difference was found for OX among the pre-etching groups. Reducing phosphoric acid pre-etching time can minimize the adverse effect on dentin bonding durability for the conventional self-etch adhesives. Furthermore, a short phosphoric acid pre-etching time enhances the dentin bonding performance of universal adhesives. © 2016 Eur J Oral Sci.

  14. Effect of input power and gas pressure on the roughening and selective etching of SiO2/Si surfaces in reactive plasmas

    International Nuclear Information System (INIS)

    Zhong, X. X.; Huang, X. Z.; Tam, E.; Ostrikov, K.; Colpo, P.; Rossi, F.

    2010-01-01

    We report on the application low-temperature plasmas for roughening Si surfaces which is becoming increasingly important for a number of applications ranging from Si quantum dots to cell and protein attachment for devices such as 'laboratory on a chip' and sensors. It is a requirement that Si surface roughening is scalable and is a single-step process. It is shown that the removal of naturally forming SiO 2 can be used to assist in the roughening of the surface using a low-temperature plasma-based etching approach, similar to the commonly used in semiconductor micromanufacturing. It is demonstrated that the selectivity of SiO 2 /Si etching can be easily controlled by tuning the plasma power, working gas pressure, and other discharge parameters. The achieved selectivity ranges from 0.4 to 25.2 thus providing an effective means for the control of surface roughness of Si during the oxide layer removal, which is required for many advance applications in bio- and nanotechnology.

  15. Etch-stop behavior of buried layers formed by substoichiometric nitrogen ion implantation into silicon

    International Nuclear Information System (INIS)

    Perez-Rodriguez, A.; Romano-Rodriguez, A.; Morante, J.R.; Acero, M.C. Esteve, J.; Montserrat, J.; El-Hassani, A.

    1996-01-01

    In this work the etch-stop behavior of buried layers formed by substoichiometric nitrogen ion implantation into silicon is studied as a function of the processing parameters, the implantation dose and temperature, and the presence of capping layers during implantation. Etching characteristics have been probed using tetramethylammonium hydroxide or KOH solutions for different times up to 6 h. Results show that, after annealing, the minimum dose required for the formation of an efficient etch-stop layer is about 4 x 10 17 cm -2 , for an implantation energy of 75 keV. This is defined as a layer with an efficient etch selectivity in relation to Si of s ≥ 100. For larger implantation doses efficient etch selectivities larger than 100 are obtained. However, for these doses a considerable density of pits is observed in the etch-stop layer. These are related to the presence of nitrogen poor Si regions in the buried layer after annealing, due to a partial separation of silicon and silicon nitride phases during the annealing process. The influence of this separation of phases as well as nitrogen gettering in the buried layer on the etch-stop behavior is discussed as a function of the processing parameters

  16. Texture-Etched SnO2 Glasses Applied to Silicon Thin-Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Bing-Rui Wu

    2014-01-01

    Full Text Available Transparent electrodes of tin dioxide (SnO2 on glasses were further wet-etched in the diluted HCl:Cr solution to obtain larger surface roughness and better light-scattering characteristic for thin-film solar cell applications. The process parameters in terms of HCl/Cr mixture ratio, etching temperature, and etching time have been investigated. After etching process, the surface roughness, transmission haze, and sheet resistance of SnO2 glasses were measured. It was found that the etching rate was increased with the additions in etchant concentration of Cr and etching temperature. The optimum texture-etching parameters were 0.15 wt.% Cr in 49% HCl, temperature of 90°C, and time of 30 sec. Moreover, silicon thin-film solar cells with the p-i-n structure were fabricated on the textured SnO2 glasses using hot-wire chemical vapor deposition. By optimizing the texture-etching process, the cell efficiency was increased from 4.04% to 4.39%, resulting from the increment of short-circuit current density from 14.14 to 15.58 mA/cm2. This improvement in cell performances can be ascribed to the light-scattering effect induced by surface texturization of SnO2.

  17. Flux based modeling and simulation of dry etching for fabrication of silicon deep trench structures

    Energy Technology Data Exchange (ETDEWEB)

    Malik Rizwan [State Key Laboratory of Digital Manufacturing Equipment and technology, Huazhong University of Science and Technology, 1037 Luoyu road, Wuhan, China 43007 (China); Shi Tielin; Tang Zirong; Liu Shiyuan, E-mail: zirong@mail.hust.edu.cn, E-mail: rizwanmalik@smail.hust.edu.cn [Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, 1037 Luoyu road Wuhan, 430074 (China)

    2011-02-01

    Deep reactive ion etching (DRIE) process is a key growth for fabrication of micro-electromechanical system (MEMS) devices. Due to complexity of this process, including interaction of the process steps, full analytical modeling is complex. Plasma process holds deficiency of understanding because it is very easy to measure the results empirically. However, as device parameters shrink, this issue is more critical. In this paper, our process was modeled qualitatively based on 'High Density Plasma Etch Model'. Deep trench solutions of etch rate based on continuity equation were successfully generated first time through mathematical analysis. It was also proved that the product of fluorine and gas phase concentration in SF{sub 6} remains identical during both deposition and etching stages. The etching process was treated as a combination of isotropic, directional and angle-dependent component parts. It exploited a synergistic balance of chemical as well as physical etching for promoting silicon trenches and high aspect ratio structures. Simulations were performed for comprehensive analysis of fluxes coming towards the surface during chemical reaction of gas. It is observed that near the surface, the distribution of the arrival flux follows a cosine distribution. Our model is feasible to analyze various parameters like gas delivery, reactor volume and temperature that help to assert large scale effects and to optimize equipment design.

  18. Effect of deposition temperature and thermal annealing on the dry etch rate of a-C: H films for the dry etch hard process of semiconductor devices

    International Nuclear Information System (INIS)

    Lee, Seung Moo; Won, Jaihyung; Yim, Soyoung; Park, Se Jun; Choi, Jongsik; Kim, Jeongtae; Lee, Hyeondeok; Byun, Dongjin

    2012-01-01

    thermal annealing of the high density, as-deposited a-C:H films. Furthermore, not only the density itself but also the variation of density with thermal annealing need to be elucidated in order to understand the dry etch properties of annealed a-C:H films. - Highlights: ► A-C:H(amorphous carbon) films are grown for using hard mask in dry etch process by plasma-enhanced chemical vapor deposition and annealed. ► Physical, chemical and mechanical properties of grown amorphous carbon films are changed by hydrogen and hydrocarbon contents, be determined by deposition and annealing temperature. ► Dry etch rate of a-C:H films is decreased and the film density increased through thermal annealing with high density, low hydrogen content, as-deposited film.

  19. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    Energy Technology Data Exchange (ETDEWEB)

    Vass, Cs., E-mail: vasscsaba@physx.u-szeged.hu [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary); Kiss, B.; Kopniczky, J.; Hopp, B. [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary)

    2013-08-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ{sub FWHM} = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm{sup 2}, while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm{sup 2}. The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  20. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    International Nuclear Information System (INIS)

    Vass, Cs.; Kiss, B.; Kopniczky, J.; Hopp, B.

    2013-01-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ FWHM = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm 2 , while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm 2 . The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  1. Plasma etching of patterned tungsten

    International Nuclear Information System (INIS)

    Franssila, S.

    1993-01-01

    Plasma etching of tungsten is discussed from the viewpoint of thin film structure and integrated circuit process engineering. The emphasis is on patterned tungsten etching for silicon device and X-ray mask fabrication. After introducing tungsten etch chemistries and mechanisms, microstructural aspects of tungsten films (crystal structure, grain size, film density, defects, impurities) in relation to etching are discussed. Approaches to etch process optimization are presented, and the current state-of-the-art of patterned tungsten etching is reviewed. (orig.)

  2. Uniform nano-ripples on the sidewall of silicon carbide micro-hole fabricated by femtosecond laser irradiation and acid etching

    Energy Technology Data Exchange (ETDEWEB)

    Khuat, Vanthanh [Key Laboratory for Physical Electronics and Devices of the Ministry of Education and Collaborative Innovation Center of Suzhou Nano Science and Technology, School of Electronics and Information Engineering, Xi' an Jiaotong University, No. 28, Xianning West Road, Xi' an 710049 (China); Le Quy Don Technical University, No. 100, Hoang Quoc Viet Street, Hanoi 7EN-248 (Viet Nam); Chen, Tao; Gao, Bo; Si, Jinhai, E-mail: jinhaisi@mail.xjtu.edu.cn; Ma, Yuncan; Hou, Xun [Key Laboratory for Physical Electronics and Devices of the Ministry of Education and Collaborative Innovation Center of Suzhou Nano Science and Technology, School of Electronics and Information Engineering, Xi' an Jiaotong University, No. 28, Xianning West Road, Xi' an 710049 (China)

    2014-06-16

    Uniform nano-ripples were observed on the sidewall of micro-holes in silicon carbide fabricated by 800-nm femtosecond laser and chemical selective etching. The morphology of the ripple was analyzed using scanning electronic microscopy. The formation mechanism of the micro-holes was attributed to the chemical reaction of the laser affected zone with mixed solution of hydrofluoric acid and nitric acid. The formation of nano-ripples on the sidewall of the holes could be attributed to the standing wave generated in z direction due to the interference between the incident wave and the reflected wave.

  3. Process margin enhancement for 0.25-μm metal etch process

    Science.gov (United States)

    Lee, Chung Y.; Ma, Wei Wen; Lim, Eng H.; Cheng, Alex T.; Joy, Raymond; Ross, Matthew F.; Wong, Selmer S.; Marlowe, Trey

    2000-06-01

    This study evaluates electron beam stabilization of UV6, a positive tone Deep-UV (DUV) resist from Shipley, for a 0.25 micrometer metal etch application. Results are compared between untreated resist and resist treated with different levels of electron beam stabilization. The electron beam processing was carried out in an ElectronCureTM flood electron beam exposure system from Honeywell International Inc., Electron Vision. The ElectronCureTM system utilizes a flood electron beam source which is larger in diameter than the substrate being processed, and is capable of variable energy so that the electron range is matched to the resist film thickness. Changes in the UV6 resist material as a result of the electron beam stabilization are monitored via spectroscopic ellipsometry for film thickness and index of refraction changes and FTIR for analysis of chemical changes. Thermal flow stability is evaluated by applying hot plate bakes of 150 degrees Celsius and 200 degrees Celsius, to patterned resist wafers with no treatment and with an electron beam dose level of 2000 (mu) C/cm2. A significant improvement in the thermal flow stability of the patterned UV6 resist features is achieved with the electron beam stabilization process. Etch process performance of the UV6 resist was evaluated by performing a metal pattern transfer process on wafers with untreated resist and comparing these with etch results on wafers with different levels of electron beam stabilization. The etch processing was carried out in an Applied Materials reactor with an etch chemistry including BCl3 and Cl2. All wafers were etched under the same conditions and the resist was treated after etch to prevent further erosion after etch but before SEM analysis. Post metal etch SEM cross-sections show the enhancement in etch resistance provided by the electron beam stabilization process. Enhanced process margin is achieved as a result of the improved etch resistance, and is observed in reduced resist side

  4. Track-etch dosemeter response to neutrons up to 300 MeV

    International Nuclear Information System (INIS)

    Devine, R.T.; Walker, S.; Staples, P.; Duran, M.; Mundis, R.; Miller, J.

    1996-01-01

    Electro-chemical and chemical track-etch dosemeters were obtained from commercial suppliers and exposed to neutrons produced at the LANTF WNR white neutron source at 15 degree with no shielding and filtered by polyethylene blocks of 2.5, 5.1, 10.2, 20.3 and 40.6 cin thickness. The neutron spectrum was determined using calculations. Mean energies from 28 to 300 MeV were produced. Dose was calculated from the NCRP-38 flux-to-dose conversion. The results are compared with NTA film which was exposed in the same configuration. The response of track etch dosimeters was found to reach a minimum and then rise as the average neutron energy increased. The response of the NTA film increased as the neutron energy increased

  5. Production parameters for the formation of metallic nanotubules in etched tracks

    International Nuclear Information System (INIS)

    Fink, D.; Petrov, A.V.; Rao, V.; Wilhelm, M.; Demyanov, S.; Szimkowiak, P.; Behar, M.; Alegaonkar, P.S.; Chadderton, L.T.

    2003-01-01

    The formation of conducting nanotubules in etched tracks is reported in literature since about a decade. However, up to now precise production recipes are scarce. For this sake we present here a systematic study on some important factors that influence the formation of metallic nanotubules. In the case of chemical deposition, the first question to be answered is the choice of the activation technique to produce the required activation centers. Both the time of activation and the time of subsequent chemical deposition are crucial parameters in this connection. Finally, the maximum temperature is determined up to which thermal stability of the etched tracks and of the tubules therein is given. This study should allow one to predict better the efficiency of conducting nanotubule formation

  6. Aerosol chemistry in Titan's ionosphere: simultaneous growth and etching processes

    Science.gov (United States)

    Carrasco, Nathalie; Cernogora, Guy; Jomard, François; Etcheberry, Arnaud; Vigneron, Jackie

    2016-10-01

    Since the Cassini-CAPS measurements, organic aerosols are known to be present and formed at high altitudes in the diluted and partially ionized medium that is Titan's ionosphere [1]. This unexpected chemistry can be further investigated in the laboratory with plasma experiments simulating the complex ion-neutral chemistry starting from N2-CH4 [2]. Two sorts of solid organic samples can be produced in laboratory experiments simulating Titan's atmospheric reactivity: grains in the volume and thin films on the reactor walls. We expect that grains are more representative of Titan's atmospheric aerosols, but films are used to provide optical indices for radiative models of Titan's atmosphere.The aim of the present study is to address if these two sorts of analogues are chemically equivalent or not, when produced in the same N2-CH4 plasma discharge. The chemical compositions of both these materials are measured by using elemental analysis, XPS analysis and Secondary Ion Mass Spectrometry. We find that films are homogeneous but significantly less rich in nitrogen and hydrogen than grains produced in the same experimental conditions. This surprising difference in their chemical compositions is explained by the efficient etching occurring on the films, which stay in the discharge during the whole plasma duration, whereas the grains are ejected after a few minutes [3]. The impact for our understanding of Titan's aerosols chemical composition is important. Our study shows that chemical growth and etching process are simultaneously at stake in Titan's ionosphere. The more the aerosols stay in the ionosphere, the more graphitized they get through etching process. In order to infer Titan's aerosols composition, our work highlights a need for constraints on the residence time of aerosols in Titan's ionosphere. [1] Waite et al. (2009) Science , 316, p. 870[2] Szopa et al. (2006) PSS, 54, p. 394[3] Carrasco et al. (2016) PSS, 128, p. 52

  7. Surface passivation of mixed-halide perovskite CsPb(BrxI1-x)3 nanocrystals by selective etching for improved stability.

    Science.gov (United States)

    Jing, Qiang; Zhang, Mian; Huang, Xiang; Ren, Xiaoming; Wang, Peng; Lu, Zhenda

    2017-06-08

    In recent years, there has been an unprecedented rise in the research of halide perovskites because of their important optoelectronic applications, including photovoltaic cells, light-emitting diodes, photodetectors and lasers. The most pressing question concerns the stability of these materials. Here faster degradation and PL quenching are observed at higher iodine content for mixed-halide perovskite CsPb(Br x I 1-x ) 3 nanocrystals, and a simple yet effective method is reported to significantly enhance their stability. After selective etching with acetone, surface iodine is partially etched away to form a bromine-rich surface passivation layer on mixed-halide perovskite nanocrystals. This passivation layer remarkably stabilizes the nanocrystals, making their PL intensity improved by almost three orders of magnitude. It is expected that a similar passivation layer can also be applied to various other kinds of perovskite materials with poor stability issues.

  8. Summary of Chalcogenide Glass Processing: Wet-Etching and Photolithography

    Energy Technology Data Exchange (ETDEWEB)

    Riley, Brian J.; Sundaram, S. K.; Johnson, Bradley R.; Saraf, Laxmikant V.

    2006-12-01

    This report describes a study designed to explore the different properties of two different chalcogenide materials, As2S3 and As24S38Se38, when subjected to photolithographic wet-etching techniques. Chalcogenide glasses are made by combining chalcogen elements S, Se, and Te with Group IV and/or V elements. The etchant was selected from the literature and was composed of sodium hydroxide, isopropyl alcohol, and deionized water and the types of chalcogenide glass for study were As2S3 and As24S38Se38. The main goals here were to obtain a single variable etch rate curve of etch depth per time versus NaOH overall solution concentration in M and to see the difference in etch rate between a given etchant when used on the different chalcogenide stoichiometries. Upon completion of these two goals, future studies will begin to explore creating complex, integrated photonic devices via these methods.

  9. In situ ion etching in a scanning electron microscope

    International Nuclear Information System (INIS)

    Dhariwal, R.S.; Fitch, R.K.

    1977-01-01

    A facility for ion etching in a scanning electron microscope is described which incorporates a new type of electrostatic ion source and viewing of the specimen is possible within about 30 sec after terminating the ion bombardment. Artefacts produced during etching have been studied and cone formation has been followed during its growth. The instrument has provided useful structural information on metals, alloys, and sinters. However, although insulating materials, such as plastics, glass and resins, have been successfully etched, interpretation of the resultant micrographs is more difficult. Ion etching of soft biological tissues, such as the rat duodenum was found to be of considerable interest. The observed structural features arise from the selective intake of the heavy fixation elements by different parts of the tissue. Hard biological materials, such as dental tissues and restorative materials, have also been studied and the prismatic structure of the enamel and the form and distribution of the dentinal tubules have been revealed. (author)

  10. A benchmark of co-flow and cyclic deposition/etch approaches for the selective epitaxial growth of tensile-strained Si:P

    Science.gov (United States)

    Hartmann, J. M.; Veillerot, M.; Prévitali, B.

    2017-10-01

    We have compared co-flow and cyclic deposition/etch processes for the selective epitaxial growth of Si:P layers. High growth rates, relatively low resistivities and significant amounts of tensile strain (up to 10 nm min-1, 0.55 mOhm cm and a strain equivalent to 1.06% of substitutional C in Si:C layers) were obtained at 700 °C, 760 Torr with a co-flow approach and a SiH2Cl2 + PH3 + HCl chemistry. This approach was successfully used to thicken the sources and drains regions of n-type fin-shaped Field Effect Transistors. Meanwhile, the (Si2H6 + PH3/HCl + GeH4) CDE process evaluated yielded at 600 °C, 80 Torr even lower resistivities (0.4 mOhm cm, typically), at the cost however of the tensile strain which was lost due to (i) the incorporation of Ge atoms (1.5%, typically) into the lattice during the selective etch steps and (ii) a reduction by a factor of two of the P atomic concentration in CDE layers compared to that in layers grown in a single step (5 × 1020 cm-3 compared to 1021 cm-3).

  11. Dry Etching

    DEFF Research Database (Denmark)

    Stamate, Eugen; Yeom, Geun Young

    2016-01-01

    generation) to 2,200 × 2,500 mm (eighth generation), and the substrate size is expected to increase further within a few years. This chapter aims to present relevant details on dry etching including the phenomenology, materials to be etched with the different recipes, plasma sources fulfilling the dry...

  12. Self-etching ceramic primer versus hydrofluoric acid etching: Etching efficacy and bonding performance.

    Science.gov (United States)

    El-Damanhoury, Hatem M; Gaintantzopoulou, Maria D

    2018-01-01

    This study assessed the effect of pretreatment of hybrid and glass ceramics using a self-etching primer on the shear bond strength (SBS) and surface topography, in comparison to pretreatment with hydrofluoric acid and silane. 40 rectangular discs from each ceramic material (IPS e.max CAD;EM, Vita Mark II;VM, Vita Enamic;VE), were equally divided (n=10) and assigned to one of four surface pretreatment methods; etching with 4.8% hydrofluoric acid followed by Monobond plus (HFMP), Monobond etch & prime (Ivoclar Vivadent) (MEP), No treatment (NT) as negative control and Monobond plus (Ivoclar Vivadent) with no etching (MP) as positive control. SBS of resin cement (Multilink-N, Ivoclar Vivadent) to ceramic surfaces was tested following a standard protocol. Surface roughness was evaluated using an Atomic force microscope (AFM). Surface topography and elemental analysis were analyzed using SEM/EDX. Data were analyzed with two-way analysis of variance (ANOVA) and post-hoc Bonferroni test at a significance level of α=0.05. Pretreatment with HFMP resulted in higher SBS and increased surface roughness in comparison to MEP and MP. Regardless the method of surface pretreatment, the mean SBS values of EM ceramic was significantly higher (pceramics for resin-luting cementation. Copyright © 2017 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  13. Annealing and etching effects on strain and stress sensitivity of polymer optical fibre Bragg grating sensors

    DEFF Research Database (Denmark)

    Pospori, A.; Marques, C. A.F.; Sáez-Rodríguez, D.

    2017-01-01

    Thermal annealing and chemical etching effects on the strain and stress sensitivity of polymer optical fibre based sensors are investigated. Bragg grating sensors have been photo-inscribed in PMMA optical fibre and their strain and stress sensitivity has been characterised before and after any...... annealing or etching process. The annealing and etching processes have been tried in different sequence in order to investigate their impact on the sensor's performance. Results show with high confidence that fibre annealing can improve both strain and stress sensitivities. The fibre etching can also...... provide stress sensitivity enhancement, however the strain sensitivity changes seems to be random....

  14. Electron cyclotron resonance ion stream etching of tantalum for x-ray mask absorber

    International Nuclear Information System (INIS)

    Oda, Masatoshi; Ozawa, Akira; Yoshihara, Hideo

    1993-01-01

    Electron cyclotron resonance ion stream etching of Ta film was investigated for preparing x-ray mask absorber patterns. Ta is etched by the system at a high rate and with high selectivity. Using Cl 2 as etching gas, the etch rate decreases rapidly with decreasing pattern width below 0.5 μm and large undercutting is observed. The problems are reduced by adding Ar or O 2 gas to the Cl 2 . Etching with a mixture of Cl 2 and O 2 produces highly accurate Ta absorber patterns for x-ray masks. The pattern width dependence of the etch rate and the undercutting were simulated with a model that takes account of the angular distribution of active species incident on the sample. The experimental results agree well with those calculated assuming that the incidence angles are distributed between -36 degrees and 36 degrees. The addition of O 2 or Ar enhances ion assisted etching. 16 refs., 16 figs

  15. Biomimetic hydrophobic surface fabricated by chemical etching method from hierarchically structured magnesium alloy substrate

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yan; Yin, Xiaoming; Zhang, Jijia [Key Laboratory of Bionic Engineering (Ministry of Education), Jilin University, Changchun 130022 (China); Wang, Yaming [Institute for Advanced Ceramics, Harbin Institute of Technology, Harbin 150001 (China); Han, Zhiwu, E-mail: zwhan@jlu.edu.cn [Key Laboratory of Bionic Engineering (Ministry of Education), Jilin University, Changchun 130022 (China); Ren, Luquan [Key Laboratory of Bionic Engineering (Ministry of Education), Jilin University, Changchun 130022 (China)

    2013-09-01

    As one of the lightest metal materials, magnesium alloy plays an important role in industry such as automobile, airplane and electronic product. However, magnesium alloy is hindered due to its high chemical activity and easily corroded. Here, inspired by typical plant surfaces such as lotus leaves and petals of red rose with super-hydrophobic character, the new hydrophobic surface is fabricated on magnesium alloy to improve anti-corrosion by two-step methodology. The procedure is that the samples are processed by laser first and then immersed and etched in the aqueous AgNO{sub 3} solution concentrations of 0.1 mol/L, 0.3 mol/L and 0.5 mol/L for different times of 15 s, 40 s and 60 s, respectively, finally modified by DTS (CH{sub 3}(CH{sub 2}){sub 11}Si(OCH{sub 3}){sub 3}). The microstructure, chemical composition, wettability and anti-corrosion are characterized by means of SEM, XPS, water contact angle measurement and electrochemical method. The hydrophobic surfaces with microscale crater-like and nanoscale flower-like binary structure are obtained. The low-energy material is contained in surface after DTS treatment. The contact angles could reach up to 138.4 ± 2°, which hydrophobic property is both related to the micro–nano binary structure and chemical composition. The results of electrochemical measurements show that anti-corrosion property of magnesium alloy is improved. Furthermore, our research is expected to create some ideas from natural enlightenment to improve anti-corrosion property of magnesium alloy while this method can be easily extended to other metal materials.

  16. Selective Growth of Metallic and Semiconducting Single Walled Carbon Nanotubes on Textured Silicon.

    Science.gov (United States)

    Jang, Mira; Lee, Jongtaek; Park, Teahee; Lee, Junyoung; Yang, Jonghee; Yi, Whikun

    2016-03-01

    We fabricated the etched Si substrate having the pyramidal pattern size from 0.5 to 4.2 μm by changing the texturing process parameters, i.e., KOH concentration, etching time, and temperature. Single walled carbon nanotubes (SWNTs) were then synthesized on the etched Si substrates with different pyramidal pattern by chemical vapor deposition. We investigated the optical and electronic properties of SWNT film grown on the etched Si substrates of different morphology by using scanning electron microscopy, Raman spectroscopy and conducting probe atomic force microscopy. We confirmed that the morphology of substrate strongly affected the selective growth of the SWNT film. Semiconducting SWNTs were formed on larger pyramidal sized Si wafer with higher ratio compared with SWNTs on smaller pyramidal sized Si.

  17. Bonding effectiveness of self-etch adhesives to dentin after 24 h water storage.

    Science.gov (United States)

    Sarr, Mouhamed; Benoist, Fatou Leye; Bane, Khaly; Aidara, Adjaratou Wakha; Seck, Anta; Toure, Babacar

    2018-01-01

    This study evaluated the immediate bonding effectiveness of five self-etch adhesive systems bonded to dentin. The microtensile bond strength of five self-etch adhesives systems, including one two-step and four one-step self-etch adhesives to dentin, was measured. Human third molars had their superficial dentin surface exposed, after which a standardized smear layer was produced using a medium-grit diamond bur. The selected adhesives were applied according to their respective manufacturer's instructions for μTBS measurement after storage in water at 37°C for 24 h. The μTBS varied from 11.1 to 44.3 MPa; the highest bond strength was obtained with the two-step self-etch adhesive Clearfil SE Bond and the lowest with the one-step self-etch adhesive Adper Prompt L-Pop. Pretesting failures mainly occurring during sectioning with the slow-speed diamond saw were observed only with the one-step self-etch adhesive Adper Prompt L-Pop (4 out of 18). When bonded to dentin, the self-etch adhesives with simplified application procedures (one-step self-etch adhesives) still underperform as compared to the two-step self-etch adhesive Clearfil SE Bond.

  18. Effect of pre-etching on sealing ability of two current self-etching adhesives

    Directory of Open Access Journals (Sweden)

    K Khosravi

    2005-05-01

    Full Text Available Background: We evaluated the effect of phosphoric acid etching on microleakage of two current self-etching adhesives on enamel margins in comparison to a conventional total- etch system. Methods: Sixty buccal class V cavities were made at the cemento-enamel junction with beveled enamel margins of extracted human premolar teeth and randomly divided into five groups (12 specimens in each group. Group 1 was applying with Clearfil SE bond, Group 2 with 35% phosphoric acid etching of enamel margins plus Clearfil SE bond, Group3 with I bond, Group 4 with 35% phosphoric acid etching of enamel margins plus I bond and Group5 with Scotchbond multi-purpose. All groups restored with a composite resins. After 24 hours storage with 100% humidity, the samples were thermocycled, immersed in a dye solution and sectioned buccoligually and enamel margins microleakage were evaluated on a scale of 0 to 2. Results: The differences between Groups 1 & 3 and Groups 3 & 4 were significant (P<0.05 but no significant differences between Groups1 & 2 or 1 & 5 were observed. Conclusion: The findings suggest that all-in-one adhesive systems need pre-etching enamel margins with phosphoric acid for effectively seal. Key words: Self-Etching Adhesives, Microleakage, Enamel, Total-Etch system

  19. High aspect ratio micro tool manufacturing for polymer replication using mu EDM of silicon, selective etching and electroforming

    DEFF Research Database (Denmark)

    Tosello, Guido; Bissacco, Giuliano; Tang, Peter Torben

    2008-01-01

    Mass fabrication of polymer micro components with high aspect ratio micro-structures requires high performance micro tools allowing the use of low cost replication processes such as micro injection moulding. In this regard an innovative process chain, based on a combination of micro electrical di...... discharge machining (mu EDM) of a silicon substrate, electroforming and selective etching was used for the manufacturing of a micro tool. The micro tool was employed for polymer replication by means of the injection moulding process....

  20. Shear bond strength of self-etch and total-etch bonding systems at different dentin depths

    Directory of Open Access Journals (Sweden)

    Ana Carolina Maito Villela-Rosa

    2011-04-01

    Full Text Available The purpose of this study was to evaluate the dentin shear bond strength of four adhesive systems (Adper Single Bond 2, Adper Prompt L-Pop, Magic Bond DE and Self Etch Bond in regards to buccal and lingual surfaces and dentin depth. Forty extracted third molars had roots removed and crowns bisected in the mesiodistal direction. The buccal and lingual surfaces were fixed in a PVC/acrylic resin ring and were divided into buccal and lingual groups assigned to each selected adhesive. The same specimens prepared for the evaluation of superficial dentin shear resistance were used to evaluate the different depths of dentin. The specimens were identified and abraded at depths of 0.5, 1.0, 1.5 and 2.0 mm. Each depth was evaluated by ISO TR 11405 using an EMIC-2000 machine regulated at 0.5 mm/min with a 200 Kgf load cell. We performed statistical analyses on the results (ANOVA, Tukey and Scheffé tests. Data revealed statistical differences (p < 0.01 in the adhesive and depth variation as well as adhesive/depth interactions. The Adper Single Bond 2 demonstrated the highest mean values of shear bond strength. The Prompt L-Pop product, a self-etching adhesive, revealed higher mean values compared with Magic Bond DE and Self Etch Bond adhesives, a total and self-etching adhesive respectively. It may be concluded that the shear bond strength of dentin is dependent on material (adhesive system, substrate depth and adhesive/depth interaction.

  1. Controlled ion track etching

    Science.gov (United States)

    George, J.; Irkens, M.; Neumann, S.; Scherer, U. W.; Srivastava, A.; Sinha, D.; Fink, D.

    2006-03-01

    It is a common practice since long to follow the ion track-etching process in thin foils via conductometry, i.e . by measurement of the electrical current which passes through the etched track, once the track breakthrough condition has been achieved. The major disadvantage of this approach, namely the absence of any major detectable signal before breakthrough, can be avoided by examining the track-etching process capacitively. This method allows one to define precisely not only the breakthrough point before it is reached, but also the length of any non-transient track. Combining both capacitive and conductive etching allows one to control the etching process perfectly. Examples and possible applications are given.

  2. Device fabrication by plasma etching

    International Nuclear Information System (INIS)

    Mogab, C.J.

    1980-01-01

    Plasma etching as applied to many of the materials encountered in the fabrication of LSI's is complicated by loading effect-the dependence of etch rate on the integrated surface area to be etched. This problem is alleviated by appropriate choice of etchant and etching conditions. Appropriate choice of system parameters, generally most concerned with the inherent lifetime of etchant species, may also result in improvement of etch rate uniformity on a wafer-by-wafer basis

  3. A study on the fabrication of superhydrophobic iron surfaces by chemical etching and galvanic replacement methods and their anti-icing properties

    Energy Technology Data Exchange (ETDEWEB)

    Li, Kunquan, E-mail: likunquan1987@gmail.com; Zeng, Xingrong, E-mail: psxrzeng@gmail.com; Li, Hongqiang, E-mail: hqli1979@gmail.com; Lai, Xuejun, E-mail: msxjlai@scut.edu.cn

    2015-08-15

    Graphical abstract: - Highlights: • Superhydrophobic iron surfaces were prepared by etching and replacement method. • The fabrication process was simple, time-saving and inexpensive. • Galvanic replacement method was more favorable to create roughness on iron surface. • The superhydrophobic iron surface showed excellent anti-icing properties. - Abstract: Hierarchical structures on iron surfaces were constructed by means of chemical etching by hydrochloric acid (HCl) solution or the galvanic replacement by silver nitrate (AgNO{sub 3}) solution. The superhydrophobic iron surfaces were successfully prepared by subsequent hydrophobic modification with stearic acid. The superhydrophobic iron surfaces were characterized by Fourier transform infrared spectroscopy (FTIR), scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDS) and water contact angle (WCA). The effects of reactive concentration and time on the microstructure and the wetting behavior were investigated. In addition, the anti-icing properties of the superhydrophobic iron surfaces were also studied. The FTIR study showed that the stearic acid was chemically bonded onto the iron surface. With the HCl concentration increase from 4 mol/L to 8 mol/L, the iron surface became rougher with a WCA ranging from 127° to 152°. The AgNO{sub 3} concentration had little effect on the wetting behavior, but a high AgNO{sub 3} concentration caused Ag particle aggregates to transform from flower-like formations into dendritic crystals, owing to the preferential growth direction of the Ag particles. Compared with the etching method, the galvanic replacement method on the iron surface more favorably created roughness required for achieving superhydrophobicity. The superhydrophobic iron surface showed excellent anti-icing properties in comparison with the untreated iron. The icing time of water droplets on the superhydrophobic surface was delayed to 500 s, which was longer than that of 295 s for

  4. Pulsed Plasma with Synchronous Boundary Voltage for Rapid Atomic Layer Etching

    Energy Technology Data Exchange (ETDEWEB)

    Economou, Demetre J.; Donnelly, Vincent M.

    2014-05-13

    Atomic Layer ETching (ALET) of a solid with monolayer precision is a critical requirement for advancing nanoscience and nanotechnology. Current plasma etching techniques do not have the level of control or damage-free nature that is needed for patterning delicate sub-20 nm structures. In addition, conventional ALET, based on pulsed gases with long reactant adsorption and purging steps, is very slow. In this work, novel pulsed plasma methods with synchronous substrate and/or “boundary electrode” bias were developed for highly selective, rapid ALET. Pulsed plasma and tailored bias voltage waveforms provided controlled ion energy and narrow energy spread, which are critical for highly selective and damage-free etching. The broad goal of the project was to investigate the plasma science and engineering that will lead to rapid ALET with monolayer precision. A combined experimental-simulation study was employed to achieve this goal.

  5. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    Science.gov (United States)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 ÿ 1017 cm⿿3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  6. ECE laboratory in the Vinča institute: Its basic characteristics and fundamentals of electrochemic etching on polycarbonate

    Directory of Open Access Journals (Sweden)

    Žunić Zora S.

    2003-01-01

    Full Text Available This paper deals with the introductory aspects of the Electrochemical Etching Laboratory installed at the VINČA Institute in the year 2003. The main purpose of the laboratory is its field application for radon and thoron large-scale survey using passive radon/thoron UFO type detectors. Since the etching techniques together with the laboratory equipment were transferred from the National Institute of Radiological Sciences, Chiba, Japan, it was necessary for both etching conditions to be confirmed and to be checked up^ i. e., bulk etching speeds of chemical etching and electrochemical etching in the VINCA Electrochemical Etching Laboratory itself. Beside this initial step, other concerns were taken into consideration in this preliminary experimental phase such as the following: the measurable energy range of the polycarbonate film, background etch pit density of the film and its standard deviation and reproducibility of the response to alpha particles for different sets of etchings.

  7. Application of the chemical vapor-etching in polycrystalline silicon solar cells

    International Nuclear Information System (INIS)

    Ben Rabha, M.; Saadoun, M.; Boujmil, M.F.; Bessais, B.; Ezzaouia, H.; Bennaceur, R.

    2005-01-01

    This paper reports a study of the application of chemical vapor-etching (CVE) for the rear surface and in the emitter of polycrystalline silicon (pc-Si) solar cells. The CVE technique consists of exposing pc-Si wafers to a mixture of HF/HNO 3 . This technique is used to groove the rear surface of the pc-Si wafers for acid vapors rich in HNO 3 (HNO 3 /HF > 1/4), in order to realize rear-buried metallic contacts (RBMC) and the formation of a porous silicon (PS) layer on the frontal surface of the cell for volume ratio of HNO 3 /HF = 1/7. A significant increase of the spectral response in the long wavelength range was observed when a RBMC is formed. This increase was attributed to the reduction of the effective thickness of the base of the cells and grain boundary Al gettering. The achievement of a PS layer on the emitter of the pc-Si cells passivates the surface and reduces the reflectivity. The dark I-V characteristics of pc-Si cells with emitter-based PS show an important reduction of the reverse current together with an improvement of the rectifying behaviour. The I-V characteristic under AM1.5 illumination shows an enhancement of both short circuit current density and fill factor. The internal quantum efficiency is improved, particularly in the short wavelengths region

  8. Dislocation-free etching figures in crystals of CdxHg1-xTe solid solutions

    International Nuclear Information System (INIS)

    Kholina, E.N.; Khazieva, R.A.; Bursak, S.S.; Nevskij, O.B.; Filatov, A.V.; Popovyan, G.Eh.; Suslov, E.V.; Prijmak, A.N.

    1988-01-01

    Using the method of chemical etching, etching figures formed in areas distributed over Cd x Hg 1-x Te crystal with increased content of impurities consisting of Si,Al,Na,K,Cl,Fe,Ti,Mg and S mainly are revealed. The size of impurity inclusions is >1μm, that is why they cannot be detected either by optical microscopy or local microroentgenospectral analysis. Formation and distribution of impurity inclusions are obviously defined by only the concentration of impurity atoms in the melt. The shape of etching figures does not depend on crystallographical orientation of the crystal-matrix

  9. Modeling of altered layer formation during reactive ion etching of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Mutzke, A. [Max-Planck-Institute of Plasmaphysics, EURATOM Association, D-17491 Greifswald (Germany); Rai, A., E-mail: Abha.Rai@ipp.mpg.de [Max-Planck-Institute of Plasmaphysics, EURATOM Association, D-17491 Greifswald (Germany); Schneider, R.; Angelin, E.J.; Hippler, R. [Institute of Physics, Ernst-Moritz-Arndt-University Greifswald, Felix-Hausdorff-Str.6, D-17489 Greifswald (Germany)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer Experimental result showing the preferential sputtering of GaAs (150 keV Ar{sup +} and thermal O on GaAs) during reactive ion beam etching (RIBE) has been reported. Black-Right-Pointing-Pointer A model based on binary collisions (SDTrimSP) is presented to simulate RIBE. Black-Right-Pointing-Pointer The model is used to explain the reported experimental data and also the results by Grigonis and co-workers [1]. - Abstract: The binary collision based SDTrimSP model has been used to simulate the reactive ion beam etching (RIBE) of GaAs in the presence of energetic Ar ions and thermal O atoms. It includes the collisional effects, diffusive processes and chemical reactions taking place in the system. The model parameters are fitted using the experimental observations of Grigonis and co-workers [1] and validated with the experimental results obtained during the GaAs ion etching presented in this paper. A detailed analysis is presented to understand the effect of the diffusive processes and the role of O during RIBE of GaAs. It is shown how the presence of damage caused by the energetic Ar coupled with the presence of thermal O opens up chemical reaction channels which eventually leads to the preferential sputtering of Ga observed at the ion etching facility at University of Greifswald.

  10. Prevention of sidewall redeposition of etched byproducts in the dry Au etch process

    International Nuclear Information System (INIS)

    Aydemir, A; Akin, T

    2012-01-01

    In this paper we present a new technique of etching thin Au film in a dual frequency inductively coupled plasma (ICP) system on Si substrate to prevent the redeposition of etched Au particles over the sidewall of the masking material known as veils. First, the effect of the lithography step was investigated. Then the effects of etch chemistry and the process parameters on the redeposition of etched Au particles on the sidewall of the masking material were investigated. The redeposition effect was examined by depositing a thin Ti film over the masking material acting as a hard mask. The results showed that depositing a thin Ti film over the masking material prevents the formation of veils after etching Au in plasma environments for submicron size structures. Based on the results of this study, we propose a new technique that completely eliminates formation of veils after etching Au in plasma environments for submicron size structures. (paper)

  11. Dominant rate process of silicon surface etching by hydrogen chloride gas

    International Nuclear Information System (INIS)

    Habuka, Hitoshi; Suzuki, Takahiro; Yamamoto, Sunao; Nakamura, Akio; Takeuchi, Takashi; Aihara, Masahiko

    2005-01-01

    Silicon surface etching and its dominant rate process are studied using hydrogen chloride gas in a wide concentration range of 1-100% in ambient hydrogen at atmospheric pressure in a temperature range of 1023-1423 K, linked with the numerical calculation accounting for the transport phenomena and the surface chemical reaction in the entire reactor. The etch rate, the gaseous products and the surface morphology are experimentally evaluated. The dominant rate equation accounting for the first-order successive reactions at silicon surface by hydrogen chloride gas is shown to be valid. The activation energy of the dominant surface process is evaluated to be 1.5 x 10 5 J mol - 1 . The silicon deposition by the gaseous by-product, trichlorosilane, is shown to have a negligible influence on the silicon etch rate

  12. Understanding and controlling the step bunching instability in aqueous silicon etching

    Science.gov (United States)

    Bao, Hailing

    Chemical etching of silicon has been widely used for more than half a century in the semiconductor industry. It not only forms the basis for current wafer cleaning processes, it also serves as a powerful tool to create a variety of surface morphologies for different applications. Its potential for controlling surface morphology at the atomic scale over micron-size regions is especially appealing. In spite of its wide usage, the chemistry of silicon etching is poorly understood. Many seemingly simple but fundamental questions have not been answered. As a result, the development of new etchants and new etching protocols are based on expensive and tedious trial-and-error experiments. A better understanding of the etching mechanism would direct the rational formulation of new etchants that produce controlled etch morphologies. Particularly, micron-scale step bunches spontaneously develop on the vicinal Si(111) surface etched in KOH or other anisotropic aqueous etchants. The ability to control the size, orientation, density and regularity of these surface features would greatly improve the performance of microelectromechanical devices. This study is directed towards understanding the chemistry and step bunching instability in aqueous anisotropic etching of silicon through a combination of experimental techniques and theoretical simulations. To reveal the cause of step-bunching instability, kinetic Monte Carlo simulations were constructed based on an atomistic model of the silicon lattice and a modified kinematic wave theory. The simulations showed that inhomogeneity was the origin of step-bunching, which was confirmed through STM studies of etch morphologies created under controlled flow conditions. To quantify the size of the inhomogeneities in different etchants and to clarify their effects, a five-parallel-trench pattern was fabricated. This pattern used a nitride mask to protect most regions of the wafer; five evenly spaced etch windows were opened to the Si(110

  13. Nanoparticle and nanosphere mask for etching of ITO nanostructures and their reflection properties

    International Nuclear Information System (INIS)

    Xu, Cigang; Deng, Ligang; Holder, Adam; Bailey, Louise R.; Proudfoot, Gary; Thomas, Owain; Gunn, Robert; Cooke, Mike; Leendertz, Caspar; Bergmann, Joachim

    2015-01-01

    Au nanoparticles and polystyrene nanospheres were used as mask for plasma etching of indium tin oxide (ITO) layer. By reactive ion etching (RIE) processes, the morphology of polystyrene nanospheres can be tuned through chemical or physical etching, and Au nanoparticle mask can result in ITO nanostructures with larger aspect ratio than nanosphere mask. During inductively coupled plasma (ICP) processes, Au nanoparticle mask was not affected by the thermal effect of plasma, whereas temperature of the substrate was essential to protect nanospheres from the damaging effect of plasma. Physical bombardment in the plasma can also modify the nanospheres. It was observed that under the same process conditions, the ratio of CH 4 and H 2 in the process gas can affect the etching rate of ITO without completely etching the nanospheres. The morphology of ITO nanostructures also depends on process conditions. The resulting ITO nanostructures show lower reflection in a spectral range of 400-1000 nm than c-Si and conventional antireflection layer of SiN x film. ITO nanostructures obtained after etching (scale bar = 200 nm). (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  14. Etch pit investigation of free electron concentration controlled 4H-SiC

    Science.gov (United States)

    Kim, Hong-Yeol; Shin, Yun Ji; Kim, Jung Gon; Harima, Hiroshi; Kim, Jihyun; Bahng, Wook

    2013-04-01

    Etch pits were investigated using the molten KOH selective etching method to examine dependence of etch pit shape and size on free electron concentration. The free electron concentrations of highly doped 4H-silicon carbide (SiC) were controlled by proton irradiation and thermal annealing, which was confirmed by a frequency shift in the LO-phonon-plasmon-coupled (LOPC) mode on micro-Raman spectroscopy. The proton irradiated sample with 5×1015 cm-2 fluence and an intrinsic semi-insulating sample showed clearly classified etch pits but different ratios of threading screw dislocation (TSD) and threading edge dislocation (TED) sizes. Easily classified TEDs and TSDs on proton irradiated 4H-SiC were restored as highly doped 4H-SiC after thermal annealing due to the recovered carrier concentrations. The etched surface of proton irradiated 4H-SiC and boron implanted SiC showed different surface conditions after activation.

  15. Study of substrate topographical effects on epithelial cell behavior using etched alpha-particle tracks on PADC films

    International Nuclear Information System (INIS)

    Ng, C.K.M.; Poon, W.L.; Li, W.Y.; Cheung, T.; Cheng, S.H.; Yu, K.N.

    2008-01-01

    Micrometer-size pits on the surface of a polymer (polyallyldiglycol carbonate or PADC) substrate created by alpha-particle irradiation and subsequent chemical etching were used to study the topographical effects alone on cell behavior. Vinculin, the cell adhesion and membrane protrusion protein, was used as an indicator of cytoskeletonal reorganization on the substrate and localization of vinculin was used to demonstrate the presence of focal adhesions. In our experiments, vinculin expressed in epithelial HeLa cells cultured on PADC films with track-etch pits, but not in cells cultured on the raw or chemically etched blank films. In other words, vinculin expression was induced by the topography of track-etch pits, while etching of the substrate alone (without alpha-particle irradiation) did not cause up-regulation of vinculin protein expression. HeLa cells cultured on PADC films with track-etch pits also showed changes in cell proliferation, cell area and cell circularity, and were largely contained by the pits. In other words, the cell membrane edges tended to be in contact with the pits. By comparing the correlation between the positions of HeLa cells and the pits, and that between the positions of cells and computer-simulated pits, the tendency for membrane edges of HeLa cells to be in contact with the pits was recognized. This could be explained by inhibition of membrane protrusion at the pits. In conclusion, substrate track-etch pits were an important determinant of epithelial cell behaviors

  16. Improved degree of conversion of model self-etching adhesives through their interaction with dentin

    Science.gov (United States)

    Zhang, Ying; Wang, Yong

    2011-01-01

    Objective To investigate the correlation of the chemical interaction between model self-etching adhesives and dentin with the degree of conversion (DC) of the adhesives. Methods The model self-etching adhesives contained bis[2-methacryloyloxy)ethyl] phosphate (2MP) and 2-hydroxyethyl methacrylate (HEMA) with a mass ratio of 1/1, and 0-40% water contents, respectively. The adhesives were applied either onto the prepared dentin surface or unreactive substrates (such as glass slides), agitated for 15s, then light-cured for 40s. The DCs of the adhesives were determined using micro-Raman spectral and mapping analysis. Results The DCs of the adhesives cured on the dentin substrate were found to be significantly higher than those on the unreactive glass substrate. Moreover, the DCs of the adhesives displayed a decreasing trend as the distance from the dentin surface became greater. The chemical interaction of the acidic 2MP/HEMA adhesives with the mineral apatite in dentin was proposed to play a significant role for the observations. The chemical interaction could be validated by the spectral comparison in the phosphate regions of 1100 cm−1 and 960 cm−1 in the Raman spectra. The results also revealed a notable influence of water content on the DC of adhesives. The DCs of the adhesive at 10% water content exhibited the highest DC level for both substrates. Conclusions Interaction with dentin dramatically improved the degree of conversion of self-etching adhesives. Our ability to chemically characterize the a/d interface including in situ detection of the DC distribution is very important in understanding self-etching adhesive bonding under in vivo conditions. PMID:22024375

  17. Improved degree of conversion of model self-etching adhesives through their interaction with dentine.

    Science.gov (United States)

    Zhang, Ying; Wang, Yong

    2012-01-01

    To investigate the correlation of the chemical interaction between model self-etching adhesives and dentine with the degree of conversion (DC) of the adhesives. The model self-etching adhesives contained bis[2-methacryloyloxy)ethyl] phosphate (2MP) and 2-hydroxyethyl methacrylate (HEMA) with a mass ratio of 1/1, and 0-40% water contents, respectively. The adhesives were applied either onto the prepared dentine surface or unreactive substrates (such as glass slides), agitated for 15s, then light-cured for 40s. The DCs of the adhesives were determined using micro-Raman spectral and mapping analysis. The DCs of the adhesives cured on the dentine substrate were found to be significantly higher than those on the unreactive glass substrate. Moreover, the DCs of the adhesives displayed a decreasing trend as the distance from the dentine surface became greater. The chemical interaction of the acidic 2MP/HEMA adhesives with the mineral apatite in dentine was proposed to play a significant role for the observations. The chemical interaction could be validated by the spectral comparison in the phosphate regions of 1100 cm(-1) and 960 cm(-1) in the Raman spectra. The results also revealed a notable influence of water content on the DC of adhesives. The DCs of the adhesive at 10% water content exhibited the highest DC level for both substrates. Interaction with dentine dramatically improved the degree of conversion of self-etching adhesives. Our ability to chemically characterise the a/d interface including in situ detection of the DC distribution is very important in understanding self-etching adhesive bonding under in vivo conditions. Copyright © 2011 Elsevier Ltd. All rights reserved.

  18. Comparison of Self-Etch Primers with Conventional Acid Etching System on Orthodontic Brackets

    Science.gov (United States)

    Zope, Amit; Zope-Khalekar, Yogita; Chitko, Shrikant S.; Kerudi, Veerendra V.; Patil, Harshal Ashok; Jaltare, Pratik; Dolas, Siddhesh G

    2016-01-01

    Introduction The self-etching primer system consists of etchant and primer dispersed in a single unit. The etching and priming are merged as a single step leading to fewer stages in bonding procedure and reduction in the number of steps that also reduces the chance of introduction of error, resulting in saving time for the clinician. It also results in smaller extent of enamel decalcification. Aim To compare the Shear Bond Strength (SBS) of orthodontic bracket bonded with Self-Etch Primers (SEP) and conventional acid etching system and to study the surface appearance of teeth after debonding; etching with conventional acid etch and self-etch priming, using stereomicroscope. Materials and Methods Five Groups (n=20) were created randomly from a total of 100 extracted premolars. In a control Group A, etching of enamel was done with 37% phosphoric acid and bonding of stainless steel brackets with Transbond XT (3M Unitek, Monrovia, California). Enamel conditioning in left over four Groups was done with self-etching primers and adhesives as follows: Group B-Transbond Plus (3M Unitek), Group C Xeno V+ (Dentsply), Group D-G-Bond (GC), Group E-One-Coat (Coltene). The Adhesive Remnant Index (ARI) score was also evaluated. Additionally, the surface roughness using profilometer were observed. Results Mean SBS of Group A was 18.26±7.5MPa, Group B was 10.93±4.02MPa, Group C was 6.88±2.91MPa while of Group D was 7.78±4.13MPa and Group E was 10.39±5.22MPa respectively. In conventional group ARI scores shows that over half of the adhesive was remaining on the surface of tooth (score 1 to 3). In self-etching primer groups ARI scores show that there was no or minor amount of adhesive remaining on the surface of tooth (score 4 and 5). SEP produces a lesser surface roughness on the enamel than conventional etching. However, statistical analysis shows significant correlation (pbracket bonding after enamel conditioning with any of the SEPs tested. The SEPs used in Groups C (Xeno V

  19. Shear bond strength of self-etch adhesives to enamel with additional phosphoric acid etching.

    Science.gov (United States)

    Lührs, Anne-Katrin; Guhr, Silke; Schilke, Reinhard; Borchers, Lothar; Geurtsen, Werner; Günay, Hüsamettin

    2008-01-01

    This study evaluated the shear bond strength of self-etch adhesives to enamel and the effect of additional phosphoric acid etching. Seventy sound human molars were randomly divided into three test groups and one control group. The enamel surfaces of the control group (n=10) were treated with Syntac Classic (SC). Each test group was subdivided into two groups (each n=10). In half of each test group, ground enamel surfaces were coated with the self-etch adhesives AdheSe (ADH), Xeno III (XE) or Futurabond NR (FNR). In the remaining half of each test group, an additional phosphoric acid etching of the enamel surface was performed prior to applying the adhesives. The shear bond strength was measured with a universal testing machine at a crosshead speed of 1 mm/minute after storing the samples in distilled water at 37 degrees C for 24 hours. Fracture modes were determined by SEM examination. For statistical analysis, one-way ANOVA and the two-sided Dunnett Test were used (p>0.05). Additional phosphoric etching significantly increased the shear bond strength of all the examined self-etch adhesives (padhesive fractures. For all the self-etch adhesives, a slight increase in mixed fractures occurred after conditioning with phosphoric acid. An additional phosphoric acid etching of enamel should be considered when using self-etch adhesives. More clinical studies are needed to evaluate the long-term success of the examined adhesives.

  20. Catalytically-etched hexagonal boron nitride flakes and their surface activity

    International Nuclear Information System (INIS)

    Kim, Do-Hyun; Lee, Minwoo; Ye, Bora; Jang, Ho-Kyun; Kim, Gyu Tae; Lee, Dong-Jin; Kim, Eok-Soo; Kim, Hong Dae

    2017-01-01

    Highlights: • Hexagonal boron nitride flakes are etched at low temperature in air by catalysts. • The presence of transition metal oxides produces an etched structure in the flakes. • Etched surfaces become highly active due to vacancy defects formed in the flakes. - Abstract: Hexagonal boron nitride (h-BN) is a ceramic compound which is thermally stable up to 1000 °C in air. Due to this, it is a very challenging task to etch h-BN under air atmosphere at low temperature. In this study, we report that h-BN flakes can be easily etched by oxidation at 350 °C under air atmosphere in the presence of transition metal (TM) oxide. After selecting Co, Cu, and Zn elements as TM precursors, we simply oxidized h-BN sheets impregnated with the TM precursors at 350 °C in air. As a result, microscopic analysis revealed that an etched structure was created on the surface of h-BN flakes regardless of catalyst type. And, X-ray diffraction patterns indicated that the air oxidation led to the formation of Co_3O_4, CuO, and ZnO from each precursor. Thermogravimetric analysis showed a gradual weight loss in the temperature range where the weight of h-BN flakes increased by air oxidation. As a result of etching, pore volume and pore area of h-BN flakes were increased after catalytic oxidation in all cases. In addition, the surface of h-BN flakes became highly active when the h-BN samples were etched by Co_3O_4 and CuO catalysts. Based on these results, we report that h-BN flakes can be easily oxidized in the presence of a catalyst, resulting in an etched structure in the layered structure.

  1. Catalytically-etched hexagonal boron nitride flakes and their surface activity

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Do-Hyun, E-mail: nanotube@korea.ac.kr [School of Electrical Engineering, Korea University, 5-ga, Anam-dong, Seongbuk-gu, Seoul 136-713 (Korea, Republic of); Lee, Minwoo; Ye, Bora [Green Manufacturing 3Rs R& D Group, Korea Institute of Industrial Technology, Ulsan 681-310 (Korea, Republic of); Jang, Ho-Kyun; Kim, Gyu Tae [School of Electrical Engineering, Korea University, 5-ga, Anam-dong, Seongbuk-gu, Seoul 136-713 (Korea, Republic of); Lee, Dong-Jin [New Functional Components Research Team, Korea Institute of Footware & Leather Technology, 152 Danggamseo-ro, Busanjin-gu, Busan 614-100 (Korea, Republic of); Kim, Eok-Soo [Green Manufacturing 3Rs R& D Group, Korea Institute of Industrial Technology, Ulsan 681-310 (Korea, Republic of); Kim, Hong Dae, E-mail: hdkim@kitech.re.kr [Green Manufacturing 3Rs R& D Group, Korea Institute of Industrial Technology, Ulsan 681-310 (Korea, Republic of)

    2017-04-30

    Highlights: • Hexagonal boron nitride flakes are etched at low temperature in air by catalysts. • The presence of transition metal oxides produces an etched structure in the flakes. • Etched surfaces become highly active due to vacancy defects formed in the flakes. - Abstract: Hexagonal boron nitride (h-BN) is a ceramic compound which is thermally stable up to 1000 °C in air. Due to this, it is a very challenging task to etch h-BN under air atmosphere at low temperature. In this study, we report that h-BN flakes can be easily etched by oxidation at 350 °C under air atmosphere in the presence of transition metal (TM) oxide. After selecting Co, Cu, and Zn elements as TM precursors, we simply oxidized h-BN sheets impregnated with the TM precursors at 350 °C in air. As a result, microscopic analysis revealed that an etched structure was created on the surface of h-BN flakes regardless of catalyst type. And, X-ray diffraction patterns indicated that the air oxidation led to the formation of Co{sub 3}O{sub 4}, CuO, and ZnO from each precursor. Thermogravimetric analysis showed a gradual weight loss in the temperature range where the weight of h-BN flakes increased by air oxidation. As a result of etching, pore volume and pore area of h-BN flakes were increased after catalytic oxidation in all cases. In addition, the surface of h-BN flakes became highly active when the h-BN samples were etched by Co{sub 3}O{sub 4} and CuO catalysts. Based on these results, we report that h-BN flakes can be easily oxidized in the presence of a catalyst, resulting in an etched structure in the layered structure.

  2. Prediction of plasma-induced damage distribution during silicon nitride etching using advanced three-dimensional voxel model

    Energy Technology Data Exchange (ETDEWEB)

    Kuboi, Nobuyuki, E-mail: Nobuyuki.Kuboi@jp.sony.com; Tatsumi, Tetsuya; Kinoshita, Takashi; Shigetoshi, Takushi; Fukasawa, Masanaga; Komachi, Jun; Ansai, Hisahiro [Device and Material Research Group, RDS Platform, Sony Corporation, 4-14-1 Asahi-cho, Atsugi, Kanagawa 243-0014 (Japan)

    2015-11-15

    The authors modeled SiN film etching with hydrofluorocarbon (CH{sub x}F{sub y}/Ar/O{sub 2}) plasma considering physical (ion bombardment) and chemical reactions in detail, including the reactivity of radicals (C, F, O, N, and H), the area ratio of Si dangling bonds, the outflux of N and H, the dependence of the H/N ratio on the polymer layer, and generation of by-products (HCN, C{sub 2}N{sub 2}, NH, HF, OH, and CH, in addition to CO, CF{sub 2}, SiF{sub 2}, and SiF{sub 4}) as ion assistance process parameters for the first time. The model was consistent with the measured C-F polymer layer thickness, etch rate, and selectivity dependence on process variation for SiN, SiO{sub 2}, and Si film etching. To analyze the three-dimensional (3D) damage distribution affected by the etched profile, the authors developed an advanced 3D voxel model that can predict the time-evolution of the etched profile and damage distribution. The model includes some new concepts for gas transportation in the pattern using a fluid model and the property of voxels called “smart voxels,” which contain details of the history of the etching situation. Using this 3D model, the authors demonstrated metal–oxide–semiconductor field-effect transistor SiN side-wall etching that consisted of the main-etch step with CF{sub 4}/Ar/O{sub 2} plasma and an over-etch step with CH{sub 3}F/Ar/O{sub 2} plasma under the assumption of a realistic process and pattern size. A large amount of Si damage induced by irradiated hydrogen occurred in the source/drain region, a Si recess depth of 5 nm was generated, and the dislocated Si was distributed in a 10 nm deeper region than the Si recess, which was consistent with experimental data for a capacitively coupled plasma. An especially large amount of Si damage was also found at the bottom edge region of the metal–oxide–semiconductor field-effect transistors. Furthermore, our simulation results for bulk fin-type field-effect transistor side-wall etching

  3. A non-chemically selective top-down approach towards the preparation of hierarchical TS-1 zeolites with improved oxidative desulfurization catalytic performance.

    Science.gov (United States)

    Du, Shuting; Chen, Xiaoxin; Sun, Qiming; Wang, Ning; Jia, Mingjun; Valtchev, Valentin; Yu, Jihong

    2016-02-28

    Hierarchical TS-1 zeolites with secondary macropores have been successfully prepared by using two different fluoride-containing chemical etching post-treated routes. Hierarchical TS-1 zeolites exhibited a chemical composition similar to that of the parent material and showed remarkably enhanced catalytic activity in oxidative desulfurization reaction.

  4. Determination of the depth of an etch pit through studies of diffraction rings

    Energy Technology Data Exchange (ETDEWEB)

    Basu, B. [Department of Physics, Bose Institute, 93/1 APC Road, Kolkata 700 009 (India); Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India); Dey, S.; Maulik, A. [Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India); Raha, Sibaji [Department of Physics, Bose Institute, 93/1 APC Road, Kolkata 700 009 (India); Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India); Saha, S. [Nuclear and Atomic Physics Division, Saha Institute of Nuclear Physics, Kolkata 700 064 (India); Saha, Swapan K. [Department of Physics, Bose Institute, 93/1 APC Road, Kolkata 700 009 (India); Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India)], E-mail: swapan@bosemain.boseinst.ac.in; Syam, D. [Department of Physics, Presidency College, Kolkata 700 073 (India)

    2009-04-15

    A Solid State Nuclear Track Detector (SSNTD) can be used to identify an impinging ion as well as to determine the energy of that ion. The track of the ion is made visible by chemically 'etching' the detector after exposure. By finding out the ratio of the track-etch rate (V{sub t}) to the bulk-etch rate (V{sub g}), together with the range of the ion in the detector, the identity and the energy of the ion can be ascertained. The required measurements can be conveniently made with the help of a microscope when the angle of incidence of the ion, with respect to the normal direction to the detector surface, is more than 15 deg.. For normal or near normal incidence, uncertainties plague the measurement of the depth of the etch pit and hence the range of the particle. Through this article we wish to suggest an alternative method of assessment, based on the observation of diffraction rings, of the depth of an etch pit.

  5. In vitro bonding effectiveness of three different one-step self-etch adhesives with additional enamel etching.

    Science.gov (United States)

    Batra, Charu; Nagpal, Rajni; Tyagi, Shashi Prabha; Singh, Udai Pratap; Manuja, Naveen

    2014-08-01

    To evaluate the effect of additional enamel etching on the shear bond strength of three self-etch adhesives. Class II box type cavities were made on extracted human molars. Teeth were randomly divided into one control group of etch and rinse adhesive and three test groups of self-etch adhesives (Clearfil S3 Bond, Futurabond NR, Xeno V). The teeth in the control group (n = 10) were treated with Adper™ Single Bond 2. The three test groups were further divided into two subgroups (n = 10): (i) self-etch adhesive was applied as per the manufacturer's instructions; (ii) additional etching of enamel surfaces was done prior to the application of self-etch adhesives. All cavities were restored with Filtek Z250. After thermocycling, shear bond strength was evaluated using a Universal testing machine. Data were analyzed using anova independent sample's 't' test and Dunnett's test. The failure modes were evaluated with a stereomicroscope at a magnification of 10×. Additional phosphoric acid etching of the enamel surface prior to the application of the adhesive system significantly increased the shear bond strength of all the examined self-etch adhesives. Additional phosphoric acid etching of enamel surface significantly improved the shear bond strength. © 2013 Wiley Publishing Asia Pty Ltd.

  6. Chemical tailoring of teicoplanin with site-selective reactions.

    Science.gov (United States)

    Pathak, Tejas P; Miller, Scott J

    2013-06-05

    Semisynthesis of natural product derivatives combines the power of fermentation with orthogonal chemical reactions. Yet, chemical modification of complex structures represents an unmet challenge, as poor selectivity often undermines efficiency. The complex antibiotic teicoplanin eradicates bacterial infections. However, as resistance emerges, the demand for improved analogues grows. We have discovered chemical reactions that achieve site-selective alteration of teicoplanin. Utilizing peptide-based additives that alter reaction selectivities, certain bromo-teicoplanins are accessible. These new compounds are also scaffolds for selective cross-coupling reactions, enabling further molecular diversification. These studies enable two-step access to glycopeptide analogues not available through either biosynthesis or rapid total chemical synthesis alone. The new compounds exhibit a spectrum of activities, revealing that selective chemical alteration of teicoplanin may lead to analogues with attenuated or enhanced antibacterial properties, in particular against vancomycin- and teicoplanin-resistant strains.

  7. Electrochemistry in anisotropic etching of silicon in alkaline solutions

    NARCIS (Netherlands)

    Nguyen, Q.D.

    2007-01-01

    Etching is the process of using an acidic or caustic chemical to cut into unprotected areas of a particular material. Initially used in the 15th century for decorating plate armor and sword blades, the metal surface (typically steel or copper) was first covered with a wax-like material. Part of the

  8. Planarization of the diamond film surface by using the hydrogen plasma etching with carbon diffusion process

    International Nuclear Information System (INIS)

    Kim, Sung Hoon

    2001-01-01

    Planarization of the free-standing diamond film surface as smooth as possible could be obtained by using the hydrogen plasma etching with the diffusion of the carbon species into the metal alloy (Fe, Cr, Ni). For this process, we placed the free-standing diamond film between the metal alloy and the Mo substrate like a metal-diamond-molybdenum (MDM) sandwich. We set the sandwich-type MDM in a microwave-plasma-enhanced chemical vapor deposition (MPECVD) system. The sandwich-type MDM was heated over ca. 1000 .deg. C by using the hydrogen plasma. We call this process as the hydrogen plasma etching with carbon diffusion process. After etching the free-standing diamond film surface, we investigated surface roughness, morphologies, and the incorporated impurities on the etched diamond film surface. Finally, we suggest that the hydrogen plasma etching with carbon diffusion process is an adequate etching technique for the fabrication of the diamond film surface applicable to electronic devices

  9. Etching twin core fiber for the temperature-independent refractive index sensing

    Science.gov (United States)

    Zhang, Chuanbiao; Ning, Tigang; Li, Jing; Zheng, Jingjing; Gao, Xuekai; Lin, Heng; Pei, Li

    2018-04-01

    We proposed an ultra-compact chemically etched twin core fiber (TCF) based optic refractive index (RI) sensor, in which the etched fiber was fabricated by immersing in an aqueous solution of hydrofluoric acid (HF) to etch the cladding. Due to the multipath evolutions of light during the TCF, the mode induced interference pattern can be used for measurement. Numerical simulations were performed, demonstrating that only the cladding mode strongly interacts with the surrounding media, and the higher cladding modes will be more sensitive to external medium. In the experiment demonstration, the RI response characteristics of the sensor were investigated, which shows a relatively high RI sensitivity and a much low temperature cross-sensitivity with about 1.06 × 10-6 RIU °C-1. Due to low cost and easy fabrication, the sensor can be a suitable candidate in the biochemical field.

  10. Extremely superhydrophobic surfaces with micro- and nanostructures fabricated by copper catalytic etching.

    Science.gov (United States)

    Lee, Jung-Pil; Choi, Sinho; Park, Soojin

    2011-01-18

    We demonstrate a simple method for the fabrication of rough silicon surfaces with micro- and nanostructures, which exhibited superhydrophobic behaviors. Hierarchically rough silicon surfaces were prepared by copper (Cu)-assisted chemical etching process where Cu nanoparticles having particle size of 10-30 nm were deposited on silicon surface, depending on the period of time of electroless Cu plating. Surface roughness was controlled by both the size of Cu nanoparticles and etching conditions. As-synthesized rough silicon surfaces showed water contact angles ranging from 93° to 149°. Moreover, the hierarchically rough silicon surfaces were chemically modified by spin-coating of a thin layer of Teflon precursor with low surface energy. And thus it exhibited nonsticky and enhanced hydrophobic properties with extremely high contact angle of nearly 180°.

  11. Detailed microstructure analysis of as-deposited and etched porous ZnO films

    International Nuclear Information System (INIS)

    Shang, Congcong; Thimont, Yohann; Barnabé, Antoine; Presmanes, Lionel; Pasquet, Isabelle; Tailhades, Philippe

    2015-01-01

    Graphical abstract: - Highlights: • Porous ZnO thin films were deposited by rf magnetron sputtering. • Surface enhancement factors were deduced from geometrical considerations. • Enlargement of the inter-grain spaces have been achieved by HCl chemical etching. • Microstructural parameters were deduced from SEM, AFM and optical measurements. - Abstract: ZnO nanostructured materials in thin film forms are of particular interest for photovoltaic or photocatalysis processes but they suffer from a lack of simple methods for optimizing their microstructure. We have demonstrated that microporous ZnO thin films with optimized inter grain accessibility can be produce by radio frequency magnetron sputtering process and chemical etching with 2.75 mM HCl solution for different duration. The as-deposited ZnO thin films were first characterized in terms of structure, grain size, inter grain space, open cavity depth and total thickness of the film by XRD, AFM, SEM, profilometry and optical measurements. A specific attention was dedicated to the determination of the surface enhancement factor (SEF) by using basic geometrical considerations and images treatments. In addition, the porous fraction and its distribution in the thickness have been estimated thanks to the optical simulation of the experimental UV–Visible–IR spectrums using the Bruggeman dielectric model and cross section SEM images analysis respectively. This study showed that the microstructure of the as-deposited films consists of a dense layer covered by a porous upper layer developing a SEF of 12–13 m 2 m −2 . This two layers architecture is not modified by the etching process. The etching process only affects the upper porous layer in which the overall porosity and the inter-grain space increase with the etching duration. Column diameter and total film thickness decrease at the same time when the films are soaked in the HCl bath. The microporous structure obtained after the etching process could

  12. Magnetically enhanced triode etching of large area silicon membranes in a molecular bromine plasma

    International Nuclear Information System (INIS)

    Wolfe, J.C.; Sen, S.; Pendharkar, S.V.; Mauger, P.; Shimkunas, A.R.

    1992-01-01

    The optimization of a process for etching 125 mm silicon membranes formed on 150 mm wafers and bonded to Pyrex rings is discussed. A magnetically enhanced triode etching system was designed to provide an intense, remote plasma surrounding the membrane while, at the same time, suppressing the discharge over the membrane itself. For the optimized molecular bromine process, the silicon etch rate is 40 nm/min and the selectivity relative to SiO 2 is 160:1. 14 refs., 6 figs

  13. Selective Acid Etching Improves the Bond Strength of Universal Adhesive to Sound and Demineralized Enamel of Primary Teeth.

    Science.gov (United States)

    Antoniazzi, Bruna Feltrin; Nicoloso, Gabriel Ferreira; Lenzi, Tathiane Larissa; Soares, Fabio Zovico Maxnuck; Rocha, Rachel de Oliveira

    To evaluate the influence of enamel condition and etching strategy on bond strength of a universal adhesive in primary teeth. Thirty-six primary molars were randomly assigned to six groups (n = 6) according to the enamel condition (sound [S] and demineralized [DEM]/cariogenic challenge by pH cycling prior to restorative procedures) and adhesive system (Scotchbond Universal Adhesive [SBU]) used in either etch-and-rinse (ER) or selfetching (SE) mode, with Clearfil SE Bond as the self-etching control. The adhesives were applied to flat enamel surfaces and composite cylinders (0.72 mm2) were built up. After 24-h storage in water, specimens were subjected to the microshear test. Bond strength (MPa) data were analyzed using two-way ANOVA and Tukey's post-hoc tests (α = 0.05). Significant differences were found considering the factors adhesive system (p = 0.003) and enamel condition (p = 0.001). Demineralized enamel negatively affected the bond strength, with μSBS values approximately 50% lower than those obtained for sound enamel. SBU performed better in etch-and-rinse mode, and the bond strength found for SBU applied in self-etching mode was similar to that of CSE. Enamel etching with phosphoric acid improves the bond strength of a universal adhesive system to primary enamel. Demineralized primary enamel results in lower bond strength.

  14. An etching mask and a method to produce an etching mask

    DEFF Research Database (Denmark)

    2016-01-01

    The present invention relates to an etching mask comprising silicon containing block copolymers produced by self-assembly techniques onto silicon or graphene substrate. Through the use of the etching mask, nanostructures having long linear features having sub-10 nm width can be produced....

  15. A numerical model for etching through a circular hole

    International Nuclear Information System (INIS)

    Rath, P; Chai, J C; Zheng, H; Lam, Y C; Murukeshan, V M

    2006-01-01

    A numerical model based on the total concentration of etchant is proposed to model the wet chemical etching through a circular hole. The reaction at the etchant-substrate interface is assumed to be infinitely fast i.e. etching is controlled by the diffusion of etchant to the interface. The proposed model is based on a fixed-grid approach analogous to the enthalpy method. The total concentration of etchant is the sum of the unreacted etchant concentration and the reacted etchant concentration. The reacted concentration of etchant is a measure of the etchfront position during etching. The governing mass diffusion equation based on the total concentration of etchant includes the interface condition. The etchfront position is found implicitly using the proposed approach. The computational domain is fixed, which includes the whole etchant and substrate domain including the mask region. For demonstration purposes, the finite volume method is used to solve the governing mass diffusion equation with prescribed initial and boundary conditions. The effect of mask thickness and initial etchant concentration on the shape evolution of etchfront is studied

  16. The use of CH3OH additive to NaOH for etching alpha particle tracks in a CR-39 plastic nuclear track detector

    International Nuclear Information System (INIS)

    Ashry, A.H.; Abdalla, A.M.; Rammah, Y.S.; Eisa, M.; Ashraf, O.

    2014-01-01

    Fast detection of alpha particles in CR-39 detectors was investigated using a new chemical etchant. 252 Cf and 241 Am sources were used for irradiating samples of CR-39 SSNTDs with fission fragments and alpha particles in air at normal temperature and pressure. A series of experimental chemical etching are carried out using new etching solution (8 ml of 10N NaOH+1 ml CH 3 OH) at 60 °C to detect alpha particle in short time in CR-39 detectors. Suitable analyzing software has been used to analyze experimental data. From fission and alpha track diameters, the value of bulk etching rate is equal to 2.73 μm/h. Both the sensitivity and etching efficiency were found to vary with the amount of methanol in the etching solution. Pure NaOH was used as a control to compare with the result from etching in NaOH with different concentrations of CH 3 OH. The etching efficiency is determined and compared with conventional aqueous solution of 6.25N NaOH at 70 °C for etching time equals 5 h. In this study, the obtained etching efficiency shows a considerable agreement with the previous work. - Highlights: • The value of bulk etching rate is equal to 2.73 μm/h. • Fast detection of alpha particles in CR-39 detectors. • Samples of CR-39 have been irradiated with fission fragments. • Etching efficiency was determined

  17. Fabrication of beta-PVDF membranes by track etching and specific functionalization of nano-pores

    International Nuclear Information System (INIS)

    Cuscito, O.

    2008-01-01

    Poly(vinylidene fluoride)(β-PVDF) nano-porous membranes were made by chemical revealing of tracks induced from swift heavy ions irradiation. Pore opening and radii can be varied in a controllable manner with the etching time. nano-pores size in nano-meter scale (from 12 nm to 50 nm) appears to be linearly dependent to the etching time. It was then necessary to adapt the characterization tools to these membranes. Consequently, we resorted to the use of structural analysis methods (Scanning Electron Microscopy, Small Angle Neutron Scattering) and developed evaluation methods of the membranes transport properties like gas permeation and ionic diffusion. Results obtained confirm the pores opening (break through) and the hydrophobicity of material, which we have modified with hydrophilic molecules. In this precise case, the grafting of acrylic acid was initiated by the radicals still remains after track-etching (called radio-grafting). This key result was obtained by a study of Electron Paramagnetic Resonance. The labelling of introduced chemical functionalities with fluorescent probes was a very effective mean to visualize very few amounts of molecules by confocal microscopy. The radio-grafting was found specifically localized inside etched tracks. The protocol offers the possibility to create a double functionality, the one localized inside the nano-pores and the other on the surface of membranes. The modification of radio-grafting parameters (the acrylic acid concentration, solvent nature, use of transfer agent) and the chemical properties of the nano-pore walls have a direct incidence on the transport properties. (author) [fr

  18. Dry etching of ITO by magnetic pole enhanced inductively coupled plasma for display and biosensing devices

    Energy Technology Data Exchange (ETDEWEB)

    Meziani, T. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Vatican City State, Holy See,) (Italy)]. E-mail: tarik.meziani@jrc.it; Colpo, P. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Va) (Italy)]. E-mail: pascal.colpo@jrc.it; Lambertini, V. [Centro Ricerche Fiat, Strada Torino 50, 10043 Orbassano (TO) (Italy); Ceccone, G. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Va) (Italy); Rossi, F. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Va) (Italy)

    2006-03-15

    The dry etching of indium tin oxide (ITO) layers deposited on glass substrates was investigated in a high density inductively coupled plasma (ICP) source. This innovative low pressure plasma source uses a magnetic core in order to concentrate the electromagnetic energy on the plasma and thus provides for higher plasma density and better uniformity. Different gas mixtures were tested containing mainly hydrogen, argon and methane. In Ar/H{sub 2} mixtures and at constant bias voltage (-100 V), the etch rate shows a linear dependence with input power varying the same way as the ion density, which confirms the hypothesis that the etching process is mainly physical. In CH{sub 4}/H{sub 2} mixtures, the etch rate goes through a maximum for 10% CH{sub 4} indicating a participation of the radicals to the etching process. However, the etch rate remains quite low with this type of gas mixture (around 10 nm/min) because the etching mechanism appears to be competing with a deposition process. With CH{sub 4}/Ar mixtures, a similar feature appeared but the etch rate was much higher, reaching 130 nm/min at 10% of CH{sub 4} in Ar. The increase in etch rate with the addition of a small quantity of methane indicates that the physical etching process is enhanced by a chemical mechanism. The etching process was monitored by optical emission spectroscopy that appeared to be a valuable tool for endpoint detection.

  19. Toward reliable morphology assessment of thermosets via physical etching: Vinyl ester resin as an example

    Directory of Open Access Journals (Sweden)

    J. Karger-Kocsis

    2013-05-01

    Full Text Available The morphology of peroxide-cured, styrene crosslinked, bisphenol A-based vinyl ester (VE resin was investigated by atomic force microscopy (AFM after ‘physical’ etching with different methods. Etching was achieved by laser ablation, atmospheric plasma treatment and argon ion bombardment. Parameters of the etching were varied to get AFM scans of high topography resolution. VE exhibited a nanoscaled nodular structure the formation of which was ascribed to complex intra- and intermolecular reactions during crosslinking. The microstructure resolved after all the above physical etching techniques was similar provided that optimized etching and suitable AFM scanning conditions were selected. Nevertheless, with respect to the ‘morphology visualization’ these methods follow the power ranking: argon bombardment > plasma treatment > laser ablation.

  20. The fabrication of silicon nanostructures by local gallium implantation and cryogenic deep reactive ion etching

    International Nuclear Information System (INIS)

    Chekurov, N; Grigoras, K; Franssila, S; Tittonen, I; Peltonen, A

    2009-01-01

    We show that gallium-ion-implanted silicon serves as an etch mask for fabrication of high aspect ratio nanostructures by cryogenic plasma etching (deep reactive ion etching). The speed of focused ion beam (FIB) patterning is greatly enhanced by the fact that only a thin approx. 30 nm surface layer needs to be modified to create a mask for the etching step. Etch selectivity between gallium-doped and undoped material is at least 1000:1, greatly decreasing the mask erosion problems. The resolution of the combined FIB-DRIE process is 20 lines μm -1 with the smallest masked feature size of 40 nm. The maximum achieved aspect ratio is 15:1 (e.g. 600 nm high pillars 40 nm in diameter).

  1. Fabrication of SiC nanopillars by inductively coupled SF6/O2 plasma etching

    International Nuclear Information System (INIS)

    Choi, J H; Bano, E; Latu-Romain, L; Dhalluin, F; Chevolleau, T; Baron, T

    2012-01-01

    In this paper, we demonstrate a top-down fabrication technique for nanometre scale silicon carbide (SiC) pillars using inductively coupled plasma etching. A set of experiments in SF 6 -based plasma was carried out in order to realize high aspect ratio SiC nanopillars. The etched SiC nanopillars using a small circular mask pattern (115 nm diameter) show high aspect ratio (7.4) with a height of 2.2 µm at an optimum bias voltage (300 V) and pressure (6 mTorr). Under the optimal etching conditions using a large circular mask pattern with 370 nm diameter, the obtained SiC nanopillars exhibit high anisotropy features (6.4) with a large etch depth (>7 µm). The etch characteristic of the SiC nanopillars under these conditions shows a high etch rate (550 nm min -1 ) and a high selectivity (over 60 for Ni). We also studied the etch profile of the SiC nanopillars and mask evolution over the etching time. As the mask pattern size shrinks in nanoscale, vertical and lateral mask erosion plays a crucial role in the etch profile of the SiC nanopillars. Long etching process makes the pillars appear with a hexagonal shape, coming from the crystallographic structure of α-SiC. It is found that the feature of pillars depends not only on the etching process parameters, but also on the crystallographic structure of the SiC phase. (paper)

  2. A study on the fabrication of superhydrophobic iron surfaces by chemical etching and galvanic replacement methods and their anti-icing properties

    Science.gov (United States)

    Li, Kunquan; Zeng, Xingrong; Li, Hongqiang; Lai, Xuejun

    2015-08-01

    Hierarchical structures on iron surfaces were constructed by means of chemical etching by hydrochloric acid (HCl) solution or the galvanic replacement by silver nitrate (AgNO3) solution. The superhydrophobic iron surfaces were successfully prepared by subsequent hydrophobic modification with stearic acid. The superhydrophobic iron surfaces were characterized by Fourier transform infrared spectroscopy (FTIR), scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDS) and water contact angle (WCA). The effects of reactive concentration and time on the microstructure and the wetting behavior were investigated. In addition, the anti-icing properties of the superhydrophobic iron surfaces were also studied. The FTIR study showed that the stearic acid was chemically bonded onto the iron surface. With the HCl concentration increase from 4 mol/L to 8 mol/L, the iron surface became rougher with a WCA ranging from 127° to 152°. The AgNO3 concentration had little effect on the wetting behavior, but a high AgNO3 concentration caused Ag particle aggregates to transform from flower-like formations into dendritic crystals, owing to the preferential growth direction of the Ag particles. Compared with the etching method, the galvanic replacement method on the iron surface more favorably created roughness required for achieving superhydrophobicity. The superhydrophobic iron surface showed excellent anti-icing properties in comparison with the untreated iron. The icing time of water droplets on the superhydrophobic surface was delayed to 500 s, which was longer than that of 295 s for untreated iron. Meanwhile, the superhydrophobic iron surface maintained superhydrophobicity after 10 icing and de-icing cycles in cold conditions.

  3. Structural and XPS studies of PSi/TiO2 nanocomposites prepared by ALD and Ag-assisted chemical etching

    International Nuclear Information System (INIS)

    Iatsunskyi, Igor; Kempiński, Mateusz; Nowaczyk, Grzegorz; Jancelewicz, Mariusz; Pavlenko, Mykola; Załęski, Karol; Jurga, Stefan

    2015-01-01

    Highlights: • Porous silicon/TiO 2 nanocomposites have been investigated. • Morphology and chemical composition of PSi/TiO 2 nanocomposites were established. • Valence-band XPS maximums for PSi/TiO 2 nanocomposites were found and analyzed. - Abstract: PSi/TiO 2 nanocomposites fabricated by atomic layer deposition (ALD) and metal-assisted chemical etching (MACE) were investigated. The morphology and phase structure of PSi/TiO 2 nanocomposites were studied by means of scanning electron microscopy (SEM), transmission electron microscopy (TEM) with an energy dispersive X-ray spectroscopy (EDX) and Raman spectroscopy. The mean size of TiO 2 nanocrystals was determined by TEM and Raman spectroscopy. X-ray photoelectron spectroscopy (XPS) was used to analyze the chemical elemental composition by observing the behavior of the Ti 2p, O 1s and Si 2p lines. TEM, Raman spectroscopy and XPS binding energy analysis confirmed the formation of TiO 2 anatase phase inside the PSi matrix. The XPS valence band analysis was performed in order to investigate the modification of PSi/TiO 2 nanocomposites electronic structure. Surface defects states of Ti 3+ at PSi/TiO 2 nanocomposites were identified by analyzing of XPS valence band spectra

  4. Polarity-inverted lateral overgrowth and selective wet-etching and regrowth (PILOSWER) of GaN.

    Science.gov (United States)

    Jang, Dongsoo; Jue, Miyeon; Kim, Donghoi; Kim, Hwa Seob; Lee, Hyunkyu; Kim, Chinkyo

    2018-03-07

    On an SiO 2 -patterned c-plane sapphire substrate, GaN domains were grown with their polarity controlled in accordance with the pattern. While N-polar GaN was grown on hexagonally arranged circular openings, Ga-polar GaN was laterally overgrown on mask regions due to polarity inversion occurring at the boundary of the circular openings. After etching of N-polar GaN on the circular openings by H 3 PO 4 , this template was coated with 40-nm Si by sputtering and was slightly etched by KOH. After slight etching, a thin layer of Si left on the circular openings of sapphire,but not on GaN, was oxidized during thermal annealing and served as a dielectric mask during subsequent regrowth. Thus, the subsequent growth of GaN was made only on the existing Ga-polar GaN domains, not on the circular openings of the sapphire substrate. Transmission electron microscopy analysis revealed no sign of threading dislocations in this film. This approach may help fabricating an unholed and merged GaN film physically attached to but epitaxially separated from the SiO 2 -patterned sapphire.

  5. Silicon surface damage caused by reactive ion etching in fluorocarbon gas mixtures containing hydrogen

    International Nuclear Information System (INIS)

    Norstroem, H.; Blom, H.; Ostling, M.; Nylandsted Larsen, A.; Keinonen, J.; Berg, S.

    1991-01-01

    For selective etching of SiO 2 on silicon, gases or gas mixtures containing hydrogen are often used. Hydrogen from the glow discharge promotes the formation of a thin film polymer layer responsible for the selectivity of the etching process. The reactive ion etch (RIE) process is known to create damage in the silicon substrate. The influence of hydrogen on the damage and deactivation of dopants is investigated in the present work. The distribution of hydrogen in silicon, after different etching and annealing conditions have been studied. The influence of the RIE process on the charge carrier concentration in silicon has been investigated. Various analytical techniques like contact resistivity measurements, four point probe measurements, and Hall measurements have been used to determine the influence of the RIE process on the electrical properties of processed silicon wafers. The hydrogen profile in as-etched and post annealed wafers was determined by the 1 H( 15 N,αγ) 12 C nuclear reaction. The depth of the deactivated surface layer is discussed in terms of the impinging hydrogen ion energy, i.e., the possibility of H + ions to pick up an energy equal to the peak-to-peak voltage of the rf signal

  6. Quantum confinement effect in cheese like silicon nano structure fabricated by metal induced etching

    Energy Technology Data Exchange (ETDEWEB)

    Saxena, Shailendra K., E-mail: phd1211512@iiti.ac.in; Sahu, Gayatri; Sagdeo, Pankaj R.; Kumar, Rajesh [Material Research Laboratory, Discipline of Physics & MSEG, Indian Institute of Technology Indore, Madhya Pradesh-452017 (India)

    2015-08-28

    Quantum confinement effect has been studied in cheese like silicon nano-structures (Ch-SiNS) fabricated by metal induced chemical etching using different etching times. Scanning electron microscopy is used for the morphological study of these Ch-SiNS. A visible photoluminescence (PL) emission is observed from the samples under UV excitation at room temperature due to quantum confinement effect. The average size of Silicon Nanostructures (SiNS) present in the samples has been estimated by bond polarizability model using Raman Spectroscopy from the red-shift observed from SiNSs as compared to its bulk counterpart. The sizes of SiNS present in the samples decreases as etching time increase from 45 to 75 mintunes.

  7. SEMICONDUCTOR TECHNOLOGY: TaN wet etch for application in dual-metal-gate integration technology

    Science.gov (United States)

    Yongliang, Li; Qiuxia, Xu

    2009-12-01

    Wet-etch etchants and the TaN film method for dual-metal-gate integration are investigated. Both HF/HN O3/H2O and NH4OH/H2O2 solutions can etch TaN effectively, but poor selectivity to the gate dielectric for the HF/HNO3/H2O solution due to HF being included in HF/HNO3/H2O, and the fact that TaN is difficult to etch in the NH4OH/H2O2 solution at the first stage due to the thin TaOxNy layer on the TaN surface, mean that they are difficult to individually apply to dual-metal-gate integration. A two-step wet etching strategy using the HF/HNO3/H2O solution first and the NH4OH/H2O2 solution later can fully remove thin TaN film with a photo-resist mask and has high selectivity to the HfSiON dielectric film underneath. High-k dielectric film surfaces are smooth after wet etching of the TaN metal gate and MOSCAPs show well-behaved C-V and Jg-Vg characteristics, which all prove that the wet etching of TaN has little impact on electrical performance and can be applied to dual-metal-gate integration technology for removing the first TaN metal gate in the PMOS region.

  8. Process for etching zirconium metallic objects

    International Nuclear Information System (INIS)

    Panson, A.J.

    1988-01-01

    In a process for etching of zirconium metallic articles formed from zirconium or a zirconium alloy, wherein the zirconium metallic article is contacted with an aqueous hydrofluoric acid-nitric acid etching bath having an initial ratio of hydrofluoric acid to nitric acid and an initial concentration of hydrofluoric and nitric acids, the improvement, is described comprising: after etching of zirconium metallic articles in the bath for a period of time such that the etching rate has diminished from an initial rate to a lesser rate, adding hydrofluoric acid and nitric acid to the exhausted bath to adjust the concentration and ratio of hydrofluoric acid to nitric acid therein to a value substantially that of the initial concentration and ratio and thereby regenerate the etching solution without removal of dissolved zirconium therefrom; and etching further zirconium metallic articles in the regenerated etching bath

  9. Influence of Different Etching Modes on Bond Strength to Enamel using Universal Adhesive Systems.

    Science.gov (United States)

    Diniz, Ana Cs; Bandeca, Matheus C; Pinheiro, Larissa M; Dos Santosh Almeida, Lauber J; Torres, Carlos Rg; Borges, Alvaro H; Pinto, Shelon Cs; Tonetto, Mateus R; De Jesus Tavarez, Rudys R; Firoozmand, Leily M

    2016-10-01

    The adhesive systems and the techniques currently used are designed to provide a more effective adhesion with reduction of the protocol application. The objective of this study was to evaluate the bond strength of universal adhesive systems on enamel in different etching modes (self-etch and total etch). The mesial and distal halves of 52 bovine incisors, healthy, freshly extracted, were used and divided into seven experimental groups (n = 13). The enamel was treated in accordance with the following experimental conditions: FUE-Universal System - Futurabond U (VOCO) with etching; FUWE - Futurabond U (VOCO) without etching; SB-Total Etch System - Single Bond 2 (3M); SBUE-Universal System - Single Bond Universal (3M ESPE) with etching; SBUWE - Single Bond Universal (3M ESPE) without etching; CLE-Self-etch System - Clearfil SE Bond (Kuraray) was applied with etching; CLWE - Clearfil SE Bond (Kuraray) without etching. The specimens were made using the composite spectrum TPH (Dentsply) and stored in distilled water (37 ± 1°C) for 1 month. The microshear test was performed using the universal testing machine EMIC DL 2000 with the crosshead speed of 0.5 mm/minute. The bond strength values were analyzed using statistical tests (Kruskal-Wallis test and Mann-Whitney test) with Bonferroni correction. There was no statistically significant difference between groups (p adhesive interface revealed that most failures occurred between the interface composite resin and adhesive. The universal adhesive system used in dental enamel varies according to the trademark, and the previous enamel etching for universal systems and the self-etch both induced greater bond strength values. Selective enamel etching prior to the application of a universal adhesive system is a relevant strategy for better performance bonding.

  10. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    International Nuclear Information System (INIS)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    Graphical abstract: - Highlights: • Effects of Mg doping on wet etching of N-polar GaN are illustrated and analysed. • Etching process model of Mg-doped N-polar GaN in KOH solution is purposed. • It is found that Mg doping can induce tensile strain in N-polar GaN film. • N-polar p-GaN film with a hole concentration of 2.4 × 10"1"7 cm"−"3 is obtained. - Abstract: KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 × 10"1"7 cm"−"3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  11. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Qianjin Street 2699, Changchun 130012 (China); Zhao, Degang [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Science, PO Box 912, Beijing 100083 (China); Zhang, Baolin; Du, Guotong [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Qianjin Street 2699, Changchun 130012 (China)

    2016-01-01

    Graphical abstract: - Highlights: • Effects of Mg doping on wet etching of N-polar GaN are illustrated and analysed. • Etching process model of Mg-doped N-polar GaN in KOH solution is purposed. • It is found that Mg doping can induce tensile strain in N-polar GaN film. • N-polar p-GaN film with a hole concentration of 2.4 × 10{sup 17} cm{sup −3} is obtained. - Abstract: KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 × 10{sup 17} cm{sup −3} was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  12. Production Relationships among Cassava Farmers in Etche Local ...

    African Journals Online (AJOL)

    The study examined production relationships among cassava farmers in Etche L.G.A. of Rivers State, Nigeria. Multistage random sampling technique was used in the data generation exercise. A total of 96 cassava farmers were randomly selected from three out of the five clans for interview using structured questionnaire.

  13. Effects of temperature on the etching properties of Bi4-xLaxTi3O12 thin films

    International Nuclear Information System (INIS)

    Kim, Dong-Pyo; Kim, Kyoung-Tae; Koo, Seong-Mo; Kim, Chang-Il

    2004-01-01

    The etching properties of Bi 4-x La x Ti 3 O 12 (BLT) films etched in an inductively coupled Ar/Cl 2 plasma were investigated in terms of the gas mixing ratio, the rf power, and the substrate temperature. We obtained a high etch rate of 433 A/min at 30 .deg. C and 344 A/min at 80 .deg. C in Ar (15 sccm)/Cl 2 (15 sccm). As the rf power was increased, the ion current density increased, resulting in an increase in the etch rate. To understand the etch mechanism of BLT in a Cl 2 /Ar plasma, we performed the plasma diagnostics using a Langmuir probe (LP). The LP measurement indicated that the maximum ion density decreased with Cl 2 addition, but increased with the rf power. X-ray photoelectron spectroscopy (XPS) narrow scan analysis showed that La-chlorides remained on the etched surface and that the high accumulation of nonvolatile etch byproducts increased at high substrate temperatures. The analysis of surface reactions and the plasma diagnostics in the frameworks of an ion-assisted etching mechanism confirmed the possibility of non-monotonic etch rate behavior due to the concurrence of physical sputtering and chemical etching activated by ion bombardment.

  14. Study of surfactant-added TMAH for applications in DRIE and wet etching-based micromachining

    Science.gov (United States)

    Tang, B.; Shikida, M.; Sato, K.; Pal, P.; Amakawa, H.; Hida, H.; Fukuzawa, K.

    2010-06-01

    In this paper, etching anisotropy is evaluated for a number of different crystallographic orientations of silicon in a 0.1 vol% Triton-X-100 added 25 wt% tetramethylammonium hydroxide (TMAH) solution using a silicon hemisphere. The research is primarily aimed at developing advanced applications of wet etching in microelectromechanical systems (MEMS). The etching process is carried out at different temperatures in the range of 61-81 °C. The etching results of silicon hemisphere and different shapes of three-dimensional structures in {1 0 0}- and {1 1 0}-Si surfaces are analyzed. Significantly important anisotropy, different from a traditional etchant (e.g. pure KOH and TMAH), is investigated to extend the applications of the wet etching process in silicon bulk micromachining. The similar etching behavior of exact and vicinal {1 1 0} and {1 1 1} planes in TMAH + Triton is utilized selectively to remove the scalloping from deep reactive-ion etching (DRIE) etched profiles. The direct application of the present research is demonstrated by fabricating a cylindrical lens with highly smooth etched surface finish. The smoothness of a micro-lens at different locations is measured qualitatively by a scanning electron microscope and quantitatively by an atomic force microscope. The present paper provides a simple and effective fabrication method of the silicon micro-lens for optical MEMS applications.

  15. Wafer scale oblique angle plasma etching

    Science.gov (United States)

    Burckel, David Bruce; Jarecki, Jr., Robert L.; Finnegan, Patrick Sean

    2017-05-23

    Wafer scale oblique angle etching of a semiconductor substrate is performed in a conventional plasma etch chamber by using a fixture that supports a multiple number of separate Faraday cages. Each cage is formed to include an angled grid surface and is positioned such that it will be positioned over a separate one of the die locations on the wafer surface when the fixture is placed over the wafer. The presence of the Faraday cages influences the local electric field surrounding each wafer die, re-shaping the local field to be disposed in alignment with the angled grid surface. The re-shaped plasma causes the reactive ions to follow a linear trajectory through the plasma sheath and angled grid surface, ultimately impinging the wafer surface at an angle. The selected geometry of the Faraday cage angled grid surface thus determines the angle at with the reactive ions will impinge the wafer.

  16. Proportion quantitative analysis and etching of {110} planes on tungsten single crystal coating surface

    Energy Technology Data Exchange (ETDEWEB)

    Mu, Rende, E-mail: dallasbiam@163.com [Beijing Institute of Aeronautical Materials, Aviation Key Laboratory of Science and Technology on Advanced Corrosion and Protection for Aviation Material, Department 5, P.O. Box 81-5, Beijing 100095 (China); Tan, Chengwen; Yu, Xiaodong [School of Material Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China)

    2016-05-05

    Tungsten single crystal and poly crystal were treated by electrolytic etching in a 3% by weight solution of NaOH in distilled water. The method for determining the proportion of {110} planes and characteristic morphology on the coating surface after electrolytic etching were investigated using EBSD and auto-focusing microscope. Then the optimization of process parameters for electrolytic etching is achieved. In order to compare the effect of process parameters, three process parameters were selected for the tungsten single crystal electrolytic etching. Through analyzing the change of {110} planes' proportion, we found that when the coatings are etched with 1.4 amp/cm{sup 2} and 3 min, {110} planes can be exposed in the greatest degree that can reach 61.4% on tubular surfaces. The proposed approach greatly improves the proportion of {110} planes relative to the original surface. - Highlights: • Tungsten single/poly crystals treated by electrolytic etching in solution of NaOH. • The {110} planes have the lower surface free energy than {112}. • Some {112} planes etched firstly, the {110} planes exposed at last during etching. • {110} planes exposed to the greatest extent with 1.4 amp/cm{sup 2} and 3 min.

  17. Acid Etching as Surface Treatment Method for Luting of Glass-Ceramic Restorations, part 1: Acids, Application Protocol and Etching Effectiveness

    Directory of Open Access Journals (Sweden)

    Emilija Barjaktarova-Valjakova

    2018-03-01

    CONCLUSION: Acid etching of the bonding surface of glass - ceramic restorations is considered as the most effective treatment method that provides a reliable bond with composite cement. Selective removing of the glassy matrix of silicate ceramics results in a micromorphological three-dimensional porous surface that allows micromechanical interlocking of the luting composite.

  18. Chemical etching of GaAs with a novel low energy ion beam source: a low damage process for device fabrication

    International Nuclear Information System (INIS)

    Beckerman, J.; Jackman, R.B.

    1993-01-01

    If the advantages of physics (anisotropy) can be combined with the advantages of chemistry (damage-free perturbation of the lattice) then an excellent, near damage-free, etching reaction can result. In this context, the promise for ultra-low energy ( -1 . The source does, however, give rise to a coating, derived from the source liner, which must be washed from all etched samples. The presence of such a coating is likely to be the origin of the slow etch rate achieved. After removal of the coating, smooth, mirror-like etched surfaces are apparent. These surfaces perform very well when Schottky diodes are constructed from them showing no deviation from the behaviour of control samples. (author)

  19. Wet etching mechanism and crystallization of indium-tin oxide layer for application in light-emitting diodes

    Science.gov (United States)

    Su, Shui-Hsiang; Kong, Hsieng-Jen; Tseng, Chun-Lung; Chen, Guan-Yu

    2018-01-01

    In the article, we describe the etching mechanism of indium-tin oxide (ITO) film, which was wet-etched using a solution of hydrochloric acid (HCl) and ferric chloride (FeCl3). The etching mechanism is analyzed at various etching durations of ITO films by scanning electron microscopy (SEM), high-resolution transmission electron microscopy (HR-TEM), and selective area diffraction (SAD) analysis. In comparison with the crystalline phase of SnO2, the In2O3 phase can be more easily transformed to In3+ and can form an inverted conical structure during the etching process. By adjusting the etching duration, the residual ITO is completely removed to show a designed pattern. This is attributed to the negative Gibbs energy of In2O3 transformed to In3+. The result also corresponds to the finding of energy-dispersive X-ray spectroscopy (EDS) analysis that the Sn/In ratio increases with increasing etching duration.

  20. Overcoming etch challenges related to EUV based patterning (Conference Presentation)

    Science.gov (United States)

    Metz, Andrew W.; Cottle, Hongyun; Honda, Masanobu; Morikita, Shinya; Kumar, Kaushik A.; Biolsi, Peter

    2017-04-01

    Research and development activities related to Extreme Ultra Violet [EUV] defined patterning continue to grow for cost and extreme process control challenges of Self-Aligned Quad Patterning [SAQP] with continued momentum for EUV ecosystem readiness could provide cost advantages in addition to improved intra-level overlay performance relative to multiple patterning approaches. However, Line Edge Roughness [LER] and Line Width Roughness [LWR] performance of EUV defined resist images are still far from meeting technology needs or ITRS spec performance. Furthermore, extreme resist height scaling to mitigate flop over exacerbates the plasma etch trade-offs related to traditional approaches of PR smoothing, descum implementation and maintaining 2D aspect ratios of short lines or elliptical contacts concurrent with ultra-high photo resist [PR] selectivity. In this paper we will discuss sources of LER/LWR, impact of material choice, integration, and innovative plasma process techniques and describe how TELTM VigusTM CCP Etchers can enhance PR selectivity, reduce LER/LWR, and maintain 2D aspect ratio of incoming patterns. Beyond traditional process approaches this paper will show the utility of: [1] DC Superposition in enhancing EUV resist hardening and selectivity, increasing resistance to stress induced PR line wiggle caused by CFx passivation, and mitigating organic planarizer wiggle; [2] Quasi Atomic Layer Etch [Q-ALE] for ARC open eliminating the tradeoffs between selectivity, CD, and shrink ratio control; and [3] ALD+Etch FUSION technology for feature independent CD shrink and LER reduction. Applicability of these concepts back transferred to 193i based lithography is also confirmed.

  1. The etching behaviour of silicon carbide compacts

    International Nuclear Information System (INIS)

    Jepps, N.W.; Page, T.F.

    1981-01-01

    A series of microstructural investigations has been undertaken in order to explore the reliability of particular etches in revealing microstructural detail in silicon carbide compacts. A series of specimens has been etched and examined following complete prior microstructural characterization by transmission electron microscopy (TEM), scanning electron microscopy (SEM) and X-ray diffractometry techniques. In particular, the sensitivity of both a molten salt (KOH/KNO 3 ) etch and a commonly-used oxidizing electrolytic 'colour' etch to crystal purity, crystallographic orientation and polytypic structure has been established. The molten salt etch was found to be sensitive to grain boundaries and stacking disorder while the electrolytic etch was found to be primarily sensitive to local purity and crystallographic orientation. Neither etch appeared intrinsically polytype sensitive. Specifically, for the 'colour' etch, the p- or n-type character of impure regions appears critical in controlling etching behaviour; p-type impurities inhibiting, and n-type impurities enhancing, oxidation. The need to interpret etching behaviour in a manner consistent with the results obtained by a variety of other microstructural techniques will be emphasized. (author)

  2. Fabrication of different pore shapes by multi-step etching technique in ion-irradiated PET membranes

    Science.gov (United States)

    Mo, D.; Liu, J. D.; Duan, J. L.; Yao, H. J.; Latif, H.; Cao, D. L.; Chen, Y. H.; Zhang, S. X.; Zhai, P. F.; Liu, J.

    2014-08-01

    A method for the fabrication of different pore shapes in polyethylene terephthalate (PET)-based track etched membranes (TEMs) is reported. A multi-step etching technique involving etchant variation and track annealing was applied to fabricate different pore shapes in PET membranes. PET foils of 12-μm thickness were irradiated with Bi ions (kinetic energy 9.5 MeV/u, fluence 106 ions/cm2) at the Heavy Ion Research Facility (HIRFL, Lanzhou). The cross-sections of fundamental pore shapes (cylinder, cone, and double cone) were analyzed. Funnel-shaped and pencil-shaped pores were obtained using a two-step etching process. Track annealing was carried out in air at 180 °C for 120 min. After track annealing, the selectivity of the etching process decreased, which resulted in isotropic etching in subsequent etching steps. Rounded cylinder and rounded cone shapes were obtained by introducing a track-annealing step in the etching process. Cup and spherical funnel-shaped pores were fabricated using a three- and four-step etching process, respectively. The described multi-step etching technique provides a controllable method to fabricate new pore shapes in TEMs. Introduction of a variety of pore shapes may improve the separation properties of TEMs and enrich the series of TEM products.

  3. Etching characteristics of a CR-39 track detector at room temperature in different etching solutions

    International Nuclear Information System (INIS)

    Dajko, G.

    1991-01-01

    Investigations were carried out to discover how the etching characteristics of CR-39 detectors change with varying conditions of the etching process. Measurements were made at room temperature in pure NaOH and KOH solutions; in different alcoholic KOH solutions (PEW solution, i.e. potassium hydroxide, ethyl alcohol, water); and in NaOH and KOH solutions containing different additives. The bulk etching rate of the detector (V B ) and the V (= V T /V B ) function, i.e. track to bulk etch rates ratio, for 6.1 MeV α-particles, were measured systematically. (author)

  4. High temperature reactive ion etching of iridium thin films with aluminum mask in CF4/O2/Ar plasma

    Directory of Open Access Journals (Sweden)

    Chia-Pin Yeh

    2016-08-01

    Full Text Available Reactive ion etching (RIE technology for iridium with CF4/O2/Ar gas mixtures and aluminum mask at high temperatures up to 350 °C was developed. The influence of various process parameters such as gas mixing ratio and substrate temperature on the etch rate was studied in order to find optimal process conditions. The surface of the samples after etching was found to be clean under SEM inspection. It was also shown that the etch rate of iridium could be enhanced at higher process temperature and, at the same time, very high etching selectivity between aluminum etching mask and iridium could be achieved.

  5. Etching of semiconductors and metals by the photonic jet with shaped optical fiber tips

    Science.gov (United States)

    Pierron, Robin; Lecler, Sylvain; Zelgowski, Julien; Pfeiffer, Pierre; Mermet, Frédéric; Fontaine, Joël

    2017-10-01

    The etching of semiconductors and metals by a photonic jet (PJ) generated with a shaped optical fiber tip is studied. Etched marks with a diameter of 1 μm have been realized on silicon, stainless steel and titanium with a 35 kHz pulsed laser, emitting 100 ns pulses at 1064 nm. The selection criteria of the fiber and its tip are discussed. We show that a 100/140 silica fiber is a good compromise which takes into account the injection, the working distance and the energy coupled in the higher-order modes. The energy balance is performed on the basis of the known ablation threshold of the material. Finally, the dependence between the etching depth and the number of pulses is studied. Saturation is observed probably due to a redeposition of the etched material, showing that a higher pulse energy is required for deeper etchings.

  6. Solvent composition of one-step self-etch adhesives and dentine wettability.

    Science.gov (United States)

    Grégoire, Geneviève; Dabsie, Firas; Dieng-Sarr, Farimata; Akon, Bernadette; Sharrock, Patrick

    2011-01-01

    Our aim was to determine the wettability of dentine by four commercial self-etch adhesives and evaluate their spreading rate on the dentine surface. Any correlation with chemical composition was sought, particularly with the amount of solvent or HEMA present in the adhesive. The adhesives used were AdheSE One, Optibond All.In.One, Adper Easy Bond and XenoV. Chemical compositions were determined by proton nuclear magnetic resonance (NMR) spectroscopy of the adhesives dissolved in dimethylsulfoxide. Apparent contact angles for sessile drops of adhesives were measured on dentine slices as a function of time for up to 180s. The water contact angles were determined for fully polymerised adhesives. All adhesives were water-based with total solvent contents ranging from 27% to 73% for HEMA-free adhesives, and averaging 45% for HEMA containing adhesives. The contents in hydrophobic groups decreased as water contents increased. No differences were found in the adhesive contact angles after 180s even though the spreading rates were different for the products tested. Water contact angles differed significantly but were not correlated with HEMA or solvent presence. Manufacturers use different approaches to stabilise acid co-monomer ingredients in self-etch adhesives. Co-solvents, HEMA, or acrylamides without co-solvents are used to simultaneously etch and infiltrate dentine. A large proportion of water is necessary for decalcification action. Copyright © 2010 Elsevier Ltd. All rights reserved.

  7. Investigation of sidewall roughness of the microgrooves manufactured with laser-induced etching technique

    International Nuclear Information System (INIS)

    Oh, Kwang H.; Park, J.B.; Cho, S.I.; Im, H.D.; Jeong, S.H.

    2009-01-01

    A novel laser etching technique utilizing an optical fiber as the laser beam guide is introduced. Depending upon whether a pulsed or a continuous wave (CW) laser is employed as the irradiation source, it was found that the etch depth and surface morphology of the grooves varied significantly. It was then demonstrated that deep microgrooves with smooth sidewalls can be obtained using a hybrid pulse and CW scanning process. The results of laser heating and chemical analyses revealed that sidewall roughness of the microgroove is mainly attributed to surface melting.

  8. Etching conditions and shape of tracks

    International Nuclear Information System (INIS)

    Kudo, Shuichi

    1979-01-01

    The etching effect of hydrogen fluoride (HF) solution of 5%, 10%, 20% and 46% was investigated, using the perlite dug out at Wada-toge, Japan. They were studied by the progressive etching at 30 deg C, after the perlite was subjected to thermal neutron irradiation for 8 hours in the research reactor of the Institute for Atomic Energy of St. Paul (Rikkyo) University. Observation was performed mainly by replica, and false tracks, which are difficult to be judged whether they are the tracks or not, didn't appear as far as this experiment was concerned. Measurements of etch-pits and track density were carried out. The results of these investigations were considered and analyzed to describe them in five sections. The conclusions are as follows: (1) Regarding the ease of etch-pit observation and the adjustment of etching time, etching with 5% HF solution is most advantageous among four solutions of 5, 10, 20 and 46% HF. (2) The measurement of track density is more affected by the difference in counting criteria than the difference in etching conditions. The data on the size of etch-pits are required to discuss the problems of track density and counting efficiency. (3) If linear tracks are to be observed using hydrogen fluoride, it is necessary to investigate the etching characteristics with the solution of lower concentration. (Wakatsuki, Y.)

  9. Measurement of bulk etch rates for poly-allyl-diglycol carbonate (PADC) and cellulose nitrate in a broad range of concentration and temperature of NaOH etching solution

    International Nuclear Information System (INIS)

    Hermsdorf, D.; Hunger, M.; Starke, S.; Weickert, F.

    2007-01-01

    In the present work the dependence of the bulk etch rate v B for solid state nuclear track detectors (SSNTD) on the concentration c and the temperature T of the NaOH etching solution has been studied for material types PADC and cellulose nitrate. As commonly applied exponents of PADC and cellulose nitrate material, the commercial products CR-39 and LR-115 were investigated. The concentration and temperature have been varied in the ranges 0.5moll -1 -1 and 313 -1 and T between 313 and 333K for cellulose nitrate, respectively. The application of a simple Arrhenius-law of chemical reactions fails in the interpretation of the dependence on the concentration. A constant activation energy cannot describe the behaviour of v B (c,T) over the whole range of concentration. To understand the deviation, more qualified models treating the superposition of chemical and physical processes including reaction kinetics and material transport phenomena by diffusion have to be developed and tested

  10. Electrodeposited Ni nanowires-track etched P.E.T. composites as selective solar absorbers

    Science.gov (United States)

    Lukhwa, R.; Sone, B.; Kotsedi, L.; Madjoe, R.; Maaza, M.

    2018-05-01

    This contribution reports on the structural, optical and morphological properties of nanostructured flexible solar-thermal selective absorber composites for low temperature applications. The candidate material in the system is consisting of electrodeposited nickel nano-cylinders embedded in track-etched polyethylene terephthalate (PET) host membrane of pore sizes ranging between 0.3-0.8µm supported by conductive nickel thin film of about 0.5µm. PET were irradiated with 11MeV/u high charged xenon (Xe) ions at normal incidence. The tubular and metallic structure of the nickel nano-cylinders within the insulator polymeric host forms a typical ceramic-metal nano-composite "Cermet". The produced material was characterized by the following techniques: X-ray diffraction (XRD) for structural characterization to determine preferred crystallographic structure, and grain size of the materials; Scanning electron microscopy (SEM) to determine surface morphology, particle size, and visual imaging of distribution of structures on the surface of the substrate; Atomic force microscopy (AFM) to characterize surface roughness, surface morphology, and film thickness, and UV-Vis-NIR spectrophotometer to measure the reflectance, then to determine solar absorption

  11. Comparison of enamel bond fatigue durability between universal adhesives and two-step self-etch adhesives: Effect of phosphoric acid pre-etching.

    Science.gov (United States)

    Suda, Shunichi; Tsujimoto, Akimasa; Barkmeier, Wayne W; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2018-03-30

    The effect of phosphoric acid pre-etching on enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives was investigated. Four universal adhesives and three two-step self-etch adhesives were used. The initial shear bond strengths and shear fatigue strengths to enamel with and without phosphoric acid pre-etching using the adhesives were determined. SEM observations were also conducted. Phosphoric acid pre-etching of enamel was found to increase the bond fatigue durability of universal adhesives, but its effect on two-step self-etch adhesives was material-dependent. In addition, some universal adhesives with phosphoric acid pre-etching showed similar bond fatigue durability to the two-step self-etch adhesives, although the bond fatigue durability of universal adhesives in self-etch mode was lower than that of the two-step self-etch adhesives. Phosphoric acid pre-etching enhances enamel bond fatigue durability of universal adhesives, but the effect of phosphoric acid pre-etching on the bond fatigue durability of two-step self-etch adhesives was material-dependent.

  12. TOPICAL REVIEW: Black silicon method X: a review on high speed and selective plasma etching of silicon with profile control: an in-depth comparison between Bosch and cryostat DRIE processes as a roadmap to next generation equipment

    Science.gov (United States)

    Jansen, H V; de Boer, M J; Unnikrishnan, S; Louwerse, M C; Elwenspoek, M C

    2009-03-01

    An intensive study has been performed to understand and tune deep reactive ion etch (DRIE) processes for optimum results with respect to the silicon etch rate, etch profile and mask etch selectivity (in order of priority) using state-of-the-art dual power source DRIE equipment. The research compares pulsed-mode DRIE processes (e.g. Bosch technique) and mixed-mode DRIE processes (e.g. cryostat technique). In both techniques, an inhibitor is added to fluorine-based plasma to achieve directional etching, which is formed out of an oxide-forming (O2) or a fluorocarbon (FC) gas (C4F8 or CHF3). The inhibitor can be introduced together with the etch gas, which is named a mixed-mode DRIE process, or the inhibitor can be added in a time-multiplexed manner, which will be termed a pulsed-mode DRIE process. Next, the most convenient mode of operation found in this study is highlighted including some remarks to ensure proper etching (i.e. step synchronization in pulsed-mode operation and heat control of the wafer). First of all, for the fabrication of directional profiles, pulsed-mode DRIE is far easier to handle, is more robust with respect to the pattern layout and has the potential of achieving much higher mask etch selectivity, whereas in a mixed-mode the etch rate is higher and sidewall scalloping is prohibited. It is found that both pulsed-mode CHF3 and C4F8 are perfectly suited to perform high speed directional etching, although they have the drawback of leaving the FC residue at the sidewalls of etched structures. They show an identical result when the flow of CHF3 is roughly 30 times the flow of C4F8, and the amount of gas needed for a comparable result decreases rapidly while lowering the temperature from room down to cryogenic (and increasing the etch rate). Moreover, lowering the temperature lowers the mask erosion rate substantially (and so the mask selectivity improves). The pulsed-mode O2 is FC-free but shows only tolerable anisotropic results at -120 °C. The

  13. Effect of etching on bonding of a self-etch adhesive to dentine affected by amelogenesis imperfecta.

    Science.gov (United States)

    Epasinghe, Don Jeevanie; Yiu, Cynthia Kar Yung

    2018-02-01

    Dentine affected by amelogenesis imperfecta (AI) is histologically altered due to loss of hypoplastic enamel and becomes hypermineralized. In the present study, we examined the effect of additional acid etching on microtensile bond strength of a self-etch adhesive to AI-affected dentine. Flat coronal dentine obtained from extracted AI-affected and non-carious permanent molars were allocated to two groups: (a) Clearfil SE Bond (control); and (b) Clearfil SE Bond and additional etching with 34% phosphoric acid for 15 seconds. The bonded teeth were sectioned into .8-mm 2 beams for microtensile bond strength testing, and stressed to failure under tension. The bond strength data were analyzed using two-way analysis of variance (dentine type and etching step) and Student-Newman-Keuls multiple comparison test (P<.05). Representative fractured beams from each group were examined under scanning electron microscopy. Both factors, dentine substrate (P<.001) and etching step (P<.05), and their interactions (P<.001), were statistically significant. Additional etching had an adverse effect on the bond strength of Clearfil SE Bond to normal dentine (P<.005), and no significant improvement was found for AI-affected dentine (P=.479). Additional acid etching does not improve the bond strength of a self-etch adhesive to AI-affected dentine. © 2017 John Wiley & Sons Australia, Ltd.

  14. Wet chemical etching of Al-doped ZnO film deposited by RF magnetron sputtering method on textured glass substrate for energy application

    Energy Technology Data Exchange (ETDEWEB)

    Hwang, Ki Hwan; Nam, Sang Hun; Jung, Won Suk; Lee, Yong Min; Yang, Hee Su; Boo, Jin Hyo [Dept. of Chemistry, Sungkyunkwan University, Suwon (Korea, Republic of)

    2015-03-15

    The etching of glasses in aqueous hydrofluoric acid (HF) solutions is applied in many technological fields. Particularly, the textured transparent conductive oxide materials on the glass substrate etched by HF were used to improve the current density of solar cells. In this study, the textured glass substrate has been etched by solution and the Al-doped ZnO (AZO) thin films have been prepared on this textured glass substrates by RF magnetron sputtering method. After the AZO film deposition, the surface of AZO has been etched by hydrochloric acid with different concentration and etching time. Etched AZO thin films had higher haze ratio and sheet resistance than bare AZO glass. Increases in the root-mean-square surface roughness of AZO films enhanced from 53.78 to 84.46 nm the haze ratio in above 700 nm wavelength. Our process could be applicable in texturing glass and etching AZO surface to fabricate solar cell in industrial scale. We also carried out fabricating an organic solar-cell device. Energy conversion efficiency improvement of 123% was obtained with textured AZO-based solar-cell device compared with that of nontextured solar-cell device.

  15. Dry etching for microelectronics

    CERN Document Server

    Powell, RA

    1984-01-01

    This volume collects together for the first time a series of in-depth, critical reviews of important topics in dry etching, such as dry processing of III-V compound semiconductors, dry etching of refractory metal silicides and dry etching aluminium and aluminium alloys. This topical format provides the reader with more specialised information and references than found in a general review article. In addition, it presents a broad perspective which would otherwise have to be gained by reading a large number of individual research papers. An additional important and unique feature of this book

  16. Characterization of deep wet etching of fused silica glass for single cell and optical sensor deposition

    International Nuclear Information System (INIS)

    Zhu, Haixin; Holl, Mark; Ray, Tathagata; Bhushan, Shivani; Meldrum, Deirdre R

    2009-01-01

    The development of a high-throughput single-cell metabolic rate monitoring system relies on the use of transparent substrate material for a single cell-trapping platform. The high optical transparency, high chemical resistance, improved surface quality and compatibility with the silicon micromachining process of fused silica make it very attractive and desirable for this application. In this paper, we report the results from the development and characterization of a hydrofluoric acid (HF) based deep wet-etch process on fused silica. The pin holes and notching defects of various single-coated masking layers during the etching are characterized and the most suitable masking materials are identified for different etch depths. The dependence of the average etch rate and surface roughness on the etch depth, impurity concentration and HF composition are also examined. The resulting undercut from the deep HF etch using various masking materials is also investigated. The developed and characterized process techniques have been successfully implemented in the fabrication of micro-well arrays for single cell trapping and sensor deposition. Up to 60 µm deep micro-wells have been etched in a fused silica substrate with over 90% process yield and repeatability. To our knowledge, such etch depth has never been achieved in a fused silica substrate by using a non-diluted HF etchant and a single-coated masking layer at room temperature

  17. Black silicon method X: a review on high speed and selective plasma etching of silicon with profile control: an in-depth comparison between Bosch and cryostat DRIE processes as a roadmap to next generation equipment

    International Nuclear Information System (INIS)

    Jansen, H V; De Boer, M J; Unnikrishnan, S; Louwerse, M C; Elwenspoek, M C

    2009-01-01

    An intensive study has been performed to understand and tune deep reactive ion etch (DRIE) processes for optimum results with respect to the silicon etch rate, etch profile and mask etch selectivity (in order of priority) using state-of-the-art dual power source DRIE equipment. The research compares pulsed-mode DRIE processes (e.g. Bosch technique) and mixed-mode DRIE processes (e.g. cryostat technique). In both techniques, an inhibitor is added to fluorine-based plasma to achieve directional etching, which is formed out of an oxide-forming (O 2 ) or a fluorocarbon (FC) gas (C 4 F 8 or CHF 3 ). The inhibitor can be introduced together with the etch gas, which is named a mixed-mode DRIE process, or the inhibitor can be added in a time-multiplexed manner, which will be termed a pulsed-mode DRIE process. Next, the most convenient mode of operation found in this study is highlighted including some remarks to ensure proper etching (i.e. step synchronization in pulsed-mode operation and heat control of the wafer). First of all, for the fabrication of directional profiles, pulsed-mode DRIE is far easier to handle, is more robust with respect to the pattern layout and has the potential of achieving much higher mask etch selectivity, whereas in a mixed-mode the etch rate is higher and sidewall scalloping is prohibited. It is found that both pulsed-mode CHF 3 and C 4 F 8 are perfectly suited to perform high speed directional etching, although they have the drawback of leaving the FC residue at the sidewalls of etched structures. They show an identical result when the flow of CHF 3 is roughly 30 times the flow of C 4 F 8 , and the amount of gas needed for a comparable result decreases rapidly while lowering the temperature from room down to cryogenic (and increasing the etch rate). Moreover, lowering the temperature lowers the mask erosion rate substantially (and so the mask selectivity improves). The pulsed-mode O 2 is FC-free but shows only tolerable anisotropic results at

  18. Deep reactive ion etching of fused silica using a single-coated soft mask layer for bio-analytical applications

    International Nuclear Information System (INIS)

    Ray, Tathagata; Zhu, Haixin; Meldrum, Deirdre R

    2010-01-01

    In this note, we present our results from process development and characterization of reactive ion etching (RIE) of fused silica using a single-coated soft masking layer (KMPR® 1025, Microchem Corporation, Newton, MA). The effects of a number of fluorine-radical-based gaseous chemistries, the gas flow rate, RF power and chamber pressure on the etch rate and etching selectivity of fused silica were studied using factorial experimental designs. RF power and pressure were found to be the most important factors in determining the etch rate. The highest fused silica etch rate obtained was about 933 Å min −1 by using SF 6 -based gas chemistry, and the highest etching selectivity between the fused silica and KMPR® 1025 was up to 1.2 using a combination of CF 4 , CHF 3 and Ar. Up to 30 µm deep microstructures have been successfully fabricated using the developed processes. The average area roughness (R a ) of the etched surface was measured and results showed it is comparable to the roughness obtained using a wet etching technique. Additionally, near-vertical sidewalls (with a taper angle up to 85°) have been obtained for the etched microstructures. The processes developed here can be applied to any application requiring fabrication of deep microstructures in fused silica with near-vertical sidewalls. To our knowledge, this is the first note on deep RIE of fused silica using a single-coated KMPR® 1025 masking layer and a non-ICP-based reactive ion etcher. (technical note)

  19. Breakthrough in fake prevention. Nuclear track-etching

    International Nuclear Information System (INIS)

    Yan Yushun; He Xiangming; Zhang Quanrong

    1999-01-01

    Nuclear particle track-etched anti-counterfeit marking is a new weapon against fake products. The marks is manufactured by intricate high technology in state-controlled sensitive nuclear facilities which ensures that the mark can not be copied. The pattern of the mark is characterized by its permeability, and can be distinguished from fakes by using a transparent liquid (e.g. water), colored pen or chemical reagent. The technique has passed the of facial health safety examination and poses no danger of nuclear irradiation

  20. Does active application of universal adhesives to enamel in self-etch mode improve their performance?

    Science.gov (United States)

    Loguercio, Alessandro D; Muñoz, Miguel Angel; Luque-Martinez, Issis; Hass, Viviane; Reis, Alessandra; Perdigão, Jorge

    2015-09-01

    To evaluate the effect of adhesion strategy on the enamel microshear bond strengths (μSBS), etching pattern, and in situ degree of conversion (DC) of seven universal adhesives. 84 extracted third molars were sectioned in four parts (buccal, lingual, proximal) and divided into 21 groups, according to the combination of the main factors adhesive (AdheSE Universal [ADU], All-Bond Universal [ABU], Clearfil Universal [CFU], Futurabond U [FBU], G-Bond Plus [GBP], Prime&Bond Elect (PBE), and Scotchbond Universal Adhesive [SBU]), and adhesion strategy (etch-and-rinse, active self-etch, and passive self-etch). Specimens were stored in water (37°C/24h) and tested at 1.0mm/min (μSBS). Enamel-resin interfaces were evaluated for DC using micro-Raman spectroscopy. The enamel-etching pattern was evaluated under a field-emission scanning electron microscope (direct and replica techniques). Data were analyzed with two-way ANOVA and Tukey's test (α=0.05). Active self-etch application increased μSBS and DC for five out of the seven universal adhesives when compared to passive application (padhesives in the etch-and-rinse strategy. A slight improvement in etching ability was observed in active self-etch application compared to that of passive self-etch application. Replicas of GBP and PBE applied in active self-etch mode displayed morphological features compatible with water droplets. The DC of GBP and PBE were not affected by the application/strategy mode. In light of the improved performance of universal adhesives when applied actively in SE mode, selective enamel etching with phosphoric acid may not be crucial for their adhesion to enamel. The active application of universal adhesives in self-etch mode may be a practical alternative to enamel etching in specific clinical situations. Copyright © 2015 Elsevier Ltd. All rights reserved.

  1. Dry etching of MgCaO gate dielectric and passivation layers on GaN

    International Nuclear Information System (INIS)

    Hlad, M.; Voss, L.; Gila, B.P.; Abernathy, C.R.; Pearton, S.J.; Ren, F.

    2006-01-01

    MgCaO films grown by rf plasma-assisted molecular beam epitaxy and capped with Sc 2 O 3 are promising candidates as surface passivation layers and gate dielectrics on GaN-based high electron mobility transistors (HEMTs) and metal-oxide semiconductor HEMTs (MOS-HEMTs), respectively. Two different plasma chemistries were examined for etching these thin films on GaN. Inductively coupled plasmas of CH 4 /H 2 /Ar produced etch rates only in the range 20-70 A/min, comparable to the Ar sputter rates under the same conditions. Similarly slow MgCaO etch rates (∼100 A/min) were obtained with Cl 2 /Ar discharges under the same conditions, but GaN showed rates almost an order of magnitude higher. The MgCaO removal rates are limited by the low volatilities of the respective etch products. The CH 4 /H 2 /Ar plasma chemistry produced a selectivity of around 2 for etching the MgCaO with respect to GaN

  2. Four-year water degradation of a total-etch and two self-etching adhesives bonded to dentin

    NARCIS (Netherlands)

    Abdalla, A.I.; Feilzer, A.J.

    2008-01-01

    Objectives: To evaluate effect of direct and indirect water storage on the microtensile dentin bond strength of one total-etch and two self-etching adhesives. Methods: The adhesive materials were: one total-etch adhesive; ‘Admira Bond’ and two selfetch adhesives; ‘Clearfil SE Bond’ and ‘Hybrid

  3. Thinning of CIGS solar cells: Part I: Chemical processing in acidic bromine solutions

    Energy Technology Data Exchange (ETDEWEB)

    Bouttemy, M.; Tran-Van, P. [Institut Lavoisier de Versailles (ILV-UMR 8180 CNRS/UVSQ), 45 av. des Etats Unis, 78035 Versailles (France); Gerard, I., E-mail: gerard@chimie.uvsq.fr [Institut Lavoisier de Versailles (ILV-UMR 8180 CNRS/UVSQ), 45 av. des Etats Unis, 78035 Versailles (France); Hildebrandt, T.; Causier, A. [Institut Lavoisier de Versailles (ILV-UMR 8180 CNRS/UVSQ), 45 av. des Etats Unis, 78035 Versailles (France); Pelouard, J.L.; Dagher, G. [Laboratoire de Photonique et de Nanostructures (LPN-CNRS), route de Nozay 91460 Marcoussis (France); Jehl, Z.; Naghavi, N. [Institut de Recherche et Developpement sur l' Energie Photovoltaique (IRDEP -UMR 7174 CNRS/EDF/Chimie-ParisTech), 6 quai Watier, 78401 Chatou (France); Voorwinden, G.; Dimmler, B. [Wuerth Elektronik Research GmbH, Industriestr. 4, 70565 Stuttgart (Germany); Powalla, M. [Zentrum fuer Sonnenenergie- und Wasserstoff-Forschung (ZSW), Industriestr. 6, 70565 Stuttgart (Germany); Guillemoles, J.F. [Institut de Recherche et Developpement sur l' Energie Photovoltaique (IRDEP -UMR 7174 CNRS/EDF/Chimie-ParisTech), 6 quai Watier, 78401 Chatou (France); Lincot, D. [Laboratoire de Photonique et de Nanostructures (LPN-CNRS), route de Nozay 91460 Marcoussis (France); Etcheberry, A. [Institut Lavoisier de Versailles (ILV-UMR 8180 CNRS/UVSQ), 45 av. des Etats Unis, 78035 Versailles (France)

    2011-08-31

    CIGSe absorber was etched in HBr/Br{sub 2}/H{sub 2}O to prepare defined thicknesses of CIGSe between 2.7 and 0.5 {mu}m. We established a reproducible method of reducing the absorber thickness via chemical etching. We determine the dissolution kinetics rate of CIGSe using trace analysis by graphite furnace atomic absorption spectrometry of Ga and Cu. The roughness of the etching surface decreases during the first 500 nm of the etching to a steady state value of the root-mean-square roughness near 50 nm. X-ray photoelectron spectroscopy analyses demonstrate an etching process occurring with a constant chemical composition of the treated surface acidic bromine solutions provide a controlled chemical thinning process resulting in an almost flat surface and a very low superficial Se{sup 0} enrichment.

  4. Selected readings in chemical kinetics

    CERN Document Server

    Back, Margaret H

    2013-01-01

    Selected Readings in Chemical Kinetics covers excerpts from 12 papers in the field of general and gas-phase kinetics. The book discusses papers on the laws of connexion between the conditions of a chemical change and its amount; on the reaction velocity of the inversion of the cane sugar by acids; and the calculation in absolute measure of velocity constants and equilibrium constants in gaseous systems. The text then tackles papers on simple gas reactions; on the absolute rate of reactions in condensed phases; on the radiation theory of chemical action; and on the theory of unimolecular reacti

  5. Electrochemical etching amplification of low-let recoil particle tracks in polymers for fast neutron dosimetry

    International Nuclear Information System (INIS)

    Sohrabi, M.; Morgan, K.Z.

    1975-11-01

    An electrochemical etching method for the amplification of fast-neutron-induced recoil particle tracks in polymers was investigated. The technique gave superior results over those obtained by conventional etching methods especially when polycarbonate foils were used for recoil particle track amplification. Electrochemical etching systems capable of multi-foil processing were designed and constructed to demonstrate the feasibility of the techniques for large-scale neutron dosimetry. Electrochemical etching parameters were studied including the nature or type of the polymer foil used, foil thickness and its effect on etching time, the applied voltage and its frequency, the chemical composition, concentration, and temperature of the etchant, distance and angle between the electrodes, and the type of particles such as recoil particles including protons. Recoil particle track density, mean track diameter, and optical density as functions of the mentioned parameters were determined. Each parameter was found to have a distinct effect on the etching results in terms of the measured responses. Several new characteristics of this fast neutron dosimetry method were studied especially for personnel dosimetry using various radiation sources such as nuclear reactors, medical cyclotrons, and isotopic neutron sources. The dose range, neutron energy dependence, directional response, fading characteristics, neutron threshold energy, etc. were investigated

  6. Attachment and spreadout study of 3T3 cells onto PP track etched films

    International Nuclear Information System (INIS)

    Smolko, Eduardo; Mazzei, Ruben; Tadey, Daniel; Lombardo, Daniel

    2001-01-01

    Polymer surface modifications are obtained by the application of radiation treatments and other physico-chemical methods: fission fragment (ff) irradiation and etching. The biocompatibility of the surface is then observed by cell seeding and cell adhesion experiments. Approaches to improvement of the cell adhesion are obtained by different methods: for example, in PS, cell adhesion is improved after ion implantation; in PMMA, after bombarding the polymer, the surface is reconditioned with surfactants and proteins and in PVDF, cell adhesion is assayed on nuclear tracks membranes. In this work, we obtained important cell adhesion improvements in PP films by irradiation with swift heavy ions and subsequent etching of the nuclear tracks. We use BOPP (isotactic -25 μm thickness). Irrradiations were performed with a Cf-252 californium ff source. The source has a heavy ff and a light one, with 160-200 MeV energy divided among them corresponding to ff energies between 1 and 2 MeV/amu. A chemical etching procedure consisting of a solution of sulphuric acid and chromium three oxide at 85 deg. C was used. The 3T3 NIH fibroblast cell line was used for the cell adhesion experiment. Here we report for the first time, the results of a series of experiments by varying the ff fluence and the etching time showing that attachment and spreadout of cells are very much improved in this cell line according to the number of pores and the pore size

  7. Can previous acid etching increase the bond strength of a self-etching primer adhesive to enamel?

    Directory of Open Access Journals (Sweden)

    Ana Paula Morales Cobra Carvalho

    2009-06-01

    Full Text Available Because a greater research effort has been directed to analyzing the adhesive effectiveness of self etch primers to dentin, the aim of this study was to evaluate, by microtensile testing, the bond strength to enamel of a composite resin combined with a conventional adhesive system or with a self-etching primer adhesive, used according to its original prescription or used with previous acid etching. Thirty bovine teeth were divided into 3 groups with 10 teeth each (n= 10. In one of the groups, a self-etching primer (Clearfil SE Bond - Kuraray was applied in accordance with the manufacturer's instructions and, in the other, it was applied after previous acid etching. In the third group, a conventional adhesive system (Scotchbond Multipurpose Plus - 3M-ESPE was applied in accordance with the manufacturer's instructions. The results obtained by analysis of variance revealed significant differences between the adhesive systems (F = 22.31. The self-etching primer (Clearfil SE Bond presented lower enamel bond strength values than the conventional adhesive system (Scotchbond Multipurpose Plus (m = 39.70 ± 7.07 MPa both when used according to the original prescription (m = 27.81 ± 2.64 MPa and with previous acid etching (m = 25.08 ± 4.92 MPa.

  8. Ultrasound effects on the electrolytically controlled etching of nuclear track filters (NTFs)

    International Nuclear Information System (INIS)

    Chakarvarti, S.K.; Mahna, S.K.; Sud, L.V.; Singh, P.

    1990-01-01

    The mechanical stirring of the etchant creates tremendous changes in the etching properties of SSNTDs. Ultrasound stirring also produces a number of effects in liquids by giving a rapid movement to etchant. Cavitation is the most probable phenomenon caused by ultrasound and responsible for most of the effects observed in chemical reactions. Microbubbles are created in liquid medium and explosion of these microbubbles is responsible for momentarily rise in temperature. The possible effects of ultrasound on etching of particle tracks in plastic track detectors as nuclear track filters has been studied. The ultrasound effects on V t and V b have been studied in this work. (author). 5 re fs

  9. Fiber-Optic Refractometer Based on an Etched High-Q ?-Phase-Shifted Fiber-Bragg-Grating

    OpenAIRE

    Zhang, Qi; Ianno, Natale J.; Han, Ming

    2013-01-01

    We present a compact and highly-sensitive fiber-optic refractometer based on a high-Q p-phase-shifted fiber-Bragg-grating (pFBG) that is chemically etched to the core of the fiber. Due to the p phase-shift, a strong pFBG forms a high-Q optical resonator and the reflection spectrum features an extremely narrow notch that can be used for highly sensitivity refractive index measurement. The etched pFBG demonstrated here has a diameter of ~9.3 μm and a length of only 7 mm, leading to a refractive...

  10. Plasma etching of electrospun polymeric nanofibres

    Energy Technology Data Exchange (ETDEWEB)

    Verdonck, Patrick [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil)]. E-mail: verdonck@imec.be; Braga Caliope, Priscila [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Moral Hernandez, Emilio del [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Silva, Ana Neilde R. da [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); FATEC-SP, Pca Fernando Prestes, 30 Sao Paulo, SP (Brazil)

    2006-10-25

    Electrospun polymeric nanofibres have several applications because of their high surface area to volume and high length to diameter ratios. This paper investigates the influence of plasma etching on these fibres and the etching mechanisms. For the characterization, SEM analysis was performed to determine the forms and shapes of the fibres and SEM photos were analysed by the technique of mathematical morphology, in order to determine the area on the sample occupied by the fibres and the frequency distribution of the nanofibre diameters. The results showed that the oxygen plasma etches the nanofibres much faster when ion bombardment is present. The form of the fibres is not altered by the etching, indicating the possibility of transport of oxygen atoms over the fibre surface. The most frequent diameter, somewhat surprisingly, is not significantly dependent on the etching process, and remains of the order of 80 nm, indicating that fibres with smaller diameters are etched at high rates.

  11. Development of a reader for track etch detectors based on a commercially available slide scanner

    CERN Document Server

    Steele, J D; Tanner, R J; Bartlett, D T

    1999-01-01

    NRPB has operated a routine neutron personal dosimetry service based on the electrochemical etch of PADC elements since 1986. Since its inception it has used an automated reader based on a video camera and real time analysis. A new and more powerful replacement system has been developed using a commercially available photographic slide scanner. This permits a complete image of the dosemeter to be grabbed in a single scan, generating a 2592x3888 pixel file which is saved for subsequent analysis. This gives an effective pixel size of 10x10 mu m with an image of the entire dosemeter in one field of view. Custom written software subsequently analyses the image to assess the number of etched pits on the dosemeter and read the detector identification number (code). Batch scanning of up to 40 detectors is also possible using an autofeed attachment. The system can be used for electrochemically etched tracks for neutron detectors and chemically etched tracks for radon detectors.

  12. Oxygen and nitrogen plasma etching of three-dimensional hydroxyapatite/chitosan scaffolds fabricated by additive manufacturing

    Science.gov (United States)

    Myung, Sung-Woon; Kim, Byung-Hoon

    2016-01-01

    Three-dimensional (3D) chitosan and hydroxyapatite (HAp)/chitosan (CH) scaffolds were fabricated by additive manufacturing, then their surfaces were etched with oxygen (O2) and nitrogen (N2) plasma. O2 and N2 plasma etching was performed to increase surface properties such as hydrophilicity, roughness, and surface chemistry on the scaffolds. After etching, hydroxyapatite was exposed on the surface of 3D HAp/CH scaffolds. The surface morphology and chemical properties were characterized by contact angle measurement, scanning electron microscopy, X-ray diffraction, and attenuated total reflection Fourier infrared spectroscopy. The cell viability of 3D chitosan scaffolds was examined by 3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide assay. The differentiation of preosteoblast cells was evaluated by alkaline phosphatase assay. The cell viability was improved by O2 and N2 plasma etching of 3D chitosan scaffolds. The present fabrication process for 3D scaffolds might be applied to a potential tool for preparing biocompatible scaffolds.

  13. Influence of variation of etching conditions on the sensitivity of PADC detectors with a new evaluation method

    International Nuclear Information System (INIS)

    Fiechtner-Scharrer, A.; Mayer, S.; Boschung, M.; Whitelaw, A.

    2011-01-01

    At the Paul Scherrer Institut, a personal neutron dosimetry system based on chemically etched poly allyl diglycol carbonate (PADC) detectors and an automatic track counting (Autoscan 60) for neutron dose evaluations has been in routine use since 1998. Today, the hardware and the software of the Autoscan 60 are out of date, no spare components are available anymore and more sophisticated image-analysis systems are already developed. Therefore, a new evaluation system, the 'TASLIMAGE', was tested thoroughly in 2009 for linearity, reproducibility, influence of etching conditions and so forth, with the intention of replacing the Autoscan 60 in routine evaluations. The TASLIMAGE system is based on a microscope (high-quality Nikon optics) and an ultra-fast three-axis motorised control for scanning the detectors. In this paper, the TASLIMAGE system and its possibilities for neutron dose calculation are explained in more detail and the study of the influence of the variation of etching conditions on the sensitivity and background of the PADC detectors is described. The etching temperature and etching duration were varied, which showed that the etching conditions do not have a significant influence on the results of non-irradiated detectors. However, the sensitivity of irradiated detectors decreases by 5 % per 1 deg. C when increasing the etching temperature. For the variation of the etching duration, the influence on the sensitivity of irradiated detectors is less pronounced. (authors)

  14. Comparison of enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives in self-etch mode.

    Science.gov (United States)

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Hosoya, Yumiko; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2017-10-01

    To comparatively evaluate universal adhesives and two-step self-etch adhesives for enamel bond fatigue durability in self-etch mode. Three universal adhesives (Clearfil Universal Bond; G-Premio Bond; Scotchbond Universal Adhesive) and three two-step self-etch adhesives (Clearfil SE Bond; Clearfil SE Bond 2; OptiBond XTR) were used. The initial shear bond strength and shear fatigue strength of the adhesive to enamel in self-etch mode were determined. The initial shear bond strengths of the universal adhesives to enamel in self-etch mode was significantly lower than those of two-step self-etch adhesives and initial shear bond strengths were not influenced by type of adhesive in each adhesive category. The shear fatigue strengths of universal adhesives to enamel in self-etch mode were significantly lower than that of Clearfil SE Bond and Clearfil SE Bond 2, but similar to that OptiBond XTR. Unlike two-step self-etch adhesives, the initial shear bond strength and shear fatigue strength of universal adhesives to enamel in self-etch mode was not influenced by the type of adhesive. This laboratory study showed that the enamel bond fatigue durability of universal adhesives was lower than Clearfil SE Bond and Clearfil SE Bond 2, similar to Optibond XTR, and was not influenced by type of adhesive, unlike two-step self-etch adhesives.

  15. Using chemical wet-etching methods of textured AZO films on a-Si:H solar cells for efficient light trapping

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Guo-Sheng; Li, Chien-Yu; Huang, Kuo-Chan; Houng, Mau-Phon, E-mail: mphoung@eembox.ncku.edu.tw

    2015-06-15

    In this paper, Al-doped ZnO (AZO) films are deposited on glasses substrate by RF magnetron sputtering. The optical, electrical and morphological properties of AZO films textured by wet-etching with different etchants, H{sub 3}PO{sub 4}, HCl, and HNO{sub 3} are studied. It is found that the textured structure could enhance the light scattering and light trapping ability of amorphous silicon solar cells. The textured AZO film etched with HNO{sub 3} exhibits optimized optical properties (T% ≧ 80% over entire wavelength, haze ratio > 40% at 550 nm wavelength) and excellent electrical properties (ρ = 5.86 × 10{sup −4} Ωcm). Scanning electron microscopy and Atomic force microscopy are used to observe surface morphology and average roughness of each textured AZO films. Finally, the textured AZO films etched by H{sub 3}PO{sub 4}, HCl and HNO{sub 3} were applied to front electrode layer for p–i–n amorphous silicon solar cells. The highest conversion efficiency of amorphous silicon solar cell fabricated on HNO{sub 3}-etched AZO film was 7.08% with open-circuit voltage, short-circuit current density and fill factor of 895 mV, 14.92 mA/cm{sup 2} and 0.56, respectively. It shows a significantly enhancement in the short-circuit current density and conversion efficiency by 16.2% and 20.2%, respectively, compared with the solar cell fabricated on as-grown AZO film. - Highlights: • The textured surface enhances light scattering and light trapping ability. • The HNO{sub 3}-etched AZO film exhibits excellent optical and electrical properties. • The efficiency of a-Si:H solar cell fabricated on HNO{sub 3}-etched AZO film was 7.08%. • The short-circuit current density enhances to 16.2%. • The conversion efficiency enhances to 20.2%.

  16. Using chemical wet-etching methods of textured AZO films on a-Si:H solar cells for efficient light trapping

    International Nuclear Information System (INIS)

    Lin, Guo-Sheng; Li, Chien-Yu; Huang, Kuo-Chan; Houng, Mau-Phon

    2015-01-01

    In this paper, Al-doped ZnO (AZO) films are deposited on glasses substrate by RF magnetron sputtering. The optical, electrical and morphological properties of AZO films textured by wet-etching with different etchants, H 3 PO 4 , HCl, and HNO 3 are studied. It is found that the textured structure could enhance the light scattering and light trapping ability of amorphous silicon solar cells. The textured AZO film etched with HNO 3 exhibits optimized optical properties (T% ≧ 80% over entire wavelength, haze ratio > 40% at 550 nm wavelength) and excellent electrical properties (ρ = 5.86 × 10 −4 Ωcm). Scanning electron microscopy and Atomic force microscopy are used to observe surface morphology and average roughness of each textured AZO films. Finally, the textured AZO films etched by H 3 PO 4 , HCl and HNO 3 were applied to front electrode layer for p–i–n amorphous silicon solar cells. The highest conversion efficiency of amorphous silicon solar cell fabricated on HNO 3 -etched AZO film was 7.08% with open-circuit voltage, short-circuit current density and fill factor of 895 mV, 14.92 mA/cm 2 and 0.56, respectively. It shows a significantly enhancement in the short-circuit current density and conversion efficiency by 16.2% and 20.2%, respectively, compared with the solar cell fabricated on as-grown AZO film. - Highlights: • The textured surface enhances light scattering and light trapping ability. • The HNO 3 -etched AZO film exhibits excellent optical and electrical properties. • The efficiency of a-Si:H solar cell fabricated on HNO 3 -etched AZO film was 7.08%. • The short-circuit current density enhances to 16.2%. • The conversion efficiency enhances to 20.2%

  17. Track-Etched Magnetic Micropores for Immunomagnetic Isolation of Pathogens

    Science.gov (United States)

    Muluneh, Melaku; Shang, Wu

    2014-01-01

    A microfluidic chip is developed to selectively isolate magnetically tagged cells from heterogeneous suspensions, the track-etched magnetic micropore (TEMPO) filter. The TEMPO consists of an ion track-etched polycarbonate membrane coated with soft magnetic film (Ni20Fe80). In the presence of an applied field, provided by a small external magnet, the filter becomes magnetized and strong magnetic traps are created along the edges of the micropores. In contrast to conventional microfluidics, fluid flows vertically through the porous membrane allowing large flow rates while keeping the capture rate high and the chip compact. By utilizing track-etching instead of conventional semiconductor fabrication, TEMPOs can be fabricated with microscale pores over large areas A > 1 cm2 at little cost ( 500 at a flow rate of Φ = 5 mL h−1. Furthermore, the large density of micropores (ρ = 106 cm−2) allows the TEMPO to sort E. coli from unprocessed environmental and clinical samples, as the blockage of a few pores does not significantly change the behavior of the device. PMID:24535921

  18. High-throughput selection for cellulase catalysts using chemical complementation.

    Science.gov (United States)

    Peralta-Yahya, Pamela; Carter, Brian T; Lin, Hening; Tao, Haiyan; Cornish, Virginia W

    2008-12-24

    Efficient enzymatic hydrolysis of lignocellulosic material remains one of the major bottlenecks to cost-effective conversion of biomass to ethanol. Improvement of glycosylhydrolases, however, is limited by existing medium-throughput screening technologies. Here, we report the first high-throughput selection for cellulase catalysts. This selection was developed by adapting chemical complementation to provide a growth assay for bond cleavage reactions. First, a URA3 counter selection was adapted to link chemical dimerizer activated gene transcription to cell death. Next, the URA3 counter selection was shown to detect cellulase activity based on cleavage of a tetrasaccharide chemical dimerizer substrate and decrease in expression of the toxic URA3 reporter. Finally, the utility of the cellulase selection was assessed by isolating cellulases with improved activity from a cellulase library created by family DNA shuffling. This application provides further evidence that chemical complementation can be readily adapted to detect different enzymatic activities for important chemical transformations for which no natural selection exists. Because of the large number of enzyme variants that selections can now test as compared to existing medium-throughput screens for cellulases, this assay has the potential to impact the discovery of improved cellulases and other glycosylhydrolases for biomass conversion from libraries of cellulases created by mutagenesis or obtained from natural biodiversity.

  19. Etching Behavior of Aluminum Alloy Extrusions

    Science.gov (United States)

    Zhu, Hanliang

    2014-11-01

    The etching treatment is an important process step in influencing the surface quality of anodized aluminum alloy extrusions. The aim of etching is to produce a homogeneously matte surface. However, in the etching process, further surface imperfections can be generated on the extrusion surface due to uneven materials loss from different microstructural components. These surface imperfections formed prior to anodizing can significantly influence the surface quality of the final anodized extrusion products. In this article, various factors that influence the materials loss during alkaline etching of aluminum alloy extrusions are investigated. The influencing variables considered include etching process parameters, Fe-rich particles, Mg-Si precipitates, and extrusion profiles. This study provides a basis for improving the surface quality in industrial extrusion products by optimizing various process parameters.

  20. Effect of Phosphoric Acid Pre-etching on Fatigue Limits of Self-etching Adhesives.

    Science.gov (United States)

    Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Scheidel, D D; Erickson, R L; Latta, M A; Miyazaki, M

    2015-01-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue limit (SFL) testing to determine the effect of phosphoric acid pre-etching of enamel and dentin prior to application of self-etch adhesives for bonding resin composite to these substrates. Three self-etch adhesives--1) G- ænial Bond (GC Corporation, Tokyo, Japan); 2) OptiBond XTR (Kerr Corp, Orange, CA, USA); and 3) Scotchbond Universal (3M ESPE Dental Products, St Paul, MN, USA)--were used to bond Z100 Restorative resin composite to enamel and dentin surfaces. A stainless-steel metal ring with an inner diameter of 2.4 mm was used to bond the resin composite to flat-ground (4000 grit) tooth surfaces for determination of both SBS and SFL. Fifteen specimens each were used to determine initial SBS to human enamel/dentin, with and without pre-etching with a 35% phosphoric acid (Ultra-Etch, Ultradent Products Inc, South Jordan, UT, USA) for 15 seconds prior to the application of the adhesives. A staircase method of fatigue testing (25 specimens for each test) was then used to determine the SFL of resin composite bonded to enamel/dentin using a frequency of 10 Hz for 50,000 cycles or until failure occurred. A two-way analysis of variance and Tukey post hoc test were used for analysis of SBS data, and a modified t-test with Bonferroni correction was used for the SFL data. Scanning electron microscopy was used to examine the area of the bonded restorative/tooth interface. For all three adhesive systems, phosphoric acid pre-etching of enamel demonstrated significantly higher (padhesives clearly demonstrated different tendencies between enamel and dentin. The effect of using phosphoric acid, prior to the application of the self-etching adhesives, on SBS and SFL was dependent on the adhesive material and tooth substrate and should be carefully considered in clinical situations.

  1. Self-aligned mask renewal for anisotropically etched circular micro- and nanostructures

    International Nuclear Information System (INIS)

    Kaspar, Peter; Jäckel, Heinz; Holzapfel, Sebastian; Windhab, Erich J

    2011-01-01

    The top–down fabrication of high aspect ratio circular micro- and nanostructures in silicon nitride is presented. A new method is introduced to increase the aspect ratio of anisotropically etched holes by a factor of more than two with respect to the results obtained from an established dry-etching process. The method is based on the renewal of an etching mask after a first etching step has been completed. Mask renewal is done by line-of-sight deposition of a masking layer on the surface of the sample, which is mounted at an angle with respect to the deposition direction. No additional alignment step is required. The proof of principle is performed for silicon nitride etching through a mask of titanium, but the method has great potential to be applicable to a wide variety of substrate–mask combinations and to find entrance into various engineering fields. Two specific applications are highlighted. Firstly, a thick silicon nitride hardmask is used for the fabrication of deeply etched photonic crystal holes in indium phosphide (InP). For holes of 280 nm diameter, a record aspect ratio of 20 and an overall selectivity of 28.5 between a positive-tone resist layer and InP are reported. Secondly, the use of perforated silicon nitride membranes for droplet formation for applications in food engineering or pharmaceutics is addressed. Preliminary results show a potential for the self-aligned mask renewal method to exceed state-of-the-art membrane quality in terms of pore size, aspect ratio and membrane stability.

  2. Improvement of the optical quality of site-controlled InAs quantum dots by a double stack growth technique in wet-chemically etched holes

    Energy Technology Data Exchange (ETDEWEB)

    Pfau, Tino Johannes; Gushterov, Aleksander; Reithmaier, Johann-Peter [Technische Physik, INA, Universitaet Kassel (Germany); Cestier, Isabelle; Eisenstein, Gadi [Electrical Engineering Dept., Technion, Haifa (Israel); Linder, Evgany; Gershoni, David [Solid State Institute and Physics Dept., Technion, Haifa (Israel)

    2010-07-01

    The optimization of the wet-chemically etching of holes and a special MBE growth stack technique allows enlarging the site-control of low density InAs QDs on GaAs substrates up to a buffer layer thickness of 55 nm. The strain of InAs QDs, grown in the etched holes, reduces the hole closing, so that a pre-patterned surface is conserved for the second QD layer. The distance of 50 nm GaAs between the two QD layers exceeds drastically the maximum vertical alignment based on pure strain coupling (20 nm). Compared to stacks with several QD layers, this method avoids electronic coupling between the different QD layers and reduces the problems to distinguish the dots of different layers optically. Confocal microphotoluminescence reveals a significant diminution of the low temperature photoluminescence linewidth of the second InAs QD layer to an average value of 505{+-}53 {mu}eV and a minimum width of 460 {mu}eV compared to 2 to 4 meV for QDs grown on thin buffer layers. The increase of the buffer layer thickness decreases the influence of the surface defects caused by prepatterning.

  3. Sacrificial structures for deep reactive ion etching of high-aspect ratio kinoform silicon x-ray lenses

    DEFF Research Database (Denmark)

    Stöhr, Frederik; Michael-Lindhard, Jonas; Hübner, Jörg

    2015-01-01

    This article describes the realization of complex high-aspect ratio silicon structures with feature dimensions from 100 lm to 100nm by deep reactive ion etching using the Bosch process. As the exact shape of the sidewall profiles can be crucial for the proper functioning of a device, the authors...... of the sacrificial structures was accomplished by thermal oxidation and subsequent selective wet etching. The effects of the dimensions and relative placement of sacrificial walls and pillars on the etching result were determined through systematic experiments. The authors applied this process for exact sidewall...

  4. Step voltage with periodic hold-up etching: A novel porous silicon formation

    International Nuclear Information System (INIS)

    Naddaf, M.; Awad, F.; Soukeih, M.

    2007-01-01

    A novel etching method for preparing light-emitting porous silicon (PS) is developed. A gradient steps (staircase) voltage is applied and hold-up for different periods of time between p-type silicon wafers and a graphite electrode in HF based solutions periodically. The single applied staircase voltage (0-30 V) is ramped in equal steps of 0.5 V for 6 s, and hold at 30 V for 30 s at a current of 6 mA. The current during hold-up time (0 V) was less than 10 μA. The room temperature photoluminescence (PL) behavior of the PS samples as a function of etching parameters has been investigated. The intensity of PL peak is initially increased and blue shifted on increasing etching time, but decreased after prolonged time. These are correlated with the study of changes in surface morphology using atomic force microscope (AFM), porosity and electrical conductance measurements. The time of holding-up the applied voltage during the formation process is found to highly affect the PS properties. On increasing the holding-up time, the intensity of PL peak is increased and blue shifted. The contribution of holding-up the applied steps during the formation process of PS is seen to be more or less similar to the post chemical etching process. It is demonstrated that this method can yield a porous silicon layer with stronger photoluminescence intensity and blue shifted than the porous silicon layer prepared by DC etching

  5. Step voltage with periodic hold-up etching: A novel porous silicon formation

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M. [Department of Physics, Atomic Energy Commission of Syria (AECS), Damascus P.O. Box 6091 (Syrian Arab Republic)]. E-mail: scientific@aec.org.sy; Awad, F. [Department of Physics, Atomic Energy Commission of Syria (AECS), Damascus P.O. Box 6091 (Syrian Arab Republic); Soukeih, M. [Department of Physics, Atomic Energy Commission of Syria (AECS), Damascus P.O. Box 6091 (Syrian Arab Republic)

    2007-05-16

    A novel etching method for preparing light-emitting porous silicon (PS) is developed. A gradient steps (staircase) voltage is applied and hold-up for different periods of time between p-type silicon wafers and a graphite electrode in HF based solutions periodically. The single applied staircase voltage (0-30 V) is ramped in equal steps of 0.5 V for 6 s, and hold at 30 V for 30 s at a current of 6 mA. The current during hold-up time (0 V) was less than 10 {mu}A. The room temperature photoluminescence (PL) behavior of the PS samples as a function of etching parameters has been investigated. The intensity of PL peak is initially increased and blue shifted on increasing etching time, but decreased after prolonged time. These are correlated with the study of changes in surface morphology using atomic force microscope (AFM), porosity and electrical conductance measurements. The time of holding-up the applied voltage during the formation process is found to highly affect the PS properties. On increasing the holding-up time, the intensity of PL peak is increased and blue shifted. The contribution of holding-up the applied steps during the formation process of PS is seen to be more or less similar to the post chemical etching process. It is demonstrated that this method can yield a porous silicon layer with stronger photoluminescence intensity and blue shifted than the porous silicon layer prepared by DC etching.

  6. Atomistic simulations of graphite etching at realistic time scales.

    Science.gov (United States)

    Aussems, D U B; Bal, K M; Morgan, T W; van de Sanden, M C M; Neyts, E C

    2017-10-01

    Hydrogen-graphite interactions are relevant to a wide variety of applications, ranging from astrophysics to fusion devices and nano-electronics. In order to shed light on these interactions, atomistic simulation using Molecular Dynamics (MD) has been shown to be an invaluable tool. It suffers, however, from severe time-scale limitations. In this work we apply the recently developed Collective Variable-Driven Hyperdynamics (CVHD) method to hydrogen etching of graphite for varying inter-impact times up to a realistic value of 1 ms, which corresponds to a flux of ∼10 20 m -2 s -1 . The results show that the erosion yield, hydrogen surface coverage and species distribution are significantly affected by the time between impacts. This can be explained by the higher probability of C-C bond breaking due to the prolonged exposure to thermal stress and the subsequent transition from ion- to thermal-induced etching. This latter regime of thermal-induced etching - chemical erosion - is here accessed for the first time using atomistic simulations. In conclusion, this study demonstrates that accounting for long time-scales significantly affects ion bombardment simulations and should not be neglected in a wide range of conditions, in contrast to what is typically assumed.

  7. Effect of certain production parameters and post-production treatments on the etching characteristics of CR-39 sheets

    Energy Technology Data Exchange (ETDEWEB)

    Somogyi, G.; Toth-Szilagyi, M.; Hunyadi, I.; Hafez, A.-F.

    1986-01-01

    There is a permanent need in producing track recording detectors of well defined behaviour from CR-39 monomer. Therefore, more studies on the role of production parameters determining the stability of main etching characteristics (bulk etch rate, Vsub(B);etch rate ratio, Vsub(T)/Vsub(B);opaqueness after longer etching periods) are highly required. In our studies we have examined different commercial CR-39 sheets (Homalite, Pershore, MA-ND) to compare their registration sensitivity to selected alpha and proton energies. In addition, we have investigated the main etching parameters of CR-39 sheets manufactured under different casting conditions varying the concentration of initiator and certain additives. Finally, the effect of some post-production treatments, annealing and storage in vacuum, on the Vsub(B) and Vsub(T)/Vsub(B) values is studied.

  8. DREM: Infinite etch selectivity and optimized scallop size distribution with conventional photoresists in an adapted multiplexed Bosch DRIE process

    DEFF Research Database (Denmark)

    Chang, Bingdong; Leussink, Pele; Jensen, Flemming

    2018-01-01

    The quest to sculpture materials as small and deep as possible is an ongoing topic in micro- and nanofabrication. For this, the Bosch process has been widely used to achieve anisotropic silicon microstructures with high aspect ratio. Reactive ion etching (RIE) lag is a phenomenon in which etch ra...

  9. The Effect of Phosphoric Acid Pre-etching Times on Bonding Performance and Surface Free Energy with Single-step Self-etch Adhesives.

    Science.gov (United States)

    Tsujimoto, A; Barkmeier, W W; Takamizawa, T; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to evaluate the effect of phosphoric acid pre-etching times on shear bond strength (SBS) and surface free energy (SFE) with single-step self-etch adhesives. The three single-step self-etch adhesives used were: 1) Scotchbond Universal Adhesive (3M ESPE), 2) Clearfil tri-S Bond (Kuraray Noritake Dental), and 3) G-Bond Plus (GC). Two no pre-etching groups, 1) untreated enamel and 2) enamel surfaces after ultrasonic cleaning with distilled water for 30 seconds to remove the smear layer, were prepared. There were four pre-etching groups: 1) enamel surfaces were pre-etched with phosphoric acid (Etchant, 3M ESPE) for 3 seconds, 2) enamel surfaces were pre-etched for 5 seconds, 3) enamel surfaces were pre-etched for 10 seconds, and 4) enamel surfaces were pre-etched for 15 seconds. Resin composite was bonded to the treated enamel surface to determine SBS. The SFEs of treated enamel surfaces were determined by measuring the contact angles of three test liquids. Scanning electron microscopy was used to examine the enamel surfaces and enamel-adhesive interface. The specimens with phosphoric acid pre-etching showed significantly higher SBS and SFEs than the specimens without phosphoric acid pre-etching regardless of the adhesive system used. SBS and SFEs did not increase for phosphoric acid pre-etching times over 3 seconds. There were no significant differences in SBS and SFEs between the specimens with and without a smear layer. The data suggest that phosphoric acid pre-etching of ground enamel improves the bonding performance of single-step self-etch adhesives, but these bonding properties do not increase for phosphoric acid pre-etching times over 3 seconds.

  10. Copper-assisted, anti-reflection etching of silicon surfaces

    Science.gov (United States)

    Toor, Fatima; Branz, Howard

    2014-08-26

    A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.

  11. A plasmaless, photochemical etch process for porous organosilicate glass films

    Science.gov (United States)

    Ryan, E. Todd; Molis, Steven E.

    2017-12-01

    A plasmaless, photochemical etch process using ultraviolet (UV) light in the presence of NH3 or O2 etched porous organosilicate glass films, also called pSiCOH films, in a two-step process. First, a UV/NH3 or UV/O2 treatment removed carbon (mostly methyl groups bonded to silicon) from a pSiCOH film by demethylation to a depth determined by the treatment exposure time. Second, aqueous HF was used to selectively remove the demethylated layer of the pSiCOH film leaving the methylated layer below. UV in the presence of inert gas or H2 did not demethylate the pSiCOH film. The depth of UV/NH3 demethylation followed diffusion limited kinetics and possible mechanisms of demethylation are presented. Unlike reactive plasma processes, which contain ions that can damage surrounding structures during nanofabrication, the photochemical etch contains no damaging ions. Feasibility of the photochemical etching was shown by comparing it to a plasma-based process to remove the pSiCOH dielectric from between Cu interconnect lines, which is a critical step during air gap fabrication. The findings also expand our understanding of UV photon interactions in pSiCOH films that may contribute to plasma-induced damage to pSiCOH films.

  12. Microleakage assessment of fissure sealant following fissurotomy bur or pumice prophylaxis use before etching

    Directory of Open Access Journals (Sweden)

    Ali Bagherian

    2013-01-01

    The aim of this investigation was to compare the microleakage level of fissure sealants prepared by a fissurotomy bur or pumice prophylaxis prior to acid etching. Materials and Methods: Ninety freshly extracted healthy maxillary premolar teeth were randomly selected for this investigation. Teeth were then divided into three fissure sealant preparatory groups of A: Fissurotomy bur + acid etch; B: Pumice prophylaxis + acid etch and C: Acid etch alone. Sealant was applied to the occlusal fissures of all specimens using a plastic instrument. This was to avoid any air trap under the sealant. Sample teeth were first thermocycled (1000 cycles, 20 s dwell time and then coated with two layers of nail varnish leaving 2 mm around the sealant. This was then followed by immersion in basic fuchsin 3%. Processed teeth were sectioned longitudinally and examined under a stereomicroscope for microleakage assessment using a score of 0-3. Collected data was then subjected to Kruskall-Wallis Analysis of Variance and Mann-Whitney U-test. P < 0.05 was considered as significant. Results: Teeth in fissurotomy bur and pumice prophylaxis groups had significantly reduced level of microleakage than those in acid etch alone (P = 0.005 and P = 0.003, respectively. Conclusion: Use of fissurotomy bur and pumice prophylaxis accompanied with acid etching appears to have a more successful reduction of microleakage than acid etch alone.

  13. High-aspect ratio micro- and nanostructures enabled by photo-electrochemical etching for sensing and energy harvesting applications

    Science.gov (United States)

    Alhalaili, Badriyah; Dryden, Daniel M.; Vidu, Ruxandra; Ghandiparsi, Soroush; Cansizoglu, Hilal; Gao, Yang; Saif Islam, M.

    2018-03-01

    Photo-electrochemical (PEC) etching can produce high-aspect ratio features, such as pillars and holes, with high anisotropy and selectivity, while avoiding the surface and sidewall damage caused by traditional deep reactive ion etching (DRIE) or inductively coupled plasma (ICP) RIE. Plasma-based techniques lead to the formation of dangling bonds, surface traps, carrier leakage paths, and recombination centers. In pursuit of effective PEC etching, we demonstrate an optical system using long wavelength (λ = 975 nm) infra-red (IR) illumination from a high-power laser (1-10 W) to control the PEC etching process in n-type silicon. The silicon wafer surface was patterned with notches through a lithography process and KOH etching. Then, PEC etching was introduced by illuminating the backside of the silicon wafer to enhance depth, resulting in high-aspect ratio structures. The effect of the PEC etching process was optimized by varying light intensities and electrolyte concentrations. This work was focused on determining and optimizing this PEC etching technique on silicon, with the goal of expanding the method to a variety of materials including GaN and SiC that are used in designing optoelectronic and electronic devices, sensors and energy harvesting devices.

  14. Advanced Simulation Technology to Design Etching Process on CMOS Devices

    Science.gov (United States)

    Kuboi, Nobuyuki

    2015-09-01

    Prediction and control of plasma-induced damage is needed to mass-produce high performance CMOS devices. In particular, side-wall (SW) etching with low damage is a key process for the next generation of MOSFETs and FinFETs. To predict and control the damage, we have developed a SiN etching simulation technique for CHxFy/Ar/O2 plasma processes using a three-dimensional (3D) voxel model. This model includes new concepts for the gas transportation in the pattern, detailed surface reactions on the SiN reactive layer divided into several thin slabs and C-F polymer layer dependent on the H/N ratio, and use of ``smart voxels''. We successfully predicted the etching properties such as the etch rate, polymer layer thickness, and selectivity for Si, SiO2, and SiN films along with process variations and demonstrated the 3D damage distribution time-dependently during SW etching on MOSFETs and FinFETs. We confirmed that a large amount of Si damage was caused in the source/drain region with the passage of time in spite of the existing SiO2 layer of 15 nm in the over etch step and the Si fin having been directly damaged by a large amount of high energy H during the removal step of the parasitic fin spacer leading to Si fin damage to a depth of 14 to 18 nm. By analyzing the results of these simulations and our previous simulations, we found that it is important to carefully control the dose of high energy H, incident energy of H, polymer layer thickness, and over-etch time considering the effects of the pattern structure, chamber-wall condition, and wafer open area ratio. In collaboration with Masanaga Fukasawa and Tetsuya Tatsumi, Sony Corporation. We thank Mr. T. Shigetoshi and Mr. T. Kinoshita of Sony Corporation for their assistance with the experiments.

  15. Influence of high frequency ex-electric field on etching process and shape of pores for nuclear track film

    International Nuclear Information System (INIS)

    Chen Hui; Wang Yulan; Xu Shiping; Wang Jianchen

    2011-01-01

    To assess the details of the chemical etching process of polyethylene terephthalate (PET), the current signals during the whole etching process were recorded with the etching apparatus. The background-current was studied, which illustrated that it was mainly determined by the electric capacity of the etching system and was influenced by the thickness of the membrane but not by the temperature. According to the record of the current change during the etching process, it was found that the process can be divided into three phases. The influences of the existence and intensity of the ex-electric field on the breakthrough time and shape of pores were also studied. The existence of ex-electric field could shorten the breakthrough time and shape the pores more close to column. And these two phenomenons could be strengthened as the intensity of the electric field rose, and yet would reach a plateau when the intensity gets near 10 V/cm. (authors)

  16. [Evaluation of shear bond strengths of self-etching and total-etching dental adhesives to enamel and dentin].

    Science.gov (United States)

    Yu, Ling; Liu, Jing-Ming; Wang, Xiao-Yan; Gao, Xue-Jun

    2009-03-01

    To evaluate the shear bond strengths of four dental adhesives in vitro. The facial surfaces of 20 human maxillary incisors were prepared to expose fresh enamel and randomly divided into four groups, in each group 5 teeth were bonded with one adhesives: group A (Clearfil Protect Bond, self-etching two steps), group B (Adper( Prompt, self-etching one step), group C (SwissTEC SL Bond, total-etching two steps), group D (Single Bond, total-etching two steps). Shear bond strengths were determined using an universal testing machine after being stored in distilled water for 24 h at 37 degrees C. The bond strengths to enamel and dentin were (25.33 +/- 2.84) and (26.07 +/- 5.56) MPa in group A, (17.08 +/- 5.13) and (17.93 +/- 4.70) MPa in group B, (33.14 +/- 6.05) and (41.92 +/- 6.25) MPa in group C, (22.51 +/- 6.25) and (21.45 +/- 7.34) MPa in group D. Group C showed the highest and group B the lowest shear bond strength to enamel and dentin among the four groups. The two-step self-etching adhesive showed comparable shear bond strength to some of the total-etching adhesives and higher shear bond strength than one-step self-etching adhesive.

  17. Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions

    International Nuclear Information System (INIS)

    Li Yongliang; Xu Qiuxia

    2010-01-01

    The wet etching properties of a HfSiON high-k dielectric in HF-based solutions are investigated. HF-based solutions are the most promising wet chemistries for the removal of HfSiON, and etch selectivity of HF-based solutions can be improved by the addition of an acid and/or an alcohol to the HF solution. Due to densification during annealing, the etch rate of HfSiON annealed at 900 0 C for 30 s is significantly reduced compared with as-deposited HfSiON in HF-based solutions. After the HfSiON film has been completely removed by HF-based solutions, it is not possible to etch the interfacial layer and the etched surface does not have a hydrophobic nature, since N diffuses to the interface layer or Si substrate formation of Si-N bonds that dissolves very slowly in HF-based solutions. Existing Si-N bonds at the interface between the new high-k dielectric deposit and the Si substrate may degrade the carrier mobility due to Coulomb scattering. In addition, we show that N 2 plasma treatment before wet etching is not very effective in increasing the wet etch rate for a thin HfSiON film in our case. (semiconductor technology)

  18. Deep reactive ion etching of 4H-SiC via cyclic SF6/O2 segments

    International Nuclear Information System (INIS)

    Luna, Lunet E; Tadjer, Marko J; Anderson, Travis J; Imhoff, Eugene A; Hobart, Karl D; Kub, Fritz J

    2017-01-01

    Cycles of inductively coupled SF 6 /O 2 plasma with low (9%) and high (90%) oxygen content etch segments are used to produce up to 46.6 µ m-deep trenches with 5.5 µ m-wide openings in single-crystalline 4H-SiC substrates. The low oxygen content segment serves to etch deep in SiC whereas the high oxygen content segment serves to etch SiC at a slower rate, targeting carbon-rich residues on the surface as the combination of carbon-rich and fluorinated residues impact sidewall profile. The cycles work in concert to etch past 30 µ m at an etch rate of ∼0.26 µ m min −1 near room temperature, while maintaining close to vertical sidewalls, high aspect ratio, and high mask selectivity. In addition, power ramps during the low oxygen content segment is used to produce a 1:1 ratio of mask opening to trench bottom width. The effect of process parameters such as cycle time and backside substrate cooling on etch depth and micromasking of the electroplated nickel etch mask are investigated. (paper)

  19. Guiding gate-etch process development using 3D surface reaction modeling for 7nm and beyond

    Science.gov (United States)

    Dunn, Derren; Sporre, John R.; Deshpande, Vaibhav; Oulmane, Mohamed; Gull, Ronald; Ventzek, Peter; Ranjan, Alok

    2017-03-01

    Increasingly, advanced process nodes such as 7nm (N7) are fundamentally 3D and require stringent control of critical dimensions over high aspect ratio features. Process integration in these nodes requires a deep understanding of complex physical mechanisms to control critical dimensions from lithography through final etch. Polysilicon gate etch processes are critical steps in several device architectures for advanced nodes that rely on self-aligned patterning approaches to gate definition. These processes are required to meet several key metrics: (a) vertical etch profiles over high aspect ratios; (b) clean gate sidewalls free of etch process residue; (c) minimal erosion of liner oxide films protecting key architectural elements such as fins; and (e) residue free corners at gate interfaces with critical device elements. In this study, we explore how hybrid modeling approaches can be used to model a multi-step finFET polysilicon gate etch process. Initial parts of the patterning process through hardmask assembly are modeled using process emulation. Important aspects of gate definition are then modeled using a particle Monte Carlo (PMC) feature scale model that incorporates surface chemical reactions.1 When necessary, species and energy flux inputs to the PMC model are derived from simulations of the etch chamber. The modeled polysilicon gate etch process consists of several steps including a hard mask breakthrough step (BT), main feature etch steps (ME), and over-etch steps (OE) that control gate profiles at the gate fin interface. An additional constraint on this etch flow is that fin spacer oxides are left intact after final profile tuning steps. A natural optimization required from these processes is to maximize vertical gate profiles while minimizing erosion of fin spacer films.2

  20. Thermal etching rate of GaN during MOCVD growth interruption in hydrogen and ammonia ambient determined by AlGaN/GaN superlattice structures

    Science.gov (United States)

    Zhang, Feng; Ikeda, Masao; Zhang, Shuming; Liu, Jianping; Tian, Aiqin; Wen, Pengyan; Cheng, Yang; Yang, Hui

    2017-10-01

    Thermal etching effect of GaN during growth interruption in the metalorganic chemical vapor deposition reactor was investigated in this paper. The thermal etching rate was determined by growing a series of AlGaN/GaN superlattice structures with fixed GaN growth temperature at 735 °C and various AlGaN growth temperature changing from 900 °C to 1007 °C. It was observed that the GaN layer was etched off during the growth interruption when the growth temperature ramped up to AlGaN growth temperature. The etching thickness was determined by high resolution X-ray diffractometer and the etching rate was deduced accordingly. An activation energy of 2.53 eV was obtained for the thermal etching process.

  1. Use of KRS-XE positive chemically amplified resist for optical mask manufacturing

    Science.gov (United States)

    Ashe, Brian; Deverich, Christina; Rabidoux, Paul A.; Peck, Barbara; Petrillo, Karen E.; Angelopoulos, Marie; Huang, Wu-Song; Moreau, Wayne M.; Medeiros, David R.

    2002-03-01

    The traditional mask making process uses chain scission-type resists such as PBS, poly(butene-1-sulfone), and ZEP, poly(methyl a-chloroacrylate-co-a-methylstyrene) for making masks with dimensions greater than 180nm. PBS resist requires a wet etch process to produce patterns in chrome. ZEP was employed for dry etch processing to meet the requirements of shrinking dimensions, optical proximity corrections and phase shift masks. However, ZEP offers low contrast, marginal etch resistance, organic solvent development, and concerns regarding resist heating with its high dose requirements1. Chemically Amplified Resist (CAR) systems are a very good choice for dimensions less than 180nm because of their high sensitivity and contrast, high resolution, dry etch resistance, aqueous development, and process latitude2. KRS-XE was developed as a high contrast CA resist based on ketal protecting groups that eliminate the need for post exposure bake (PEB). This resist can be used for a variety of electron beam exposures, and improves the capability to fabricate masks for devices smaller than 180nm. Many factors influence the performance of resists in mask making such as post apply bake, exposure dose, resist develop, and post exposure bake. These items will be discussed as well as the use of reactive ion etching (RIE) selectivity and pattern transfer.

  2. Ion-beam enhanced etching for the 3D structuration of lithium niobate

    International Nuclear Information System (INIS)

    Gischkat, Thomas

    2010-01-01

    The present thesis deals with the usage of the ion-beam enhanced etching (IBEE) for the 3D structuration of lithium niobate (LiNbO 3 ).Hereby the approach of the enhancement of the wet-chemical etching rate due to the irradiation with energetic ions is pursued. This method is very success promising for the realization of micro- and nanostructures with perpendicular structural walls as well as small roughnesses. The aim of this thesis consisted therein to form the foundations for the realization of three-dimensional micro- and nanostructures (for instance: Layer systems and photonic crystals) in LiNbO 3 with high optical quality and to demonstrate on selected examples. Conditions for the success of the IBEE structuration technique is first of all the understanding of the defect formation under ion irradiation as well as the radiation-induced structure changes in the crystal and the change of the chemical resistance connected with this. For this the defect formation was studied in dependence on th ion mass, the ion energy, and the irradiation temperature. Thermally induced influences and effects on the radiation damage, as they can occur in intermediate steps in the complex processing, must be known and were studied by means of subsequent temperature treatment. The results from the defect studies were subsequently applied for the fabrication of micro- and nanostructures in LiNbO 3 . Shown is the realization of lateral structure with nearly perpendicular structure walls as well as the realization of thin membranes and slits. The subsequent combination of lateral structuration with the fabrication of thin membranes and slits allowed the three-dimensional structuration of LiNbO 3 . This is exemplarily shown for a microresonator and for a 2D photonic crystal with below lying air slit. [de

  3. Interpretation of Mason's equation in terms of measurable electrochemical-etching parameters governing the dielectric breakdown phenomenon

    International Nuclear Information System (INIS)

    Al-Najjar, S.A.R.; Durrani, S.A.

    1986-01-01

    A new interpretation of Mason's equation, as applicable to the electrochemical etching (ECE) of tracks in polymers, is presented in terms of physically meaningful track-etching parameters. The values of these parameters have been experimentally obtained by irradiating CR-39 foils with heavy ions ( 238 U and 129 Xe) and α-particles of various energies, and applying chemical pre-etching (CPE), followed by ECE in steps, with increasing electric field until the 'treeing' breakdown is initiated. A value of 1.19 MV cm -1 is obtained for the intrinsic electrical breakdown stress of CR-39. It is found that the track radius r used in Mason's equation is related to the radius rsub(Θ) of a sphere, inscribed within the etch cone, whose lowest point is at a fixed distance lsub(o) above the cone tip, with lsub(o) ≅ 1.75 μm for α-particle tracks of energies 2-5.5 MeV, such that r = 0.43 + rsub(Θ) (in μm). (author)

  4. Development of Polysulfone Hollow Fiber Porous Supports for High Flux Composite Membranes: Air Plasma and Piranha Etching

    Directory of Open Access Journals (Sweden)

    Ilya Borisov

    2017-02-01

    Full Text Available For the development of high efficiency porous supports for composite membrane preparation, polysulfone (PSf hollow fiber membranes (outer diameter 1.57 mm, inner diameter 1.12 mm were modified by air plasma using the low temperature plasma treatment pilot plant which is easily scalable to industrial level and the Piranha etch (H2O2 + H2SO4. Chemical and plasma modification affected only surface layers and did not cause PSf chemical structure change. The modifications led to surface roughness decrease, which is of great importance for further thin film composite (TFC membranes fabrication by dense selective layer coating, and also reduced water and ethylene glycol contact angle values for modified hollow fibers surface. Furthermore, the membranes surface energy increased two-fold. The Piranha mixture chemical modification did not change the membranes average pore size and gas permeance values, while air plasma treatment increased pore size 1.5-fold and also 2 order enhanced membranes surface porosity. Since membranes surface porosity increased due to air plasma treatment the modified membranes were used as efficient supports for preparation of high permeance TFC membranes by using poly[1-(trimethylsilyl-1-propyne] as an example for selective layer fabrication.

  5. A quick method for maintaining the molarity of NaOH solution during continuous etching of CR-39

    International Nuclear Information System (INIS)

    Khan, E.U.; Husaini, S.N.; Malik, F.; Sajid, M.; Karim, S.; Qureshi, I.E.

    2002-01-01

    A series of experiments have been performed to investigate the physio-chemical changes that occurred in the 6 M NaOH solution after etching CR-39 (Pershore and Homalite) detectors, each for 3, 6, 9, 12 and 15 h. Various physical parameters like concentration, electrical conductivity (EC) and density of the solutions have been measured after each etching interval. Results have been discussed in the light of stoichiometrical equation for the interaction of CR-39 with NaOH. It is found that the concentration, EC and the density of the solution, in which CR-39 has been etched, increase linearly with respect to the etching time. The inter-relationship of EC-concentration and density-concentration suggest that the concentration of the etchant can be maintained at certain desired value by adjusting the corresponding value of EC or density, measured through non-destructive and quick methods

  6. Plasma etching of niobium-SiO/sub x/ layers

    International Nuclear Information System (INIS)

    Schelle, D.; Tiller, H.J.

    1986-01-01

    CF 4 -plasma etching of niobium and SiO/sub x/ layers has been investigated in a r.f. diode reactor. Etch rates increase linearly with increasing power density and also increase with pressure. The etch rate ratio can be changed using different etch gases or operating in different plasma modes (PE or IEPE). Changing from the ion enhanced plasma etching mode (IEPE) to plasma etching mode (PE) the etch rate ratio is changing by a factor of ten. On the basis of etch rate dependences on process parametes and thermodynamic data it has been suggested the generation of fluorine radicals as the rate limiting step. A general etching model has been proposed, which explains qualitatively and quantitatively (on account of data from literature) the measured results. (author)

  7. Selective Adsorption of Nano-bio materials and nanostructure fabrication on Molecular Resists Modified by proton beam irradiation

    International Nuclear Information System (INIS)

    Lee, H. W.; Kim, H. S.; Kim, S. M.

    2008-04-01

    three polystyrene nanospheres. Also, the silicon oxide patterns were fabricated by direct proton beam irradiation on silicon surface at the triangular void spaces. The sutrctures of silicon dots depend on the nanosphere packing and number of layers. Silicon oxide dot patterns with two different shapes were obtained by the monodispersed particles and double layer of polystyrene. Also, holey patterns with the same depth were obtained by etching of the oxide patterns with different height formed by AFM lithography. 2) Selective adsorption of nano-bio materials on patterns fabricated by proton beam irradiation. Proton beam was selectively irradiated on a silicon surface with the metal mask and polystene nanosphere array. The silicon oxide formed by proton beam irradiation has a different chemical property, that was confirm by chemical etching using hydrofluoric acid solution, from the native oxide. We make the hydrophobic surface by chemical etching the native oxide layer using hydrofluofric acid, but the silicon oxide patterns formed by proton beam have the hydrophilic properties. So, the silver ions and Fe-Mo particles in water solution were selectively adsorbed on hydrophilic surface. Also, we obtained selectively grown CNTs on Fe-Mo particles adsorbed patterns. 3) Characterization of adsorption properties between nano-bio materials and proton beam irradiated surface. We get the result that the silicon oxide formed by proton beam irradiation was not etched by hydrofluoric acid. Also, the proton beam irradiated silicon oxide have a higher hydrophilicity than the silicon surface which was removed the native oxide layer by chemical etching using hydrofluoric acid. There properties were applied to selectively adsorption of nano-bio materials on patterned surface. We suggest the new method for selectively adsorption of nanomaterials on silicon surface without complicate process of surface modification.

  8. Fabrication of nanopores in multi-layered silicon-based membranes using focused electron beam induced etching with XeF_2 gas

    International Nuclear Information System (INIS)

    Liebes-Peer, Yael; Bandalo, Vedran; Sökmen, Ünsal; Tornow, Marc; Ashkenasy, Nurit

    2016-01-01

    The emergent technology of using nanopores for stochastic sensing of biomolecules introduces a demand for the development of simple fabrication methodologies of nanopores in solid state membranes. This process becomes particularly challenging when membranes of composite layer architecture are involved. To overcome this challenge we have employed a focused electron beam induced chemical etching process. We present here the fabrication of nanopores in silicon-on-insulator based membranes in a single step process. In this process, chemical etching of the membrane materials by XeF_2 gas is locally accelerated by an electron beam, resulting in local etching, with a top membrane oxide layer preventing delocalized etching of the silicon underneath. Nanopores with a funnel or conical, 3-dimensional (3D) shape can be fabricated, depending on the duration of exposure to XeF_2, and their diameter is dominated by the time of exposure to the electron beam. The demonstrated ability to form high-aspect ratio nanopores in comparably thick, multi-layered silicon based membranes allows for an easy integration into current silicon process technology and hence is attractive for implementation in biosensing lab-on-chip fabrication technologies. (author)

  9. Deep Reactive Ion Etching (DRIE) of High Aspect Ratio SiC Microstructures using a Time-Multiplexed Etch-Passivate Process

    Science.gov (United States)

    Evans, Laura J.; Beheim, Glenn M.

    2006-01-01

    High aspect ratio silicon carbide (SiC) microstructures are needed for microengines and other harsh environment micro-electro-mechanical systems (MEMS). Previously, deep reactive ion etching (DRIE) of low aspect ratio (AR less than or = 1) deep (greater than 100 micron) trenches in SiC has been reported. However, existing DRIE processes for SiC are not well-suited for definition of high aspect ratio features because such simple etch-only processes provide insufficient control over sidewall roughness and slope. Therefore, we have investigated the use of a time-multiplexed etch-passivate (TMEP) process, which alternates etching with polymer passivation of the etch sidewalls. An optimized TMEP process was used to etch high aspect ratio (AR greater than 5) deep (less than 100 micron) trenches in 6H-SiC. Power MEMS structures (micro turbine blades) in 6H-SiC were also fabricated.

  10. Morphological Evaluation of the Adhesive/Enamel interfaces of Two-step Self-etching Adhesives and Multimode One-bottle Self-etching Adhesives.

    Science.gov (United States)

    Sato, Takaaki; Takagaki, Tomohiro; Matsui, Naoko; Hamba, Hidenori; Sadr, Alireza; Nikaido, Toru; Tagami, Junji

    To evaluate the acid-base resistant zone (ABRZ) at the adhesive/enamel interface of self-etching adhesives with or without prior phosphoric acid etching. Four adhesives were used in 8 groups: Clearfil SE Bond (SEB), Optibond XTR (XTR), Scotchbond Universal Adhesive (SBU), and Clearfil BOND SE ONE (ONE) without prior phosphoric-acid etching, and each adhesive with phosphoric acid etching for 10 s (P-SEB, P-XTR, P-SBU and P-ONE, respectively). After application of self-etching adhesives on ground enamel surfaces of human teeth, a flowable composite was placed. For observation of the acid-base resistant zone (ABRZ), the bonded interface was exposed to demineralizing solution (pH 4.5) for 4.5 h, followed by 5% NaOCl with ultrasonication for 20 min. After the acid-base challenge, morphological attributes of the interface were observed using SEM. ABRZ formation was confirmed in all groups. The funnel-shaped erosion beneath the interface was present in SBU and ONE, where nearly 10 to 15 μm of enamel was dissolved. With phosphoric acid etching, the ABRZs were obviously thicker compared with no phosphoric acid etching. Enamel beneath the bonding interface was more susceptible to acid dissolution in SBU and ONE. In the case of the one-bottle self-etching adhesives and universal adhesives that intrinsically have higher pH values, enamel etching should be recommended to improve the interfacial quality.

  11. Model polymer etching and surface modification by a time modulated RF plasma jet: role of atomic oxygen and water vapor

    Science.gov (United States)

    Luan, P.; Knoll, A. J.; Wang, H.; Kondeti, V. S. S. K.; Bruggeman, P. J.; Oehrlein, G. S.

    2017-01-01

    The surface interaction of a well-characterized time modulated radio frequency (RF) plasma jet with polystyrene, poly(methyl methacrylate) and poly(vinyl alcohol) as model polymers is investigated. The RF plasma jet shows fast polymer etching but mild chemical modification with a characteristic carbonate ester and NO formation on the etched surface. By varying the plasma treatment conditions including feed gas composition, environment gaseous composition, and treatment distance, we find that short lived species, especially atomic O for Ar/1% O2 and 1% air plasma and OH for Ar/1% H2O plasma, play an essential role for polymer etching. For O2 containing plasma, we find that atomic O initiates polymer etching and the etching depth mirrors the measured decay of O atoms in the gas phase as the nozzle-surface distance increases. The etching reaction probability of an O atom ranging from 10-4 to 10-3 is consistent with low pressure plasma research. We also find that adding O2 and H2O simultaneously into Ar feed gas quenches polymer etching compared to adding them separately which suggests the reduction of O and OH density in Ar/O2/H2O plasma.

  12. Fabrication of substrates with curvature for cell cultivation by alpha-particle irradiation and chemical etching of PADC films

    International Nuclear Information System (INIS)

    Ng, C.K.M.; Tjhin, V.T.; Lin, A.C.C.; Cheng, J.P.; Cheng, S.H.; Yu, K.N.

    2012-01-01

    In the present paper, we developed a microfabrication technology to generate cell-culture substrates with identical chemistry and well-defined curvature. Micrometer-sized pits with curved surfaces were created on a two-dimensional surface of a polymer known as polyallyldiglycol carbonate (PADC). A PADC film was first irradiated by alpha particles and then chemically etched under specific conditions to generate pits with well-defined curvature at the incident positions of the alpha particles. The surface with these pits was employed as a model system for studying the effects of substrate curvature on cell behavior. As an application, the present work studied mechanosensing of substrate curvature by epithelial cells (HeLa cells) through regulation of microtubule (MT) dynamics. We used end-binding protein 3–green fluorescent protein (EB3–GFP) as a marker of MT growth to show that epithelial cells having migrated into the pits with curved surfaces had significantly smaller MT growth speeds than those having stayed on flat surfaces without the pits.

  13. The effect of ArF laser irradiation (193 nm) on the photodegradation and etching properties of alpha-irradiated CR-39 detectors

    Energy Technology Data Exchange (ETDEWEB)

    Shakeri Jooybari, B. [Department of Energy Engineering and Physics, Amirkabir University of Technology, P.O. Box 15875-4413, Tehran, Islamic Republic of Iran (Iran, Islamic Republic of); Nuclear Science and Technology Research Institute (NSRT), Tehran, Islamic Republic of Iran (Iran, Islamic Republic of); Ghergherehchi, M. [College of Information and Technology/ school of Electronic and Electrical Engineering, Sungkyunkwan University, Suwon (Korea, Republic of); Afarideh, H., E-mail: hafarideh@aut.ac.ir [Department of Energy Engineering and Physics, Amirkabir University of Technology, P.O. Box 15875-4413, Tehran, Islamic Republic of Iran (Iran, Islamic Republic of); Lamehi-Rachti, M. [Nuclear Science and Technology Research Institute (NSRT), Tehran, Islamic Republic of Iran (Iran, Islamic Republic of)

    2015-01-01

    The effects of ArF laser irradiation (λ=193nm) at various fluences (energy dose or energy density) on the etching properties of pre-exposed (laser + alpha) CR-39 detectors were studied. First, UV–Vis and Fourier transform infrared (FTIR) spectra were acquired for non-laser-irradiated and laser-irradiated samples to detect the influence of the ArF laser on the chemical modification of the CR-39. Changes observed in the spectra indicated that the predominant process that occurred upon ArF laser irradiation was a bond-scission process. Thereafter, the mean track and bulk etching parameters were experimentally measured in ArF-laser-irradiated CR-39 detectors exposed to an alpha source ({sup 241}Am, E = 5.49 MeV). Inhomogeneous regions in the laser-irradiated side of the CR-39 demonstrated a variable etching rate on only the front side of the CR-39 detector. New equations are also presented for the average bulk etching rate for these inhomogeneous regions (front side). The mean bulk and track etching rates and the mean track dimensions increased in a fluence range of 0–37.03 mJ/cm{sup 2} because of photodegradation and the scission of chemical bonds, which are the predominant processes in this range. When the fluence was increased from 37.03 to 123.45 mJ/cm{sup 2}, the bulk and track etching rates and the track dimensions slowly decreased because of the formation of cross-linked structures on the CR-39 surface. The behavior of the bulk and track etching rates and the track dimensions appears to be proportional to the dose absorbed on the detector surface. It was observed that as the etching time was increased, the bulk and track etching rates and the track dimensions of the laser-irradiated samples decreased because of the shallow penetration depth of the 193 nm laser and the reduction in the oxygen penetration depth.

  14. Optical diagnostics for plasma etching

    NARCIS (Netherlands)

    Bisschops, T.H.J.; Kroesen, G.M.W.; Veldhuizen, van E.M.; de Zeeuw, C.J.H.; Timmermans, C.J.

    1985-01-01

    Several optical diagnostics were used to det. plasma properties and etch rates in an single wafer etch reactor. Results of UV-visible spectroscopy and IR absorption spectroscopy, indicating different mol. species and their densities are presented. The construction of an interferometer to det. the

  15. Chemically Tuning the Localized Surface Plasmon Resonances of Gold Nanostructure Arrays

    KAUST Repository

    Zheng, Yue Bing

    2009-04-30

    We report on chemical etching of ordered Au nanostructure arrays to continuously tune their localized surface plasmon resonances (LSPR). Real-time extinction spectra were recorded from both Au nanodisks and nanospheres immobilized on glass substrates when immersed in Au etchant. The time-dependent LSPR frequencies, intensities, and bandwidths were studied theoretically with discrete dipole approximations and the Mie solution, and they were correlated with the evolution of the etched Au nanostructures\\' morphology (as examined by atomic force microscopy). Since this chemical etching method can conveniently and accurately tune LSPR, it offers precise control of plasmonic properties and can be useful in applications such as surfaceenhanced Raman spectroscopy and molecular resonance spectroscopy. © 2009 American Chemical Society.

  16. Predictable topography simulation of SiO2 etching by C5F8 gas combined with a plasma simulation, sheath model and chemical reaction model

    International Nuclear Information System (INIS)

    Takagi, S; Onoue, S; Iyanagi, K; Nishitani, K; Shinmura, T; Kanoh, M; Itoh, H; Shioyama, Y; Akiyama, T; Kishigami, D

    2003-01-01

    We have developed a simulation for predicting reactive ion etching (RIE) topography, which is a combination of plasma simulation, the gas reaction model, the sheath model and the surface reaction model. The simulation is applied to the SiO 2 etching process of a high-aspect-ratio contact hole using C 5 F 8 gas. A capacitively coupled plasma (CCP) reactor of an 8-in. wafer was used in the etching experiments. The baseline conditions are RF power of 1500 W and gas pressure of 4.0 Pa in a gas mixture of Ar, O 2 and C 5 F 8 . The plasma simulation reproduces the tendency that CF 2 radical density increases rapidly and the electron density decreases gradually with increasing gas flow rate of C 5 F 8 . In the RIE topography simulation, the etching profiles such as bowing and taper shape at the bottom are reproduced in deep holes with aspect ratios greater than 19. Moreover, the etching profile, the dependence of the etch depth on the etching time, and the bottom diameter can be predicted by this simulation

  17. Selective, electrochemical etching of a semiconductor

    Science.gov (United States)

    Dahal, Rajendra P.; Bhat, Ishwara B.; Chow, Tat-Sing

    2018-03-20

    Methods for facilitating fabricating semiconductor structures are provided which include: providing a multilayer structure including a semiconductor layer, the semiconductor layer including a dopant and having an increased conductivity; selectively increasing, using electrochemical processing, porosity of the semiconductor layer, at least in part, the selectively increasing porosity utilizing the increased conductivity of the semiconductor layer; and removing, at least in part, the semiconductor layer with the selectively increased porosity from the multilayer structure. By way of example, the selectively increasing porosity may include selectively, anodically oxidizing, at least in part, the semiconductor layer of the multilayer structure.

  18. Crystal growth vs. conventional acid etching: A comparative evaluation of etch patterns, penetration depths, and bond strengths

    Directory of Open Access Journals (Sweden)

    Devanna Raghu

    2008-01-01

    Full Text Available The present study was undertaken to investigate the effect on enamel surface, penetration depth, and bond strength produced by 37% phosphoric acid and 20% sulfated polyacrylic acid as etching agents for direct bonding. Eighty teeth were used to study the efficacy of the etching agents on the enamel surface, penetration depth, and tensile bond strength. It was determined from the present study that a 30 sec application of 20% sulfated polyacrylic acid produced comparable etching topography with that of 37% phosphoric acid applied for 30 sec. The 37% phosphoric acid dissolves enamel to a greater extent than does the 20% sulfated polyacrylic acid. Instron Universal testing machine was used to evaluate the bond strengths of the two etching agents. Twenty percent sulfated polyacrylic acid provided adequate tensile bond strength. It was ascertained that crystal growth can be an alternative to conventional phosphoric acid etching as it dissolves lesser enamel and provides adequate tensile bond strength.

  19. A High-throughput Selection for Cellulase Catalysts Using Chemical Complementation

    Science.gov (United States)

    Peralta-Yahya, Pamela; Carter, Brian T.; Lin, Hening; Tao, Haiyan; Cornish, Virginia W.

    2010-01-01

    Efficient enzymatic hydrolysis of lignocellulosic material remains one of the major bottlenecks to cost-effective conversion of biomass to ethanol. Improvement of glycosylhydrolases however is limited by existing medium-throughput screening technologies. Here, we report the first high-throughput selection for cellulase catalysts. This selection was developed by adapting chemical complementation to provide a growth assay for bond cleavage reactions. First, a URA3 counter selection was adapted to link chemical dimerizer activated gene transcription to cell death. Next, the URA3 counter selection was shown to detect cellulase activity based on cleavage of a tetrasaccharide chemical dimerizer substrate and decrease in expression of the toxic URA3 reporter. Finally, the utility of the cellulase selection was assessed by isolating cellulases with improved activity from a cellulase library created by family DNA shuffling. This application provides further evidence that chemical complementation can be readily adapted to detect different enzymatic activities for important chemical transformations for which no natural selection exists. Due to the large number of enzyme variants selections can test compared to existing medium-throughput screens for cellulases, this assay has the potential to impact the discovery of improved cellulases and other glycosylhydrolases for biomass conversion from libraries of cellulases created by mutagenesis or obtained from natural biodiversity. PMID:19053460

  20. The effect of SF6 addition in a Cl2/Ar inductively coupled plasma for deep titanium etching

    Science.gov (United States)

    Laudrel, E.; Tillocher, T.; Meric, Y.; Lefaucheux, P.; Boutaud, B.; Dussart, R.

    2018-05-01

    Titanium is a material of interest for the biomedical field and more particularly for body implantable devices. Titanium deep etching by plasma was carried out in an inductively coupled plasma with a chlorine-based chemistry for the fabrication of titanium-based microdevices. Bulk titanium etch rate was first studied in Cl2/Ar plasma mixture versus the source power and the self-bias voltage. The plasma was characterized by Langmuir probe and by optical emission spectroscopy. The addition of SF6 in the plasma mixture was investigated. Titanium etch rate was optimized and reached a value of 2.4 µm · min-1. The nickel hard mask selectivity was also enhanced. The etched titanium surface roughness was reduced significantly.

  1. SELECTION OF CHEMICAL TREATMENT PROGRAM FOR OILY WASTEWATER

    Directory of Open Access Journals (Sweden)

    Miguel Díaz

    2017-04-01

    Full Text Available When selecting a chemical treatment program for wastewater to achieve an effective flocculation and coagulation is crucial to understand how individual colloids interact. The coagulation process requires a rapid mixing while flocculation process needs a slow mixing. The behavior of colloids in water is strongly influenced by the electrokinetic charge, where each colloidal particle carries its own charge, which in its nature is usually negative. Polymers, which are long chains of high molecular weight and high charge, when added to water begin to form longer chains, allowing removing numerous particles of suspended matter. A study of physico-chemical treatment by addition of coagulant and flocculant was carried out in order to determine a chemical program for oily wastewater coming from the gravity separation process in a crude oil refinery. The tests were carried out in a Jar Test equipment, where commercial products: aluminum polychloride (PAC, aluminum sulfate and Sintec D50 were evaluated with five different flocculants. The selected chemical program was evaluated with fluids at three temperatures to know its sensitivity to this parameter and the mixing energy in the coagulation and flocculation. The chemical program and operational characteristics for physico-chemical treatment with PAC were determined, obtaining a removal of more than 93% for suspended matter and 96% for total hydrocarbons for the selected coagulant / flocculant combination.

  2. An In Vitro Evaluation of Leakage of Two Etch and Rinse and Two Self-Etch Adhesives after Thermocycling

    Science.gov (United States)

    Geerts, Sabine; Bolette, Amandine; Seidel, Laurence; Guéders, Audrey

    2012-01-01

    Our experiment evaluated the microleakage in resin composite restorations bonded to dental tissues with different adhesive systems. 40 class V cavities were prepared on the facial and lingual surfaces of each tooth with coronal margins in enamel and apical margins in cementum (root dentin). The teeth were restored with Z100 resin composite bonded with different adhesive systems: Scotchbond Multipurpose (SBMP), a 3-step Etch and Rinse adhesive, Adper Scotchbond 1 XT (SB1), a 2-step Etch and Rinse adhesive, AdheSE One (ADSE-1), a 1-step Self-Etch adhesive, and AdheSE (ADSE), a 2-step Self-Etch adhesive. Teeth were thermocycled and immersed in 50% silver nitrate solution. When both interfaces were considered, SBMP has exhibited significantly less microleakage than other adhesive systems (resp., for SB1, ADSE-1 and ADSE, P = 0.0007, P adhesives, microleakage was found greater at enamel than at dentin interfaces (for ADSE, P = 0.024 and for ADSE-1, P adhesive systems, there was no significant difference between enamel and dentin interfaces; (3) SBMP was found significantly better than other adhesives both at enamel and dentin interfaces. In our experiment Etch and Rinse adhesives remain better than Self-Etch adhesives at enamel interface. In addition, there was no statistical difference between 1-step (ADSE-1) and 2-step (ADSE) Self-Etch adhesives. PMID:22675358

  3. Aqueous bromine etching of InP: a specific surface chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Causier, A.; Bouttemy, M.; Gerard, I.; Aureau, D.; Vigneron, J.; Etcheberry, A. [Institut Lavoisier de Versailles, Versailles-Saint-Quentin University, UMR CNRS 8180, 45 Av. des Etats-Unis, 78035 Versailles (France)

    2012-06-15

    The n -InP behaviour in HBr (0.1-1.0 M)/Br{sub 2} (1.25 x 10{sup -2}M) aqueous solutions is studied by AAS, XPS and SEM-FEG. Indium AAS-titrations of the HBr/Br{sub 2} solutions demonstrate that InP undergoes an etching mechanism whatever the HBr/Br{sub 2} formulation. The etching process is always linear with time but its rate depends on the HBr concentration. XPS analyses permit to link the apparent slow-down of the dissolution process when decreasing the HBr molarity from 1.0 M to 0.1 M to the presence of a mixed (In,P){sub ox} oxide layer on the surface. Therefore, the dissolution process of InP in HBr/Br{sub 2} solution appears to be ruled by the surface chemical state (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Pinning of 1800 Bloch walls at etched nuclear tracks in LPE-grown iron garnet films

    International Nuclear Information System (INIS)

    Krumme, J.; Bartels, I.; Strocka, B.; Witter, K.; Schmelzer, C.; Spohr, R.

    1977-01-01

    For increasing the magnetic-wall coercivity H/sup w//sub c/ in liquid-phase epitaxial (LPE) ferrimagnetic garnet films of composition (Gd,Bi) 3 (Fe,Al,Ga) 5 O 12 , magnetic-wall ''traps'' are formed via bombardment by xenon ions with 180-MeV/ion energy and doses between 10 6 and 10 8 cm -2 . For efficient wall pinning, the width of the nuclear damage tracks associated with the ion trajectories in the film have been enlarged to about the wall width by using a selective (chemical) etchant that makes use of the drastically increased etching rate in the damaged track volume. Therefore, channels of cylindrical or prismatic cross section are created having a width of a few 10 2 to about 10 3 A and a length of more than 10 μm at the given etching conditions. The pinning capability of such channels can be further enhanced in films that are grown under planar compressive or tensile misfit strain. Then, strain relaxation occurs in the vicinity of these channels which results in steep gradients of the magnetic-wall energy via magnetostriction. These strain halos extend sufficiently beyond the channels so that efficient wall pinning is observed, even if the channel cross section is small compared with the wall width. Thermomagnetic compensation-point writing in LPE garnet film, that were treated accordingly, yield a pattern of stable magnetic domains of down to 8 μm in diameter in 3-μm-thick layers. The effect of etched nuclear tracks on the magnetic-wall coercivity can be interpreted satisfactorily with present models on H/sup w//sub c/

  5. Dry etching technologies for the advanced binary film

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Yoshimori, Tomoaki; Azumano, Hidehito; Muto, Makoto; Nonaka, Mikio

    2011-11-01

    ABF (Advanced Binary Film) developed by Hoya as a photomask for 32 (nm) and larger specifications provides excellent resistance to both mask cleaning and 193 (nm) excimer laser and thereby helps extend the lifetime of the mask itself compared to conventional photomasks and consequently reduces the semiconductor manufacturing cost [1,2,3]. Because ABF uses Ta-based films, which are different from Cr film or MoSi films commonly used for photomask, a new process is required for its etching technology. A patterning technology for ABF was established to perform the dry etching process for Ta-based films by using the knowledge gained from absorption layer etching for EUV mask that required the same Ta-film etching process [4]. Using the mask etching system ARES, which is manufactured by Shibaura Mechatronics, and its optimized etching process, a favorable CD (Critical Dimension) uniformity, a CD linearity and other etching characteristics were obtained in ABF patterning. Those results are reported here.

  6. Effect of rapid oxidation on optical and electrical properties of silicon nanowires obtained by chemical etching

    Science.gov (United States)

    Karyaoui, M.; Bardaoui, A.; Ben Rabha, M.; Harmand, J. C.; Amlouk, M.

    2012-05-01

    In the present work, we report the investigation of passivated silicon nanowires (SiNWs) having an average radius of 3.7 μm, obtained by chemical etching of p-type silicon (p-Si). The surface passivation of the SiNWs was performed through a rapid oxidation conducted under a controlled atmosphere at different temperatures and durations. The morphology of the SiNWs was examined using a scanning electron microscope (SEM) that revealed a wave-like structure of dense and vertically aligned one-dimensional silicon nanostructures. On the other hand, optical and electrical characterizations of the SiNWs were studied using a UV-Vis-NIR spectrometer, the Fourier transform infrared spectroscopy (FTIR) and I-V measurements. The reflectance of SiNWs has been dropped to approximately 2% in comparison to that of bare p-Si. This low reflectance slightly increased after carrying out the rapid thermal annealing. The observed behavior was attributed to the formation of a SiO2 layer, as confirmed by FTIR measurements. Finally, the electrical measurements have shown that the rapid oxidation, at certain conditions, contributes to the improvement of the electrical responses of the SiNWs, which can be of great interest for photovoltaic applications.

  7. Edge morphology evolution of graphene domains during chemical vapor deposition cooling revealed through hydrogen etching.

    Science.gov (United States)

    Zhang, Haoran; Zhang, Yanhui; Zhang, Yaqian; Chen, Zhiying; Sui, Yanping; Ge, Xiaoming; Yu, Guanghui; Jin, Zhi; Liu, Xinyu

    2016-02-21

    During cooling, considerable changes such as wrinkle formation and edge passivation occur in graphene synthesized on the Cu substrate. Wrinkle formation is caused by the difference in the thermal expansion coefficients of graphene and its substrate. This work emphasizes the cooling-induced edge passivation. The graphene-edge passivation can limit the regrowth of graphene at the domain edge. Our work shows that silicon-containing particles tend to accumulate at the graphene edge, and the formation of these particles is related to cooling. Furthermore, a clear curvature can be observed at the graphene edge on the Cu substrate, indicating the sinking of the graphene edge into the Cu substrate. Both the sinking of the graphene edge and the accumulation of silicon-containing particles are responsible for edge passivation. In addition, two kinds of graphene edge morphologies are observed after etching, which were explained by different etching mechanisms that illustrate the changes of the graphene edge during cooling.

  8. Methods of removal of defects arising at liquid etching of polycrystalline silicon

    Directory of Open Access Journals (Sweden)

    Ivanchykou A. E.

    2008-02-01

    Full Text Available The paper presents a model of generation of defects having the form of spots on the surface of the polycrystalline silicon during processing of semiconductor wafers with hydrofluoric acid based etchant, and a model of removal of such defects in chemical solutions. The authors investigate how the centrifuge speed during drying and the relief of structures, produced on the plate, effect the number of defects. It is shown that there is a possibility to remove defects by chemical treatment in the peroxide-ammonia solutions (PAS and also by sequence of chemical cleaning in Karo mixture, SiO2 etching and treatment in PAS.

  9. Unbiased roughness measurements: the key to better etch performance

    Science.gov (United States)

    Liang, Andrew; Mack, Chris; Sirard, Stephen; Liang, Chen-wei; Yang, Liu; Jiang, Justin; Shamma, Nader; Wise, Rich; Yu, Jengyi; Hymes, Diane

    2018-03-01

    Edge placement error (EPE) has become an increasingly critical metric to enable Moore's Law scaling. Stochastic variations, as characterized for lines by line width roughness (LWR) and line edge roughness (LER), are dominant factors in EPE and known to increase with the introduction of EUV lithography. However, despite recommendations from ITRS, NIST, and SEMI standards, the industry has not agreed upon a methodology to quantify these properties. Thus, differing methodologies applied to the same image often result in different roughness measurements and conclusions. To standardize LWR and LER measurements, Fractilia has developed an unbiased measurement that uses a raw unfiltered line scan to subtract out image noise and distortions. By using Fractilia's inverse linescan model (FILM) to guide development, we will highlight the key influences of roughness metrology on plasma-based resist smoothing processes. Test wafers were deposited to represent a 5 nm node EUV logic stack. The patterning stack consists of a core Si target layer with spin-on carbon (SOC) as the hardmask and spin-on glass (SOG) as the cap. Next, these wafers were exposed through an ASML NXE 3350B EUV scanner with an advanced chemically amplified resist (CAR). Afterwards, these wafers were etched through a variety of plasma-based resist smoothing techniques using a Lam Kiyo conductor etch system. Dense line and space patterns on the etched samples were imaged through advanced Hitachi CDSEMs and the LER and LWR were measured through both Fractilia and an industry standard roughness measurement software. By employing Fractilia to guide plasma-based etch development, we demonstrate that Fractilia produces accurate roughness measurements on resist in contrast to an industry standard measurement software. These results highlight the importance of subtracting out SEM image noise to obtain quicker developmental cycle times and lower target layer roughness.

  10. Radially Polarized Conical Beam from an Embedded Etched Fiber

    OpenAIRE

    Kalaidji , D.; Spajer , M.; Marthouret , N.; Grosjean , T.

    2009-01-01

    International audience; We propose a method for producing a conical beam based on the lateral refraction of the TM01 mode from a two-mode fiber after chemical etching of the cladding, and for controlling its radial polarization. The whole power of the guided mode is transferred to the refracted beam with low diffraction. Polarization control by a series of azimuthal detectors and a stress controller affords the transmission of a stabilized radial polarization through an optical fiber. A solid...

  11. A comparison of orthodontic bracket shear bond strength on enamel deproteinized by 5.25% sodium hypochlorite using total etch and self-etch primer

    Science.gov (United States)

    Ongkowidjaja, F.; Soegiharto, B. M.; Purbiati, M.

    2017-08-01

    The shear bond strength (SBS) can be increased by removing protein pellicles from the enamel surface by deproteinization using 5.25% sodium hypochlorite (NaOCl). The SBS of a self-etch primer is lower than that of a total etch primer; nonetheless, it prevents white spot lesions. This study aimed to assess the SBS of the Anyetch (AE) total etch primer and FL-Bond II Shofu (FL) self-etch primer after enamel deproteinization using 5.25% NaOCl. Forty eight human maxillary first premolars were extracted, cleaned, and divided into four groups. In group A, brackets were bonded to the enamel without deproteinization before etching (A1: 10 teeth using total etch primer (AE); A2: 10 teeth using self-etch primer (FL)). In group B, brackets were bonded to the enamel after deproteinization with 5.25% NaOCl before etching (B1: 10 teeth using total etch primer (AE); B2: 10 teeth using self-etch primer (FL)). Brackets were bonded using Transbond XT, stored in artificial saliva for 24 h at 37°C, mounted on acrylic cylinders, and debonded using a Shimadzu AG-5000 universal testing machine. There were no significant differences in SBS between the total etch (AE) groups (p > 0.05) and between the self-etch (FL) groups (p > 0.05). There were significant differences in SBS between groups A and B. The mean SBS for groups A1, A2, B1, and B2 was 12.91±3.99, 4.46±2.47, 13.06±3.66, and 3.62±2.36 MPa, respectively. Deproteinization using NaOCl did not affect the SBS of the total etch primer (AE) group; it reduced the SBS of the self-etch primer (FL) group, but not with a statistically significant difference.

  12. SU-8 etching in inductively coupled oxygen plasma

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted; Keller, Stephan Sylvest; Jensen, Flemming

    2013-01-01

    Structuring or removal of the epoxy based, photo sensitive polymer SU-8 by inductively coupled plasma reactive ion etching (ICP-RIE) was investigated as a function of plasma chemistry, bias power, temperature, and pressure. In a pure oxygen plasma, surface accumulation of antimony from the photo......-initiator introduced severe roughness and reduced etch rate significantly. Addition of SF6 to the plasma chemistry reduced the antimony surface concentration with lower roughness and higher etch rate as an outcome. Furthermore the etch anisotropy could be tuned by controlling the bias power. Etch rates up to 800 nm...

  13. Coupled chemical reactions in dynamic nanometric confinement: Ag2O membrane formation during ion track etching

    Czech Academy of Sciences Publication Activity Database

    Hernandez, G. M.; Cruz, S. A.; Quintero, R.; Arellano, H. G.; Fink, Dietmar; Alfonta, L.; Mandabi, Y.; Kiv, A.; Vacík, Jiří

    2013-01-01

    Roč. 168, č. 9 (2013), s. 675-695 ISSN 1042-0150 Institutional support: RVO:61389005 Keywords : track * polymers * etching * chemistry * ions * nanostructure Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 0.603, year: 2013

  14. Cryogenic rf test of the first SRF cavity etched in an rf Ar/Cl2 plasma

    Science.gov (United States)

    Upadhyay, J.; Palczewski, A.; Popović, S.; Valente-Feliciano, A.-M.; Im, Do; Phillips, H. L.; Vušković, L.

    2017-12-01

    An apparatus and a method for etching of the inner surfaces of superconducting radio frequency (SRF) accelerator cavities are described. The apparatus is based on the reactive ion etching performed in an Ar/Cl2 cylindrical capacitive discharge with reversed asymmetry. To test the effect of the plasma etching on the cavity rf performance, a 1497 MHz single cell SRF cavity was used. The single cell cavity was mechanically polished and buffer chemically etched and then rf tested at cryogenic temperatures to provide a baseline characterization. The cavity's inner wall was then exposed to the capacitive discharge in a mixture of Argon and Chlorine. The inner wall acted as the grounded electrode, while kept at elevated temperature. The processing was accomplished by axially moving the dc-biased, corrugated inner electrode and the gas flow inlet in a step-wise manner to establish a sequence of longitudinally segmented discharges. The cavity was then tested in a standard vertical test stand at cryogenic temperatures. The rf tests and surface condition results, including the electron field emission elimination, are presented.

  15. Cryogenic rf test of the first SRF cavity etched in an rf Ar/Cl2 plasma

    Directory of Open Access Journals (Sweden)

    J. Upadhyay

    2017-12-01

    Full Text Available An apparatus and a method for etching of the inner surfaces of superconducting radio frequency (SRF accelerator cavities are described. The apparatus is based on the reactive ion etching performed in an Ar/Cl2 cylindrical capacitive discharge with reversed asymmetry. To test the effect of the plasma etching on the cavity rf performance, a 1497 MHz single cell SRF cavity was used. The single cell cavity was mechanically polished and buffer chemically etched and then rf tested at cryogenic temperatures to provide a baseline characterization. The cavity’s inner wall was then exposed to the capacitive discharge in a mixture of Argon and Chlorine. The inner wall acted as the grounded electrode, while kept at elevated temperature. The processing was accomplished by axially moving the dc-biased, corrugated inner electrode and the gas flow inlet in a step-wise manner to establish a sequence of longitudinally segmented discharges. The cavity was then tested in a standard vertical test stand at cryogenic temperatures. The rf tests and surface condition results, including the electron field emission elimination, are presented.

  16. Etching characteristics of Si{110} in 20 wt% KOH with addition of hydroxylamine for the fabrication of bulk micromachined MEMS

    Science.gov (United States)

    Rao, A. V. Narasimha; Swarnalatha, V.; Pal, P.

    2017-12-01

    Anisotropic wet etching is a most widely employed for the fabrication of MEMS/NEMS structures using silicon bulk micromachining. The use of Si{110} in MEMS is inevitable when a microstructure with vertical sidewall is to be fabricated using wet anisotropic etching. In most commonly employed etchants (i.e. TMAH and KOH), potassium hydroxide (KOH) exhibits higher etch rate and provides improved anisotropy between Si{111} and Si{110} planes. In the manufacturing company, high etch rate is demanded to increase the productivity that eventually reduces the cost of end product. In order to modify the etching characteristics of KOH for the micromachining of Si{110}, we have investigated the effect of hydroxylamine (NH2OH) in 20 wt% KOH solution. The concentration of NH2OH is varied from 0 to 20% and the etching is carried out at 75 °C. The etching characteristics which are studied in this work includes the etch rates of Si{110} and silicon dioxide, etched surface morphology, and undercutting at convex corners. The etch rate of Si{110} in 20 wt% KOH + 15% NH2OH solution is measured to be four times more than that of pure 20 wt% KOH. Moreover, the addition of NH2OH increases the undercutting at convex corners and enhances the etch selectivity between Si and SiO2.

  17. SEMICONDUCTOR TECHNOLOGY: Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions

    Science.gov (United States)

    Yongliang, Li; Qiuxia, Xu

    2010-03-01

    The wet etching properties of a HfSiON high-k dielectric in HF-based solutions are investigated. HF-based solutions are the most promising wet chemistries for the removal of HfSiON, and etch selectivity of HF-based solutions can be improved by the addition of an acid and/or an alcohol to the HF solution. Due to densification during annealing, the etch rate of HfSiON annealed at 900 °C for 30 s is significantly reduced compared with as-deposited HfSiON in HF-based solutions. After the HfSiON film has been completely removed by HF-based solutions, it is not possible to etch the interfacial layer and the etched surface does not have a hydrophobic nature, since N diffuses to the interface layer or Si substrate formation of Si-N bonds that dissolves very slowly in HF-based solutions. Existing Si-N bonds at the interface between the new high-k dielectric deposit and the Si substrate may degrade the carrier mobility due to Coulomb scattering. In addition, we show that N2 plasma treatment before wet etching is not very effective in increasing the wet etch rate for a thin HfSiON film in our case.

  18. Cradle-to-gate life cycle assessment of the dry etching step in the manufacturing of photovoltaic cells

    Directory of Open Access Journals (Sweden)

    Otto Andersen

    2014-11-01

    Full Text Available A new photovoltaic silicon crystalline solar cell dry chemical etching process (DCEP is developed. It is an alternative to the current State-of-the-Art (SoA wet chemical etching process (WCEP, associated with relatively large environmental loadings in the form of high water consumption and emissions of greenhouse gases with high Global Warming Potential (GWP. In order to compare the environmental impacts of DCEP to the corresponding impacts from WCEP, a comparative attributional life cycle assessment (LCA is conducted. From the LCA it can be concluded that the DCEP will lead to 86% reduction in water consumption compared to WCEP (acidic, and 89% reduction compared to WCEP (alkaline. The emissions of greenhouse gases, as expressed by the GWP100 indicator of the etching step, are also reduced with 63% and 20% respectively, when compared with current SoA acidic and alkaline WCEP. The toxicity impacts are also assessed to be lower for the DCEP compared to WCEP technologies, although the uncertainty is relatively high for the applied toxicity indicators. All in all, DCEP can reduce the CO2eq emissions of solar photovoltaic systems production by 5-10%.

  19. Nano-structuring of PTFE surface by plasma treatment, etching, and sputtering with gold

    International Nuclear Information System (INIS)

    Reznickova, Alena; Kolska, Zdenka; Hnatowicz, Vladimir; Svorcik, Vaclav

    2011-01-01

    Properties of pristine, plasma modified, and etched (by water and methanol) polytetrafluoroethylene (PTFE) were studied. Gold nanolayers sputtered on this modified PTFE have been also investigated. Contact angle, measured by goniometry, was studied as a function of plasma exposure and post-exposure aging times. Degradation of polymer chains was examined by etching of plasma modified PTFE in water or methanol. The amount of ablated and etched layer was measured by gravimetry. In the next step the pristine, plasma modified, and etched PTFE was sputtered with gold. Changes in surface morphology were observed using atomic force microscopy. Chemical structure of modified polymers was characterized by X-ray photoelectron spectroscopy (XPS). Surface chemistry of the samples was investigated by electrokinetic analysis. Sheet resistance of the gold layers was measured by two-point technique. The contact angle of the plasma modified PTFE decreases with increasing exposure time. The PTFE amount, ablated by the plasma treatment, increases with the plasma exposure time. XPS measurements proved that during the plasma treatment the PTFE macromolecular chains are degraded and oxidized and new –C–O–C–, –C=O, and –O–C=O groups are created in modified surface layer. Surface of the plasma modified PTFE is weakly soluble in methanol and intensively soluble in water. Zeta potential and XPS shown dramatic changes in PTFE surface chemistry after the plasma exposure, water etching, and gold deposition. When continuous gold layer is formed a rapid decrease of the sheet resistance of the gold layer is observed.

  20. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    Energy Technology Data Exchange (ETDEWEB)

    Grégoire, Geneviève, E-mail: genevieve.gregoire@univ-tlse3.fr [Department of Biomaterials, Faculty of Odontology, University Toulouse III, 31062 Toulouse (France); Sharrock, Patrick, E-mail: patrick.sharrock@gmail.com [CNRS UMR 5302, University Toulouse III, Mines-Albi, 81013 Albi (France); Prigent, Yann, E-mail: prigent@chimie.ups-tlse.fr [Institut de Chimie de Toulouse (ICT) – FR 2599, Faculté des Sciences et de l' Ingénierie, University Toulouse III, 31062 Toulouse (France)

    2016-09-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  1. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    International Nuclear Information System (INIS)

    Grégoire, Geneviève; Sharrock, Patrick; Prigent, Yann

    2016-01-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  2. Modeling of the angular dependence of plasma etching

    International Nuclear Information System (INIS)

    Guo Wei; Sawin, Herbert H.

    2009-01-01

    An understanding of the angular dependence of etching yield is essential to investigate the origins of sidewall roughness during plasma etching. In this article the angular dependence of polysilicon etching in Cl 2 plasma was modeled as a combination of individual angular-dependent etching yields for ion-initiated processes including physical sputtering, ion-induced etching, vacancy generation, and removal. The modeled etching yield exhibited a maximum at ∼60 degree sign off-normal ion angle at low flux ratio, indicative of physical sputtering. It transformed to the angular dependence of ion-induced etching with the increase in the neutral-to-ion flux ratio. Good agreement between the modeling and the experiments was achieved for various flux ratios and ion energies. The variation of etching yield in response to the ion angle was incorporated in the three-dimensional profile simulation and qualitative agreement was obtained. The surface composition was calculated and compared to x-ray photoelectron spectroscopy (XPS) analysis. The modeling indicated a Cl areal density of 3x10 15 atoms/cm 2 on the surface that is close to the value determined by the XPS analysis. The response of Cl fraction to ion energy and flux ratio was modeled and correlated with the etching yields. The complete mixing-layer kinetics model with the angular dependence effect will be used for quantitative surface roughening analysis using a profile simulator in future work.

  3. Model polymer etching and surface modification by a time modulated RF plasma jet: role of atomic oxygen and water vapor

    International Nuclear Information System (INIS)

    Luan, P; Knoll, A J; Wang, H; Oehrlein, G S; Kondeti, V S S K; Bruggeman, P J

    2017-01-01

    The surface interaction of a well-characterized time modulated radio frequency (RF) plasma jet with polystyrene, poly(methyl methacrylate) and poly(vinyl alcohol) as model polymers is investigated. The RF plasma jet shows fast polymer etching but mild chemical modification with a characteristic carbonate ester and NO formation on the etched surface. By varying the plasma treatment conditions including feed gas composition, environment gaseous composition, and treatment distance, we find that short lived species, especially atomic O for Ar/1% O 2 and 1% air plasma and OH for Ar/1% H 2 O plasma, play an essential role for polymer etching. For O 2 containing plasma, we find that atomic O initiates polymer etching and the etching depth mirrors the measured decay of O atoms in the gas phase as the nozzle-surface distance increases. The etching reaction probability of an O atom ranging from 10 −4 to 10 −3 is consistent with low pressure plasma research. We also find that adding O 2 and H 2 O simultaneously into Ar feed gas quenches polymer etching compared to adding them separately which suggests the reduction of O and OH density in Ar/O 2 /H 2 O plasma. (letter)

  4. Elemental depth profiles and plasma etching rates of positive-tone electron beam resists after sequential infiltration synthesis of alumina

    Science.gov (United States)

    Ozaki, Yuki; Ito, Shunya; Hiroshiba, Nobuya; Nakamura, Takahiro; Nakagawa, Masaru

    2018-06-01

    By scanning transmission electron microscopy and energy dispersive X-ray spectroscopy (STEM–EDS), we investigated the elemental depth profiles of organic electron beam resist films after the sequential infiltration synthesis (SIS) of inorganic alumina. Although a 40-nm-thick poly(methyl methacrylate) (PMMA) film was entirely hybridized with alumina, an uneven distribution was observed near the interface between the substrate and the resist as well as near the resist surface. The uneven distribution was observed around the center of a 100-nm-thick PMMA film. The thicknesses of the PMMA and CSAR62 resist films decreased almost linearly as functions of plasma etching period. The comparison of etching rate among oxygen reactive ion etching, C3F8 reactive ion beam etching (RIBE), and Ar ion beam milling suggested that the SIS treatment enhanced the etching resistance of the electron beam resists to chemical reactions rather than to ion collisions. We proposed oxygen- and Ar-assisted C3F8 RIBE for the fabrication of silica imprint molds by electron beam lithography.

  5. Determination of the thickness of chemically removed thin layers on GaAs VPE structures

    Energy Technology Data Exchange (ETDEWEB)

    Somogyi, K.; Nemeth-Sallay, M.; Nemcsics, A. (Research Inst. for Technical Physics, Hungarian Academy of Sciences, Budapest (Hungary))

    1991-01-01

    Thinning of epitaxial GaAs layers was studied during the surface etching, with a special attention to submicron epitaxial structures, like MESFET or varactor-type structures. Each chemical treatment influences the crystal surface during the device preparation processes, though the possible thinning of the active layer is small. Therefore a method allowing determination of thicknesses as small as at about 20 nm of the layer removed by chemical etching from GaAs VPE structures was applied. Using special multilayered structures and a continuous electrochemical carrier concentration depth profiling, the influence of the layer thickness inhomogeneity and of some measurement errors can be minimized. Some frequently used etchants and the influence of different - so called - non-etching processes were compared in different combinations. It was shown that besides the direct etching a change of the surface conditions occurs, which influences the etch rate in the succeeding etching procedure. (orig.).

  6. Periodic arrays of deep nanopores made in silicon with reactive ion etching and deep UV lithography

    International Nuclear Information System (INIS)

    Woldering, Leon A; Tjerkstra, R Willem; Vos, Willem L; Jansen, Henri V; Setija, Irwan D

    2008-01-01

    We report on the fabrication of periodic arrays of deep nanopores with high aspect ratios in crystalline silicon. The radii and pitches of the pores were defined in a chromium mask by means of deep UV scan and step technology. The pores were etched with a reactive ion etching process with SF 6 , optimized for the formation of deep nanopores. We have realized structures with pitches between 440 and 750 nm, pore diameters between 310 and 515 nm, and depth to diameter aspect ratios up to 16. To the best of our knowledge, this is the highest aspect ratio ever reported for arrays of nanopores in silicon made with a reactive ion etching process. Our experimental results show that the etching rate of the nanopores is aspect-ratio-dependent, and is mostly influenced by the angular distribution of the etching ions. Furthermore we show both experimentally and theoretically that, for sub-micrometer structures, reducing the sidewall erosion is the best way to maximize the aspect ratio of the pores. Our structures have potential applications in chemical sensors, in the control of liquid wetting of surfaces, and as capacitors in high-frequency electronics. We demonstrate by means of optical reflectivity that our high-quality structures are very well suited as photonic crystals. Since the process studied is compatible with existing CMOS semiconductor fabrication, it allows for the incorporation of the etched arrays in silicon chips

  7. Feature Selection for Chemical Sensor Arrays Using Mutual Information

    Science.gov (United States)

    Wang, X. Rosalind; Lizier, Joseph T.; Nowotny, Thomas; Berna, Amalia Z.; Prokopenko, Mikhail; Trowell, Stephen C.

    2014-01-01

    We address the problem of feature selection for classifying a diverse set of chemicals using an array of metal oxide sensors. Our aim is to evaluate a filter approach to feature selection with reference to previous work, which used a wrapper approach on the same data set, and established best features and upper bounds on classification performance. We selected feature sets that exhibit the maximal mutual information with the identity of the chemicals. The selected features closely match those found to perform well in the previous study using a wrapper approach to conduct an exhaustive search of all permitted feature combinations. By comparing the classification performance of support vector machines (using features selected by mutual information) with the performance observed in the previous study, we found that while our approach does not always give the maximum possible classification performance, it always selects features that achieve classification performance approaching the optimum obtained by exhaustive search. We performed further classification using the selected feature set with some common classifiers and found that, for the selected features, Bayesian Networks gave the best performance. Finally, we compared the observed classification performances with the performance of classifiers using randomly selected features. We found that the selected features consistently outperformed randomly selected features for all tested classifiers. The mutual information filter approach is therefore a computationally efficient method for selecting near optimal features for chemical sensor arrays. PMID:24595058

  8. Oxygen plasma etching of graphene: A first-principles dynamical inspection of the reaction mechanisms and related activation barriers

    Science.gov (United States)

    Koizumi, Kenichi; Boero, Mauro; Shigeta, Yasuteru; Oshiyama, Atsushi; Dept. of Applied Physics Team; Institute of Physics and Chemistry of Strasbourg (IPCMS) Collaboration; Department Of Materials Engineering Science Collaboration

    2013-03-01

    Oxygen plasma etching is a crucial step in the fabrication of electronic circuits and has recently received a renovated interest in view of the realization of carbon-based nanodevices. In an attempt at unraveling the atomic-scale details and to provide guidelines for the control of the etching processes mechanisms, we inspected the possible reaction pathways via reactive first principles simulations. These processes involve breaking and formation of several chemical bonds and are characterized by different free-energy barriers. Free-energy sampling techniques (metadynamics and blue moon), used to enhance the standard Car-Parrinello molecular dynamics, provide us a detailed microscopic picture of the etching of graphene surfaces and a comprehensive scenario of the activation barriers involved in the various steps. MEXT, Japan - contract N. 22104005

  9. High-Performance Black Multicrystalline Silicon Solar Cells by a Highly Simplified Metal-Catalyzed Chemical Etching Method

    KAUST Repository

    Ying, Zhiqin; Liao, Mingdun; Yang, Xi; Han, Can; Li, Jingqi; Li, Junshuai; Li, Yali; Gao, Pingqi; Ye, Jichun

    2016-01-01

    cells, whereas it is limited by the production capacity and the cost cutting due to the complicated process. Here, we demonstrated that with careful control of the composition in etching solution, low-aspect-ratio bowl-like nanostructures with atomically

  10. Effects of the Addictives on Etching Characteristics of Aluminum Foil

    Energy Technology Data Exchange (ETDEWEB)

    Kim, S.K.; Jang, J.M.; Chi, C.S. [Kookmin University, Seoul (Korea); Shin, D.C. [Sungnam Polytechnic, Sungnam (Korea); Lee, J.H.; Oh, H.J. [Hanseo University, Seosan (Korea)

    2001-01-01

    The effects of additives in the HCI etching solution on etching behaviors of aluminium foil as dielectric film for electrolytic capacitors were investigated. The etch pits formed in 1M hydrochloric acid containing ethylene glycol as an additive contain more fine and homogeneous etch tunnels compared to thoese in 1 M hydrochloric acid only, which led to the increase in the effective internal surface area of aluminum foil. After anodizing of aluminum foil etched in etching solutions, the LCR meter results have shown that the capacitance of dielectric film etched in hydrochloric acid with ethylene glycol was increased remarkably compared to that etched in hydrochloric acid only. (author). 21 refs., 10 figs.

  11. Ion-beam etching of ramps in thin film heterostructures

    International Nuclear Information System (INIS)

    Mozhaev, P. B.; Mozhaeva, Ju. E.; Komissinskii, P. V.

    2002-01-01

    Ion-beam patterning of thin films and heterostructures is one of the most common processes of fabrication of thin film devices and structures. 'Directed' nature of ion-beam etching provides a possibility to form certain profiles on the films surface, like shallow ramps, when etching is performed at some inclination angle. A simple geometrical model is presented, describing the formation of a ramp as a shadow of the mask on the film surface. Good agreement with the experiment can be obtained if the mask etching is taken into account. The etching at the opposite direction ('high-angle etching') also can be satisfactory described by the model. The profile of the slope - positive or negative curvature, pits near the end of the ramp - is discussed as a function of the etch rate dependence on the incidence angle. Such etch rate dependences for some often used materials were measured. An area of instability of the resulting ramp shape is found for the 'high-angle etching'. The model is compared with the experimental data reported by other groups. Finally ion-beam etching of a rotating sample at non-normal incidence is discussed, the results are compared with experimental data. (Authors)

  12. O2 Plasma Etching and Antistatic Gun Surface Modifications for CNT Yarn Microelectrode Improve Sensitivity and Antifouling Properties.

    Science.gov (United States)

    Yang, Cheng; Wang, Ying; Jacobs, Christopher B; Ivanov, Ilia N; Venton, B Jill

    2017-05-16

    Carbon nanotube (CNT) based microelectrodes exhibit rapid and selective detection of neurotransmitters. While different fabrication strategies and geometries of CNT microelectrodes have been characterized, relatively little research has investigated ways to selectively enhance their electrochemical properties. In this work, we introduce two simple, reproducible, low-cost, and efficient surface modification methods for carbon nanotube yarn microelectrodes (CNTYMEs): O 2 plasma etching and antistatic gun treatment. O 2 plasma etching was performed by a microwave plasma system with oxygen gas flow and the optimized time for treatment was 1 min. The antistatic gun treatment flows ions by the electrode surface; two triggers of the antistatic gun was the optimized number on the CNTYME surface. Current for dopamine at CNTYMEs increased 3-fold after O 2 plasma etching and 4-fold after antistatic gun treatment. When the two treatments were combined, the current increased 12-fold, showing the two effects are due to independent mechanisms that tune the surface properties. O 2 plasma etching increased the sensitivity due to increased surface oxygen content but did not affect surface roughness while the antistatic gun treatment increased surface roughness but not oxygen content. The effect of tissue fouling on CNT yarns was studied for the first time, and the relatively hydrophilic surface after O 2 plasma etching provided better resistance to fouling than unmodified or antistatic gun treated CNTYMEs. Overall, O 2 plasma etching and antistatic gun treatment improve the sensitivity of CNTYMEs by different mechanisms, providing the possibility to tune the CNTYME surface and enhance sensitivity.

  13. Micromorphological characterization of adhesive interface of sound dentin and total-etch and self-etch adhesives.

    Science.gov (United States)

    Drobac, Milan; Stojanac, Igor; Ramić, Bojana; Premović, Milica; Petrović, Ljubomir

    2015-01-01

    The ultimate goal in restorative dentistry has always been to achieve strong and permanent bond between the dental tissues and filling materials. It is not easy to achieve this task because the bonding process is different for enamel and dentin-dentin is more humid and more organic than enamel. It is moisture and organic nature of dentin that make this hard tissue very complex to achieve adhesive bond. One of the first and most widely used tools for examining the adhesive bond between hard dental tissues and composite restorative materials is scanning electron microscopy. The aim of this study was scanning electron microscopy analyzes the interfacial micro morphology of total-etch and self-etch adhesives. Micro morphological characteristics of interface between total-etch adhesive (Prime & Bond NT) in combination with the corresponding composite (Ceram X Mono) were compared with those of self-etching adhesive (AdheSE One) in, combination with the corresponding composite (Tetric EvoCeram). The specimens were observed under 1000 x magnification of scanning electron microscopy (JEOL, JSM-6460 Low Vacuum). Measurement of the thickness of the hybrid layer of the examined com posite systems was performed with the software of the device used (NIH Image Analyser). Micromorphological analysis of interface showed that the hybrid layer in sound dentin was well formed, its average thickness being 2.68 microm, with a large number of resin tags and a large amount of lateral branches for specimens with a composite system Prime & Bond NT-Ceram X Mono. However, the specimens' with composite systems Adhese One-Tetric EvoCeram did not show the presence of hybrid layer and the resin tags were poorly represented. The results of this study suggest that total-etch adhesives bond better with sound dentin than self-etch adhesive.

  14. Preparation of composite micro/nano structure on the silicon surface by reactive ion etching: Enhanced anti-reflective and hydrophobic properties

    Science.gov (United States)

    Zeng, Yu; Fan, Xiaoli; Chen, Jiajia; He, Siyu; Yi, Zao; Ye, Xin; Yi, Yougen

    2018-05-01

    A silicon substrate with micro-pyramid structure (black silicon) is prepared by wet chemical etching and then subjected to reactive ion etching (RIE) in the mixed gas condition of SF6, CHF3 and He. We systematically study the impacts of flow rates of SF6, CHF3 and He, the etching pressure and the etching time on the surface morphology and reflectivity through various characterizations. Meanwhile, we explore and obtain the optimal combination of parameters for the preparation of composite structure that match the RIE process based on the basis of micro-pyramid silicon substrate. The composite sample prepared under the optimum parameters exhibits excellent anti-reflective performance, hydrophobic, self-cleaning and anti-corrosive properties. Based on the above characteristics, the composite micro/nano structure can be applied to solar cells, photodetectors, LEDs, outdoor devices and other important fields.

  15. Nuclear particle track-etched anti-bogus mark

    International Nuclear Information System (INIS)

    He Xiangming; Yan Yushun; Zhang Quanrong

    2003-01-01

    Nuclear particle track-etched anti-bogus mark is a new type of forgery-proof product after engraving gravure printing, thermocolour, fluorescence, laser hologram and metal concealed anti-bogus mark. The mark is manufactured by intricate high technology and the state strictly controlled sensitive nuclear facilities to ensure the mark not to be copied. The pattern of the mark is specially characterized by permeability of liquid to be discriminated from forgery. The genuine mark can be distinguished from sham one by transparent liquid (e.g. water), colorful pen and chemical reagent. The mark has passed the official examination of health safety. It is no danger of nuclear irradiation. (author)

  16. Radially polarized conical beam from an embedded etched fiber.

    Science.gov (United States)

    Kalaidji, Djamel; Spajer, Michel; Marthouret, Nadège; Grosjean, Thierry

    2009-06-15

    We propose a method for producing a conical beam based on the lateral refraction of the TM(01) mode from a two-mode fiber after chemical etching of the cladding, and for controlling its radial polarization. The whole power of the guided mode is transferred to the refracted beam with low diffraction. Polarization control by a series of azimuthal detectors and a stress controller affords the transmission of a stabilized radial polarization through an optical fiber. A solid component usable for many applications has been obtained.

  17. Shear bond strength and debonding characteristics of metal and ceramic brackets bonded with conventional acid-etch and self-etch primer systems: An in-vivo study.

    Science.gov (United States)

    Mirzakouchaki, Behnam; Shirazi, Sajjad; Sharghi, Reza; Shirazi, Samaneh; Moghimi, Mahsan; Shahrbaf, Shirin

    2016-02-01

    Different in-vitro studies have reported various results regarding shear bond strength (SBS) of orthodontic brackets when SEP technique is compared to conventional system. This in-vivo study was designed to compare the effect of conventional acid-etching and self-etching primer adhesive (SEP) systems on SBS and debonding characteristics of metal and ceramic orthodontic brackets. 120 intact first maxillary and mandibular premolars of 30 orthodontic patients were selected and bonded with metal and ceramic brackets using conventional acid-etch or self-etch primer system. The bonded brackets were incorporated into the wire during the study period to simulate the real orthodontic treatment condition. The teeth were extracted and debonded after 30 days. The SBS, debonding characteristics and adhesive remnant indices (ARI) were determined in all groups. The mean SBS of metal brackets was 10.63±1.42 MPa in conventional and 9.38±1.53 MPa in SEP system, (P=0.004). No statistically significant difference was noted between conventional and SEP systems in ceramic brackets. The frequency of 1, 2 and 3 ARI scores and debonding within the adhesive were the most common among all groups. No statistically significant difference was observed regarding ARI or failure mode of debonded specimens in different brackets or bonding systems. The SBS of metal brackets bonded using conventional system was significantly higher than SEP system, although the SBS of SEP system was clinically acceptable. No significant difference was found between conventional and SEP systems used with ceramic brackets. Total SBS of metal brackets was significantly higher than ceramic brackets. Due to adequate SBS of SEP system in bonding the metal brackets, it can be used as an alternative for conventional system. Shear bond strength, Orthodontic brackets, Adhesive remnant index, self-etch.

  18. Directional Etching of Silicon by Silver Nanostructures

    Science.gov (United States)

    Sharma, Pradeep; Wang, Yuh-Lin

    2011-02-01

    We report directional etching of nanostructures (nanochannels and nanotrenches) into the Si(100) substrates in aqueous HF and H2O2 solution by lithographically defined Ag patterns (nanoparticles, nanorods, and nanorings). The Effect of Ag/Si interface oxide on the directional etching has been studied by etching Ag/SiOx/Si samples of known interface oxide thickness. Based on high resolution transmission electron microscopy (HRTEM) imaging and TEM-energy dispersive X-ray (EDX) spectra of the Ag/Si interfaces, we propose that maintenance of the sub-nanometer oxide at the Ag/Si interfaces and Ag-Si interaction are the key factors which regulate the directional etching of Si.

  19. Ga+ focused-ion-beam implantation-induced masking for H2 etching of ZnO films

    International Nuclear Information System (INIS)

    Fang, Hsin-Chiao; Huang, Jun-Han; Chu, Wen-Huei; Liu, Chuan-Pu

    2010-01-01

    Gallium implantation of ZnO by a focused-ion beam is used to create a mask for ZnO dry etching with hydrogen. Effects of Ga + fluence on the etch stop properties and the associated mechanisms are investigated. The fluence of 2.8 x 10 16 cm -2 is determined to be optimum to render the best mask quality. While lower fluences would cause less etching selectivity, higher fluences would cause erosion of the surface and particles to be precipitated on the surface after H 2 treatment at high temperature. In contrast to the commonly adopted gallium oxide formation on Si, transmission electron microscopy analysis reveals that, for the fluences ≤ 2.8 x 10 16 cm -2 , Ga + ions are incorporated as dopants into ZnO without any second phases or precipitates, indicating the Ga-doped ZnO layer behaves as a mask for H 2 etching due to the higher electronegativity of Ga + towards oxygen. However, for the fluences ≥ 4.6 x 10 16 cm -2 , the surface particles are responsible for the etch stop and are identified as ZnGa 2 O 4 . We finally demonstrate a complicated pattern of 'NCKU' on ZnO by using this technique. The study not only helps clarify the related mechanisms, but also suggests a feasible extension of the etch stop process that can be applied to more functional material.

  20. Influence of the particle discriminator for producing the microporous nuclear track etched membrane

    International Nuclear Information System (INIS)

    Thongphud, Apaporn; Ratanatongchai, Wichian; Supaphol, Pitt; Visal-athaphand, Pinpan

    2005-10-01

    The particle discriminator was used to focus the fission fragments from nuclear fission reaction between thermal neutron from the Thai Research Reactor and U-235 in uranium screen to strike almost normally to the polycarbonate (PC) film. The latent tracks in the thin 15 mm PC film were revealed after etching in 6N NaOH solution at 70 o C for 60 min. It was found that the tracks were porous. The porosity was more discrete and the pore shape was more circular as well. The track diameter was measured 3.73 +- 0.32 mm. It was also found that using particle discriminators with increasing thickness during exposure gives fewer pores in the PC film, after chemical etching under the same condition as above