WorldWideScience

Sample records for scans showed c-gan

  1. Direct growth of freestanding GaN on C-face SiC by HVPE.

    Science.gov (United States)

    Tian, Yuan; Shao, Yongliang; Wu, Yongzhong; Hao, Xiaopeng; Zhang, Lei; Dai, Yuanbin; Huo, Qin

    2015-06-02

    In this work, high quality GaN crystal was successfully grown on C-face 6H-SiC by HVPE using a two steps growth process. Due to the small interaction stress between the GaN and the SiC substrate, the GaN was self-separated from the SiC substrate even with a small thickness of about 100 μm. Moreover, the SiC substrate was excellent without damage after the whole process so that it can be repeatedly used in the GaN growth. Hot phosphoric acid etching (at 240 °C for 30 min) was employed to identify the polarity of the GaN layer. According to the etching results, the obtained layer was Ga-polar GaN. High-resolution X-ray diffraction (HRXRD) and electron backscatter diffraction (EBSD) were done to characterize the quality of the freestanding GaN. The Raman measurements showed that the freestanding GaN film grown on the C-face 6H-SiC was stress-free. The optical properties of the freestanding GaN layer were determined by photoluminescence (PL) spectra.

  2. Scans along arbitrary directions in reciprocal space and the analysis of GaN films on SiC

    Energy Technology Data Exchange (ETDEWEB)

    Poust, B [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Heying, B [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Hayashi, S [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Ho, R [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Matney, K [Bede Scientific Inc., Englewood, CO 80112 (United States); Sandhu, R [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Wojtowicz, M [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Goorsky, M [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States)

    2005-05-21

    Equations governing scans along arbitrary directions in reciprocal space were developed and used to map reciprocal lattice points (RLPs) with radial raster patterns to study mosaic structure in GaN thin films deposited on semi-insulating 4H-SiC substrates using AlN nucleation layers (NLs). The films were grown by molecular beam epitaxy, keeping the GaN growth conditions the same, but using different AlN NL growth conditions. Mosaic tilt angles determined from symmetric RLP breadth measurements were similar for all samples measured, consistent with screw and mixed dislocation densities determined from transmission electron microscopy (TEM) measurements. Mosaic twist was determined using off-axis skew-symmetric high resolution x-ray diffraction measurements of asymmetric RLP breadths, yielding results consistent with grazing incidence in-plane x-ray diffraction twist measurements. A clear correlation between the twist angle and the edge and mixed dislocation densities determined by TEM was not observed, warranting careful consideration of dislocation structure.

  3. Scans along arbitrary directions in reciprocal space and the analysis of GaN films on SiC

    International Nuclear Information System (INIS)

    Poust, B; Heying, B; Hayashi, S; Ho, R; Matney, K; Sandhu, R; Wojtowicz, M; Goorsky, M

    2005-01-01

    Equations governing scans along arbitrary directions in reciprocal space were developed and used to map reciprocal lattice points (RLPs) with radial raster patterns to study mosaic structure in GaN thin films deposited on semi-insulating 4H-SiC substrates using AlN nucleation layers (NLs). The films were grown by molecular beam epitaxy, keeping the GaN growth conditions the same, but using different AlN NL growth conditions. Mosaic tilt angles determined from symmetric RLP breadth measurements were similar for all samples measured, consistent with screw and mixed dislocation densities determined from transmission electron microscopy (TEM) measurements. Mosaic twist was determined using off-axis skew-symmetric high resolution x-ray diffraction measurements of asymmetric RLP breadths, yielding results consistent with grazing incidence in-plane x-ray diffraction twist measurements. A clear correlation between the twist angle and the edge and mixed dislocation densities determined by TEM was not observed, warranting careful consideration of dislocation structure

  4. Scanning tunneling microscopy and spectroscopy on GaN and InGaN surfaces

    International Nuclear Information System (INIS)

    Krueger, David

    2009-01-01

    Optelectronic devices based on gallium nitride (GaN) and indium gallium nitride (InGaN) are in the focus of research since more than 20 years and still have great potential for optical applications. In the first part of this work non-polar surfaces of GaN are investigated by scanning electron microscopy (SEM), atomic force microscopy (AFM) and scanning tunneling microscopy (STM). In SEM and AFM, the (1 anti 100)- and especially the (anti 2110)-plane are quite corrugated. For the first time, the (anti 2110)-plane of GaN is atomically resolved in STM. In the second part InGaN quantum dot layers are investigated by X-ray photoelectron spectroscopy (XPS), scanning tunneling spectroscopy (STS) and STM. The STMmeasurements show the dependency of surface morphology on growth conditions in the metalorganic vapour phase epitaxy (MOVPE). Nucleation, a new MOVPE-strategy, is based on phase separations on surfaces. It is shown that locally varying density of states and bandgaps can be detected by STS, that means bandgap histograms and 2D-bandgap-mapping. (orig.)

  5. In situ synthesis and characterization of GaN nanorods through thermal decomposition of pre-grown GaN films

    International Nuclear Information System (INIS)

    Yan, P; Qin, D; An, Y K; Li, G Z; Xing, J; Liu, J J

    2008-01-01

    Herein we describe a thermal treatment route to synthesize gallium nitride (GaN) nanorods. In this method, GaN nanorods were synthesized by thermal treatment of GaN films at a temperature of 800 deg. C. The morphology and structure of GaN nanorods were characterized by scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The results show that GaN nanorods have a hexagonal wurtzite structure with diameters ranging from 30 to 50 nm. Additionally, GaN nanoplates are also founded in the products. The growth process of GaN nanostructures was investigated and a thermal decomposition mechanism was proposed. Our method provides a cost-effective route to fabricate GaN nanorods, which will benefit the fabrication of one-dimensional nanomaterials and device applications

  6. Scanning tunneling microscopy and spectroscopy on GaN and InGaN surfaces; Rastertunnelmikroskopie und -spektroskopie an GaN- und InGaN-Oberflaechen

    Energy Technology Data Exchange (ETDEWEB)

    Krueger, David

    2009-12-02

    Optelectronic devices based on gallium nitride (GaN) and indium gallium nitride (InGaN) are in the focus of research since more than 20 years and still have great potential for optical applications. In the first part of this work non-polar surfaces of GaN are investigated by scanning electron microscopy (SEM), atomic force microscopy (AFM) and scanning tunneling microscopy (STM). In SEM and AFM, the (1 anti 100)- and especially the (anti 2110)-plane are quite corrugated. For the first time, the (anti 2110)-plane of GaN is atomically resolved in STM. In the second part InGaN quantum dot layers are investigated by X-ray photoelectron spectroscopy (XPS), scanning tunneling spectroscopy (STS) and STM. The STMmeasurements show the dependency of surface morphology on growth conditions in the metalorganic vapour phase epitaxy (MOVPE). Nucleation, a new MOVPE-strategy, is based on phase separations on surfaces. It is shown that locally varying density of states and bandgaps can be detected by STS, that means bandgap histograms and 2D-bandgap-mapping. (orig.)

  7. Large third-order nonlinearity of nonpolar A-plane GaN film at 800 nm determined by Z-scan technology

    Science.gov (United States)

    Zhang, Feng; Han, Xiangyun

    2014-09-01

    We report an investigation on the optical third-order nonlinear property of the nonpolar A-plane GaN film. The film sample with a thickness of ~2 μm was grown on an r-plane sapphire substrate by metal-organic chemical vapor deposition system. By performing the Z-scan method combined with a mode-locked femtosecond Ti:sapphire laser (800 nm, 50 fs), the optical nonlinearity of the nonpolar A-plane GaN film was measured with the electric vector E of the laser beam being polarized parallel (//) and perpendicular (⊥) to the c axis of the film. The results show that both the third-order nonlinear absorption coefficient β and the nonlinear refractive index n2 of the sample film possess negative and large values, i.e. β// = -135 ± 29 cm/GW, n2// = -(4.0 ± 0.3) × 10-3 cm2/GW and β⊥ = -234 ± 29 cm/GW, n2⊥ = -(4.9 ± 0.4) × 10-3 cm2/GW, which are much larger than those of conventional C-plane GaN film, GaN bulk, and even the other oxide semiconductors.

  8. Structural effects of field emission from GaN nanofilms on SiC substrates

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Cheng-Cheng; Wang, Ru-Zhi, E-mail: wrz@bjut.edu.cn; Zhu, Man-Kang; Yan, Hui [College of Materials Science and Engineering, Beijing University of Technology, 100 Pingleyuan, Chaoyang District, Beijing 100124 (China); Liu, Peng [Department of Physics Tsinghua University, Tsinghua-Foxconn Nanotechnology Research Center, Beijing 100084 (China); Wang, Bi-Ben [College of Chemistry and Chemical Engineering, Chongqing University of Technology, Chongqing 400054 (China)

    2014-04-21

    GaN nanofilms (NFs) with different structures are grown on SiC substrates by pulsed laser deposition under different conditions. The synthesized GaN NFs are studied by X-ray diffraction, field-emission (FE) scanning electron microscopy, X-ray photoelectron spectroscopy, and atomic force microscopy. The GaN NFs are composed of diversified GaN nanoparticles with a diameter of 9–38 nm, thickness of 10–50 nm, and roughness of 0.22–13.03 nm. FE from the GaN NFs is structure dependent, which is explained by stress changing the band gap of the NFs. By structure modulation, the turn-on field of GaN NFs can be as low as 0.66 V/μm at a current density of 1 μA/cm{sup 2}, with a current density of up to 1.1 mA/cm{sup 2} at a field of 4.18 V/μm. Fowler-Nordheim curves of some samples contain multiple straight lines, which originate from the structural change and diversification of GaN nanoparticles under an applied field. Overall, our results suggest that GaN NFs with excellent FE properties can be prepared on SiC substrates, which provides a new route to fabricate high-efficiency FE nanodevices.

  9. Structure and electronic properties of mixed (a + c) dislocation cores in GaN

    Energy Technology Data Exchange (ETDEWEB)

    Horton, M. K., E-mail: m.horton11@imperial.ac.uk [Department Materials, Imperial College London, Exhibition Road, London SW7 2AZ (United Kingdom); Rhode, S. L. [Department Materials Science and Metallurgy, University of Cambridge, Charles Babbage Road, Cambridge CB3 0FS (United Kingdom); Moram, M. A. [Department Materials, Imperial College London, Exhibition Road, London SW7 2AZ (United Kingdom); Department Materials Science and Metallurgy, University of Cambridge, Charles Babbage Road, Cambridge CB3 0FS (United Kingdom)

    2014-08-14

    Classical atomistic models and atomic-resolution scanning transmission electron microscopy studies of GaN films reveal that mixed (a + c)-type dislocations have multiple different core structures, including a dissociated structure consisting of a planar fault on one of the (12{sup ¯}10) planes terminated by two different partial dislocations. Density functional theory calculations show that all cores introduce localized states into the band gap, which affects device performance.

  10. Structure and electronic properties of mixed (a + c) dislocation cores in GaN

    International Nuclear Information System (INIS)

    Horton, M. K.; Rhode, S. L.; Moram, M. A.

    2014-01-01

    Classical atomistic models and atomic-resolution scanning transmission electron microscopy studies of GaN films reveal that mixed (a + c)-type dislocations have multiple different core structures, including a dissociated structure consisting of a planar fault on one of the (12 ¯ 10) planes terminated by two different partial dislocations. Density functional theory calculations show that all cores introduce localized states into the band gap, which affects device performance

  11. Growing GaN LEDs on amorphous SiC buffer with variable C/Si compositions

    Science.gov (United States)

    Cheng, Chih-Hsien; Tzou, An-Jye; Chang, Jung-Hung; Chi, Yu-Chieh; Lin, Yung-Hsiang; Shih, Min-Hsiung; Lee, Chao-Kuei; Wu, Chih-I; Kuo, Hao-Chung; Chang, Chun-Yen; Lin, Gong-Ru

    2016-01-01

    The epitaxy of high-power gallium nitride (GaN) light-emitting diode (LED) on amorphous silicon carbide (a-SixC1−x) buffer is demonstrated. The a-SixC1−x buffers with different nonstoichiometric C/Si composition ratios are synthesized on SiO2/Si substrate by using a low-temperature plasma enhanced chemical vapor deposition. The GaN LEDs on different SixC1−x buffers exhibit different EL and C-V characteristics because of the extended strain induced interfacial defects. The EL power decays when increasing the Si content of SixC1−x buffer. The C-rich SixC1−x favors the GaN epitaxy and enables the strain relaxation to suppress the probability of Auger recombination. When the SixC1−x buffer changes from Si-rich to C-rich condition, the EL peak wavelengh shifts from 446 nm to 450 nm. Moreover, the uniform distribution contour of EL intensity spreads between the anode and the cathode because the traping density of the interfacial defect gradually reduces. In comparison with the GaN LED grown on Si-rich SixC1−x buffer, the device deposited on C-rich SixC1−x buffer shows a lower turn-on voltage, a higher output power, an external quantum efficiency, and an efficiency droop of 2.48 V, 106 mW, 42.3%, and 7%, respectively. PMID:26794268

  12. The role of alternating current in photo-assisted electrochemical porosification of GaN

    International Nuclear Information System (INIS)

    Ainorkhilah, Mahmood; Naser, M. Ahmed; Yushamdan, Yusof; Kwong, Yam Fong; Zainuriah, Hassan; Tiginyanu, Ion; Siang, Chuah Lee

    2013-01-01

    In this paper, we report the formation of porous GaN films under a novel alternating current (sine-wave a.c. (50 Hz)) photo-assisted electrochemical (ACPEC) etching conditions. The ACPEC formed porous GaN with excellent structural and surface morphology. Field emission scanning electron microscope (FESEM), atomic force microscopy (AFM) and high resolution X-ray diffraction (HR-XRD) phi-scan and rocking curves measurements evidenced important features of the pore morphology and nanostructures. According to the FESEM micrographs, the spatial nano architecture of the porous structures exhibits pores with perfect hexagonal shape. The AFM measurements revealed an increase in the surface roughness induced by porosification. X-ray diffraction phi-scan showed that porous GaN sample maintained the epitaxial. (authors)

  13. Epitaxial GaN around ZnO nanopillars

    Energy Technology Data Exchange (ETDEWEB)

    Fikry, Mohamed; Scholz, Ferdinand [Institut fuer Optoelektronik, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany); Madel, Manfred; Tischer, Ingo; Thonke, Klaus [Institut fuer Quantenmaterie, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany)

    2011-07-01

    We report on an investigation of the epitaxial quality of GaN layers overgrown coaxially around ZnO nanopillars. In a first step, regularly arranged ZnO nanopillars were grown using pre-patterning by e-beam lithography or self-organized hexagonal polystyrene sphere masks. Alternatively, ZnO pillars were also successfully grown on top of GaN pyramids. In a second step, GaN layers were grown around the ZnO pillars by Metal Organic Vapor Phase Epitaxy. At growth temperatures above 800 C, the ZnO pillars are dissolved by the hydrogen carrier gas leaving hollow GaN nanotubes. Characterization involved photoluminescence (PL), scanning electron microscopy and cathodoluminescence. The fair quality of the deposited GaN layers is confirmed by a sharp low temperature PL peak at 3.48 eV attributed to the donor bound exciton emission. Further peaks at 3.42 eV and 3.29 eV show the possible existence of basal plane and prismatic stacking faults.

  14. A study of photoluminescence and micro-Raman scattering in C-implanted GaN

    International Nuclear Information System (INIS)

    Zhang Limin; Zhang Xiaodong; Liu Zhengmin

    2010-01-01

    GaN samples (no yellow luminescence) in their as-grown states were implanted with 10 13 -10 17 C ions/cm 2 and studied by photoluminescence spectra and micro-Raman scattering spectra. The photoluminescence study showed that yellow luminescence were produced in the C-implanted GaN after 950 degree C annealing, and the peaks of the near band edge emissions showed blue-shifts after C implantation. The Raman measurements indicated that the stresses in GaN films did not change after C implantation. The samples implanted with 10 15 cm -2 carbon ions had the Raman peak at 300 cm -1 , which is associated to the disorder-activated Raman scattering. However, further increasing the implantation dose resulted decreased intensity of the 300 cm -1 peak, due to the ion beam current increase with the implantation dose. (authors)

  15. Highly c-axis oriented growth of GaN film on sapphire (0001 by laser molecular beam epitaxy using HVPE grown GaN bulk target

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2013-09-01

    Full Text Available Growth temperature dependant surface morphology and crystalline properties of the epitaxial GaN layers grown on pre-nitridated sapphire (0001 substrates by laser molecular beam epitaxy (LMBE were investigated in the range of 500–750 °C. The grown GaN films were characterized using high resolution x-ray diffraction, atomic force microscopy (AFM, micro-Raman spectroscopy, and secondary ion mass spectroscopy (SIMS. The x-ray rocking curve full width at a half maximum (FWHM value for (0002 reflection dramatically decreased from 1582 arc sec to 153 arc sec when the growth temperature was increased from 500 °C to 600 °C and the value further decreased with increase of growth temperature up to 720 °C. A highly c-axis oriented GaN epitaxial film was obtained at 720 °C with a (0002 plane rocking curve FWHM value as low as 102 arc sec. From AFM studies, it is observed that the GaN grain size also increased with increasing growth temperature and flat, large lateral grains of size 200-300 nm was obtained for the film grown at 720 °C. The micro-Raman spectroscopy studies also exhibited the high-quality wurtzite nature of GaN film grown on sapphire at 720 °C. The SIMS measurements revealed a non-traceable amount of background oxygen impurity in the grown GaN films. The results show that the growth temperature strongly influences the surface morphology and crystalline quality of the epitaxial GaN films on sapphire grown by LMBE.

  16. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    International Nuclear Information System (INIS)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah; Patriarche, Gilles; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Heer, Walt A. de; Berger, Claire

    2016-01-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  17. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    Energy Technology Data Exchange (ETDEWEB)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah, E-mail: aougazza@georgiatech-metz.fr [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Patriarche, Gilles [CNRS, Laboratoire de Photonique et de Nanostructures, Route de Nozay, 91460 Marcoussis (France); Sundaram, Suresh; El Gmili, Youssef [CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Salvestrini, Jean-Paul [Université de Lorraine, CentraleSupélec, LMOPS, EA4423, 57070 Metz (France); Heer, Walt A. de [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Berger, Claire [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS, Institut Néel, BP166, 38042 Grenoble Cedex 9 (France)

    2016-03-07

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  18. Synthesis of GaN Nanorods by a Solid-State Reaction

    Directory of Open Access Journals (Sweden)

    Keyan Bao

    2010-01-01

    Full Text Available An atom-economical and eco-friendly chemical synthetic route was developed to synthesize wurtzite GaN nanorods by the reaction of NaNH2 and the as-synthesized orthorhombic GaOOH nanorods in a stainless steel autoclave at 600∘C. The lengths of the GaN nanorods are in the range of 400–600 nm and the diameters are about 80–150 nm. The process of orthorhombic GaOOH nanorods transformation into wurtzite GaN nanorods was investigated by powder X-ray diffraction (XRD and field emission scanning electron microscope (FESEM, indicating that the GaN product retained essentially the same basic topological morphology in contrast to that of the GaOOH precursor. It was found that rhombohedral Ga2O3 was the intermediate between the starting orthorhombic GaOOH precursor and the final wurtzite GaN product. The photoluminescence measurements reveal that the as-prepared wurtzite GaN nanorods showed strong blue emission.

  19. Iron on GaN(0001) pseudo-1 × 1 (1+1/(12) ) investigated by scanning tunneling microscopy and first-principles theory

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Wenzhi; Mandru, Andrada-Oana; Smith, Arthur R., E-mail: smitha2@ohio.edu [Department of Physics and Astronomy, Nanoscale and Quantum Phenomena Institute, Ohio University, Athens, Ohio 45701 (United States); Takeuchi, Noboru [Centro de Nanociencias y Nanotecnologia, Universidad Nacional Autonoma de Mexico Apartado Postal 14, Ensenada Baja California, Codigo Postal 22800 (Mexico); Al-Brithen, Hamad A. H. [Physics and Astronomy Department, King Abdulah Institute for Nanotechnology, King Saud University, Riyadh, Saudi Arabia, and National Center for Nano Technology, KACST, Riyadh (Saudi Arabia)

    2014-04-28

    We have investigated sub-monolayer iron deposition on atomically smooth GaN(0001) pseudo-1 × 1 (1+1/(12) ). The iron is deposited at a substrate temperature of 360 °C, upon which reflection high energy electron diffraction shows a transformation to a √(3)×√(3)-R30° pattern. After cooling to room temperature, the pattern transforms to a 6 × 6, and scanning tunneling microscopy reveals 6 × 6 reconstructed regions decorating the GaN step edges. First-principles theoretical calculations have been carried out for a range of possible structural models, one of the best being a Ga dimer model consisting of 2/9 monolayer of Fe incorporated into 7/3 monolayer of Ga in a relaxed but distorted structure.

  20. Dislocation core structures in Si-doped GaN

    International Nuclear Information System (INIS)

    Rhode, S. L.; Fu, W. Y.; Sahonta, S.-L.; Kappers, M. J.; Humphreys, C. J.; Horton, M. K.; Pennycook, T. J.; Dusane, R. O.; Moram, M. A.

    2015-01-01

    Aberration-corrected scanning transmission electron microscopy was used to investigate the core structures of threading dislocations in plan-view geometry of GaN films with a range of Si-doping levels and dislocation densities ranging between (5 ± 1) × 10 8  and (10 ± 1) × 10 9  cm −2 . All a-type (edge) dislocation core structures in all samples formed 5/7-atom ring core structures, whereas all (a + c)-type (mixed) dislocations formed either double 5/6-atom, dissociated 7/4/8/4/9-atom, or dissociated 7/4/8/4/8/4/9-atom core structures. This shows that Si-doping does not affect threading dislocation core structures in GaN. However, electron beam damage at 300 keV produces 4-atom ring structures for (a + c)-type cores in Si-doped GaN

  1. Dislocation core structures in Si-doped GaN

    Energy Technology Data Exchange (ETDEWEB)

    Rhode, S. L., E-mail: srhode@imperial.ac.uk; Fu, W. Y.; Sahonta, S.-L.; Kappers, M. J.; Humphreys, C. J. [Department of Materials Science and Metallurgy, University of Cambridge, Charles Babbage Road, Cambridge CB3 0FS (United Kingdom); Horton, M. K. [Department of Materials, Imperial College London, Exhibition Road, London SW7 2AZ (United Kingdom); Pennycook, T. J. [SuperSTEM, STFC Daresbury Laboratories, Warrington WA4 4AD (United Kingdom); Department of Materials, University of Oxford, Parks Road, Oxford OX1 3PH (United Kingdom); Dusane, R. O. [Department of Metallurgical Engineering and Materials Science, Indian Institute of Technology Bombay, Mumbai 400076 (India); Moram, M. A. [Department of Materials Science and Metallurgy, University of Cambridge, Charles Babbage Road, Cambridge CB3 0FS (United Kingdom); Department of Materials, Imperial College London, Exhibition Road, London SW7 2AZ (United Kingdom)

    2015-12-14

    Aberration-corrected scanning transmission electron microscopy was used to investigate the core structures of threading dislocations in plan-view geometry of GaN films with a range of Si-doping levels and dislocation densities ranging between (5 ± 1) × 10{sup 8} and (10 ± 1) × 10{sup 9} cm{sup −2}. All a-type (edge) dislocation core structures in all samples formed 5/7-atom ring core structures, whereas all (a + c)-type (mixed) dislocations formed either double 5/6-atom, dissociated 7/4/8/4/9-atom, or dissociated 7/4/8/4/8/4/9-atom core structures. This shows that Si-doping does not affect threading dislocation core structures in GaN. However, electron beam damage at 300 keV produces 4-atom ring structures for (a + c)-type cores in Si-doped GaN.

  2. Initial stages of the ion-beam assisted epitaxial GaN film growth on 6H-SiC(0001)

    International Nuclear Information System (INIS)

    Neumann, L.; Gerlach, J.W.; Rauschenbach, B.

    2012-01-01

    Ultra-thin gallium nitride (GaN) films were deposited using the ion-beam assisted molecular-beam epitaxy technique. The influence of the nitrogen ion to gallium atom flux ratio (I/A ratio) during the early stages of GaN nucleation and thin film growth directly, without a buffer layer on super-polished 6H-SiC(0001) substrates was studied. The deposition process was performed at a constant substrate temperature of 700 °C by evaporation of Ga and irradiation with hyperthermal nitrogen ions from a constricted glow-discharge ion source. The hyperthermal nitrogen ion flux was kept constant and the kinetic energy of the ions did not exceed 25 eV. The selection of different I/A ratios in the range from 0.8 to 3.2 was done by varying the Ga deposition rate between 5 × 10 13 and 2 × 10 14 at. cm −2 s −1 . The crystalline surface structure during the GaN growth was monitored in situ by reflection high-energy electron diffraction. The surface topography of the films as well as the morphology of separated GaN islands on the substrate surface was examined after film growth using a scanning tunneling microscope without interruption of ultra-high vacuum. The results show, that the I/A ratio has a major impact on the properties of the resulting ultra-thin GaN films. The growth mode, the surface roughness, the degree of GaN coverage of the substrate and the polytype mixture depend notably on the I/A ratio. - Highlights: ► Ultra-thin epitaxial GaN films prepared by hyperthermal ion-beam assisted deposition. ► Surface structure and topography studied during and after initial growth stages. ► Growth mode dependent on nitrogen ion to gallium atom flux ratio. ► Change from three-dimensional to two-dimensional growth for Ga-rich growth conditions.

  3. Probing defect states in polycrystalline GaN grown on Si(111) by sub-bandgap laser-excited scanning tunneling spectroscopy

    Science.gov (United States)

    Hsiao, F.-M.; Schnedler, M.; Portz, V.; Huang, Y.-C.; Huang, B.-C.; Shih, M.-C.; Chang, C.-W.; Tu, L.-W.; Eisele, H.; Dunin-Borkowski, R. E.; Ebert, Ph.; Chiu, Y.-P.

    2017-01-01

    We demonstrate the potential of sub-bandgap laser-excited cross-sectional scanning tunneling microscopy and spectroscopy to investigate the presence of defect states in semiconductors. The characterization method is illustrated on GaN layers grown on Si(111) substrates without intentional buffer layers. According to high-resolution transmission electron microscopy and cathodoluminescence spectroscopy, the GaN layers consist of nanoscale wurtzite and zincblende crystallites with varying crystal orientations and hence contain high defect state densities. In order to discriminate between band-to-band excitation and defect state excitations, we use sub-bandgap laser excitation. We probe a clear increase in the tunnel current at positive sample voltages during sub-bandgap laser illumination for the GaN layer with high defect density, but no effect is found for high quality GaN epitaxial layers. This demonstrates the excitation of free charge carriers at defect states. Thus, sub-bandgap laser-excited scanning tunneling spectroscopy is a powerful complimentary characterization tool for defect states.

  4. A dissociation mechanism for the [a+c] dislocation in GaN

    International Nuclear Information System (INIS)

    Nellist, P D; Hirsch, P B; Lozano, J G; Rhode, S; Zhang, S; Kappers, M J; Humphreys, C J; Horton, M K; Moram, M A; Yasuhara, A; Okunishi, E; Sahonta, S-L

    2014-01-01

    Mixed-type [a+c] dislocations can be identified in atomic-resolution high-angle annular dark-field scanning transmission electron microscope images of GaN viewed along [0001] by use of a Burgers loop analysis and by observation of the depth-dependent displacements associated with the Eshelby twist. These dislocations are found to be able to dissociate resulting in a fault that lies perpendicular to the dislocation glide plane. Consideration of the bonding that occurs in such a fault allows the dissociation reaction to be proposed, and the proposed fault agrees with the experimental images when kinks are incorporated into the model

  5. Nanoscratch Characterization of GaN Epilayers on c- and a-Axis Sapphire Substrates

    Directory of Open Access Journals (Sweden)

    Wen Hua-Chiang

    2010-01-01

    Full Text Available Abstract In this study, we used metal organic chemical vapor deposition to form gallium nitride (GaN epilayers on c- and a-axis sapphire substrates and then used the nanoscratch technique and atomic force microscopy (AFM to determine the nanotribological behavior and deformation characteristics of the GaN epilayers, respectively. The AFM morphological studies revealed that pile-up phenomena occurred on both sides of the scratches formed on the GaN epilayers. It is suggested that cracking dominates in the case of GaN epilayers while ploughing during the process of scratching; the appearances of the scratched surfaces were significantly different for the GaN epilayers on the c- and a-axis sapphire substrates. In addition, compared to the c-axis substrate, we obtained higher values of the coefficient of friction (μ and deeper penetration of the scratches on the GaN a-axis sapphire sample when we set the ramped force at 4,000 μN. This discrepancy suggests that GaN epilayers grown on c-axis sapphire have higher shear resistances than those formed on a-axis sapphire. The occurrence of pile-up events indicates that the generation and motion of individual dislocation, which we measured under the sites of critical brittle transitions of the scratch track, resulted in ductile and/or brittle properties as a result of the deformed and strain-hardened lattice structure.

  6. Fabrication and Characterization of Mg-Doped GaN Nanowires

    International Nuclear Information System (INIS)

    Dong-Dong, Zhang; Cheng-Shan, Xue; Hui-Zhao, Zhuang; Ying-Long, Huang; Zou-Ping, Wang; Ying, Wang; Yong-Fu, Guo

    2008-01-01

    Mg-doped GaN nanowires have been synthesized by ammoniating Ga 2 O 3 films doped with Mg under flowing ammonia atmosphere at 850° C. The Mg-doped GaN nanowires are characterized by x-ray diffraction (XRD), scanning electron microscope (SEM), high-resolution transmission electron microscopy (HRTEM) and photo-luminescence (PL). The results demonstrate that the nanowires are single crystalline with hexagonal wurzite structure. The diameters of the nanowires are 20–30 nm and the lengths are 50–100 μm. The GaN nanowires show three emission bands with well-defined PL peak at 3.45 eV, 3.26 eV, 2.95 eV, respectively. The large distinct blueshift of the bandgap emission can be attributed to the Burstein–Moss effect. The peak at 3.26 eV represents the transition from the conduction-band edge to the acceptor level AM (acceptor Mg). The growth mechanism of crystalline GaN nanowires is discussed briefly. (cross-disciplinary physics and related areas of science and technology)

  7. Epitaxy of GaN on silicon-impact of symmetry and surface reconstruction

    International Nuclear Information System (INIS)

    Dadgar, A; Schulze, F; Wienecke, M; Gadanecz, A; Blaesing, J; Veit, P; Hempel, T; Diez, A; Christen, J; Krost, A

    2007-01-01

    GaN-on-silicon is a low-cost alternative to growth on sapphire or SiC. Today epitaxial growth is usually performed on Si(111), which has a threefold symmetry. The growth of single crystalline GaN on Si(001), the material of the complementary metal oxide semiconductor (CMOS) industry, is more difficult due to the fourfold symmetry of this Si surface leading to two differently aligned domains. We show that breaking the symmetry to achieve single crystalline growth can be performed, e.g. by off-oriented substrates to achieve single crystalline device quality GaN layers. Furthermore, an exotic Si orientation for GaN growth is Si(110), which we show is even better suited as compared to Si(111) for the growth of high quality GaN-on-silicon with a nearly threefold reduction in the full width at half maximum (FWHM) of the (1 1-bar 0 0)ω-scan. It is found that a twofold surface symmetry is in principal suitable for the growth of single crystalline GaN on Si

  8. Surface chemistry and electronic structure of nonpolar and polar GaN films

    Energy Technology Data Exchange (ETDEWEB)

    Mishra, Monu; Krishna, T.C. Shibin; Aggarwal, Neha; Gupta, Govind, E-mail: govind@nplindia.org

    2015-08-01

    Highlights: • Surface chemistry and electronic structure of polar and nonpolar GaN is reported. • Influence of polarization on electron affinity of p & np GaN films is investigated. • Correlation between surface morphology and polarity has been deduced. - Abstract: Photoemission and microscopic analysis of nonpolar (a-GaN/r-Sapphire) and polar (c-GaN/c-Sapphire) epitaxial gallium nitride (GaN) films grown via RF-Molecular Beam Epitaxy is reported. The effect of polarization on surface properties like surface states, electronic structure, chemical bonding and morphology has been investigated and correlated. It was observed that polarization lead to shifts in core level (CL) as well as valence band (VB) spectra. Angle dependent X-ray Photoelectron Spectroscopic analysis revealed higher surface oxide in polar GaN film compared to nonpolar GaN film. On varying the take off angle (TOA) from 0° to 60°, the Ga−O/Ga−N ratio varied from 0.11–0.23 for nonpolar and 0.17–0.36 for polar GaN film. The nonpolar film exhibited N-face polarity while Ga-face polarity was perceived in polar GaN film due to the inherent polarization effect. Polarization charge compensated surface states were observed on the polar GaN film and resulted in downward band bending. Ultraviolet photoelectron spectroscopic measurements revealed electron affinity and ionization energy of 3.4 ± 0.1 eV and 6.8 ± 0.1 eV for nonpolar GaN film and 3.8 ± 0.1 eV and 7.2 ± 0.1 eV for polar GaN film respectively. Field Emission Scanning Electron Microscopy measurements divulged smooth morphology with pits on polar GaN film. The nonpolar film on the other hand showed pyramidal structures having facets all over the surface.

  9. Comparison of the microstructure and chemistry of GaN(0001) films grown using trimethylgallium and triethylgallium on AlN/SiC substrates

    Energy Technology Data Exchange (ETDEWEB)

    Park, Ji-Soo; Reitmeier, Zachary J.; Davis, Robert F. [Department of Materials Science and Engineering, Box 7907, North Carolina State University, Raleigh, NC 27695 (United States)

    2005-05-01

    The metalorganic chemical vapor deposition of GaN(0001) films using triethylgallium (TEG) and trimethylgallium (TMG) precursors on AlN/6H-SiC(0001) substrates has been conducted using various sets of two temperatures, and the microstructural and chemical differences in the films determined. Growth of films at 980 C and 1020 C using TEG and TMG, respectively, resulted in the formation of separate elongated islands. Growth at the optimum temperatures (for our system) of 1020 C and 1050 C using these two respective precursors resulted in smooth surface microstructures. Analogous depositions at 1050 C and 1080 C resulted in the formation of hillocks over most of the surfaces. In the GaN films grown using TEG at 1020 C the concentrations of carbon (3 x 10{sup 17} cm{sup -3}) and hydrogen (1 x 10{sup 18} cm{sup -3}) were {proportional_to}10 times and {proportional_to}2 times lower than in the films deposited using TMG at 1050 C. The concentrations of oxygen and silicon were 1 x 10{sup 17} cm{sup -3} in the films grown using either precursor. Atomic force microscopy of the films grown using TEG and TMG at 1020 C and 1050 C, respectively, revealed a similar surface roughness with rms values of {proportional_to}1.8 nm within 50 {mu}m x 50 {mu}m scans. The full width at half maxima determined from omega scans of the GaN(0002) peak were {proportional_to}250 arcsec for films grown using both precursors. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    International Nuclear Information System (INIS)

    Tamura, Kazuyuki; Kuroki, Yuichiro; Yasui, Kanji; Suemitsu, Maki; Ito, Takashi; Endou, Tetsuro; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2008-01-01

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH 3 ) and trimetylgallium (TMG) under low V/III source gas ratio (NH 3 /TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C 3 H 8 ). The AlN layer was deposited as a buffer layer using NH 3 and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH x radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer

  11. Surface state of GaN after rapid-thermal-annealing using AlN cap-layer

    Energy Technology Data Exchange (ETDEWEB)

    El-Zammar, G., E-mail: georgio.elzammar@univ-tours.fr [Université François Rabelais, Tours, GREMAN, CNRS UMR 7347, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); Khalfaoui, W. [Université François Rabelais, Tours, GREMAN, CNRS UMR 7347, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); Oheix, T. [Université François Rabelais, Tours, GREMAN, CNRS UMR 7347, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); STMicroelectronics, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); Yvon, A.; Collard, E. [STMicroelectronics, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); Cayrel, F.; Alquier, D. [Université François Rabelais, Tours, GREMAN, CNRS UMR 7347, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France)

    2015-11-15

    Graphical abstract: Surface state of a crack-free AlN cap-layer reactive sputtered on GaN and annealed at high temperature showing a smooth, pit-free surface. - Highlights: • We deposit a crystalline AlN layer by reactive magnetron sputtering on GaN. • We show the effect of deposition parameters of AlN by reactive magnetron sputtering on the quality of the grown layer. • We demonstrate the efficiency of double cap-layer for GaN protection during high temperature thermal treatments. • We show an efficient selective etch of AlN without damaging GaN surface. - Abstract: Critical issues need to be overcome to produce high performance Schottky diodes on gallium nitride (GaN). To activate dopant, high temperature thermal treatments are required but damage GaN surface where hexagonal pits appear and prevent any device processing. In this paper, we investigated the efficiency of cap-layers on GaN during thermal treatments to avoid degradation. Aluminum nitride (AlN) and silicon oxide (SiO{sub x}) were grown on GaN by direct current reactive magnetron sputtering and plasma-enhanced chemical vapor deposition, respectively. AlN growth parameters were studied to understand their effect on the grown layers and their protection efficiency. Focused ion beam was used to measure AlN layer thickness. Crystalline quality and exact composition were verified using X-ray diffraction and energy dispersive X-ray spectroscopy. Two types of rapid thermal annealing at high temperatures were investigated. Surface roughness and pits density were evaluated using atomic force microscopy and scanning electron microscopy. Cap-layers wet etching was processed in H{sub 3}PO{sub 4} at 120 °C for AlN and in HF (10%) for SiO{sub x}. This work reveals effective protection of GaN during thermal treatments at temperatures as high as 1150 °C. Low surface roughness was obtained. Furthermore, no hexagonal pit was observed on the surface.

  12. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    Energy Technology Data Exchange (ETDEWEB)

    Tamura, Kazuyuki [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)], E-mail: kazuyuki@stn.nagaokaut.ac.jp; Kuroki, Yuichiro; Yasui, Kanji [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Suemitsu, Maki; Ito, Takashi [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Endou, Tetsuro [Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); Nakazawa, Hideki [Faculty of Science and Technology, Hirosaki University, Hirosaki 036-8561 (Japan); Narita, Yuzuru [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Takata, Masasuke; Akahane, Tadashi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)

    2008-01-15

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH{sub 3}) and trimetylgallium (TMG) under low V/III source gas ratio (NH{sub 3}/TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C{sub 3}H{sub 8}). The AlN layer was deposited as a buffer layer using NH{sub 3} and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH{sub x} radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer.

  13. Determination of carrier diffusion length in p- and n-type GaN

    Science.gov (United States)

    Hafiz, Shopan; Metzner, Sebastian; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Karbaum, Christopher; Bertram, Frank; Christen, Jürgen; Gil, Bernard; Özgür, Ümit

    2014-03-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p- GaN or 1300 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photogeneration near the surface region by above bandgap excitation. Taking into consideration the absorption in the active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be about 92 ± 7 nm and 68 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively. Cross-sectional cathodoluminescence line-scan measurement was performed on a separate sample and the diffusion length in n-type GaN was measured to be 280 nm.

  14. Schottky contacts to polar and nonpolar n-type GaN

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hogyoung [Hanbat National University, Daejeon (Korea, Republic of); Phark, Soohyon [Max-Planck-Institut fur Mikrostrukturphysik, Halle (Germany); Song, Keunman [Korea Advanced Nano Fab Center, Suwon (Korea, Republic of); Kim, Dongwook [Ewha Woman' s University, Seoul (Korea, Republic of)

    2012-01-15

    Using the current-voltage measurements, we observed the barrier heights of c-plane GaN in Pt and Au Schottky contacts to be higher than those of a-plane GaN. However, the barrier height of c-plane GaN was lower than that of a-plane GaN in the Ti Schottky contacts. The N/Ga ratio calculated by integrating the X-ray photoelectron spectroscopy (XPS) spectra of Ga 3d and N 1s core levels showed that c-plane GaN induced more Ga vacancies near the interface than a-plane GaN in the Ti Schottky contacts, reducing the effective barrier height through an enhancement of the tunneling probability.

  15. In-situ measurement of the strain relaxation of GaN nanograins during X-ray irradiation

    International Nuclear Information System (INIS)

    Choe, Hyeokmin; Lee, Sanghwa; Sohn, Yuri; Kim, Chinkyo

    2008-01-01

    GaN nanograins were grown on a c-plane sapphire substrate and their strain relaxation due to X-ray irradiation was investigated in-situ by utilizing synchrotron xray scattering. The GaN nanograins were constantly exposed to the synchrotron X-ray and θ-2θ scans through the (002) Bragg peak of GaN were repeatedly carried out during the irradiation. The Bragg peak of the compressively strained GaN nanograins gradually shifted toward higher angle, which implies that the GaN nanograins in compressive strain experienced strain relaxation during X-ray irradiation. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Self-catalyst growth of novel GaN nanowire flowers on Si (111) using thermal evaporation technique

    Energy Technology Data Exchange (ETDEWEB)

    Saron, K.M.A., E-mail: kamalmohammedabdalla@yahoo.com [Nano-Optoelectronics Research and Technology Laboratory (NOR), School of Physics, Universiti Sains Malaysia, Penang 11800 (Malaysia); Hashim, M.R., E-mail: roslan@usm.my [Nano-Optoelectronics Research and Technology Laboratory (NOR), School of Physics, Universiti Sains Malaysia, Penang 11800 (Malaysia)

    2013-05-15

    We investigated the effect of substrate temperature on nanowire (NW) flower GaN epitaxial layers grown on catalyst-free Si (111) through physical vapor deposition via the thermal evaporation of GaN powder at 1150 °C in the absence of NH{sub 3} gas. The NW flowers were grown at various substrate temperatures from 1000 °C to 1100 °C for 60 min in N{sub 2} ambient. The surface morphology as well as the structural and optical properties of GaN NW flowers were examined by scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy, X-ray diffraction, and photoluminescence (PL). The results showed that the increase in substrate temperature resulted in a variation in crystal quality and surface morphology. SEM showed that the substrate temperature has a stronger effect on NW density and growth rate with respect to time. The average length of GaN flowers is estimated to be longer than 300 μm after 1 h at 1100 °C, which corresponds to a fast growth rate of more than 200 μm h{sup −1} at all substrate temperatures. The PL measurements showed strong near-band-edge (NBE) emission with a weak deep level emission. The green-yellow emission (GYE) can be attributed to N vacancies or to the V{sub Ga}–O{sub N}-complexes. The NBE peak exhibited a redshift with increasing substrate temperature, which results from the increase in strain level. The growth mechanism of the polycrystalline GaN NWs was also discussed. - Highlights: ► GaN nanowired flowers were grown on free-catalysts Si (111) using PVD. ► A higher temperature, higher uniformity, larger lengths and diameters of the NW flowers. ► As substrate temperature increases the diameters and growth rate of NWs increases. ► A lower temperature resulted in a high density and good crystal quality of GaN NWs. ► The increase in substrate temperature increased the redshift in UV band emission.

  17. Self-catalyst growth of novel GaN nanowire flowers on Si (111) using thermal evaporation technique

    International Nuclear Information System (INIS)

    Saron, K.M.A.; Hashim, M.R.

    2013-01-01

    We investigated the effect of substrate temperature on nanowire (NW) flower GaN epitaxial layers grown on catalyst-free Si (111) through physical vapor deposition via the thermal evaporation of GaN powder at 1150 °C in the absence of NH 3 gas. The NW flowers were grown at various substrate temperatures from 1000 °C to 1100 °C for 60 min in N 2 ambient. The surface morphology as well as the structural and optical properties of GaN NW flowers were examined by scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy, X-ray diffraction, and photoluminescence (PL). The results showed that the increase in substrate temperature resulted in a variation in crystal quality and surface morphology. SEM showed that the substrate temperature has a stronger effect on NW density and growth rate with respect to time. The average length of GaN flowers is estimated to be longer than 300 μm after 1 h at 1100 °C, which corresponds to a fast growth rate of more than 200 μm h −1 at all substrate temperatures. The PL measurements showed strong near-band-edge (NBE) emission with a weak deep level emission. The green-yellow emission (GYE) can be attributed to N vacancies or to the V Ga –O N -complexes. The NBE peak exhibited a redshift with increasing substrate temperature, which results from the increase in strain level. The growth mechanism of the polycrystalline GaN NWs was also discussed. - Highlights: ► GaN nanowired flowers were grown on free-catalysts Si (111) using PVD. ► A higher temperature, higher uniformity, larger lengths and diameters of the NW flowers. ► As substrate temperature increases the diameters and growth rate of NWs increases. ► A lower temperature resulted in a high density and good crystal quality of GaN NWs. ► The increase in substrate temperature increased the redshift in UV band emission

  18. Luminescence and Morphological Properties of GaN Layers Grown on SiC/Si(111) Substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez-Garcia, M.A.; Ristic, J.; Calleja, E. [ISOM and Dpto. Ing. Electronica, ETSI Telecomunicacion, Univ. Politecnica de Madrid, Ciudad Universitaria s/n, 28040 Madrid (Spain); Perez-Rodriguez, A.; Serre, C.; Romano-Rodriguez, A.; Morante, J.R. [EME - Electronic Materials and Engineering, Department of Electronics, Universidad de Barcelona, Marti i Franques 1, 08028 Barcelona (Spain); Koegler, R.; Skorupa, W. [Institute of Ion Beam Physics and Materials Research, Forschungszentrum Rossendorf e.V., 01314 Dresden (Germany); Trampert, A.; Ploog, K.H. [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2002-08-16

    This article describes the fabrication of SiC thin films on top of Si(111) substrates by means of a multiple C-ion implantation and the subsequent growth by plasma-assisted molecular beam epitaxy of GaN layers. The stoichiometry of the top SiC layer is controlled by reactive ion etching. Photoluminescence spectra reveal that all GaN layers are under biaxial tensile strain of thermal origin. The photoluminescence efficiency clearly depends on the stoichiometry of the initial SiC layer and on whether AlN buffer layers are used or not. GaN layers grown directly on bare non-stoichiometric SiC layers exhibit the best photoluminescence efficiency but also a high degree of mosaicity, as measured by X-ray diffraction techniques. The nucleation process involved in the initial stages of the growth leads to the formation of large dislocation-free grains with a high PL efficiency and with a higher tensile strain character. Despite the lack of a perfect monocrystalline SiC substrate lattice, high quality GaN microcrystals are obtained. (Abstract Copyright[2002], Wiley Periodicals, Inc.)

  19. GaN growth via HVPE on SiC/Si substrates: growth mechanisms

    Science.gov (United States)

    Sharofidinov, Sh Sh; Redkov, A. V.; Osipov, A. V.; Kukushkin, S. A.

    2017-11-01

    The article focuses on the study of GaN thin film growth via chloride epitaxy on SiC/Si hybrid substrate. SiC buffer layer was grown by a method of substitution of atoms, which allows one to reduce impact of mechanical stress therein on subsequent growth of III-nitride films. It is shown, that change in GaN growth conditions leads to change in its growth mechanism. Three mechanisms: epitaxial, spiral and stepwise growth are considered and mechanical stresses are estimated via Raman spectroscopy.

  20. Effect of different electrolytes on porous GaN using photo-electrochemical etching

    Energy Technology Data Exchange (ETDEWEB)

    Al-Heuseen, K., E-mail: kalhussen@yahoo.com [Nano-Optoelectronics Research Laboratory, School of Physics, Universiti Sains Malaysia, 11800 Penang (Malaysia); Hashim, M.R. [Nano-Optoelectronics Research Laboratory, School of Physics, Universiti Sains Malaysia, 11800 Penang (Malaysia); Ali, N.K. [Material Innovations and Nanoelectronics Research Group, Faculty of Electrical Engineering, Department of Electronic Engineering, Universiti Teknologi Malaysia, 81310 Skudai, Johor (Malaysia)

    2011-05-01

    This article reports the properties and the behavior of GaN during the photoelectrochemical etching process using four different electrolytes. The measurements show that the porosity strongly depends on the electrolyte and highly affects the surface morphology of etched samples, which has been revealed by scanning electron microscopy (SEM) images. Peak intensity of the photoluminescence (PL) spectra of the porous GaN samples was observed to be enhanced and strongly depend on the electrolytes. Among the samples, there is a little difference in the peak position indicating that the change of porosity has little influence on the PL peak shift, while it highly affecting the peak intensity. Raman spectra of porous GaN under four different solution exhibit phonon mode E{sub 2} (high), A{sub 1} (LO), A{sub 1} (TO) and E{sub 2} (low). There was a red shift in E{sub 2} (high) in all samples, indicating a relaxation of stress in the porous GaN surface with respect to the underlying single crystalline epitaxial GaN. Raman and PL intensities were high for samples etched in H{sub 2}SO{sub 4}:H{sub 2}O{sub 2} and KOH followed by the samples etched in HF:HNO{sub 3} and in HF:C{sub 2}H{sub 5}OH.

  1. Effect of different electrolytes on porous GaN using photo-electrochemical etching

    International Nuclear Information System (INIS)

    Al-Heuseen, K.; Hashim, M.R.; Ali, N.K.

    2011-01-01

    This article reports the properties and the behavior of GaN during the photoelectrochemical etching process using four different electrolytes. The measurements show that the porosity strongly depends on the electrolyte and highly affects the surface morphology of etched samples, which has been revealed by scanning electron microscopy (SEM) images. Peak intensity of the photoluminescence (PL) spectra of the porous GaN samples was observed to be enhanced and strongly depend on the electrolytes. Among the samples, there is a little difference in the peak position indicating that the change of porosity has little influence on the PL peak shift, while it highly affecting the peak intensity. Raman spectra of porous GaN under four different solution exhibit phonon mode E 2 (high), A 1 (LO), A 1 (TO) and E 2 (low). There was a red shift in E 2 (high) in all samples, indicating a relaxation of stress in the porous GaN surface with respect to the underlying single crystalline epitaxial GaN. Raman and PL intensities were high for samples etched in H 2 SO 4 :H 2 O 2 and KOH followed by the samples etched in HF:HNO 3 and in HF:C 2 H 5 OH.

  2. Effect of different electrolytes on porous GaN using photo-electrochemical etching

    Science.gov (United States)

    Al-Heuseen, K.; Hashim, M. R.; Ali, N. K.

    2011-05-01

    This article reports the properties and the behavior of GaN during the photoelectrochemical etching process using four different electrolytes. The measurements show that the porosity strongly depends on the electrolyte and highly affects the surface morphology of etched samples, which has been revealed by scanning electron microscopy (SEM) images. Peak intensity of the photoluminescence (PL) spectra of the porous GaN samples was observed to be enhanced and strongly depend on the electrolytes. Among the samples, there is a little difference in the peak position indicating that the change of porosity has little influence on the PL peak shift, while it highly affecting the peak intensity. Raman spectra of porous GaN under four different solution exhibit phonon mode E 2 (high), A 1 (LO), A 1 (TO) and E 2 (low). There was a red shift in E 2 (high) in all samples, indicating a relaxation of stress in the porous GaN surface with respect to the underlying single crystalline epitaxial GaN. Raman and PL intensities were high for samples etched in H 2SO 4:H 2O 2 and KOH followed by the samples etched in HF:HNO 3 and in HF:C 2H 5OH.

  3. Thermoelectric properties of the 3C, 2H, 4H, and 6H polytypes of the wide-band-gap semiconductors SiC, GaN, and ZnO

    Directory of Open Access Journals (Sweden)

    Zheng Huang

    2015-09-01

    Full Text Available We have investigated the thermoelectric properties of the 3C, 2H, 4H, and 6H polytypes of the wide-band-gap(n-type semiconductors SiC, GaN, and ZnO based on first-principles calculations and Boltzmann transport theory. Our results show that the thermoelectric performance increases from 3C to 6H, 4H, and 2H structures with an increase of hexagonality for SiC. However, for GaN and ZnO, their power factors show a very weak dependence on the polytype. Detailed analysis of the thermoelectric properties with respect to temperature and carrier concentration of 4H-SiC, 2H-GaN, and 2H-ZnO shows that the figure of merit of these three compounds increases with temperature, indicating the promising potential applications of these thermoelectric materials at high temperature. The significant difference of the polytype-dependent thermoelectric properties among SiC, GaN, and ZnO might be related to the competition between covalency and ionicity in these semiconductors. Our calculations may provide a new way to enhance the thermoelectric properties of wide-band-gap semiconductors through atomic structure design, especially hexagonality design for SiC.

  4. Ion Beam Assisted Deposition of Thin Epitaxial GaN Films.

    Science.gov (United States)

    Rauschenbach, Bernd; Lotnyk, Andriy; Neumann, Lena; Poppitz, David; Gerlach, Jürgen W

    2017-06-23

    The assistance of thin film deposition with low-energy ion bombardment influences their final properties significantly. Especially, the application of so-called hyperthermal ions (energy GaN thin films on (0001)-oriented 6H-SiC substrates at 700 °C. The films are studied in situ by reflection high energy electron diffraction, ex situ by X-ray diffraction, scanning tunnelling microscopy, and high-resolution transmission electron microscopy. It is demonstrated that the film growth mode can be controlled by varying the ion to atom ratio, where 2D films are characterized by a smooth topography, a high crystalline quality, low biaxial stress, and low defect density. Typical structural defects in the GaN thin films were identified as basal plane stacking faults, low-angle grain boundaries forming between w-GaN and z-GaN and twin boundaries. The misfit strain between the GaN thin films and substrates is relieved by the generation of edge dislocations in the first and second monolayers of GaN thin films and of misfit interfacial dislocations. It can be demonstrated that the low-energy nitrogen ion assisted molecular beam epitaxy is a technique to produce thin GaN films of high crystalline quality.

  5. Optical properties of C-doped bulk GaN wafers grown by halide vapor phase epitaxy

    International Nuclear Information System (INIS)

    Khromov, S.; Hemmingsson, C.; Monemar, B.; Hultman, L.; Pozina, G.

    2014-01-01

    Freestanding bulk C-doped GaN wafers grown by halide vapor phase epitaxy are studied by optical spectroscopy and electron microscopy. Significant changes of the near band gap (NBG) emission as well as an enhancement of yellow luminescence have been found with increasing C doping from 5 × 10 16 cm −3 to 6 × 10 17 cm −3 . Cathodoluminescence mapping reveals hexagonal domain structures (pits) with high oxygen concentrations formed during the growth. NBG emission within the pits even at high C concentration is dominated by a rather broad line at ∼3.47 eV typical for n-type GaN. In the area without pits, quenching of the donor bound exciton (DBE) spectrum at moderate C doping levels of 1–2 × 10 17 cm −3 is observed along with the appearance of two acceptor bound exciton lines typical for Mg-doped GaN. The DBE ionization due to local electric fields in compensated GaN may explain the transformation of the NBG emission

  6. P-type doping of GaN

    International Nuclear Information System (INIS)

    Wong, R.K.

    2000-01-01

    After implantation of As, As + Be, and As + Ga into GaN and annealing for short durations at temperatures as high as 1500 C, the GaN films remained highly resistive. It was apparent from c-RBS studies that although implantation damage did not create an amorphous layer in the GaN film, annealing at 1500 C did not provide enough energy to completely recover the radiation damage. Disorder recovered significantly after annealing at temperatures up to 1500 C, but not completely. From SIMS analysis, oxygen contamination in the AIN capping layer causes oxygen diffusion into the GaN film above 1400 C. The sapphire substrate (A1203) also decomposed and oxygen penetrated into the backside of the GaN layer above 1400 C. To prevent donor-like oxygen impurities from the capping layer and the substrate from contaminating the GaN film and compensating acceptors, post-implantation annealing should be done at temperatures below 1500 C. Oxygen in the cap could be reduced by growing the AIN cap on the GaN layer after the GaN growth run or by depositing the AIN layer in a ultra high vacuum (UHV) system post-growth to minimize residual oxygen and water contamination. With longer annealing times at 1400 C or at higher temperatures with a higher quality AIN, the implantation drainage may fully recover

  7. P-type doping of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Wong, Raechelle Kimberly [Univ. of California, Berkeley, CA (United States)

    2000-04-01

    After implantation of As, As + Be, and As + Ga into GaN and annealing for short durations at temperatures as high as 1500 C, the GaN films remained highly resistive. It was apparent from c-RBS studies that although implantation damage did not create an amorphous layer in the GaN film, annealing at 1500 C did not provide enough energy to completely recover the radiation damage. Disorder recovered significantly after annealing at temperatures up to 1500 C, but not completely. From SIMS analysis, oxygen contamination in the AIN capping layer causes oxygen diffusion into the GaN film above 1400 C. The sapphire substrate (A1203) also decomposed and oxygen penetrated into the backside of the GaN layer above 1400 C. To prevent donor-like oxygen impurities from the capping layer and the substrate from contaminating the GaN film and compensating acceptors, post-implantation annealing should be done at temperatures below 1500 C. Oxygen in the cap could be reduced by growing the AIN cap on the GaN layer after the GaN growth run or by depositing the AIN layer in a ultra high vacuum (UHV) system post-growth to minimize residual oxygen and water contamination. With longer annealing times at 1400 C or at higher temperatures with a higher quality AIN, the implantation drainage may fully recover.

  8. Kinetic instability of AlGaN alloys during MBE growth under metal-rich conditions on m-plane GaN miscut towards the -c axis

    Science.gov (United States)

    Shirazi-HD, M.; Diaz, R. E.; Nguyen, T.; Jian, J.; Gardner, G. C.; Wang, H.; Manfra, M. J.; Malis, O.

    2018-04-01

    AlxGa1-xN layers with Al-composition above 0.6 (0.6 < x < 0.9) grown under metal-rich conditions by plasma-assisted molecular beam epitaxy on m-plane GaN miscut towards the -c axis are kinetically unstable. Even under excess Ga flux, the effective growth rate of AlGaN is drastically reduced, likely due to suppression of Ga-N dimer incorporation. The defect structure generated during these growth conditions is studied with energy dispersive x-ray spectroscopy scanning transmission electron microscopy as a function of Al flux. The AlGaN growth results in the formation of thin Al(Ga)N layers with Al-composition higher than expected and lower Al-composition AlGaN islands. The AlGaN islands have a flat top and are elongated along the c-axis (i.e., stripe-like shape). Possible mechanisms for the observed experimental results are discussed. Our data are consistent with a model in which Al-N dimers promote release of Ga-N dimers from the m-plane surface.

  9. Operation of ohmic Ti/Al/Pt/Au multilayer contacts to GaN at 600 °C in air

    Science.gov (United States)

    Hou, Minmin; Senesky, Debbie G.

    2014-08-01

    The high-temperature characteristics (at 600 °C) of Ti/Al/Pt/Au multilayer contacts to gallium nitride (GaN) in air are reported. Microfabricated circular-transfer-line-method test structures were subject to 10 h of thermal storage at 600 °C. Intermittent electrical characterization during thermal storage showed minimal variation in the contact resistance after 2 h and that the specific contact resistivity remained on the order of 10-5 Ω-cm2. In addition, the thermally stored multilayer contacts to GaN showed ohmic I-V characteristics when electrically probed at 600 °C. The microstructural analysis with atomic force microscopy showed minimal changes in surface roughness after thermal storage. Observations of the thermochemical reactions after thermal storage using Auger electron spectroscopy chemical depth profiling showed diffusion of Pt and minimal additional Al oxidation. The results support the use of Ti/Al/Pt/Au multilayer metallization for GaN-based sensors and electronic devices that will operate within a high-temperature and oxidizing ambient.

  10. Low temperature (100 °C) atomic layer deposited-ZrO2 for recessed gate GaN HEMTs on Si

    Science.gov (United States)

    Byun, Young-Chul; Lee, Jae-Gil; Meng, Xin; Lee, Joy S.; Lucero, Antonio T.; Kim, Si Joon; Young, Chadwin D.; Kim, Moon J.; Kim, Jiyoung

    2017-08-01

    In this paper, the effect of atomic layer deposited ZrO2 gate dielectrics, deposited at low temperature (100 °C), on the characteristics of recessed-gate High Electron Mobility Transistors (HEMTs) on Al0.25Ga0.75N/GaN/Si is investigated and compared with the characteristics of those with ZrO2 films deposited at typical atomic layer deposited (ALD) process temperatures (250 °C). Negligible hysteresis (ΔVth 4 V), and low interfacial state density (Dit = 3.69 × 1011 eV-1 cm-2) were observed on recessed gate HEMTs with ˜5 nm ALD-ZrO2 films grown at 100 °C. The excellent properties of recessed gate HEMTs are due to the absence of an interfacial layer and an amorphous phase of the film. An interfacial layer between 250 °C-ZrO2 and GaN is observed via high-resolution transmission electron microscopy and X-ray photoelectron spectroscopy. However, 100 °C-ZrO2 and GaN shows no significant interfacial layer formation. Moreover, while 100 °C-ZrO2 films maintain an amorphous phase on either substrate (GaN and Si), 250 °C-ZrO2 films exhibit a polycrystalline-phase when deposited on GaN and an amorphous phase when deposited on Si. Contrary to popular belief, the low-temperature ALD process for ZrO2 results in excellent HEMT performance.

  11. Doping of GaN by ion implantation: Does It Work?

    International Nuclear Information System (INIS)

    Suvkhanov, A.; Wu, W.; Price, K.; Parikh, N.; Irene, E.; Hunn, J.; Thomson, D.; Davis, R.F.; Krasnobaev, L.

    1998-04-01

    Epitaxially grown GaN by metal organic chemical vapor deposition (MOCVD) on SiC were implanted with 100 keV Si + (for n-type) and 80 keV Mg + (for p-type) with various fluences from 1 x 10 12 to 7 x 10 15 ions/cm 2 at liquid nitrogen temperature (LT), room temperature (RT), and 700 C (HT). High temperature (1,200 C and 1,500 C) annealing was carried out after capping the GaN with epitaxial AlN by MOCVD to study damage recovery. Samples were capped by a layer of AlN in order to protect the GaN surface during annealing. Effects of implant temperature, damage and dopant activation are critically studied to evaluate a role of ion implantation in doping of GaN. The damage was studied by Rutherford Backscattering/Channeling, spectroscopic ellipsometry and photoluminescence. Results show dependence of radiation damage level on temperature of the substrate during implantation: implantations at elevated temperatures up to 550 C decrease the lattice disorder; hot implants above 550 C can not be useful in doping of GaN due to nitrogen loss from the surface. SE measurements have indicated very high sensitivity to the implantation damage. PL measurements at LT of 80 keV Mg + (5 x 10 14 cm 2 ) implanted and annealed GaN showed two peaks: one ∼ 100 meV and another ∼ 140 meV away from the band edge

  12. Effects of Precursor-Substrate Distances on the Growth of GaN Nanowires

    Directory of Open Access Journals (Sweden)

    Hongbin Cheng

    2015-01-01

    Full Text Available GaN nanowires were synthesized through the Ni-catalyzed chemical vapor deposition (CVD method using Ga2O3/GaN mixtures as gallium sources, and precursor-substrate distances were investigated as the important factor for the growth of GaN nanowires. The microstructure, composition, and photoluminescence property were characterized by X-ray diffraction, field emission scanning electron microscopy, high-resolution transmission electron microscopy, and photoluminescence spectra. The results showed that single crystalline GaN nanowires with the diameter of about 90 nm and the length up to tens of micrometers had been grown thickly across Si (100 substrates with uniform density. Moreover, the variations of the GaN nanowire morphology, density, and size were largely attributed to substrate positions which would influence Ga precursor density in the carrier gas, the saturation degree of gaseous reactants, and the catalyst activity, respectively, in the fabrication of GaN nanowires by the vapour liquid solid mechanism.

  13. Heteroepitaxial growth of basal plane stacking fault free a-plane GaN

    Energy Technology Data Exchange (ETDEWEB)

    Wieneke, Matthias; Hempel, Thomas; Noltemeyer, Martin; Witte, Hartmut; Dadgar, Armin; Blaesing, Juergen; Christen, Juergen; Krost, Alois [Otto-von-Guericke Universitaet Magdeburg, FNW/IEP, Magdeburg (Germany)

    2010-07-01

    Growth of light emitting quantum-wells based on a-plane GaN is a possibility to reduce or even to avoid polarization correlated luminescence red shift and reduction of radiative recombination efficiency. But until now heteroepitaxially grown a-plane GaN films are characterized by a poor crystalline quality expressed by a high density of basal plane stacking faults (BSF) and partial dislocations. We present Si doped a-plane GaN films grown on r-plane sapphire substrates by metal organic vapor phase epitaxy using high temperature AlGaN nucleation layers. FE-SEM images revealed three dimensionally grown GaN crystallites sized up to tenth micrometer in the basal plane and a few tenth micrometers along the c-axes. Though, the full width at half maxima of the X-ray diffraction {omega}-scans of the in-plane GaN(1 anti 100) and GaN(0002) Bragg reflections exhibited a very high crystal quality. Furthermore, luminescence spectra were dominated by near band gap emission, while there was no separated peak of the basal plane stacking fault. In summary we present heteroepitaxially grown a-plane GaN without an evidence of basal plane stacking faults in X-ray diffraction measurements and luminescence spectra.

  14. Surface States Effect on the Large Photoluminescence Redshift in GaN Nanostructures

    KAUST Repository

    Ben Slimane, Ahmed; Najar, Adel; Ooi, Boon S.; Shen, Chao; Anjum, Dalaver H.; San-Romá n-Alerigi, Damiá n P.; Ng, Tien Khee

    2013-01-01

    We report on the large photoluminescence redshift observed in nanostructures fabricated using n-type GaN by ultraviolet (UV) metal-assisted electroless chemical-etching method. The scanning electron microscopy (SEM) characterization showed

  15. The impact of SiC substrate treatment on the heteroepitaxial growth of GaN by plasma assisted MBE

    Energy Technology Data Exchange (ETDEWEB)

    Brown, A.S.; Kim, T.H.; Choi, S.; Morse, M.; Wu, P. [Department of Electrical and Computer Engineering, Duke University, Durham, NC 27709 (United States); Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, and INSTM via Orabona 4 -70126, Bari (Italy)

    2005-11-01

    We report on the impact of the preparation of the Si-face 4H-SiC(0001){sub Si} substrate using a Ga flash-off process on the epitaxial growth of GaN by plasma-assisted molecular beam epitaxy. The nucleation, as well as the resultant structural and morphological properties of GaN grown directly on 4H-SiC(0001){sub Si} are strongly influenced by the chemical and morphological modifications of the SiC surface induced by the Ga flash-off process. Herein we describe the impact of the specific concentration of Ga incident on the surface (quantified in terms of monolayer (ML) coverage): of 0.5 ML, 1ML and 2ML. The residual oxygen at the SiC surface, unintentional SiC nitridation and the formation of cubic GaN grains during the initial nucleation stage, are all reduced when a 2 ML Ga flash is used. All of the above factors result in structural improvement of the GaN epitaxial layers. The correlation between the SiC surface modification, the initial nucleation stage, and the GaN epitaxial layer structural quality has been articulated using x-ray photoelectron spectroscopy, X-ray diffraction, atomic force microscopy and spectroscopic ellipsometry data. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Buffer free MOCVD growth of GaN on 4H-SiC: Effect of substrate treatments and UV-photoirradiation

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, Maria; Giangregorio, Maria M.; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Kim, Tong-Ho; Choi, Soojeong; Brown, April [Department of Electrical and Computer Engineering, Duke University, Durham, NC 27709 (United States)

    2006-05-15

    GaN has been grown directly on the Si-face 4H-SiC(0001) substrates using remote plasma-assisted metalorganic chemical vapour deposition (RP-MOCVD) with UV-light irradiation. The effects of substrate pre-treatments and UV-photoirradiation of the growth surface on GaN nucleation and film morphology are investigated. Optical data from spectroscopic ellipsometry measurements and morphological data show an improvement in nucleation and material quality with UV-light irradiation. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Fabrication of p-type porous GaN on silicon and epitaxial GaN

    OpenAIRE

    Bilousov, Oleksandr V.; Geaney, Hugh; Carvajal, Joan J.; Zubialevich, Vitaly Z.; Parbrook, Peter J.; Giguere, A.; Drouin, D.; Diaz, Francesc; Aguilo, Magdalena; O'Dwyer, Colm

    2013-01-01

    Porous GaN layers are grown on silicon from gold or platinum catalyst seed layers, and self-catalyzed on epitaxial GaN films on sapphire. Using a Mg-based precursor, we demonstrate p-type doping of the porous GaN. Electrical measurements for p-type GaN on Si show Ohmic and Schottky behavior from gold and platinum seeded GaN, respectively. Ohmicity is attributed to the formation of a Ga2Au intermetallic. Porous p-type GaN was also achieved on epitaxial n-GaN on sapphire, and transport measurem...

  18. Investigation of GaN LED with Be-implanted Mg-doped GaN layer

    International Nuclear Information System (INIS)

    Huang, H.-W.; Kao, C.C.; Chu, J.T.; Kuo, H.C.; Wang, S.C.; Yu, C.C.; Lin, C.F.

    2004-01-01

    We report the electrical and optical characteristics of GaN light emitting diode (LED) with beryllium (Be) implanted Mg-doped GaN layer. The p-type layer of Be-implanted GaN LED showed a higher hole carrier concentration of 2.3 x 10 18 cm -3 and low specific contact resistance value of 2.0 x 10 -4 Ωcm 2 than as-grown p-GaN LED samples without Be-implantation. The Be-implanted GaN LEDs with InGaN/GaN MQW show slightly lower light output (about 10%) than the as-grown GaN LEDs, caused by the high RTA temperature annealing process

  19. GaN Nanowire Arrays for High-Output Nanogenerators

    KAUST Repository

    Huang, Chi-Te

    2010-04-07

    Three-fold symmetrically distributed GaN nanowire (NW) arrays have been epitaxially grown on GaN/sapphire substrates. The GaN NW possesses a triangular cross section enclosed by (0001), (2112), and (2112) planes, and the angle between the GaN NW and the substrate surface is ∼62°. The GaN NW arrays produce negative output voltage pulses when scanned by a conductive atomic force microscope in contact mode. The average of piezoelectric output voltage was about -20 mV, while 5-10% of the NWs had piezoelectric output voltages exceeding -(0.15-0.35) V. The GaN NW arrays are highly stable and highly tolerate to moisture in the atmosphere. The GaN NW arrays demonstrate an outstanding potential to be utilized for piezoelectric energy generation with a performance probably better than that of ZnO NWs. © 2010 American Chemical Society.

  20. Highly resistive C-doped hydride vapor phase epitaxy-GaN grown on ammonothermally crystallized GaN seeds

    Science.gov (United States)

    Iwinska, Malgorzata; Piotrzkowski, Ryszard; Litwin-Staszewska, Elzbieta; Sochacki, Tomasz; Amilusik, Mikolaj; Fijalkowski, Michal; Lucznik, Boleslaw; Bockowski, Michal

    2017-01-01

    GaN crystals were grown by hydride vapor phase epitaxy (HVPE) and doped with C. The seeds were high-structural-quality ammonothermally crystallized GaN. The grown crystals were highly resistive at 296 K and of high structural quality. High-temperature Hall effect measurements revealed p-type conductivity and a deep acceptor level in the material with an activation energy of 1 eV. This is in good agreement with density functional theory calculations based on hybrid functionals as presented by the Van de Walle group. They obtained an ionization energy of 0.9 eV when C was substituted for N in GaN and acted as a deep acceptor.

  1. Effect of SiC buffer layer on GaN growth on Si via PA-MBE

    Science.gov (United States)

    Kukushkin, S. A.; Mizerov, A. M.; Osipov, A. V.; Redkov, A. V.; Telyatnik, R. S.; Timoshnev, S. N.

    2017-11-01

    The study is devoted to comparison of GaN thin films grown on SiC/Si substrates made by the method of atoms substitution with the films grown directly on Si substrates. The growth was performed in a single process via plasma assisted molecular beam epitaxy. The samples were studied via optical microscopy, Raman spectroscopy, ellipsometry, and a comparison of their characteristics was made. Using chemical etching in KOH, the polarity of GaN films grown on SiC/Si and Si substrates was determined.

  2. TEM characterization of catalyst- and mask-free grown GaN nanorods

    International Nuclear Information System (INIS)

    Schowalter, M; Aschenbrenner, T; Kruse, C; Hommel, D; Rosenauer, A

    2010-01-01

    Catalyst- and mask-free grown GaN nanorods have been investigated using transmission electron microscopy (TEM), scanning transmission electron microscopy (STEM) and energy filtered transmission electron microscopy (EFTEM). The nanorods were grown on nitridated r-plane sapphire substrates in a molecular beam epitaxy reactor. We investigated samples directly after the nitridation and after the overgrowth of the structure with GaN. High resolution transmission electron microscopy (HRTEM) and EFTEM revealed that AlN islands have formed due to nitridation. After overgrowth, the AlN islands could not be observed any more, neither by EFTEM nor by Z-contrast imaging. Instead, a smooth layer consisting of AlGaN was found. The investigation of the overgrown sample revealed that an a-plane GaN layer and GaN nanorods on top of the a-plane GaN have formed. The nanorods reduced from top of the a-plane GaN towards the a-plane GaN/sapphire interface suggesting that the nanorods originate at the AlN islands found after nitridation. However, this could not be shown unambiguously. The number of threading dislocations in the nanorods was very low. The analysis of the epitaxial relationship to the a-plane GaN showed that the nanorods grew along the [000-1] direction, and the [1-100] direction of the rods was parallel to the [0001] direction of the a-plane GaN.

  3. Synthesis and characteristics of sword-like GaN nanorods clusters through ammoniating Ga2O3 thin films

    International Nuclear Information System (INIS)

    Xue Chengshane; Tian Deheng; Zhuang Huizhao; Zhang Xiaokai; Wu Yuxin; Liu Yi'an; He Jianting; Ai Yujie

    2006-01-01

    Sword-like GaN nanorods have been successfully synthesized by ammoniating Ga 2 O 3 thin films deposited on Si substrate by magnetron sputtering. The GaN nanorods have been characterized by scanning electron microscopy (SEM), X-ray diffraction (XRD), high-resolution transmission electron microscopy (HRTEM) and selected area electron diffraction (SAED). SEM images show that sword-like GaN nanorods take on radial structure. The XRD and SAED analyses have identified that the nanorods are pure hexagonal GaN with single crystalline wurtzite structure. The HRTEM images indicate that the nanorods are well crystallized and nearly free from defects

  4. Growth and characterization of Fe nanostructures on GaN

    International Nuclear Information System (INIS)

    Honda, Yuya; Hayakawa, Satoko; Hasegawa, Shigehiko; Asahi, Hajime

    2009-01-01

    We have investigated the growth of Fe nanostructures on GaN(0 0 0 1) substrates at room temperature using reflection high-energy electron diffraction (RHEED), scanning tunneling microscopy (STM), and superconducting quantum interference device magnetometer. Initially, a ring RHEED pattern appears, indicating the growth of polycrystalline α-Fe. At around 0.5 nm deposition, the surface displays a transmission pattern from α-Fe films with the epitaxial relationship of Fe(1 1 0)//GaN(0 0 0 1) and Fe[1 -1 1]//GaN[1 1 -2 0] (Kurdjumov-Sachs (KS) orientational relationship). Further deposition to 1 nm results in the appearance of a new spot pattern together with the pattern from domains with the KS orientation relationship. The newly observed pattern shows that Fe layers are formed with the epitaxial relationship of Fe(1 1 0)//GaN(0 0 0 1) and Fe[0 0 1]//GaN[1 1 -2 0] (Nishiyama-Wasserman (NW) orientational relationship). From STM images for Fe layers with the KS and NW orientational relationships, it can be seen that Fe layers with the KS relationship consist of round-shaped Fe nanodots with below 7 nm in average diameter. These nanodots coalesce to form nanodots elongating along the Fe[1 0 0] direction, and they have the KS orientational relationship. Elongated Fe nanodots with the NW relationship show ferromagnetism while round-shaped Fe nanodots with the KS relationship show super-paramagnetic behavior. We will discuss their magnetic properties in connection with the change in crystalline configurations of nanodots.

  5. Effect of High-Temperature Annealing on Yellow and Blue Luminescence of Undoped GaN

    International Nuclear Information System (INIS)

    Chai Xu-Zhao; Zhou Dong; Liu Bin; Xie Zi-Li; Han Ping; Xiu Xiang-Qian; Chen Peng; Lu Hai; Zhang Rong; Zheng You-Dou

    2015-01-01

    The effect of high-temperature annealing on the yellow and blue luminescence of the undoped GaN is investigated by photoluminescence (PL) and x-ray photoelectron spectroscopy (XPS). It is found that the band-edge emission in the GaN apparently increases, and the yellow luminescence (YL) and blue luminescence (BL) bands dramatically decrease after annealing at 700°C. At the annealing temperature higher than 900°C, the YL and BL intensities show an enhancement for the nitrogen annealed GaN. This fact should be attributed to the increment of the Ga and N vacancies in the GaN decomposition. However, the integrated PL intensity of the oxygen annealed GaN decreases at the temperature ranging from 900°C to 1000°C. This results from the capture of many photo-generated holes by high-density surface states. XPS characterization confirms that the high-density surface states mainly originate from the incorporation of oxygen atoms into GaN at the high annealing temperature, and even induces the 0.34eV increment of the upward band bending for the oxygen annealed GaN at 1000°C. (paper)

  6. Hexagonal (wurtzite) GaN inclusions as a defect in cubic (zinc-blende) GaN

    International Nuclear Information System (INIS)

    Zainal, N.; Novikov, S.V.; Akimov, A.V.; Staddon, C.R.; Foxon, C.T.; Kent, A.J.

    2012-01-01

    The dependence of the hexagonal fraction with thickness in MBE-grown bulk cubic (c-) GaN epilayer is presented in this paper. A number of c-GaN epilayers with different thicknesses were characterized via PL and XRD measurements. From the PL spectra, the signal due to h-GaN inclusions increases as the thickness of the c-GaN increases. On the contrary, in the XRD diffractogram, c-GaN shows a dominant signal at all thicknesses, and only a weak peak at ∼35° is observed in the diffractogram, implying the existence of a small amount of h-GaN in the c-GaN layer. The best quality of c-GaN is observed in the first 10 μm of GaN on the top of GaAs substrate. Even though the hexagonal content increases with the thickness, the average content remains below 20% in c-GaN layers up to 50 μm thick. The surface morphology of thick c-GaN is also presented.

  7. Photoelectrochemical liftoff of LEDs grown on freestanding c-plane GaN substrates

    KAUST Repository

    Hwang, David

    2016-09-23

    We demonstrate a thin-film flip-chip (TFFC) process for LEDs grown on freestanding c-plane GaN substrates. LEDs are transferred from a bulk GaN substrate to a sapphire submount via a photoelectrochemical (PEC) undercut etch. This PEC liftoff method allows for substrate reuse and exposes the N-face of the LEDs for additional roughening. The LEDs emitted at a wavelength of 432 nm with a turn on voltage of ~3 V. Etching the LEDs in heated KOH after transferring them to a sapphire submount increased the peak external quantum efficiency (EQE) by 42.5% from 9.9% (unintentionally roughened) to 14.1% (intentionally roughened).

  8. ARM MJO Investigation Experiment on Gan Island (AMIE-Gan) Science Plan

    Energy Technology Data Exchange (ETDEWEB)

    Long, CL; Del Genio, A; Deng, M; Fu, X; Gustafson, W; Houze, R; Jakob, C; Jensen, M; Johnson, R; Liu, X; Luke, E; May, P; McFarlane, S; Minnis, P; Schumacher, C; Vogelmann, A; Wang, Y; Webster, P; Xie, S; Zhang, C

    2011-04-11

    The overarching campaign, which includes the ARM Mobile Facility 2 (AMF2) deployment in conjunction with the Dynamics of the Madden-Julian Oscillation (DYNAMO) and the Cooperative Indian Ocean experiment on intraseasonal variability in the Year 2011 (CINDY2011) campaigns, is designed to test several current hypotheses regarding the mechanisms responsible for Madden-Julian Oscillation (MJO) initiation and propagation in the Indian Ocean area. The synergy between the proposed AMF2 deployment with DYNAMO/CINDY2011, and the corresponding funded experiment on Manus, combine for an overarching ARM MJO Investigation Experiment (AMIE) with two components: AMF2 on Gan Island in the Indian Ocean (AMIE-Gan), where the MJO initiates and starts its eastward propagation; and the ARM Manus site (AMIE-Manus), which is in the general area where the MJO usually starts to weaken in climate models. AMIE-Gan will provide measurements of particular interest to Atmospheric System Research (ASR) researchers relevant to improving the representation of MJO initiation in climate models. The framework of DYNAMO/CINDY2011 includes two proposed island-based sites and two ship-based locations forming a square pattern with sonde profiles and scanning precipitation and cloud radars at both island and ship sites. These data will be used to produce a Variational Analysis data set coinciding with the one produced for AMIE-Manus. The synergy between AMIE-Manus and AMIE-Gan will allow studies of the initiation, propagation, and evolution of the convective cloud population within the framework of the MJO. As with AMIE-Manus, AMIE-Gan/DYNAMO also includes a significant modeling component geared toward improving the representation of MJO initiation and propagation in climate and forecast models. This campaign involves the deployment of the second, marine-capable, AMF; all of the included measurement systems; and especially the scanning and vertically pointing radars. The campaign will include sonde

  9. Structural and optical characterization of GaN heteroepitaxial films on SiC substrates

    International Nuclear Information System (INIS)

    Morse, M.; Wu, P.; Choi, S.; Kim, T.H.; Brown, A.S.; Losurdo, M.; Bruno, G.

    2006-01-01

    We have estimated the threading dislocation density and type via X-ray diffraction and Williamson-Hall analysis to elicit qualitative information directly related to the electrical and optical quality of GaN epitaxial layers grown by PAMBE on 4H- and 6H-SiC substrates. The substrate surface preparation and buffer choice, specifically: Ga flashing for SiC oxide removal, controlled nitridation of SiC, and use of AlN buffer layers all impact the resultant screw dislocation density, but do not significantly influence the edge dislocation density. We show that modification of the substrate surface strongly affects the screw dislocation density, presumably due to impact on nucleation during the initial stages of heteroepitaxy

  10. Structural and optical characterization of GaN heteroepitaxial films on SiC substrates

    Energy Technology Data Exchange (ETDEWEB)

    Morse, M. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States) and Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States)]. E-mail: michael.morse@duke.edu; Wu, P. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States); Choi, S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States); Kim, T.H. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States); Brown, A.S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States) and Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States)]. E-mail: abrown@ee.duke.edu; Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona, 4-70126 Bari (Italy); Bruno, G. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona, 4-70126 Bari (Italy)

    2006-10-31

    We have estimated the threading dislocation density and type via X-ray diffraction and Williamson-Hall analysis to elicit qualitative information directly related to the electrical and optical quality of GaN epitaxial layers grown by PAMBE on 4H- and 6H-SiC substrates. The substrate surface preparation and buffer choice, specifically: Ga flashing for SiC oxide removal, controlled nitridation of SiC, and use of AlN buffer layers all impact the resultant screw dislocation density, but do not significantly influence the edge dislocation density. We show that modification of the substrate surface strongly affects the screw dislocation density, presumably due to impact on nucleation during the initial stages of heteroepitaxy.

  11. Above room-temperature ferromagnetism of Mn delta-doped GaN nanorods

    International Nuclear Information System (INIS)

    Lin, Y. T.; Wadekar, P. V.; Kao, H. S.; Chen, T. H.; Chen, Q. Y.; Tu, L. W.; Huang, H. C.; Ho, N. J.

    2014-01-01

    One-dimensional nitride based diluted magnetic semiconductors were grown by plasma-assisted molecular beam epitaxy. Delta-doping technique was adopted to dope GaN nanorods with Mn. The structural and magnetic properties were investigated. The GaMnN nanorods with a single crystalline structure and with Ga sites substituted by Mn atoms were verified by high-resolution x-ray diffraction and Raman scattering, respectively. Secondary phases were not observed by high-resolution x-ray diffraction and high-resolution transmission electron microscopy. In addition, the magnetic hysteresis curves show that the Mn delta-doped GaN nanorods are ferromagnetic above room temperature. The magnetization with magnetic field perpendicular to GaN c-axis saturates easier than the one with field parallel to GaN c-axis

  12. Study of using aqueous NH{sub 3} to synthesize GaN nanowires on Si(1 1 1) by thermal chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saron, K.M.A., E-mail: kamalmohammedabdalla@yahoo.com [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, University Sains Malaysia, Penang 11800 (Malaysia); Hashim, M.R. [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, University Sains Malaysia, Penang 11800 (Malaysia)

    2013-03-20

    Highlights: ► This study presents a facile, low cost and safe method to synthesize high quality GaN NWs, by using NH{sub 3} solution as N source. ► Moderating the N{sub 2} flow rate improved the crystalline quality of the NWs and also produced zigzag shaped NWs. ► Raman spectra showed that the synthesized GaN NWs had hexagonal wurtzite structures as a result of increased tensile stress. ► By moderating N{sub 2} flow, strong NBE emission peaks at about 364 nm and YB is subsided. -- Abstract: High-quality GaN nanowires (NWs) and zigzag-shaped NWs were grown on catalyst-free Si(1 1 1) substrate by thermal chemical vapor deposition (TCVD). Gallium (Ga) metal and aqueous NH{sub 3} solution are used as a source of materials. Ga vapor was directly reacts with gaseous NH{sub 3} under controlled nitrogen flow at 1050 °C. Scanning electron microscopy (SEM) images showed that the morphology of GaN displayed various densities of NWs and zigzag NWs depending on the gas flow rate, and increased nitrogen flow rate caused density reduction. The GaN NWs exhibited clear X-ray diffraction analysis (XRD) peaks that corresponded to GaN with hexagonal wurtzite structures. The photoluminescence spectra showed that the ultraviolet band emission of GaN NWs had a strong near band-edge emission (NBE) at 361–367 nm. Yellow band emissions were observed at low and high flow rates due to nitrogen and Ga vacancies, respectively. Moderate N{sub 2} flow resulted in a strong NBE emission and a high optical quality of the NWs. This study shows the possibility of low-cost synthesis of GaN nanostructures on Si wafers using aqueous NH{sub 3} solution.

  13. Pt-decorated GaN nanowires with significant improvement in H2 gas-sensing performance at room temperature.

    Science.gov (United States)

    Abdullah, Q N; Yam, F K; Hassan, Z; Bououdina, M

    2015-12-15

    Superior sensitivity towards H2 gas was successfully achieved with Pt-decorated GaN nanowires (NWs) gas sensor. GaN NWs were fabricated via chemical vapor deposition (CVD) route. Morphology (field emission scanning electron microscopy and transmission electron microscopy) and crystal structure (high resolution X-ray diffraction) characterizations of the as-synthesized nanostructures demonstrated the formation of GaN NWs having a wurtzite structure, zigzaged shape and an average diameter of 30-166nm. The Pt-decorated GaN NWs sensor shows a high response of 250-2650% upon exposure to H2 gas concentration from 7 to 1000ppm respectively at room temperature (RT), and then increases to about 650-4100% when increasing the operating temperature up to 75°C. The gas-sensing measurements indicated that the Pt-decorated GaN NWs based sensor exhibited efficient detection of H2 at low concentration with excellent sensitivity, repeatability, and free hysteresis phenomena over a period of time of 100min. The large surface-to-volume ratio of GaN NWs and the catalytic activity of Pt metal are the most influential factors leading to the enhancement of H2 gas-sensing performances through the improvement of the interaction between the target molecules (H2) and the sensing NWs surface. The attractive low-cost, low power consumption and high-performance of the resultant decorated GaN NWs gas sensor assure their uppermost potential for H2 gas sensor working at low operating temperature. Copyright © 2015 Elsevier Inc. All rights reserved.

  14. On the phenomenon of large photoluminescence red shift in GaN nanoparticles

    KAUST Repository

    Ben Slimane, Ahmed

    2013-07-01

    We report on the observation of broad photoluminescence wavelength tunability from n-type gallium nitride nanoparticles (GaN NPs) fabricated using the ultraviolet metal-assisted electroless etching method. Transmission and scanning electron microscopy measurements performed on the nanoparticles revealed large size dispersion ranging from 10 to 100 nm. Nanoparticles with broad tunable emission wavelength from 362 to 440 nm have been achieved by exciting the samples using the excitation power-dependent method. We attribute this large wavelength tunability to the localized potential fluctuations present within the GaN matrix and to vacancy-related surface states. Our results show that GaN NPs fabricated using this technique are promising for tunable-color-temperature white light-emitting diode applications. © 2013 Slimane et al.; licensee Springer.

  15. On the phenomenon of large photoluminescence red shift in GaN nanoparticles

    KAUST Repository

    Ben Slimane, Ahmed; Anjum, Dalaver H.; Elafandy, Rami T.; Najar, Adel; Ng, Tien Khee; San Roman Alerigi, Damian; Ooi, Boon S.

    2013-01-01

    We report on the observation of broad photoluminescence wavelength tunability from n-type gallium nitride nanoparticles (GaN NPs) fabricated using the ultraviolet metal-assisted electroless etching method. Transmission and scanning electron microscopy measurements performed on the nanoparticles revealed large size dispersion ranging from 10 to 100 nm. Nanoparticles with broad tunable emission wavelength from 362 to 440 nm have been achieved by exciting the samples using the excitation power-dependent method. We attribute this large wavelength tunability to the localized potential fluctuations present within the GaN matrix and to vacancy-related surface states. Our results show that GaN NPs fabricated using this technique are promising for tunable-color-temperature white light-emitting diode applications. © 2013 Slimane et al.; licensee Springer.

  16. Photoluminescence studies of cubic phase GaN grown by molecular beam epitaxy on (001) silicon covered with SiC layer

    International Nuclear Information System (INIS)

    Godlewski, M.; Ivanov, V.Yu.; Bergman, J.P.; Monemar, B.; Barski, A.; Langer, R.

    1997-01-01

    In this work we evaluate optical properties of cubic phase GaN epilayers grown on top of (001) silicon substrate prepared by new process. Prior to the growth Si substrate was annealed at 1300-1400 o C in propane. The so-prepared substrate is covered within a thin (∼ 4 nm) SiC wafer, which allowed a successful growth of good morphological quality cubic phase GaN epilayers. The present results confirm recent suggestion on smaller ionization energies of acceptors in cubic phase GaN epilayers. (author)

  17. Growth modes of InN (000-1) on GaN buffer layers on sapphire

    International Nuclear Information System (INIS)

    Liu Bing; Kitajima, Takeshi; Chen Dongxue; Leone, Stephen R.

    2005-01-01

    In this work, using atomic force microscopy and scanning tunneling microscopy, we study the surface morphologies of epitaxial InN films grown by plasma-assisted molecular beam epitaxy with intervening GaN buffer layers on sapphire substrates. On smooth GaN buffer layers, nucleation and evolution of three-dimensional InN islands at various coverages and growth temperatures are investigated. The shapes of the InN islands are observed to be predominantly mesalike with large flat (000-1) tops, which suggests a possible role of indium as a surfactant. Rough GaN buffer layers composed of dense small GaN islands are found to significantly improve uniform InN wetting of the substrates, on which atomically smooth InN films are obtained that show the characteristics of step-flow growth. Scanning tunneling microscopy imaging reveals the defect-mediated surface morphology of smooth InN films, including surface terminations of screw dislocations and a high density of shallow surface pits with depths less than 0.3 nm. The mechanisms of the three-dimensional island size and shape evolution and formation of defects on smooth surfaces are considered

  18. Growth of cubic GaN on a nitrided AlGaAs (001) substrate by using hydried vapor phase epitaxy

    International Nuclear Information System (INIS)

    Lee, H. J.; Yang, M.; Ahn, H. S.; Kim, K. H.; Yi, J. Y.; Jang, K. S.; Chang, J. H.; Kim, H. S.; Cho, C. R.; Kim, S. W.

    2006-01-01

    GaN layers were grown on AlGaAs (001) substrates by using hydride vapor phase epitaxy (HVPE). Growth parameters such as the nitridation temperature of the AlGaAs substrate and the growth rate of the GaN layer were found to be critical determinants for the growth of cubic GaN layer. Nitridation of the AlGaAs surface was performed in a NH 3 atmosphere at a temperature range of 550 - 700 .deg. C. GaN layers were grown at different growth rates on the nitrided AlGaAs substrates. The surface morphologies and the chemical constituents of the nitrided AlGaAs layers were characterized with scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS). For the optical and the crystalline characterization of the GaN films, cathodoluminescence (CL) and X-ray diffraction (XRD) were carried out.

  19. Synthesis and Raman scattering of GaN nanorings, nanoribbons and nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Li, Z.J. [Academia Sinica, Beijing, BJ (China). Inst. of Physics; Northwestern Polytechnical Univ., Xian, SN (China). Dept. of Materials Science and Engineering; Chen, X.L.; Tu, Q.Y.; Yang, Z.; Xu, Y.P.; Hu, B.Q. [Academia Sinica, Beijing, BJ (China). Inst. of Physics; Li, H.J. [Northwestern Polytechnical Univ., Xian, SN (China). Dept. of Materials Science and Engineering

    2001-05-01

    Low-dimensional GaN materials, including nanorings, nanoribbons and smooth nanowires have been synthesized by reacting gallium and ammonia using Ag particles as a catalyst on the substrate of MgO single crystals. They were characterized by field emission scanning electron microscopy (FE-SEM), energy dispersive X-ray spectroscopy (EDX) and X-ray diffraction (XRD). EDX, XRD indicated that the low-dimensional nanomaterials were wurtzite GaN. New features are found in Raman scatterings for these low-dimensional GaN materials, which are different from the previous observations of GaN materials. (orig.)

  20. Structure guided GANs

    Science.gov (United States)

    Cao, Feidao; Zhao, Huaici; Liu, Pengfei

    2017-11-01

    Generative adversarial networks (GANs) has achieved success in many fields. However, there are some samples generated by many GAN-based works, whose structure is ambiguous. In this work, we propose Structure Guided GANs that introduce structural similar into GANs to overcome the problem. In order to achieve our goal, we introduce an encoder and a decoder into a generator to design a new generator and take real samples as part of the input of a generator. And we modify the loss function of the generator accordingly. By comparison with WGAN, experimental results show that our proposed method overcomes largely sample structure ambiguous and can generate higher quality samples.

  1. Optical nonlinearities and ultrafast all-optical switching of m-plane GaN in the near-infrared

    Energy Technology Data Exchange (ETDEWEB)

    Fang, Yu; Zhou, Feng; Yang, Junyi; Yang, Yong [College of Physics, Optoelectronics and Energy, Soochow University, 215006 Suzhou (China); Xiao, Zhengguo; Wu, Xingzhi [Department of Physics, Harbin Institute of Technology, 150001 Harbin (China); Song, Yinglin, E-mail: ylsong@hit.edu.cn [College of Physics, Optoelectronics and Energy, Soochow University, 215006 Suzhou (China); Department of Physics, Harbin Institute of Technology, 150001 Harbin (China)

    2015-06-22

    We reported a systematic investigation on the three-photon absorption (3PA) spectra and wavelength dispersion of Kerr refraction of bulk m-plane GaN crystal with both polarization E⊥c and E//c by femtosecond Z-scan technique in the near-infrared region from 760 to 1030 nm. Both 3PA spectra and Kerr refraction dispersion were in good agreement with two-band models. The calculated nonlinear figure of merit and measured ultrafast nonlinear refraction dynamics via femtosecond pump-probe with phase object method revealed that m-plane GaN would be a promising candidate for ultrafast all-optical switching and autocorrelation applications at telecommunication wavelengths.

  2. Growth of InGaN multiple quantum wells and GaN eplilayer on GaN substrate

    International Nuclear Information System (INIS)

    Lee, Sung-Nam; Paek, H.S.; Son, J.K.; Sakong, T.; Yoon, E.; Nam, O.H.; Park, Y.

    2006-01-01

    We investigated that the surface morphology of GaN epilayers was significantly affected by the surface tilt orientation of GaN substrate. Surface morphologies of GaN epilayers on GaN substrates show three types: mirror, wavy, and hillock. These surface morphologies are dependent on the surface orientation of GaN substrates. It is found that the hillock morphology of GaN epilayer was formed on the GaN substrate with surface tilt orientation less than 0.1 o . As the surface tilt angle increased to 0.35 o , the surface morphology varied from hillock to wavy morphology. Above a surface tilt angle of 0.4 o , surface morphology changed to the mirror-like type morphology. Additionally, these three types of GaN surface morphology also affected the optical quality of GaN epilayers as well as InGaN multiple quantum wells on GaN substrates by non-uniform In incorporation on the different surface morphologies of GaN epilayers

  3. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite.

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-27

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  4. Piezotronic Effect in Polarity-Controlled GaN Nanowires.

    Science.gov (United States)

    Zhao, Zhenfu; Pu, Xiong; Han, Changbao; Du, Chunhua; Li, Linxuan; Jiang, Chunyan; Hu, Weiguo; Wang, Zhong Lin

    2015-08-25

    Using high-quality and polarity-controlled GaN nanowires (NWs), we studied the piezotronic effect in crystal orientation defined wurtzite structures. By applying a normal compressive force on c-plane GaN NWs with an atomic force microscopy tip, the Schottky barrier between the Pt tip and GaN can be effectively tuned by the piezotronic effect. In contrast, the normal compressive force cannot change the electron transport characteristics in m-plane GaN NWs whose piezoelectric polarization axis is turned in the transverse direction. This observation provided solid evidence for clarifying the difference between the piezotronic effect and the piezoresistive effect. We further demonstrated a high sensitivity of the m-plane GaN piezotronic transistor to collect the transverse force. The integration of c-plane GaN and m-plane GaN indicates an overall response to an external force in any direction.

  5. GaN epilayers on nanopatterned GaN/Si(1 1 1) templates: Structural and optical characterization

    International Nuclear Information System (INIS)

    Wang, L.S.; Tripathy, S.; Wang, B.Z.; Chua, S.J.

    2006-01-01

    Template-based nanoscale epitaxy has been explored to realize high-quality GaN on Si(1 1 1) substrates. We have employed polystyrene-based nanosphere lithography to form the nano-hole array patterns on GaN/Si(1 1 1) template and then, subsequent regrowth of GaN is carried out by metalorganic chemical vapor deposition (MOCVD). During the initial growth stage of GaN on such nanopatterned substrates, we have observed formation of nanoislands with hexagonal pyramid shape due to selective area epitaxy. With further epitaxial regrowth, these nanoislands coalesce and form continuous GaN film. The overgrown GaN on patterned and non-patterned regions is characterized by high-resolution X-ray diffraction (HRXRD) and high-spatial resolution optical spectroscopic methods. Micro-photoluminescence (PL), micro-Raman scattering and scanning electron microscopy (SEM) have been used to assess the microstructural and optical properties of GaN. Combined PL and Raman data analyses show improved optical quality when compared to GaN simultaneously grown on non-patterned bulk Si(1 1 1). Such thicker GaN templates would be useful to achieve III-nitride-based opto- and electronic devices integrated on Si substrates

  6. Two-dimensional X-ray diffraction and transmission electron microscopy study on the effect of magnetron sputtering atmosphere on GaN/SiC interface and gallium nitride thin film crystal structure

    Energy Technology Data Exchange (ETDEWEB)

    Shen, Huaxiang, E-mail: shenhuaxiang@gmail.com [Department of Materials Science and Engineering, McMaster University, Hamilton, Ontario L8S 4L7 (Canada); Zhu, Guo-Zhen; Botton, Gianluigi A. [Department of Materials Science and Engineering, McMaster University, Hamilton, Ontario L8S 4L7 (Canada); Canadian Centre for Electron Microscopy, McMaster University, Hamilton, Ontario L8S 4L7 (Canada); Kitai, Adrian [Department of Materials Science and Engineering, McMaster University, Hamilton, Ontario L8S 4L7 (Canada); Department of Engineering Physics, McMaster University, Hamilton, Ontario L8S 4L7 (Canada)

    2015-03-21

    The growth mechanisms of high quality GaN thin films on 6H-SiC by sputtering were investigated by X-ray diffraction (XRD) and scanning transmission electron microscopy (STEM). The XRD θ-2θ scans show that high quality (0002) oriented GaN was deposited on 6H-SiC by reactive magnetron sputtering. Pole figures obtained by 2D-XRD clarify that GaN thin films are dominated by (0002) oriented wurtzite GaN and (111) oriented zinc-blende GaN. A thin amorphous silicon oxide layer on SiC surfaces observed by STEM plays a critical role in terms of the orientation information transfer from the substrate to the GaN epilayer. The addition of H{sub 2} into Ar and/or N{sub 2} during sputtering can reduce the thickness of the amorphous layer. Moreover, adding 5% H{sub 2} into Ar can facilitate a phase transformation from amorphous to crystalline in the silicon oxide layer and eliminate the unwanted (33{sup ¯}02) orientation in the GaN thin film. Fiber texture GaN thin films can be grown by adding 10% H{sub 2} into N{sub 2} due to the complex reaction between H{sub 2} and N{sub 2}.

  7. Significantly improved surface morphology of N-polar GaN film grown on SiC substrate by the optimization of V/III ratio

    Science.gov (United States)

    Deng, Gaoqiang; Zhang, Yuantao; Yu, Ye; Yan, Long; Li, Pengchong; Han, Xu; Chen, Liang; Zhao, Degang; Du, Guotong

    2018-04-01

    In this paper, N-polar GaN films with different V/III ratios were grown on vicinal C-face SiC substrates by metalorganic chemical vapor deposition. During the growth of N-polar GaN film, the V/III ratio was controlled by adjusting the molar flow rate of ammonia while keeping the trimethylgallium flow rate unchanged. The influence of the V/III ratio on the surface morphology of N-polar GaN film has been studied. We find that the surface root mean square roughness of N-polar GaN film over an area of 20 × 20 μm2 can be reduced from 8.13 to 2.78 nm by optimization of the V/III ratio. Then, using the same growth conditions, N-polar InGaN/GaN multiple quantum wells (MQWs) light-emitting diodes (LEDs) were grown on the rough and the smooth N-polar GaN templates, respectively. Compared with the LED grown on the rough N-polar GaN template, dramatically improved interface sharpness and luminescence uniformity of the InGaN/GaN MQWs are achieved for the LED grown on the smooth N-polar GaN template.

  8. Thermal stability study of Cr/Au contact formed on n-type Ga-polar GaN, N-polar GaN, and wet-etched N-polar GaN surfaces

    International Nuclear Information System (INIS)

    Choi, Yunju; Kim, Yangsoo; Ahn, Kwang-Soon; Kim, Hyunsoo

    2014-01-01

    Highlights: • The Cr/Au contact on n-type Ga-polar (0 0 0 1) GaN, N-polar (0 0 0 −1) GaN, and wet-etched N-polar GaN were investigated. • Thermal annealing led to a significant degradation of contact formed on N-polar n-GaN samples. • Contact degradation was shown to be closely related to the increase in the electrical resistivity of n-GaN. • Out-diffusion of Ga and N atoms was clearly observed in N-polar samples. - Abstract: The electrical characteristics and thermal stability of a Cr/Au contact formed on n-type Ga-polar (0 0 0 1) GaN, N-polar GaN, and wet-etched N-polar GaN were investigated. As-deposited Cr/Au showed a nearly ohmic contact behavior for all samples, i.e., the specific contact resistance was 3.2 × 10 −3 , 4.3 × 10 −4 , and 1.1 × 10 −3 Ω cm 2 for the Ga-polar, flat N-polar, and roughened N-polar samples, respectively. However, thermal annealing performed at 250 °C for 1 min in a N 2 ambient led to a significant degradation of contact, i.e., the contact resistance increased by 186, 3260, and 2030% after annealing for Ga-polar, flat N-polar, and roughened N-polar samples, respectively. This could be due to the different disruption degree of Cr/Au and GaN interface after annealing, i.e., the insignificant interfacial reaction occurred in the Ga-polar sample, while out-diffusion of Ga and N atoms was clearly observed in N-polar samples

  9. Thermal stability study of Cr/Au contact formed on n-type Ga-polar GaN, N-polar GaN, and wet-etched N-polar GaN surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Yunju [School of Semiconductor and Chemical Engineering, Semiconductor Physics Research Center, Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Suncheon Center, Korea Basic Science Institute, Suncheon 540-742 (Korea, Republic of); Kim, Yangsoo [Suncheon Center, Korea Basic Science Institute, Suncheon 540-742 (Korea, Republic of); Ahn, Kwang-Soon, E-mail: kstheory@ynu.ac.kr [School of Chemical Engineering, Yeungnam University, Gyeongsan, Gyeongbuk 712-749 (Korea, Republic of); Kim, Hyunsoo, E-mail: hskim7@jbnu.ac.kr [School of Semiconductor and Chemical Engineering, Semiconductor Physics Research Center, Chonbuk National University, Jeonju 561-756 (Korea, Republic of)

    2014-10-30

    Highlights: • The Cr/Au contact on n-type Ga-polar (0 0 0 1) GaN, N-polar (0 0 0 −1) GaN, and wet-etched N-polar GaN were investigated. • Thermal annealing led to a significant degradation of contact formed on N-polar n-GaN samples. • Contact degradation was shown to be closely related to the increase in the electrical resistivity of n-GaN. • Out-diffusion of Ga and N atoms was clearly observed in N-polar samples. - Abstract: The electrical characteristics and thermal stability of a Cr/Au contact formed on n-type Ga-polar (0 0 0 1) GaN, N-polar GaN, and wet-etched N-polar GaN were investigated. As-deposited Cr/Au showed a nearly ohmic contact behavior for all samples, i.e., the specific contact resistance was 3.2 × 10{sup −3}, 4.3 × 10{sup −4}, and 1.1 × 10{sup −3} Ω cm{sup 2} for the Ga-polar, flat N-polar, and roughened N-polar samples, respectively. However, thermal annealing performed at 250 °C for 1 min in a N{sub 2} ambient led to a significant degradation of contact, i.e., the contact resistance increased by 186, 3260, and 2030% after annealing for Ga-polar, flat N-polar, and roughened N-polar samples, respectively. This could be due to the different disruption degree of Cr/Au and GaN interface after annealing, i.e., the insignificant interfacial reaction occurred in the Ga-polar sample, while out-diffusion of Ga and N atoms was clearly observed in N-polar samples.

  10. Control of residual carbon concentration in GaN high electron mobility transistor and realization of high-resistance GaN grown by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    He, X.G. [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, P.O. Box 912, Beijing 100083 (China); Zhao, D.G., E-mail: dgzhao@red.semi.ac.cn [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, P.O. Box 912, Beijing 100083 (China); Jiang, D.S.; Liu, Z.S.; Chen, P.; Le, L.C.; Yang, J.; Li, X.J. [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, P.O. Box 912, Beijing 100083 (China); Zhang, S.M.; Zhu, J.J.; Wang, H.; Yang, H. [Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences, Suzhou 215125 (China)

    2014-08-01

    GaN films were grown by metal-organic chemical vapor deposition (MOCVD) under various growth conditions. The influences of MOCVD growth parameters, i.e., growth pressure, ammonia (NH{sub 3}) flux, growth temperature, trimethyl-gallium flux and H{sub 2} flux, on residual carbon concentration ([C]) were systematically investigated. Secondary ion mass spectroscopy measurements show that [C] can be effectively modulated by growth conditions. Especially, it can increase by reducing growth pressure up to two orders of magnitude. High-resistance (HR) GaN epilayer with a resistivity over 1.0 × 10{sup 9} Ω·cm is achieved by reducing growth pressure. The mechanism of the formation of HR GaN epilayer is discussed. An Al{sub x}Ga{sub 1−x}N/GaN high electron mobility transistor structure with a HR GaN buffer layer and an additional low-carbon GaN channel layer is presented, exhibiting a high two dimensional electron gas mobility of 1815 cm{sup 2}/Vs. - Highlights: • Influence of MOCVD parameters on residual carbon concentration in GaN is studied. • GaN layer with a resistivity over 1 × 10{sup 9} Ω·cm is achieved by reducing growth pressure. • High electron mobility transistor (HEMT) structures were prepared. • Control of residual carbon content results in HEMT with high 2-D electron gas mobility.

  11. The growth of axially modulated p–n GaN nanowires by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Tung-Hsien; Hong, Franklin Chau-Nan

    2013-01-01

    Due to the n-type characteristics of intrinsic gallium nitride, p-type gallium nitride (GaN) is more difficult to synthesize than n-type gallium nitride in forming the p–n junctions for optoelectronic applications. For the growth of the p-type gallium nitride, magnesium is used as the dopant. The Mg-doped GaN nanowires (NWs) have been synthesized on (111)-oriented n + -silicon substrates by plasma-enhanced chemical vapor deposition. The scanning electron microscope images showed that the GaN NWs were bent at high Mg doping levels, and the transmission electron microscope characterization indicated that single-crystalline GaN NWs grew along < 0001 > orientation. As shown by energy dispersive spectroscopy, the Mg doping levels in GaN NWs increased with increasing partial pressure of magnesium nitride, which was employed as the dopant precursor for p-GaN NW growth. Photoluminescence measurements suggested the presence of both p- and n‐type GaN NWs. Furthermore, the GaN NWs with axial p–n junctions were aligned between either two-Ni or two-Al electrodes by applying alternating current voltages. The current–voltage characteristics have confirmed the formation of axial p–n junctions in GaN nanowires. - Highlights: ► Grow axially modulated GaN nanowires by plasma-enhanced chemical vapor deposition ► Control the Mg concentration of GaN nanowires by tuning Mg 3 N 2 temperature ► Align the GaN nanowires by applying alternating current voltages between electrodes

  12. GaN thin films on SiC substrates studied using variable energy positron annihilation spectroscopy

    International Nuclear Information System (INIS)

    Hu, Y.F.; Shan, Y.Y.; Beling, C.D.; Fung, S.; Xie, M.H.; Cheung, S.H.; Tu, J.; Tong, D.S.Y.

    2001-01-01

    A variety of GaN epilayers, grown on 6H-SiC substrates using different growth conditions, have been studied using variable energy positron annihilation spectroscopy. In the S-E plots, a peak structure in the S-parameter is seen which is related to the GaN/substrate heterojunction. The position of the peak is found to be much closer to the sample surface than expected from simple mean implantation depth arguments. This anomaly is attributed to the fact that there is a rectifying potential step that prevents diffusing positrons in the GaN from entering the SiC substrate. This effect has been successfully mimicked by inserting an artificial electric field into the thin interfacial region in the VEPFIT analysis. (orig.)

  13. Spectroscopic characterizations of individual single-crystalline GaN nanowires in visible/ultra-violet regime.

    Science.gov (United States)

    Wu, Chien-Ting; Chu, Ming-Wen; Chen, Li-Chyong; Chen, Kuei-Hsien; Chen, Chun-Wei; Chen, Cheng Hsuan

    2010-10-01

    Spectroscopic investigations of individual single-crystalline GaN nanowires with a lateral dimensions of approximately 30-90nm were performed using the spatially resolved technique of electron energy-loss spectroscopy in conjunction with scanning transmission electron microscope showing a 2-A electron probe. Positioning the electron probe upon transmission impact and at aloof setup with respect to the nanomaterials, we explored two types of surface modes intrinsic to GaN, surface exciton polaritons at approximately 8.3eV (approximately 150nm) and surface guided modes at 3.88eV (approximately 320nm), which are in visible/ultra-violet spectral regime above GaN bandgap of approximately 3.3eV (approximately 375nm) and difficult to access by conventional optical spectroscopies. The explorations of these electromagnetic resonances might expand the current technical interests in GaN nanomaterials from the visible/UV range below approximately 3.5eV to the spectral regime further beyond.

  14. Impact of the AlN seeding layer thickness on GaN orientation on high index Si-substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ravash, Roghaiyeh; Blaesing, Juergen; Veit, Peter; Hempel, Thomas; Dadgar, Armin; Christen, Juergen; Krost, Alois [Otto-von-Guericke-University Magdeburg (Germany). FNW/IEP/AHE

    2010-07-01

    Silicon is considered to be a reasonable alternative to substrates such as sapphire and SiC, because of its low price and availability in large diameters. Because of spontaneous and strain induced piezoelectric polarization field along the c-axis, leading to the separation of electrons and holes in quantum wells reducing the recombination efficiency, c-axis oriented GaN-based light emitters have a low efficiency, especially in the longer wavelength region. In order to reduce or eliminate these polarization effects, semi-polar or non-polar GaN-heterostructure is favored. In this work we investigated the growth of GaN applying a low temperature AlN seeding layer with various thicknesses. The impact of the AlN seeding layer on GaN orientation using different Si substrate orientations (e. g. (211), (711), (410), (100)+4.5 off) were investigated by x-ray diffraction measurements in Bragg-Brentano geometry and X-ray pole figure measurements. We found that the thickness of the AlN seeding layer plays a significant role in obtaining different GaN textures. Applying a about 4 nm AlN seeding layer we achieved a single crystalline GaN epilayer on Si (211) with a 18 tilted c-axis orientation. Some of the samples were characterized by scanning electron microscopy and transmission electron microscopy.

  15. Growth on nonpolar and semipolar GaN: The substrate dilemma

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, T.; Weyers, M. [Ferdinand-Braun-Institute, Berlin (Germany); Kneissl, M. [Ferdinand-Braun-Institute, Berlin (Germany); Institute of Solid State Physics, TU Berlin (Germany)

    2009-07-01

    Growth of nonpolar and semipolar GaN is very promising for achieving green laser diodes (LDs). However, the choice of the substrate is a difficult one: Heteroepitaxial growth on sapphire, SiC, LiAlO{sub 2} yields GaN films with a poor surface quality and high defect densities. On the other hand non- and semipolar bulk GaN substrates provide excellent crystal quality, but are so far only available in very small sizes. In this paper hetero- and homoepitaxial growth is compared. For all heteroepitaxially grown semi- and nonpolar GaN layers threading dislocations (TD) and basal plane stacking faults (BSF) can be found. There are four possible mechanisms for the generation of BSF: Growth of the N-polar basal plane, formation during nucleation at substrate steps, formation at the coalescence front of differently stacked nucleation islands, and generation at planar defects occurring in m-plane GaN on LiAlO{sub 2}. BSF induce surface roughening and are associated with partial dislocations causing nonradiative recombination. Thus they affect the performance of devices. We show that BSFs and TDs can be reduced by epitaxial lateral overgrowth resulting in several micrometer wide defect free areas. However, for LEDs larger defect-free areas are required. GaN layers grown on bulk GaN substrates exhibit a high crystal quality, but show in many cases long-range surface structures with a height of {approx}1{mu}m.

  16. m-plane GaN layers grown by rf-plasma assisted molecular beam epitaxy with varying Ga/N flux ratios on m-plane 4H-SiC substrates

    International Nuclear Information System (INIS)

    Armitage, R.; Horita, M.; Suda, J.; Kimoto, T.

    2007-01-01

    A series of m-plane GaN layers with the Ga beam-equivalent pressure (BEP) as the only varied parameter was grown by rf-plasma assisted molecular beam epitaxy on m-plane 4H-SiC substrates using AlN buffer layers. The smoothest growth surfaces and most complete film coalescence were found for the highest Ga BEP corresponding to the Ga droplet accumulation regime. However, better structural quality as assessed by x-ray rocking curves was observed for growth at a lower Ga BEP value below the droplet limit. The variation of rocking curve widths for planes inclined with respect to the epilayer c axis followed a different trend with Ga BEP than those of reflections parallel to the c axis. The GaN layers were found to exhibit a large residual compressive strain along the a axis

  17. Synthesis and cathodoluminescence of Sb/P co-doped GaN nanowires

    International Nuclear Information System (INIS)

    Wang, Zaien; Liu, Baodan; Yuan, Fang; Hu, Tao; Zhang, Guifeng; Dierre, Benjamin; Hirosaki, Naoto; Sekiguchi, Takashi; Jiang, Xin

    2014-01-01

    Sb/P co-doped Gallium Nitride (GaN) nanowires were synthesized via a simple chemical vapor deposition (CVD) process by heating Ga 2 O 3 and Sb powders in NH 3 atmosphere. Scanning electron microscope (SEM), X-ray diffraction (XRD), transmission electron microscope (TEM) and energy dispersive X-ray spectroscopy (EDS) measurements confirmed the as-synthesized products were Sb/P co-doped GaN nanowires with rough morphology and hexagonal wurtzite structure. Room temperature cathodoluminescence (CL) demonstrated that an obvious band shift of GaN nanowires can be observed due to Sb/P co-doping. Possible explanation for the growth and luminescence mechanism of Sb/P co-doped GaN nanowires was discussed. Highlight: • Sb/P co-doped GaN nanowires were synthesized through a well-designed multi-channel chemical vapor deposition (CVD) process. • Sb/P co-doping leads to the crystallinity deterioration of GaN nanowires. • Sb/P co-doping caused the red-shift of GaN nanowires band-gap in UV range. • Compared with Sb doping, P atoms are more easy to incorporate into the GaN lattice

  18. MOCVD growth of GaN layer on InN interlayer and relaxation of residual strain

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Keon-Hun; Park, Sung Hyun; Kim, Jong Hack; Kim, Nam Hyuk; Kim, Min Hwa [Department of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Na, Hyunseok [Department of Advanced Materials Science and Engineering, Daejin University, Pocheon, 487-711 (Korea, Republic of); Yoon, Euijoon, E-mail: eyoon@snu.ac.k [Department of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Department of Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Suwon 433-270 (Korea, Republic of)

    2010-09-01

    100 nm InN layer was grown on sapphire c-plane using a metal-organic chemical vapor deposition (MOCVD) system. Low temperature (LT) GaN layer was grown on InN layer to protect InN layer from direct exposure to hydrogen flow during high temperature (HT) GaN growth and/or abrupt decomposition. Subsequently, thick HT GaN layer (2.5 {mu}m thick) was grown at 1000 {sup o}C on LT GaN/InN/sapphire template. Microstructure of epilayer-substrate interface was investigated by transmission electron microscopy (TEM). From the high angle annular dark field TEM image, the growth of columnar structured LT GaN and HT GaN with good crystallinity was observed. Though thickness of InN interlayer is assumed to be about 100 nm based on growth rate, it was not clearly shown in TEM image due to the InN decomposition. The lattice parameters of GaN layers were measured by XRD measurement, which shows that InN interlayer reduces the compressive strain in GaN layer. The relaxation of compressive strain in GaN layer was also confirmed by photoluminescence (PL) measurement. As shown in the PL spectra, red shift of GaN band edge peak was observed, which indicates the reduction of compressive strain in GaN epilayer.

  19. Structural analysis of GaN using high-resolution X-ray diffraction at variable temperatures; Analyse struktureller Eigenschaften von GaN mittels hochaufloesender Roentgenbeugung bei variabler Messtemperatur

    Energy Technology Data Exchange (ETDEWEB)

    Roder, C.

    2007-02-26

    The main topic of this thesis was the study of stress phenomena in GaN layers by application of high-resolution X-ray diffractometry at variable measurement temperature. For this a broad spectrum of different GaN samples was studied, which extended from bulk GaN crystals as well as thick c-plane oriented HVPE-GaN layers on c-plane sapphire over laterlaly overgrown c-plane GaN Layers on Si(111) substrates toon-polar a-plnae GaN layers on r-plane sapphire. The main topic of the measurements was the determination of the lattice parameters. Supplementarily the curvature of the waver as well as the excitonic resosance energies were studied by means of photoluminescence respectively photoreflection spectroscopy. By the measurement of the temperature-dependent lattice parameters of different GaN bulk crystals for the first time a closed set of thermal-expansion coefficients of GaN was determined from 12 to 1205 K with large accuracy. Analoguously the thermal-expansion coefficents of the substrate material sapphire were determinde over a temperature range from 10 to 1166 K.

  20. Effect of growth temperature on defects in epitaxial GaN film grown by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2014-02-01

    Full Text Available We report the effect of growth temperature on defect states of GaN epitaxial layers grown on 3.5 μm thick GaN epi-layer on sapphire (0001 substrates using plasma assisted molecular beam epitaxy. The GaN samples grown at three different substrate temperatures at 730, 740 and 750 °C were characterized using atomic force microscopy and photoluminescence spectroscopy. The atomic force microscopy images of these samples show the presence of small surface and large hexagonal pits on the GaN film surfaces. The surface defect density of high temperature grown sample is smaller (4.0 × 108 cm−2 at 750 °C than that of the low temperature grown sample (1.1 × 109 cm−2 at 730 °C. A correlation between growth temperature and concentration of deep centre defect states from photoluminescence spectra is also presented. The GaN film grown at 750 °C exhibits the lowest defect concentration which confirms that the growth temperature strongly influences the surface morphology and affects the optical properties of the GaN epitaxial films.

  1. Linearly polarized photoluminescence of anisotropically strained c-plane GaN layers on stripe-shaped cavity-engineered sapphire substrate

    Science.gov (United States)

    Kim, Jongmyeong; Moon, Daeyoung; Lee, Seungmin; Lee, Donghyun; Yang, Duyoung; Jang, Jeonghwan; Park, Yongjo; Yoon, Euijoon

    2018-05-01

    Anisotropic in-plane strain and resultant linearly polarized photoluminescence (PL) of c-plane GaN layers were realized by using a stripe-shaped cavity-engineered sapphire substrate (SCES). High resolution X-ray reciprocal space mapping measurements revealed that the GaN layers on the SCES were under significant anisotropic in-plane strain of -0.0140% and -0.1351% along the directions perpendicular and parallel to the stripe pattern, respectively. The anisotropic in-plane strain in the GaN layers was attributed to the anisotropic strain relaxation due to the anisotropic arrangement of cavity-incorporated membranes. Linearly polarized PL behavior such as the observed angle-dependent shift in PL peak position and intensity comparable with the calculated value based on k.p perturbation theory. It was found that the polarized PL behavior was attributed to the modification of valence band structures induced by anisotropic in-plane strain in the GaN layers on the SCES.

  2. Surface States Effect on the Large Photoluminescence Redshift in GaN Nanostructures

    KAUST Repository

    Ben Slimane, Ahmed

    2013-01-01

    We report on the large photoluminescence redshift observed in nanostructures fabricated using n-type GaN by ultraviolet (UV) metal-assisted electroless chemical-etching method. The scanning electron microscopy (SEM) characterization showed nanostructures with size dispersion ranging from 10 to 100 nm. We observed the crystalline structure using high resolution transmission electron microscopy (HRTEM) and electron energy loss (EELS) techniques. In contrast to 362 nm UV emission from the GaN epitaxy, the nanostructures emitted violet visible-light in photoluminescence (PL) characterization with increasing optical excitation. An energy band model was presented to shed light on the large PL redshift under the influence of surface states, which resulted in two competing photoluminescence mechanisms depending on excitation conditions.

  3. Atomic force microscopy studies of homoepitaxial GaN layers grown on GaN template by laser MBE

    Energy Technology Data Exchange (ETDEWEB)

    Choudhary, B. S. [CSIR-National Physical Laboratory, Dr K.S. Krishnan Road, New Delhi 110012 (India); Rajasthan Technical University, Rawatbhata Road, Kota 324010 (India); Singh, A.; Tyagi, P. K. [Department of Applied Physics, Delhi Technological University, Delhi 110042 (India); Tanwar, S. [Rajasthan Technical University, Rawatbhata Road, Kota 324010 (India); Kumar, M. Senthil; Kushvaha, S. S., E-mail: kushvahas@nplindia.org [CSIR-National Physical Laboratory, Dr K.S. Krishnan Road, New Delhi 110012 (India)

    2016-04-13

    We have grown homoepitaxial GaN films on metal organic chemical vapor deposition (MOCVD) grown 3.5 µm thick GaN on sapphire (0001) substrate (GaN template) using an ultra-high vacuum (UHV) laser assisted molecular beam epitaxy (LMBE) system. The GaN films were grown by laser ablating a polycrystalline solid GaN target in the presence of active r.f. nitrogen plasma. The influence of laser repetition rates (10-30 Hz) on the surface morphology of homoepitaxial GaN layers have been studied using atomic force microscopy. It was found that GaN layer grown at 10 Hz shows a smooth surface with uniform grain size compared to the rough surface with irregular shape grains obtained at 30 Hz. The variation of surface roughness of the homoepitaxial GaN layer with and without wet chemical etching has been also studied and it was observed that the roughness of the film decreased after wet etching due to the curved structure/rough surface.

  4. Photoluminescence of Mg-doped m-plane GaN grown by MOCVD on bulk GaN substrates

    OpenAIRE

    Monemar, Bo; Paskov, Plamen; Pozina, Galia; Hemmingsson, Carl; Bergman, Peder; Lindgren, David; Samuelson, Lars; Ni, Xianfeng; Morkoç, Hadis; Paskova, Tanya; Bi, Zhaoxia; Ohlsson, Jonas

    2011-01-01

    Photoluminescence (PL) properties are reported for a set of m-plane GaN films with Mg doping varied from mid 1018cm-3 to well above 1019 cm-3. The samples were grown with MOCVD at reduced pressure on low defect density m-plane bulk GaN templates. The sharp line near bandgap bound exciton (BE) spectra observed below 50 K, as well as the broader donor-acceptor pair (DAP) PL bands at 2.9 eV to 3.3 eV give evidence of several Mg related acceptors, similar to the case of c-plane GaN. The dependenc...

  5. Identification of deep levels in GaN associated with dislocations

    International Nuclear Information System (INIS)

    Soh, C B; Chua, S J; Lim, H F; Chi, D Z; Liu, W; Tripathy, S

    2004-01-01

    To establish a correlation between dislocations and deep levels in GaN, a deep-level transient spectroscopy study has been carried out on GaN samples grown by metalorganic chemical vapour deposition. In addition to typical undoped and Si-doped GaN samples, high-quality crack-free undoped GaN film grown intentionally on heavily doped cracked Si-doped GaN and cracked AlGaN templates are also chosen for this study. The purpose of growth of such continuous GaN layers on top of the cracked templates is to reduce the screw dislocation density by an order of magnitude. Deep levels in these layers have been characterized and compared with emphasis on their thermal stabilities and capture kinetics. Three electron traps at E c -E T ∼0.10-0.11, 0.24-0.27 and 0.59-0.63 eV are detected common to all the samples while additional levels at E c -E T ∼0.18 and 0.37-0.40 eV are also observed in the Si-doped GaN. The trap levels exhibit considerably different stabilities under rapid thermal annealing. Based on the observations, the trap levels at E c -E T ∼0.18 and 0.24-0.27 eV can be associated with screw dislocations, whereas the level at E c -E T ∼0.59-0.63 eV can be associated with edge dislocations. This is also in agreement with the transmission electron microscopy measurements conducted on the GaN samples

  6. Determination of carrier diffusion length in GaN

    Science.gov (United States)

    Hafiz, Shopan; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Özgür, Ümit; Metzner, Sebastian; Bertram, Frank; Christen, Jürgen; Gil, Bernard

    2015-01-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) and cross-sectional cathodoluminescence (CL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p-GaN or 1500 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photo-generation near the surface region by above bandgap excitation. Taking into consideration the absorption in the top GaN layer as well as active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be 93 ± 7 nm and 70 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively, at photogenerated carrier densities of 4.2 × 1018 cm-3 using PL spectroscopy. CL measurements of the unintentionally doped n-type GaN layer at much lower carrier densities of 1017 cm-3 revealed a longer diffusion length of 525 ± 11 nm at 6 K.

  7. Effects of thin heavily Mg-doped GaN capping layer on ohmic contact formation of p-type GaN

    International Nuclear Information System (INIS)

    Wu, L L; Zhao, D G; Jiang, D S; Chen, P; Le, L C; Li, L; Liu, Z S; Zhang, S M; Zhu, J J; Wang, H; Zhang, B S; Yang, H

    2013-01-01

    The growth condition of thin heavily Mg-doped GaN capping layer and its effect on ohmic contact formation of p-type GaN were investigated. It is confirmed that the excessive Mg doping can effectively enhance the Ni/Au contact to p-GaN after annealing at 550 °C. When the flow rate ratio between Mg and Ga gas sources is 6.4% and the layer width is 25 nm, the capping layer grown at 850 °C exhibits the best ohmic contact properties with respect to the specific contact resistivity (ρ c ). This temperature is much lower than the conventional growth temperature of Mg-doped GaN, suggesting that the deep-level-defect induced band may play an important role in the conduction of capping layer. (paper)

  8. Post-annealing effects on pulsed laser deposition-grown GaN thin films

    International Nuclear Information System (INIS)

    Cheng, Yu-Wen; Wu, Hao-Yu; Lin, Yu-Zhong; Lee, Cheng-Che; Lin, Ching-Fuh

    2015-01-01

    In this work, the post-annealing effects on gallium nitride (GaN) thin films grown from pulsed laser deposition (PLD) are investigated. The as-deposited GaN thin films grown from PLD are annealed at different temperatures in nitrogen ambient. Significant changes of the GaN crystal properties are observed. Raman spectroscopy is used to observe the crystallinity, the change of residual stress, and the thermal decomposition of the annealed GaN thin films. X-ray diffraction is also applied to identify the crystal phase of GaN thin films, and the surface morphology of GaN thin films annealed at different temperatures is observed by scanning electron microscopy. Through the above analyses, the GaN thin films grown by PLD undergo three stages: phase transition, stress alteration, and thermal decomposition. At a low annealing temperature, the rock salt GaN in GaN films is transformed into wurtzite. The rock salt GaN diminishes with increasing annealing temperature. At a medium annealing temperature, the residual stress of the film changes significantly from compressive strain to tensile strain. As the annealing temperature further increases, the GaN undergoes thermal decomposition and the surface becomes granular. By investigating the annealing temperature effects and controlling the optimized annealing temperature of the GaN thin films, we are able to obtain highly crystalline and strain-free GaN thin films by PLD. - Highlights: • The GaN thin film is grown on sapphire by pulsed laser deposition. • The GaN film undergoes three stages with increasing annealing temperature. • In the first stage, the film transfers from rock salt to wurtzite phase. • In the second stage, the stress in film changes from compressive to tensile. • In the final stage, the film thermally decomposes and becomes granular

  9. Alignment control and atomically-scaled heteroepitaxial interface study of GaN nanowires.

    Science.gov (United States)

    Liu, Qingyun; Liu, Baodan; Yang, Wenjin; Yang, Bing; Zhang, Xinglai; Labbé, Christophe; Portier, Xavier; An, Vladimir; Jiang, Xin

    2017-04-20

    Well-aligned GaN nanowires are promising candidates for building high-performance optoelectronic nanodevices. In this work, we demonstrate the epitaxial growth of well-aligned GaN nanowires on a [0001]-oriented sapphire substrate in a simple catalyst-assisted chemical vapor deposition process and their alignment control. It is found that the ammonia flux plays a key role in dominating the initial nucleation of GaN nanocrystals and their orientation. Typically, significant improvement of the GaN nanowire alignment can be realized at a low NH 3 flow rate. X-ray diffraction and cross-sectional scanning electron microscopy studies further verified the preferential orientation of GaN nanowires along the [0001] direction. The growth mechanism of GaN nanowire arrays is also well studied based on cross-sectional high-resolution transmission electron microscopy (HRTEM) characterization and it is observed that GaN nanowires have good epitaxial growth on the sapphire substrate following the crystallographic relationship between (0001) GaN ∥(0001) sapphire and (101[combining macron]0) GaN ∥(112[combining macron]0) sapphire . Most importantly, periodic misfit dislocations are also experimentally observed in the interface region due to the large lattice mismatch between the GaN nanowire and the sapphire substrate, and the formation of such dislocations will favor the release of structural strain in GaN nanowires. HRTEM analysis also finds the existence of "type I" stacking faults and voids inside the GaN nanowires. Optical investigation suggests that the GaN nanowire arrays have strong emission in the UV range, suggesting their crystalline nature and chemical purity. The achievement of aligned GaN nanowires will further promote the wide applications of GaN nanostructures toward diverse high-performance optoelectronic nanodevices including nano-LEDs, photovoltaic cells, photodetectors etc.

  10. Implantation doping of GaN

    International Nuclear Information System (INIS)

    Zolper, J.C.

    1996-01-01

    Ion implantation has played an enabling role in the realization of many high performance photonic and electronic devices in mature semiconductor materials systems such as Si and GaAs. This can also be expected to be the case in III-Nitride based devices as the material quality continues to improve. This paper reviews the progress in ion implantation processing of the III-Nitride materials, namely, GaN, AlN, InN and their alloys. Details are presented of the successful demonstrations of implant isolation as well as n- and p-type implantation doping of GaN. Implant doping has required activation annealing at temperatures in excess of 1,000 C. The nature of the implantation induced damage and its response to annealing is addressed using Rutherford Backscattering. Finally, results are given for the first demonstration of a GaN device fabricated using ion implantation doping, a GaN junction field effect transistor (JFET)

  11. Zn-dopant dependent defect evolution in GaN nanowires

    Science.gov (United States)

    Yang, Bing; Liu, Baodan; Wang, Yujia; Zhuang, Hao; Liu, Qingyun; Yuan, Fang; Jiang, Xin

    2015-10-01

    Zn doped GaN nanowires with different doping levels (0, doping on the defect evolution, including stacking fault, dislocation, twin boundary and phase boundary, has been systematically investigated by transmission electron microscopy and first-principles calculations. Undoped GaN nanowires show a hexagonal wurtzite (WZ) structure with good crystallinity. Several kinds of twin boundaries, including (101&cmb.macr;3), (101&cmb.macr;1) and (202&cmb.macr;1), as well as Type I stacking faults (...ABABC&cmb.b.line;BCB...), are observed in the nanowires. The increasing Zn doping level (GaN nanowires. At high Zn doping level (3-5 at%), meta-stable cubic zinc blende (ZB) domains are generated in the WZ GaN nanowires. The WZ/ZB phase boundary (...ABABAC&cmb.b.line;BA...) can be identified as Type II stacking faults. The density of stacking faults (both Type I and Type II) increases with increasing the Zn doping levels, which in turn leads to a rough-surface morphology in the GaN nanowires. First-principles calculations reveal that Zn doping will reduce the formation energy of both Type I and Type II stacking faults, favoring their nucleation in GaN nanowires. An understanding of the effect of Zn doping on the defect evolution provides an important method to control the microstructure and the electrical properties of p-type GaN nanowires.Zn doped GaN nanowires with different doping levels (0, doping on the defect evolution, including stacking fault, dislocation, twin boundary and phase boundary, has been systematically investigated by transmission electron microscopy and first-principles calculations. Undoped GaN nanowires show a hexagonal wurtzite (WZ) structure with good crystallinity. Several kinds of twin boundaries, including (101&cmb.macr;3), (101&cmb.macr;1) and (202&cmb.macr;1), as well as Type I stacking faults (...ABABC&cmb.b.line;BCB...), are observed in the nanowires. The increasing Zn doping level (GaN nanowires. At high Zn doping level (3-5 at%), meta

  12. Effect of annealing time and NH3 flow on GaN films deposited on amorphous SiO2 by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Xu, Bingshe

    2018-05-01

    GaN polycrystalline films were successfully grown on amorphous SiO2 by metal-organic chemical vapour deposition to fabricate transferable devices using inorganic films. Field-emission scanning electron microscopy images show that by prolonging the annealing time, re-evaporation is enhanced, which reduced the uniformity of the nucleation layer and GaN films. X-ray diffraction patterns indicate that the decomposition rate of the nucleation layer increases when the annealing flow rate of NH3 is 500 sccm, which makes the unstable plane and amorphous domains decompose rapidly, thereby improving the crystallinity of the GaN films. Photoluminescence spectra also indicate the presence of fewer defects when the annealing flow rate of NH3 is 500 sccm. The excellent crystal structure of the GaN films grown under optimized conditions was revealed by transmission electron microscopy analysis. More importantly, the crystal structure and orientation of GaN grown on SiO2 are the same as that of GaN grown on conventional sapphire substrate when a buffer layer is used. This work can aid in the development of transferable devices using GaN films.

  13. Epitaxial GaN films by hyperthermal ion-beam nitridation of Ga droplets

    Energy Technology Data Exchange (ETDEWEB)

    Gerlach, J. W.; Ivanov, T.; Neumann, L.; Hoeche, Th.; Hirsch, D.; Rauschenbach, B. [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), D-04318 Leipzig (Germany)

    2012-06-01

    Epitaxial GaN film formation on bare 6H-SiC(0001) substrates via the process of transformation of Ga droplets into a thin GaN film by applying hyperthermal nitrogen ions is investigated. Pre-deposited Ga atoms in well defined amounts form large droplets on the substrate surface which are subsequently nitridated at a substrate temperature of 630 Degree-Sign C by a low-energy nitrogen ion beam from a constricted glow-discharge ion source. The Ga deposition and ion-beam nitridation process steps are monitored in situ by reflection high-energy electron diffraction. Ex situ characterization by x-ray diffraction and reflectivity techniques, Rutherford backscattering spectrometry, and electron microscopy shows that the thickness of the resulting GaN films depends on the various amounts of pre-deposited gallium. The films are epitaxial to the substrate, exhibit a mosaic like, smooth surface topography and consist of coalesced large domains of low defect density. Possible transport mechanisms of reactive nitrogen species during hyperthermal nitridation are discussed and the formation of GaN films by an ion-beam assisted process is explained.

  14. Fabrication and characterization of GaN-based light-emitting diodes without pre-activation of p-type GaN.

    Science.gov (United States)

    Hu, Xiao-Long; Wang, Hong; Zhang, Xi-Chun

    2015-01-01

    We fabricated GaN-based light-emitting diodes (LEDs) without pre-activation of p-type GaN. During the fabrication process, a 100-nm-thick indium tin oxide film was served as the p-type contact layer and annealed at 500°C in N2 ambient for 20 min to increase its transparency as well as to activate the p-type GaN. The electrical measurements showed that the LEDs were featured by a lower forward voltage and higher wall-plug efficiency in comparison with LEDs using pre-activation of p-type GaN. We discussed the mechanism of activation of p-type GaN at 500°C in N2 ambient. Furthermore, x-ray photoemission spectroscopy examinations were carried out to study the improved electrical performances of the LEDs without pre-activation of p-type GaN.

  15. Effect of annealing on metastable shallow acceptors in Mg-doped GaN layers grown on GaN substrates

    OpenAIRE

    Pozina, Galia; Hemmingsson, Carl; Paskov, Plamen P.; Bergman, Peder; Monemar, Bo; Kawashima, T.; Amano, H.; Akasaki, I.; Usui, A.

    2008-01-01

    Mg-doped GaN layers grown by metal-organic vapor phase epitaxy on GaN substrates produced by the halide vapor phase technique demonstrate metastability of the near-band-gap photoluminescence (PL). The acceptor bound exciton (ABE) line possibly related to the C acceptor vanishes in as-grown samples within a few minutes under UV laser illumination. Annealing activates the more stable Mg acceptors and passivates C acceptors. Consequently, only the ABE line related to Mg is dominant in PL spectra...

  16. X-ray absorption near-edge structure of GaN with high Mn concentration grown on SiC

    Science.gov (United States)

    Sancho-Juan, O.; Cantarero, A.; Garro, N.; Cros, A.; Martínez-Criado, G.; Salomé, M.; Susini, J.; Olguín, D.; Dhar, S.

    2009-07-01

    By means of x-ray absorption near-edge structure (XANES) several Ga1-xMnxN (0.03GaN samples consisted of different epilayers grown by molecular beam epitaxy on [0001] SiC substrates. The low mismatch between GaN and SiC allows for a good quality and homogeneity of the material. The measurements were performed in fluorescence mode around both the Ga and Mn K edges. All samples studied present a similar Mn ionization state, very close to 2+, and tetrahedral coordination. In order to interpret the near-edge structure, we have performed ab initio calculations using the full potential linear augmented plane wave method as implemented in the Wien2k code. The calculations show the appearance of a Mn bonding \\mathrm {t_{2}}\\uparrow band localized in the gap region, and the corresponding anti-bonding state \\mathrm {t_{2}}\\downarrow , which seem to be responsible for the double structure which appears at the pre-edge absorption region. The shoulders and main absorption peak of the XANES spectra are attributed to transitions from the Mn(1s) band to the conduction bands, which are partially dipole allowed because of the Mn(4p) contribution to these bands.

  17. First-principle investigations on the structural dynamics of Ti2GaN

    International Nuclear Information System (INIS)

    Yang, Z.J.; Li, J.; Linghu, R.F.; Cheng, X.L.; Yang, X.D.

    2013-01-01

    Highlights: •Our calculated lattice parameter of Ti 2 GaN shows that c axis is always stiffer than a axis. •The elastic constants investigations demonstrated that the Ti 2 GaN is meta-stable between 350 and 600 GPa. •We observed an abnormal c-axis expansion behavior within 350–600 GPa resulting from the expansion of the Ti–Ti bond length and the increase of the Ti–Ti bond populations. •Study on the density of states we found that the Ti s and p electrons shift towards higher energies with pressure. -- Abstract: We report a first-principle study on the elastic and electronic properties of the nanolaminate Ti 2 GaN. Our calculated lattice parameter shows that c axis is always stiffer than a axis. The elastic constants investigations demonstrated that Ti 2 GaN is stable over a wide pressure range of 0–1000 GPa with the only exception of 350–600 GPa owing to the elastic softening. The softening behaviors of the Young’s and shear moduli are also found in the same pressure range of 350–600 GPa, indicating a structural metastability. Investigation on the axial compressibility we observed an abnormal c-axis expansion behavior within a pressure range of 350–600 GPa, resulting from the expansion of the Ti–Ti bond length and the increase of the Ti–Ti bond population. Study on the density of states (DOSs) we found that the Ti s and Ti p electrons shift towards higher energies with pressure

  18. P- and N-type implantation doping of GaN with Ca and O

    International Nuclear Information System (INIS)

    Zolper, J.C.; Wilson, R.G.; Pearton, S.J.

    1996-01-01

    III-N photonic devices have made great advances in recent years following the demonstration of doping of GaN p-type with Mg and n-type with Si. However, the deep ionization energy level of Mg in GaN (∼ 160 meV) limits the ionized of acceptors at room temperature to less than 1.0% of the substitutional Mg. With this in mind, the authors used ion implantation to characterize the ionization level of Ca in GaN since Ca had been suggested by Strite to be a shallow acceptor in GaN. Ca-implanted GaN converted from n-to-p type after a 1,100 C activation anneal. Variable temperature Hall measurements give an ionization level at 169 meV. Although this level is equivalent to that of Mg, Ca-implantation may have advantages (shallower projected range and less straggle for a given energy) than Mg for electronic devices. In particular, the authors report the first GaN device using ion implantation doping. This is a GaN junction field effect transistor (JFET) which employed Ca-implantation. A 1.7 microm JFET had a transconductance of 7 mS/mm, a saturation current at 0 V gate bias of 33 mA/mm, a f t of 2.7 GHz, and a f max of 9.4 GHz. O-implantation was also studied and shown to create a shallow donor level (∼ 25 meV) that is similar to Si. SIMS profiles of as-implanted and annealed samples showed no measurable redistribution of either Ca or O in GaN at 1,125 C

  19. Individual GaN nanowires exhibit strong piezoelectricity in 3D.

    Science.gov (United States)

    Minary-Jolandan, Majid; Bernal, Rodrigo A; Kuljanishvili, Irma; Parpoil, Victor; Espinosa, Horacio D

    2012-02-08

    Semiconductor GaN NWs are promising components in next generation nano- and optoelectronic systems. In addition to their direct band gap, they exhibit piezoelectricity, which renders them particularly attractive in energy harvesting applications for self-powered devices. Nanowires are often considered as one-dimensional nanostructures; however, the electromechanical coupling leads to a third rank tensor that for wurtzite crystals (GaN NWs) possesses three independent coefficients, d(33), d(13), and d(15). Therefore, the full piezoelectric characterization of individual GaN NWs requires application of electric fields in different directions and measurements of associated displacements on the order of several picometers. In this Letter, we present an experimental approach based on scanning probe microscopy to directly quantify the three-dimensional piezoelectric response of individual GaN NWs. Experimental results reveal that GaN NWs exhibit strong piezoelectricity in three dimensions, with up to six times the effect in bulk. Based on finite element modeling, this finding has major implication on the design of energy harvesting systems exhibiting unprecedented levels of power density production. The presented method is applicable to other piezoelectric NW materials as well as wires manufactured along different crystallographic orientations. © 2011 American Chemical Society

  20. Structural and optical properties of GaN thin films grown on Al2O3 substrates by MOCVD at different reactor pressures

    International Nuclear Information System (INIS)

    Guillén-Cervantes, A.; Rivera-Álvarez, Z.; López-López, M.; Ponce-Pedraza, A.; Guarneros, C.; Sánchez-Reséndiz, V.M.

    2011-01-01

    GaN thin films grown by MOCVD on (0 0 0 1) Al 2 O 3 substrates at different growth pressures were characterized by field-emission scanning electron microscopy, atomic force microscopy, micro-Raman, and photoluminescence at room temperature. It was found that there is an optimum pressure of 76 Torr at which the structural and optical properties of the GaN samples are superior. On the other hand samples grown at higher pressure exhibited hexagonal surface pits and surface spirals. The results showed that the growth pressure strongly influences the morphology, and significantly affects the structural and optical properties of the GaN epilayers.

  1. Semi-polar GaN heteroepitaxy an high index Si-surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Ravash, Roghaiyeh; Blaesing, Juergen; Hempel, Thomas; Dadgar, Armin; Christen, Juergen; Krost, Alois [Otto-von-Guericke-University Magdeburg, FNW/IEP/AHE, Magdeburg (Germany)

    2011-07-01

    Due to the lack of GaN homosubstrates, the growth of GaN-based devices is usually performed on heterosubstrates as sapphire or SiC. These substrates are either insulating or expensive, and both unavailable in large diameters. Meanwhile, silicon can meet the requirements for a low price and thermally well conducting substrate and also enabling the integration of optoelectronic devices with Si-based electronics. Up to now, the good matching of hexagonal GaN with the three-fold symmetry of Si(111) greatly promotes the c-axis orientated growth of GaN on this surface plane. A large spontaneous and piezoelectric polarization oriented along the c-axis exists in such hexagonal structure leading to low efficiencies for thick quantum wells. The attention to the growth of non-polar or semi-polar GaN based epitaxial structures has been increased recently because of reducing the effect of the polarization fields in these growth directions. Therefore we studied semi-polar GaN epilayers grown by metalorganic vapor phase epitaxy on silicon substrates with different orientations from Si(211) to Si(711). We observed that AlN seeding layer growth time play a significant role in obtaining the different GaN texture.

  2. Development of a shear-force scanning near-field cathodoluminescence microscope for characterization of nanostructures' optical properties.

    Science.gov (United States)

    Bercu, N B; Troyon, M; Molinari, M

    2016-09-01

    An original scanning near-field cathodoluminescence microscope for nanostructure characterization has been developed and successfully tested. By using a bimorph piezoelectric stack both as actuator and detector, the developed setup constitutes a real improvement compared to previously reported SEM-based solutions. The technique combines a scanning probe and a scanning electron microscope in order to simultaneously offer near-field cathodoluminescence and topographic images of the sample. Share-force topography and cathodoluminescence measurements on GaN, SiC and ZnO nanostructures using the developed setup are presented showing a nanometric resolution in both topography and cathodoluminescence images with increased sensitivity compared to classical luminescence techniques. © 2016 The Authors Journal of Microscopy © 2016 Royal Microscopical Society.

  3. Infrared reflectance of GaN films grown on Si(001) substrates

    International Nuclear Information System (INIS)

    Zhang, Xiong; Hou, Yong-Tian; Feng, Zhe-Chuan; Chen, Jin-Li

    2001-01-01

    GaN thin films on Si(001) substrates are studied by infrared reflectance (IRR) spectroscopy at room temperature (RT). Variations in the IRR spectral line shape with the microstructure of GaN/Si(011) film are quantitatively explained in terms of a three-component effective medium model. In this model, the nominally undoped GaN film is considered to consist of three elementary components, i.e., single crystalline GaN grains, pores (voids), and inter-granulated materials (amorphous GaN clusters). Such a polycrystalline nature of the GaN/Si(001) films was confirmed by scanning electron microscopy measurements. It was demonstrated that based on the proposed three-component effective medium model, excellent overall simulation of the RT-IRR spectra can be achieved, and the fine structures of the GaN reststrahlen band in the measured RT-IRR spectra can also be interpreted very well. Furthermore, the volume fraction for each component in the GaN/Si(001) film was accurately determined by fitting the experimental RT-IRR spectra with the theoretical simulation. These results indicate that IRR spectroscopy can offer a sensitive and convenient tool to probe the microstructure of GaN films grown on silicon. [copyright] 2001 American Institute of Physics

  4. Real time spectroscopic ellipsometry investigation of homoepitaxial GaN grown by plasma assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Tong-Ho; Choi, Soojeong; Wu, Pae; Brown, April [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Losurdo, Maria; Giangregorio, Maria M.; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Moto, Akihiro [Innovation Core SEI, Inc., 3235 Kifer Road, Santa Clara, CA 95051 (United States)

    2006-06-15

    The growth of GaN by plasma assisted molecular beam epitaxy on GaN template substrates (GaN on sapphire) is investigated with in-situ multi-channel spectroscopic ellipsometry. Growth is performed under various Ga/N flux ratios at growth temperatures in the range 710-780 C. The thermal roughening of the GaN template caused by decomposition of the surface is investigated through the temporal variation of the GaN pseudodielectric function over the temperature range of 650 C to 850 C. The structural, morphological, and optical properties are also discussed. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. Real time ellipsometry for monitoring plasma-assisted epitaxial growth of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Losurdo, Maria [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy)]. E-mail: maria.losurdo@ba.imip.cnr.it; Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Capezzuto, Pio [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Brown, April S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Kim, Tong-Ho [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Choi, Soojeong [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States)

    2006-10-31

    GaN is grown on Si-face 4H-SiC(0 0 0 1) substrates using remote plasma-assisted methods including metalorganic chemical vapour deposition (RP-MOCVD) and molecular beam epitaxy (MBE). Real time spectroscopic ellipsometry is used for monitoring all the steps of substrate pre-treatments and the heteroepitaxial growth of GaN on SiC. Our characterization emphasis is on understanding the nucleation mechanism and the GaN growth mode, which depend on the SiC surface preparation.

  6. Single phase semipolar (11 anti 22) GaN on (10 anti 10) sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Ploch, S.; Stellmach, J.; Schwaner, T.; Frentrup, M.; Wernicke, T.; Pristovsek, M.; Kneissl, M. [Institute of Solid States Physics, (Germany); Park, J.B.; Niermann, T.; Lehmann, M. [Institute of Optics and Atomic Physics, TU Berlin, Hardenbergstr. 36, 10623 Berlin (Germany)

    2011-07-01

    InGaN quantum well based light emitters grown on (0001) GaN suffer from poor quantum efficiencies with increasing indium mole fraction due to strong polarization fields along the polar crystal orientation. This effect can be greatly reduced by growing on semi- and non-polar GaN orientations. Semipolar (11 anti 22) GaN layers were deposited by metalorganic vapour phase epitaxy on (10 anti 10) sapphire. After sapphire substrate nitridation at 1000 C, a GaN nucleation layer was deposited at high temperature, followed by the deposition of 1.5 nm thick GaN buffer layers. The samples show predominantly (11 anti 22) orientation with a small fraction of (10 anti 13) oriented domains. With increasing nitridation layer thickness the (10 anti 13) phase is suppressed leading to a very smooth surface morphology (rms roughness < 4nm). PL measurements show dominant basel plane stacking fault (BSF) I{sub 1} luminescence without any other defects. Transmission electron microscopy measurements reveal a high BSF density. The FWHM of the X-ray diffraction rocking curve measurements of the (1122) reflection decreases to 1193 arcsec and 739 arcsec along [1 anti 100] and [11 anti 23] respectively with increasing nucleation temperature. Using high temperature nucleation smooth and homogeneous (11 anti 22) phase GaN layers have been obtained.

  7. High surface hole concentration p-type GaN using Mg implantation

    International Nuclear Information System (INIS)

    Long Tao; Yang Zhijian; Zhang Guoyi

    2001-01-01

    Mg ions were implanted on Mg-doped GaN grown by metalorganic chemical vapor deposition (MOCVD). The p-type GaN was achieved with high hole concentration (8.28 x 10 17 cm -3 ) conformed by Van derpauw Hall measurement after annealing at 800 degree C for 1 h. this is the first experimental report of Mg implantation on Mg-doped GaN and achieving p-type GaN with high surface hole concentration

  8. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon

    Science.gov (United States)

    Park, Byung-Guon; Saravana Kumar, R.; Moon, Mee-Lim; Kim, Moon-Deock; Kang, Tae-Won; Yang, Woo-Chul; Kim, Song-Gang

    2015-09-01

    We demonstrate the evolution of GaN films on novel langasite (LGS) substrate by plasma-assisted molecular beam epitaxy, and assessed the quality of grown GaN film by comparing the experimental results obtained using LGS, sapphire and silicon (Si) substrates. To study the substrate effect, X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and photoluminescence (PL) spectra were used to characterize the microstructure and stress states in GaN films. Wet etching of GaN films in KOH solution revealed that the films deposited on GaN/LGS, AlN/sapphire and AlN/Si substrates possess Ga-polarity, while the film deposited on GaN/sapphire possess N-polarity. XRD, Raman and PL analysis demonstrated that a compressive stress exist in the films grown on GaN/LGS, AlN/sapphire, and GaN/sapphire substrates, while a tensile stress appears on AlN/Si substrate. Comparative analysis showed the growth of nearly stress-free GaN films on LGS substrate due to the very small lattice mismatch ( 3.2%) and thermal expansion coefficient difference ( 7.5%). The results presented here will hopefully provide a new framework for the further development of high performance III-nitride-related devices using GaN/LGS heteroepitaxy.

  9. Influence of Si-doping on heteroepitaxially grown a-plane GaN

    Energy Technology Data Exchange (ETDEWEB)

    Wieneke, Matthias; Bastek, Barbara; Noltemeyer, Martin; Hempel, Thomas; Rohrbeck, Antje; Witte, Hartmut; Veit, Peter; Blaesing, Juergen; Dadgar, Armin; Christen, Juergen; Krost, Alois [Otto-von-Guericke-Universitaet Magdeburg, FNW/IEP, Universitaetsplatz 2, 39106 Magdeburg (Germany)

    2011-07-01

    Si-doped a-plane GaN samples with nominal doping levels up to 10{sup 20} cm{sup -3} were grown on r-plane sapphire by metal organic vapor phase epitaxy. Silane flow rates higher than 59 nmol/min lead to three dimensional grown crystallites as revealed by scanning electron microscopy. High resolution X-ray diffraction, photoluminescence and cathodoluminescence suggest considerably reduced defect densities in the large micrometer-sized GaN crystallites. Especially, transmission electron microscopy images verify a very low density of basal plane stacking faults less than 10{sup 4} cm{sup -1} in these crystallites consisting of heteroepitaxially grown a-plane GaN. In our presentation the influence of the Si doping on the basal plane stacking faults will be discussed.

  10. The Formation and Characterization of GaN Hexagonal Pyramids

    Science.gov (United States)

    Zhang, Shi-Ying; Xiu, Xiang-Qian; Lin, Zeng-Qin; Hua, Xue-Mei; Xie, Zi-Li; Zhang, Rong; Zheng, You-Dou

    2013-05-01

    GaN with hexagonal pyramids is fabricated using the photo-assisted electroless chemical etching method. Defective areas of the GaN substrate are selectively etched in a mixed solution of KOH and K2S2O8 under ultraviolet illumination, producing submicron-sized pyramids. Hexagonal pyramids on the etched GaN with well-defined {101¯1¯} facets and very sharp tips are formed. High-resolution x-ray diffraction shows that etched GaN with pyramids has a higher crystal quality, and micro-Raman spectra reveal a tensile stress relaxation in GaN with pyramids compared with normal GaN. The cathodoluminescence intensity of GaN after etching is significantly increased by three times, which is attributed to the reduction in the internal reflection, high-quality GaN with pyramids and the Bragg effect.

  11. Epitaxial growth and characterization of approximately 300-nm-thick AlInN films nearly lattice-matched to c-plane GaN grown on sapphire

    Science.gov (United States)

    Miyoshi, Makoto; Yamanaka, Mizuki; Egawa, Takashi; Takeuchi, Tetsuya

    2018-05-01

    AlInN epitaxial films with film thicknesses up to approximately 300 nm were grown nearly lattice-matched to a c-plane GaN-on-sapphire template by metalorganic chemical vapor deposition. The AlInN films showed relative good crystal qualities and flat surfaces, despite the existence of surface pits connected to dislocations in the underlying GaN film. The refractive index derived in this study agreed well with a previously reported result obtained over the whole visible wavelength region. The extinction coefficient spectrum exhibited a clear absorption edge, and the bandgap energy for AlInN nearly lattice-matched to GaN was determined to be approximately 4.0 eV.

  12. Spectroscopic XPEEM of highly conductive SI-doped GaN wires

    Energy Technology Data Exchange (ETDEWEB)

    Renault, O., E-mail: olivier.renault@cea.fr [Univ. Grenoble Alpes, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38054 Grenoble (France); Morin, J. [Univ. Grenoble Alpes, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38054 Grenoble (France); Tchoulfian, P. [Univ. Grenoble Alpes, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38054 Grenoble (France); CNRS, Inst. NEEL, F-38042 Grenoble (France); Chevalier, N. [Univ. Grenoble Alpes, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38054 Grenoble (France); Feyer, V. [Peter Grünberg Institute (PGI-6) and JARA-FIT, Research Center Jülich, D-52425 Jülich (Germany); Pernot, J. [Univ. Grenoble Alpes, F-38000 Grenoble (France); CNRS, Inst. NEEL, F-38042 Grenoble (France); Institut Universitaire de France, F-75005 Paris (France); Schneider, C.M. [Peter Grünberg Institute (PGI-6) and JARA-FIT, Research Center Jülich, D-52425 Jülich (Germany)

    2015-12-15

    Using soft X-ray photoelectron emission microscopy (XPEEM), complemented by scanning Auger microscopy (SAM) and scanning capacitance microscopy, we have quantitatively studied the incorporation of silicon and band bending at the surface (m-facet) of an individual, highly conductive Si-doped GaN micro-wires (Tchoulfian et al., Applied Physics Letters 102 (12), 2013). Electrically active n-dopants Si atoms in Ga interstitial sites are detected as nitride bonding states in the high-resolution Si2p core level spectra, and represent only a small fraction (<10%) of the overall Si surface concentration measured by SAM. The derived carrier concentration of 2×10{sup 21} at cm{sup −3} is in reasonable agreement with electrical measurements. A consistent surface band bending of ~1 eV is directly evidenced by surface photo-voltage measurements. Such an approach combining different surface-sensitive microscopies is of interest for studying other heavily doped semiconducting wires. - Highlights: • XPEEM analysis of state-of-the-art, heavily doped GaN wires with insights on the issue of the origin of the increased conductivity. • Combined microscopic approach with Scanning Auger microscopy and X-ray Photoeletron Emission Microscopy, to quantity the electrically active Si-dopants in GaN. • The determined concentration is found in reasonable agreement with the one derived from bulk electrical measurements. • The proposed method is of interest for studying the electronics and chemistry of doping in other heavily doped semiconducting wires.

  13. Optical and Structural Characterizations of GaN Nano structures

    International Nuclear Information System (INIS)

    Shekari, L.; Abu Hassan, H.; Thahab, S.M.

    2011-01-01

    We have grown wurtzite GaN nano wires (NWs) on polished silicon (Si) either with or without Au as catalyst, using commercial GaN powder by thermal evaporation in an atmosphere of argon (Ar) gas. Structural and optical characterizations were performed using high resolution X-ray diffraction (HR-XRD), scanning electron microscopy (SEM), photoluminescence (PL) and energy-dispersive X-ray spectroscopy (EDX) spectroscopy. Results indicate that the nano wires are of single-crystal hexagonal GaN and the nano wires on Si with Au catalyst are more oriented than those without Au catalyst; and using catalyst make the NWs grow much faster and quite well-ordered. The compositional quality of the grown nano wires on the substrates are mostly same, however the nano wires on the Au coated silicon are of low density, while the nano wires on the Si are of high density. (author)

  14. Addition of Sb as a surfactant for the growth of nonpolar a-plane GaN by using mixed-source hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Ok, Jin Eun; Jo, Dong Wan; Yun, Wy Il; Han, Young Hun; Jeon, Hun Soo; Lee, Gang Suok; Jung, Se Gyo; Bae, Seon Min; Ahn, Hyung Soo; Yang, Min

    2011-01-01

    The influence of Sb as a surfactant on the morphology and on the structural and the optical characteristics of a-plane GaN grown on r-plane sapphire by using mixed-source hydride vapor phase epitaxy was investigated. The a-plane GaN:Sb layers were grown at various temperatures ranging from 1000 .deg. C to 1100 .deg. C, and the reactor pressure was maintained at 1 atm. The atomic force microscope (AFM), scanning electron microscope (SEM), X-ray diffraction (XRD) and photoluminescence(PL) results indicated that the surface morphologies and the structural and the optical characteristics of a-plane GaN were markedly improved, compared to the a-plane GaN layers grown without Sb, by using Sb as a surfactant. The addition of Sb was found to alter epitaxial lateral overgrowth (ELO) facet formation. The Sb was not detected from the a-plane-GaN epilayers within the detection limit of the energy dispersive spectroscopy (EDS) and x-ray photoelectron spectroscopy (XPS) measurements, suggesting that Sb act as a surfactant during the growth of a-plane GaN by using mixed-source HVPE method.

  15. Large electron capture-cross-section of the major nonradiative recombination centers in Mg-doped GaN epilayers grown on a GaN substrate

    Science.gov (United States)

    Chichibu, S. F.; Shima, K.; Kojima, K.; Takashima, S.; Edo, M.; Ueno, K.; Ishibashi, S.; Uedono, A.

    2018-05-01

    Complementary time-resolved photoluminescence and positron annihilation measurements were carried out at room temperature on Mg-doped p-type GaN homoepitaxial films for identifying the origin and estimating the electron capture-cross-section ( σ n ) of the major nonradiative recombination centers (NRCs). To eliminate any influence by threading dislocations, free-standing GaN substrates were used. In Mg-doped p-type GaN, defect complexes composed of a Ga-vacancy (VGa) and multiple N-vacancies (VNs), namely, VGa(VN)2 [or even VGa(VN)3], are identified as the major intrinsic NRCs. Different from the case of 4H-SiC, atomic structures of intrinsic NRCs in p-type and n-type GaN are different: VGaVN divacancies are the major NRCs in n-type GaN. The σ n value approximately the middle of 10-13 cm2 is obtained for VGa(VN)n, which is larger than the hole capture-cross-section (σp = 7 × 10-14 cm2) of VGaVN in n-type GaN. Combined with larger thermal velocity of an electron, minority carrier lifetime in Mg-doped GaN becomes much shorter than that of n-type GaN.

  16. Evolution of spirals during molecular beam epitaxy of GaN on 6H-SiC(0001)

    International Nuclear Information System (INIS)

    Cui, Y.; Li, L.

    2002-01-01

    Evolution of spirals during molecular beam epitaxy growth of GaN films on 6H-SiC(0001) was studied by in situ scanning tunneling microscopy. It was found that dislocations emerge at the film surface, creating straight steps with orientation along directions with a density of 10 10 cm -2 for 40-nm-thick films. During subsequent growth, these straight steps wind around dislocations and develop into spirals with a density of 10 9 cm -2 for 100-nm-thick films. The spirals can be classified into three types: single arm, interlocking double arm, and closed loop. The first two types originate from steps with one end pinned, and the third type results from steps with both ends pinned. At film thickness larger than 200 nm, these spirals further evolve into spiral mounds with a density of 10 7 cm -2 . Based on the Burton, Cabrera, and Frank theory, a model is proposed to explain the formation of different types of spirals and the reduction of their densities

  17. Growth and coalescence control of inclined c-axis polar and semipolar GaN multilayer structures grown on Si(111), Si(112), and Si(115) by metalorganic vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Szymański, Tomasz, E-mail: tomasz.szymanski@pwr.edu.pl; Wośko, Mateusz; Paszkiewicz, Bartłomiej; Paszkiewicz, Bogdan; Paszkiewicz, Regina [The Faculty of Microsystem Electronics and Photonics, Wroclaw University of Technology, Janiszewskiego 11/17, 50-372 Wroclaw (Poland); Sankowska, Iwona [The Institute of Electron Technology, Al. Lotnikow 32/46, 02-668 Warszawa (Poland)

    2016-09-15

    Herein, silicon substrates in alternative orientations from the commonly used Si(111) were used to enable the growth of polar and semipolar GaN-based structures by the metalorganic vapor phase epitaxy method. Specifically, Si(112) and Si(115) substrates were used for the epitaxial growth of nitride multilayer structures, while the same layer schemes were also deposited on Si(111) for comparison purposes. Multiple approaches were studied to examine the influence of the seed layers and the growth process conditions upon the final properties of the GaN/Si(11x) templates. Scanning electron microscope images were acquired to examine the topography of the deposited samples. It was observed that the substrate orientation and the process conditions allow control to produce an isolated GaN block growth or a coalesced layer growth, resulting in inclined c-axis GaN structures under various forms. The angles of the GaN c-axis inclination were determined by x-ray diffraction measurements and compared with the results obtained from the analysis of the atomic force microscope (AFM) images. The AFM image analysis method to determine the structure tilt was found to be a viable method to estimate the c-axis inclination angles of the isolated blocks and the not-fully coalesced layers. The quality of the grown samples was characterized by the photoluminescence method conducted at a wide range of temperatures from 77 to 297 K, and was correlated with the sample degree of coalescence. Using the free-excitation peak positions plotted as a function of temperature, analytical Bose-Einstein model parameters were fitted to obtain further information about the grown structures.

  18. Synthesis of p-type GaN nanowires.

    Science.gov (United States)

    Kim, Sung Wook; Park, Youn Ho; Kim, Ilsoo; Park, Tae-Eon; Kwon, Byoung Wook; Choi, Won Kook; Choi, Heon-Jin

    2013-09-21

    GaN has been utilized in optoelectronics for two decades. However, p-type doping still remains crucial for realization of high performance GaN optoelectronics. Though Mg has been used as a p-dopant, its efficiency is low due to the formation of Mg-H complexes and/or structural defects in the course of doping. As a potential alternative p-type dopant, Cu has been recognized as an acceptor impurity for GaN. Herein, we report the fabrication of Cu-doped GaN nanowires (Cu:GaN NWs) and their p-type characteristics. The NWs were grown vertically via a vapor-liquid-solid (VLS) mechanism using a Au/Ni catalyst. Electrical characterization using a nanowire-field effect transistor (NW-FET) showed that the NWs exhibited n-type characteristics. However, with further annealing, the NWs showed p-type characteristics. A homo-junction structure (consisting of annealed Cu:GaN NW/n-type GaN thin film) exhibited p-n junction characteristics. A hybrid organic light emitting diode (OLED) employing the annealed Cu:GaN NWs as a hole injection layer (HIL) also demonstrated current injected luminescence. These results suggest that Cu can be used as a p-type dopant for GaN NWs.

  19. High surface hole concentration p-type GaN using Mg implantation

    CERN Document Server

    Long Tao; Zhang Guo Yi

    2001-01-01

    Mg ions were implanted on Mg-doped GaN grown by metalorganic chemical vapor deposition (MOCVD). The p-type GaN was achieved with high hole concentration (8.28 x 10 sup 1 sup 7 cm sup - sup 3) conformed by Van derpauw Hall measurement after annealing at 800 degree C for 1 h. this is the first experimental report of Mg implantation on Mg-doped GaN and achieving p-type GaN with high surface hole concentration

  20. Nanoscale imaging of surface piezoresponse on GaN epitaxial layers

    International Nuclear Information System (INIS)

    Stoica, T.; Calarco, R.; Meijers, R.; Lueth, H.

    2007-01-01

    Surfaces of GaN films were investigated by atomic force microscopy (AFM) with implemented piezoelectric force microscopy technique. A model of PFM based on the surface depletion region in GaN films is discussed. The local piezoelectric effect of the low frequency regime was found to be in phase with the applied voltage on large domains, corresponding to a Ga-face of the GaN layer. Low piezoresponse is obtained within the inter-domain regions. The use of frequencies near a resonance frequency enhances very much the resolution of piezo-imaging, but only for very low scanning speed the piezo-imaging can follow the local piezoelectric effect. An inversion of the PFM image contrast is obtained for frequencies higher than the resonance frequencies. The effect of a chemical surface treatment on the topography and the piezoresponse of the GaN films was also investigated. Textured surfaces with very small domains were observed after the chemical treatment. For this kind of surfaces, piezo-induced torsion rather than bending of the AFM cantilever dominates the contrast of the PFM images. A small memory effect was observed, and explained by surface charging and confinement of the piezoelectric effect within the carrier depletion region at the GaN surface

  1. Mg doping of GaN by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lieten, R R; Buchowicz, G; Dubon, O; Motsnyi, V; Zhang, L; Cheng, K; Leys, M; Degroote, S; Borghs, G

    2011-01-01

    We present a systematic study on the influence of growth conditions on the incorporation and activation of Mg in GaN layers grown by plasma-assisted molecular beam epitaxy. We show that high quality p-type GaN layers can be obtained on GaN-on-silicon templates. The Mg incorporation and the electrical properties have been investigated as a function of growth temperature, Ga : N flux ratio and Mg : Ga flux ratio. It was found that the incorporation of Mg and the electrical properties are highly sensitive to the Ga : N flux ratio. The highest hole mobility and lowest resistivity were achieved for slightly Ga-rich conditions. In addition to an optimal Ga : N ratio, an optimum Mg : Ga flux ratio was also observed at around 1%. We observed a clear Mg flux window for p-type doping of GaN : 0.31% 17 cm -3 and a mobility of 15 cm 2 V -1 s -1 . Temperature-dependent Hall effect measurements indicate an acceptor depth in these samples of 100 meV for a hole concentration of 5.5 x 10 17 cm -3 . The corresponding Mg concentration is 5 x 10 19 cm -3 , indicating approximately 1% activation at room temperature. In addition to continuous growth of Mg-doped GaN layers we also investigated different modulated growth procedures. We show that a modulated growth procedure has only limited influence on Mg doping at a growth temperature of 800 deg. or higher. This result is thus in contrast to previously reported GaN : Mg doping at much lower growth temperatures of 500 deg. C.

  2. X-ray absorption near-edge structure of GaN with high Mn concentration grown on SiC

    Energy Technology Data Exchange (ETDEWEB)

    Sancho-Juan, O; Cantarero, A; Garro, N; Cros, A [Materials Science Institute, University of Valencia, PO Box 22085, E46071 Valencia (Spain); Martinez-Criado, G; Salome, M; Susini, J [European Synchrotron Radiation Facility, 6 rue Jules Horowitz, 38043 Grenoble (France); Olguin, D [Dept. de Fisica, CINVESTAV-IPN, 07300 Mexico D F (Mexico); Dhar, S [Experimentalphysik, Universitaet Duisburg-Essen, Lotharstrasse 1, 47057 Duisburg (Germany)

    2009-07-22

    By means of x-ray absorption near-edge structure (XANES) several Ga{sub 1-x}Mn{sub x}N (0.03GaN samples consisted of different epilayers grown by molecular beam epitaxy on [0001] SiC substrates. The low mismatch between GaN and SiC allows for a good quality and homogeneity of the material. The measurements were performed in fluorescence mode around both the Ga and Mn K edges. All samples studied present a similar Mn ionization state, very close to 2+, and tetrahedral coordination. In order to interpret the near-edge structure, we have performed ab initio calculations using the full potential linear augmented plane wave method as implemented in the Wien2k code. The calculations show the appearance of a Mn bonding t{sub 2}arrow up band localized in the gap region, and the corresponding anti-bonding state t{sub 2}arrow down, which seem to be responsible for the double structure which appears at the pre-edge absorption region. The shoulders and main absorption peak of the XANES spectra are attributed to transitions from the Mn(1s) band to the conduction bands, which are partially dipole allowed because of the Mn(4p) contribution to these bands.

  3. Insulating gallium oxide layer produced by thermal oxidation of gallium-polar GaN: Insulating gallium oxide layer produced by thermal oxidation of gallium-polar GaN

    Energy Technology Data Exchange (ETDEWEB)

    Hossain, T. [Kansas State Univ., Manhattan, KS (United States); Wei, D. [Kansas State Univ., Manhattan, KS (United States); Nepal, N. [Naval Research Lab. (NRL), Washington, DC (United States); Garces, N. Y. [Naval Research Lab. (NRL), Washington, DC (United States); Hite, J. K. [Naval Research Lab. (NRL), Washington, DC (United States); Meyer, H. M. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Eddy, C. R. [Naval Research Lab. (NRL), Washington, DC (United States); Baker, Troy [Nitride Solutions, Wichita, KS (United States); Mayo, Ashley [Nitride Solutions, Wichita, KS (United States); Schmitt, Jason [Nitride Solutions, Wichita, KS (United States); Edgar, J. H. [Kansas State Univ., Manhattan, KS (United States)

    2014-02-24

    We report the benefits of dry oxidation of n -GaN for the fabrication of metal-oxide-semiconductor structures. GaN thin films grown on sapphire by MOCVD were thermally oxidized for 30, 45 and 60 minutes in a pure oxygen atmosphere at 850 °C to produce thin, smooth GaOx layers. Moreover, the GaN sample oxidized for 30 minutes had the best properties. Its surface roughness (0.595 nm) as measured by atomic force microscopy (AFM) was the lowest. Capacitance-voltage measurements showed it had the best saturation in accumulation region and the sharpest transition from accumulation to depletion regions. Under gate voltage sweep, capacitance-voltage hysteresis was completely absent. The interface trap density was minimum (Dit = 2.75×1010 cm–2eV–1) for sample oxidized for 30 mins. These results demonstrate a high quality GaOx layer is beneficial for GaN MOSFETs.

  4. Void Shapes Controlled by Using Interruption-Free Epitaxial Lateral Overgrowth of GaN Films on Patterned SiO2 AlN/Sapphire Template

    Directory of Open Access Journals (Sweden)

    Yu-An Chen

    2014-01-01

    Full Text Available GaN epitaxial layers with embedded air voids grown on patterned SiO2 AlN/sapphire templates were proposed. Using interruption-free epitaxial lateral overgrowth technology, we realized uninterrupted growth and controlled the shape of embedded air voids. These layers showed improved crystal quality using X-ray diffraction and measurement of etching pits density. Compared with conventional undoped-GaN film, the full width at half-maximum of the GaN (0 0 2 and (1 0 2 peaks decreased from 485 arcsec to 376 arcsec and from 600 arcsec to 322 arcsec, respectively. Transmission electron microscopy results showed that the coalesced GaN growth led to bending threading dislocation. We also proposed a growth model based on results of scanning electron microscopy.

  5. Photoluminescence of Mg-doped m-plane GaN grown by MOCVD on bulk GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Monemar, Bo [Department of Physics, Chemistry and Biology, Linkoeping University, 581 83 Linkoeping (Sweden); Solid State Physics-The Nanometer Structure Consortium, Lund University, Box 118, 221 00 Lund (Sweden); Paskov, Plamen; Pozina, Galia; Hemmingsson, Carl; Bergman, Peder [Department of Physics, Chemistry and Biology, Linkoeping University, 581 83 Linkoeping (Sweden); Lindgren, David; Samuelson, Lars [Solid State Physics-The Nanometer Structure Consortium, Lund University, Box 118, 221 00 Lund (Sweden); Ni, Xianfeng; Morkoc, Hadis [Department of Electrical and Computer Engineering, Virginia Commonwealth University, Richmond, Virginia 23284-3072 (United States); Paskova, Tanya [Kyma Technologies Inc., Raleigh, North Carolina 27617 (United States); Bi, Zhaoxia; Ohlsson, Jonas [Glo AB, Ideon Science Park, Scheelevaegen 17, 223 70 Lund (Sweden)

    2011-07-15

    Photoluminescence (PL) properties are reported for a set of m-plane GaN films with Mg doping varied from mid 10{sup 18} cm{sup -3} to above 10{sup 20} cm{sup -3}. The samples were grown with MOCVD at reduced pressure on low defect density bulk GaN templates. The sharp line near bandgap bound exciton (BE) spectra observed below 50 K, as well as the broader donor-acceptor pair (DAP) PL bands at 2.9-3.3 eV give evidence of several Mg related acceptors, similar to the case of c-plane GaN. The dependence of the BE spectra on excitation intensity as well as the transient decay behaviour demonstrate acoustic phonon assisted transfer between the acceptor BE states. The lower energy donor-acceptor pair spectra suggest the presence of deep acceptors, in addition to the two main shallower ones at about 0.23 eV. Similar spectra from Mg-doped GaN nanowires (NWs) grown by MOCVD are also briefly discussed. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  6. Large lattice relaxation deep levels in neutron-irradiated GaN

    International Nuclear Information System (INIS)

    Li, S.; Zhang, J.D.; Beling, C.D.; Wang, K.; Wang, R.X.; Gong, M.; Sarkar, C.K.

    2005-01-01

    Deep level transient spectroscopy (DLTS) and deep level optical spectroscopy (DLOS) measurements have been carried out in neutron-irradiated n-type hydride-vapor-phase-epitaxy-grown GaN. A defect center characterized by a DLTS line, labeled as N1, is observed at E C -E T =0.17 eV. Another line, labeled as N2, at E C -E T =0.23 eV, seems to be induced at the same rate as N1 under irradiation and may be identified with E1. Other defects native to wurtzite GaN such as the C and E2 lines appear to enhance under neutron irradiation. The DLOS results show that the defects N1 and N2 have large Frank-Condon shifts of 0.64 and 0.67 eV, respectively, and hence large lattice relaxations. The as-grown and neutron-irradiated samples all exhibit the persistent photoconductivity effect commonly seen in GaN that may be attributed to DX centers. The concentration of the DX centers increases significantly with neutron dosage and is helpful in sustaining sample conductivity at low temperatures, thus making possible DLTS measurements on N1 an N2 in the radiation-induced deep-donor defect compensated material which otherwise are prevented by carrier freeze-out

  7. White emission from non-planar InGaN/GaN MQW LEDs grown on GaN template with truncated hexagonal pyramids.

    Science.gov (United States)

    Lee, Ming-Lun; Yeh, Yu-Hsiang; Tu, Shang-Ju; Chen, P C; Lai, Wei-Chih; Sheu, Jinn-Kong

    2015-04-06

    Non-planar InGaN/GaN multiple quantum well (MQW) structures are grown on a GaN template with truncated hexagonal pyramids (THPs) featuring c-plane and r-plane surfaces. The THP array is formed by the regrowth of the GaN layer on a selective-area Si-implanted GaN template. Transmission electron microscopy shows that the InGaN/GaN epitaxial layers regrown on the THPs exhibit different growth rates and indium compositions of the InGaN layer between the c-plane and r-plane surfaces. Consequently, InGaN/GaN MQW light-emitting diodes grown on the GaN THP array emit multiple wavelengths approaching near white light.

  8. Resonant Raman and FTIR spectra of carbon doped GaN

    Science.gov (United States)

    Ito, S.; Kobayashi, H.; Araki, K.; Suzuki, K.; Sawaki, N.; Yamashita, K.; Honda, Y.; Amano, H.

    2015-03-01

    Intentionally carbon (C) doped (0 0 0 1)GaN was grown using C2H2 on a sapphire substrate by metalorganic vapor phase epitaxy. Optical spectra of the heavily doped samples were investigated at room temperature. In Raman spectra excited by the 325 nm line of a He-Cd laser, multiple LO phonon scattering signals up to 7th order were observed, and the A1(LO) phonon energy was determined to be 737.5 cm-1 (91.45 meV). In infrared reflectance spectra, on the other hand, a local vibration mode was found at 777.5 cm-1, which is attributed to a Ga-C bond in the GaN matrix suggesting that the C sits on an N site (CN). In spite of the strong suggestion of CN, the samples did not show p-type conduction. Possible origin of the carrier compensation is discussed in relation to the enhancement of defect related yellow luminescence in the photoluminescence spectra.

  9. Thermal degradation of ohmic contacts on semipolar (11-22) GaN films grown on m-plane (1-100) sapphire substrates

    International Nuclear Information System (INIS)

    Kim, Doo Soo; Kim, Deuk Young; Seo, Yong Gon; Kim, Ji Hoon; Hwang, Sung Min; Baik, Kwang Hyeon

    2012-01-01

    Semipolar (11-22) GaN films were grown on m-plane (1-100) sapphire substrates by using metalorganic chemical vapor deposition. The line widths of the omega rocking curves of the semipolar GaN films were 498 arcsec along the [11-23] GaN direction and 908 arcsec along the [10-10] GaN direction. The properties of the Ti/Al/Ni/Au metal contact were investigated using transmission-line-method patterns oriented in both the [11-23] GaN and the [10-10] GaN directions of semipolar (11-22) GaN. The minimum specific contact resistance of ∼3.6 x 10 -4 Ω·cm -2 was obtained on as-deposited metal contacts. The Ohmic contact properties of semipolar (11-22) GaN became degraded with increasing annealing temperature above 400 .deg. C. The thermal degradation of the metal contacts may be attributed to the surface property of N-polarity on the semipolar (11-22) GaN films. Also, the semipolar (11-22) GaN films did not show clear anisotropic behavior of the electrical properties for different azimuthal angles.

  10. Vertical GaN Devices for Power Electronics in Extreme Environments

    Science.gov (United States)

    2016-03-31

    Vertical GaN Devices for Power Electronics in Extreme Environments Isik C. Kizilyalli (1), Robert J. Kaplar (2), O. Aktas (1), A. M. Armstrong (2...electronics applications. In this paper vertical p-n diodes and transistors fabricated on pseudo bulk low defect density (104 to 106 cm-2) GaN substrates are...discussed. Homoepitaxial MOCVD growth of GaN on its native substrate and being able to control doping has allowed the realization of vertical

  11. GaN Nanowires Synthesized by Electroless Etching Method

    KAUST Repository

    Najar, Adel; Anjum, Dalaver H.; Ng, Tien Khee; Ooi, Boon S.; Ben Slimane, Ahmed

    2012-01-01

    Ultra-long Gallium Nitride Nanowires is synthesized via metal-electroless etching method. The morphologies and optical properties of GaN NWs show a single crystal GaN with hexagonal Wurtzite structure and high luminescence properties.

  12. The controlled growth of GaN microrods on Si(111) substrates by MOCVD

    Science.gov (United States)

    Foltynski, Bartosz; Garro, Nuria; Vallo, Martin; Finken, Matthias; Giesen, Christoph; Kalisch, Holger; Vescan, Andrei; Cantarero, Andrés; Heuken, Michael

    2015-03-01

    In this paper, a selective area growth (SAG) approach for growing GaN microrods on patterned SiNx/Si(111) substrates by metal-organic chemical vapor deposition (MOCVD) is studied. The surface morphology, optical and structural properties of vertical GaN microrods terminated by pyramidal shaped facets (six { 10 1 bar 1} planes) were characterized using scanning electron microscopy (SEM), room temperature photoluminescence (PL) and Raman spectroscopy, respectively. Measurements revealed high-quality GaN microcolumns grown with silane support. Characterized structures were grown nearly strain-free (central frequency of Raman peak of 567±1 cm-1) with crystal quality comparable to bulk crystals (FWHM=4.2±1 cm-1). Such GaN microrods might be used as a next-generation device concept for solid-state lighting (SSL) applications by realizing core-shell InGaN/GaN multi-quantum wells (MQWs) on the n-GaN rod base.

  13. Influence of AlGaN Buffer Growth Temperature on GaN Epilayer based on Si(lll) Substrate

    International Nuclear Information System (INIS)

    Wei Meng; Wang Xiaoliang; Pan Xu; Xiao Hongling; Wang Cuimei; Zhang Minglan; Wang Zhanguo

    2011-01-01

    This paper investigated the influence of AlGaN buffer growth temperature on strain status and crystal quality of the GaN film on Si(111) sbustrates by metal organic chemical vapor deposition. It was demonstrated by the optical microscopy that AlGaN buffer gorwth temperature had a remarkable effect on compensating tensil stress in top GaN layer and preventing the formation of cracks. X-ray diffraction and atomic force microscopy analysis showed crystal quality and surface morphology of the GaN epilayer could be improved through increasing AlGaN buffer growth temperature. 1μm crack-free GaN epilayer on Si (111) substrates was obtained with graded AlGaN buffer layer at optimized temperature of 1050 deg. C. Transmission electron microscopy analysis revealed that a significant reduction in threading dislocations was achieved in GaN epilayer.

  14. Free-standing GaN grating couplers and rib waveguide for planar photonics at telecommunication wavelength

    Science.gov (United States)

    Liu, Qifa; Wang, Wei

    2018-01-01

    Gallium Nitride (GaN) free-standing planar photonic device at telecommunication wavelength based on GaN-on-silicon platform was presented. The free-standing structure was realized by particular double-side fabrication process, which combining GaN front patterning, Si substrate back releasing and GaN slab etching. The actual device parameters were identified via the physical characterizations employing scanning electron microscope (SEM), atomic force microscope (AFM) and reflectance spectra testing. High coupling efficiency and good light confinement properties of the gratings and rib waveguide at telecommunication wavelength range were verified by finite element method (FEM) simulation. This work illustrates the potential of new GaN photonic structure which will enable new functions for planar photonics in communication and sensing applications, and is favorable for the realization of integrated optical circuit.

  15. Effect of the nand p-type Si(100) substrates with a SiC buffer layer on the growth mechanism and structure of epitaxial layers of semipolar AlN and GaN

    Science.gov (United States)

    Bessolov, V. N.; Grashchenko, A. S.; Konenkova, E. V.; Myasoedov, A. V.; Osipov, A. V.; Red'kov, A. V.; Rodin, S. N.; Rubets, V. P.; Kukushkin, S. A.

    2015-10-01

    A new effect of the n-and p-type doping of the Si(100) substrate with a SiC film on the growth mechanism and structure of AlN and GaN epitaxial layers has been revealed. It has been experimentally shown that the mechanism of AlN and GaN layer growth on the surface of a SiC layer synthesized by substituting atoms on n- and p-Si substrates is fundamentally different. It has been found that semipolar AlN and GaN layers on the SiC/Si(100) surface grow in the epitaxial and polycrystalline structures on p-Si and n-Si substrates, respectively. A new method for synthesizing epitaxial semipolar AlN and GaN layers by chloride-hydride epitaxy on silicon substrates has been proposed.

  16. Spectroscopic and magnetic properties of Mn doped GaN epitaxial films grown by plasma assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Vidyasagar, R.; Lin, Y.-T.; Tu, L.-W.

    2012-01-01

    Graphical abstract: We report here that micro-Raman scattering spectrum for Mn doped GaN thin film has displayed a new peak manifested at 578 cm −1 , by which it is attributed to interior LVM originated by the incorporation of Mn ions in place of Ga sites. Mn doped GaN thin film also showed the typical negative magnetoresistance up to ∼50 K, revealing that the film showed magnetic ordering of spins below 50 K. Display Omitted Highlights: ► GaN and Mn doped GaN single phase wurtzite structures grown by PAMBE. ► The phase purity of the epilayers investigated by HRXRD, HRSEM and EDX. ► The red shift in near band edge emission has been observed using micro-PL. ► A new peak related LVM at 578 cm −1 in micro-Raman scattering measurements confirmed Mn doped into GaN. ► Negative-magnetoresistance investigations have showed that the film has T c −1 , which is attributed to the vacancy-related local vibrational mode of Mn occupying the Ga site. Temperature dependent negative magnetoresistance measurements provide a direct evidence of magnetic ordering below 50 K for the Mn doped GaN thin film.

  17. Growth of GaN micro/nanolaser arrays by chemical vapor deposition.

    Science.gov (United States)

    Liu, Haitao; Zhang, Hanlu; Dong, Lin; Zhang, Yingjiu; Pan, Caofeng

    2016-09-02

    Optically pumped ultraviolet lasing at room temperature based on GaN microwire arrays with Fabry-Perot cavities is demonstrated. GaN microwires have been grown perpendicularly on c-GaN/sapphire substrates through simple catalyst-free chemical vapor deposition. The GaN microwires are [0001] oriented single-crystal structures with hexagonal cross sections, each with a diameter of ∼1 μm and a length of ∼15 μm. A possible growth mechanism of the vertical GaN microwire arrays is proposed. Furthermore, we report room-temperature lasing in optically pumped GaN microwire arrays based on the Fabry-Perot cavity. Photoluminescence spectra exhibit lasing typically at 372 nm with an excitation threshold of 410 kW cm(-2). The result indicates that these aligned GaN microwire arrays may offer promising prospects for ultraviolet-emitting micro/nanodevices.

  18. Optical and field emission properties of layer-structure GaN nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Cui, Zhen [Science School, Xi’an University of Technology, Xi’an 710048 (China); School of automation and Information Engineering, Xi’an University of Technology, Xi’an 710048 (China); Li, Enling, E-mail: Lienling@xaut.edu.cn [Science School, Xi’an University of Technology, Xi’an 710048 (China); Shi, Wei; Ma, Deming [Science School, Xi’an University of Technology, Xi’an 710048 (China)

    2014-08-15

    Highlights: • The layer-structure GaN nanowires with hexagonal-shaped cross-sections are produced via a process based on the CVD method. • The diameter of the layer-structure GaN nanowire gradually decreases from ∼500 nm to ∼200 nm along the wire axis. • The layer-structure GaN nanowire film possesses good field emission property. - Abstract: A layer-structure gallium nitride (GaN) nanowires, grown on Pt-coated n-type Si (1 1 1) substrate, have been synthesized using chemical vapor deposition (CVD). The results show: (1) SEM indicates that the geometry structure is layer-structure. HRTEM indicates that GaN nanowire’s preferential growth direction is along [0 0 1] direction. (2) The room temperature PL emission spectrum of the layer-structure GaN nanowires has a peak at 375 nm, which proves that GaN nanowires have potential application in light-emitting nano-devices. (3) Field-emission measurements show that the layer-structure GaN nanowires film has a low turn-on field of 4.39 V/μm (at room temperature), which is sufficient for electron emission devices, field emission displays and vacuum nano-electronic devices. The growth mechanism for GaN nanowires has also been discussed briefly.

  19. Modelling the metal–semiconductor band structure in implanted ohmic contacts to GaN and SiC

    International Nuclear Information System (INIS)

    Pérez-Tomás, A; Fontserè, A; Placidi, M; Jennings, M R; Gammon, P M

    2013-01-01

    Here we present a method to model the metal–semiconductor (M–S) band structure to an implanted ohmic contact to a wide band gap semiconductor (WBG) such as GaN and SiC. The performance and understanding of the M–S contact to a WBG semiconductor is of great importance as it influences the overall performance of a semiconductor device. In this work we explore in a numerical fashion the ohmic contact properties to a WBG semiconductor taking into account the partial ionization of impurities and analysing its dependence on the temperature, the barrier height, the impurity level band energy and carrier concentration. The effect of the M–S Schottky barrier lowering and the Schottky barrier inhomogeneities are discussed. The model is applied to a fabricated ohmic contact to GaN where the M–S band structure can be completely determined. (paper)

  20. The nucleation of HCl and Cl{sub 2}-based HVPE GaN on mis-oriented sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Bohnen, Tim; Dreumel, Gerbe W.G. van; Enckevort, Willem J.P. van; Ashraf, Hina; Jong, Aryan E.F. de; Hageman, Paul R.; Vlieg, Elias [IMM, Radboud University, Nijmegen (Netherlands); Weyher, Jan L. [Institute of High Pressure Physics, Polish Academy of Sciences, Warsaw (Poland)

    2010-07-15

    The nucleation of both classic HCl-based and novel Cl{sub 2{sup -}} based HVPE GaN on mis-oriented sapphire substrates was investigated. The use of Cl{sub 2}in HVPE increases the growth rate by a factor of 4-5 and strongly reduces the parasitic deposition, allowing for the growth of much thicker wafers than HCl-based HVPE. Morphological SEM surface studies of the HCl-based HVPE sample surface show that at 600 C a nanocrystalline layer is deposited on the sapphire. During the subsequent annealing phase, the morphology changes to a {mu}m-sized island structure. During overgrowth at 1080 C, the islands coalesce. Small voids or pinholes are then formed in between the coalescing GaN islands. These pinholes lead to numerous pits on the surface of the GaN at thicknesses of 5 {mu}m. The pits disappear during continued overgrowth and can no longer be found on the surface, when the GaN film reaches a thickness of 45 {mu}m. This particular coalescence mechanism also applies to Cl{sub 2}-based HVPE GaN on sapphire (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  1. Stress engineering in GaN structures grown on Si(111) substrates by SiN masking layer application

    Energy Technology Data Exchange (ETDEWEB)

    Szymański, Tomasz, E-mail: tomasz.szymanski@pwr.edu.pl; Wośko, Mateusz; Paszkiewicz, Bogdan; Paszkiewicz, Regina [The Faculty of Microsystem Electronics and Photonics, Wrocaw University of Technology, Janiszewskiego 11/17, 50-372 Wroclaw (Poland); Drzik, Milan [International Laser Center, Ilkovicova 3, 841-04 Bratislava 4 (Slovakia)

    2015-07-15

    GaN layers without and with an in-situ SiN mask were grown by using metal organic vapor phase epitaxy for three different approaches used in GaN on silicon(111) growth, and the physical and optical properties of the GaN layers were studied. For each approach applied, GaN layers of 1.4 μm total thickness were grown, using silan SiH{sub 4} as Si source in order to grow Si{sub x}N{sub x} masking layer. The optical micrographs, scanning electron microscope images, and atomic force microscope images of the grown samples revealed cracks for samples without SiN mask, and micropits, which were characteristic for the samples grown with SiN mask. In situ reflectance signal traces were studied showing a decrease of layer coalescence time and higher degree of 3D growth mode for samples with SiN masking layer. Stress measurements were conducted by two methods—by recording micro-Raman spectra and ex-situ curvature radius measurement—additionally PLs spectra were obtained revealing blueshift of PL peak positions with increasing stress. The authors have shown that a SiN mask significantly improves physical and optical properties of GaN multilayer systems reducing stress in comparison to samples grown applying the same approaches but without SiN masking layer.

  2. Vacancy-type defects in Al2O3/GaN structure probed by monoenergetic positron beams

    Science.gov (United States)

    Uedono, Akira; Nabatame, Toshihide; Egger, Werner; Koschine, Tönjes; Hugenschmidt, Christoph; Dickmann, Marcel; Sumiya, Masatomo; Ishibashi, Shoji

    2018-04-01

    Defects in the Al2O3(25 nm)/GaN structure were probed by using monoenergetic positron beams. Al2O3 films were deposited on GaN by atomic layer deposition at 300 °C. Temperature treatment above 800 °C leads to the introduction of vacancy-type defects in GaN due to outdiffusion of atoms from GaN into Al2O3. The width of the damaged region was determined to be 40-50 nm from the Al2O3/GaN interface, and some of the vacancies were identified to act as electron trapping centers. In the Al2O3 film before and after annealing treatment at 300-900 °C, open spaces with three different sizes were found to coexist. The density of medium-sized open spaces started to decrease above 800 °C, which was associated with the interaction between GaN and Al2O3. Effects of the electron trapping/detrapping processes of interface states on the flat band voltage and the defects in GaN were also discussed.

  3. Extremely high hole concentrations in c-plane GaN

    Energy Technology Data Exchange (ETDEWEB)

    Trybus, Elaissa; Moseley, Michael; Henderson, Walter; Billingsley, Daniel [Department of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA (United States); Namkoong, Gon [Old Dominion University, Applied Research Center, Newport News, VA (United States); Look, David C. [Wright State University, Semiconductor Research Center, Dayton, OH (United States); Doolittle, W.A.

    2009-06-15

    Metal Modulated Epitaxy (S. D. Burnham et al., J. Appl. Phys. 104, 024902 (2008)[1]) is extended to include modulation of both the shutters of Ga and Mg, the Mg being delivered from a Veeco corrosive series valved cracker (S. D. Burnham et al., Mater. Res. Soc. Proc. 798, Y8.11 (2003)[2]). The Ga fluxes used are sufficiently large that droplets rapidly form when the Ga shutter opens and are subsequently depleted when the Ga shutter closes. The result is the ability to limit surface faceting while predominantly growing under average N-rich growth conditions and thus, possibly reduce N-vacancy defects. N-vacancy defects are known to result in compensation. This ability to grow higher quality materials under N-rich conditions results in very high hole concentrations and low resistivity p-type materials. Hole concentrations as high as 2 x 10{sup 19} cm{sup -3} have been achieved on c-plane GaN resulting in resistivities as low as 0.38 ohm-cm. The dependence on Ga flux, shutter timing, the corresponding RHEED images for each condition is detailed and clearly show minimization of faceting and crystal quality variations as determined by X-ray diffraction. Quantification of the Mg incorporation and residual impurities such as hydrogen, oxygen, and carbon by SIMS, eliminates co-doping, while temperature dependent hall measurements show reduced activation energies. X-ray diffraction data compares crystalline quality with hole concentration. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Characterization and growth mechanism of nonpolar and semipolar GaN layers grown on patterned sapphire substrates

    International Nuclear Information System (INIS)

    Okada, Narihito; Tadatomo, Kazuyuki

    2012-01-01

    Nonpolar and semipolar GaN layers with markedly improved crystalline quality can be obtained by selective-area growth from the sapphire sidewalls of patterned sapphire substrates (PSSs). In this paper, we review the crystalline qualities of GaN layers grown on PSSs and their growth mechanism. We grew semipolar {1 1 −2 2} and {1 0 −1 1} GaN layers on r- and n-PSSs. The crystalline qualities of the GaN layers grown on the PSSs were higher than those of GaN layers grown directly on heteroepitaxial substrates. To reveal the growth mechanism of GaN layers grown on PSSs, we also grew various nonpolar and semipolar GaN layers such as m-GaN on a-PSS, {1 1 −2 2} GaN on r-PSS, {1 0 − 1  1} GaN on n-PSS, m-GaN on c-PSS and a-GaN on m-PSS. It was found that the nucleation of GaN on the c-plane-like sapphire sidewall results in selective growth from the sapphire sidewall, and nonpolar or semipolar GaN can be obtained. Finally, we demonstrated a light-emitting diode fabricated on a {1 1 −2 2} GaN layer grown on an r-PSS. (paper)

  5. Fabrications and application of single crystalline GaN for high-performance deep UV photodetectors

    Energy Technology Data Exchange (ETDEWEB)

    Velazquez, R.; Rivera, M.; Feng, P., E-mail: p.feng@upr.edu [Department of Physics, College of Natural Sciences, University of Puerto Rico, San Juan, 00936-8377, PR/USA (Puerto Rico); Aldalbahi, A. [Department of Chemistry, College of Science, King Saud University, Riyadh 11451 (Saudi Arabia)

    2016-08-15

    High-quality single crystalline Gallium Nitride (GaN) semiconductor has been synthesized using molecule beam epitaxy (MBE) technique for development of high-performance deep ultraviolet (UV) photodetectors. Thickness of the films was estimated by using surface profile meter and scanning electron microscope. Electronic states and elemental composition of the films were obtained using Raman scattering spectroscopy. The orientation, crystal structure and phase purity of the films were examined using a Siemens x-ray diffractometer radiation. The surface microstructure was studied using high resolution scanning electron microscopy (SEM). Two types of metal pairs: Al-Al, Al-Cu or Cu-Cu were used for interdigital electrodes on GaN film in order to examine the Schottky properties of the GaN based photodetector. The characterizations of the fabricated prototype include the stability, responsivity, response and recovery times. Typical time dependent photoresponsivity by switching different UV light source on and off five times for each 240 seconds at a bias of 2V, respectively, have been obtained. The detector appears to be highly sensitive to various UV wavelengths of light with very stable baseline and repeatability. The obtained photoresponsivity was up to 354 mA/W at the bias 2V. Higher photoresponsivity could be obtained if higher bias was applied but it would unavoidably result in a higher dark current. Thermal effect on the fabricated GaN based prototype was discussed.

  6. GaN epitaxial layers grown on multilayer graphene by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  7. Influence of different aspect ratios on the structural and electrical properties of GaN thin films grown on nanoscale-patterned sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Fang-Wei [Department of Electrophysics, National Chiao-Tung University, Hsinchu 300, Taiwan (China); Ke, Wen-Cheng, E-mail: wcke@mail.ntust.edu.tw [Department of Materials Science and Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Cheng, Chun-Hong; Liao, Bo-Wei; Chen, Wei-Kuo [Department of Electrophysics, National Chiao-Tung University, Hsinchu 300, Taiwan (China)

    2016-07-01

    Highlights: • Nanoscale patterned sapphire substrate was prepared by anodic-aluminum-oxide etching mask. • Influence of aspect ratio of NPSS on structural and electrical properties of GaN films was studied. • Low dislocation density and high carrier mobility of GaN films were grown on high aspect ratio NPSS. - Abstract: This study presents GaN thin films grown on nanoscale-patterned sapphire substrates (NPSSs) with different aspect ratios (ARs) using a homemade metal-organic chemical vapor deposition system. The anodic aluminum oxide (AAO) technique is used to prepare the dry etching mask. The cross-sectional view of the scanning electron microscope image shows that voids exist between the interface of the GaN thin film and the high-AR (i.e. ∼2) NPSS. In contrast, patterns on the low-AR (∼0.7) NPSS are filled full of GaN. The formation of voids on the high-AR NPSS is believed to be due to the enhancement of the lateral growth in the initial growth stage, and the quick-merging GaN thin film blocks the precursors from continuing to supply the bottom of the pattern. The atomic force microscopy images of GaN on bare sapphire show a layer-by-layer surface morphology, which becomes a step-flow surface morphology for GaN on a high-AR NPSS. The edge-type threading dislocation density can be reduced from 7.1 × 10{sup 8} cm{sup −2} for GaN on bare sapphire to 4.9 × 10{sup 8} cm{sup −2} for GaN on a high-AR NPSS. In addition, the carrier mobility increases from 85 cm{sup 2}/Vs for GaN on bare sapphire to 199 cm{sup 2}/Vs for GaN on a high-AR NPSS. However, the increased screw-type threading dislocation density for GaN on a low-AR NPSS is due to the competition of lateral growth on the flat-top patterns and vertical growth on the bottom of the patterns that causes the material quality of the GaN thin film to degenerate. Thus, the experimental results indicate that the AR of the particular patterning of a NPSS plays a crucial role in achieving GaN thin film with

  8. Semipolar GaN grown on m-plane sapphire using MOVPE

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, Tim; Netzel, Carsten; Weyers, Markus [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, Berlin (Germany); Kneissl, Michael [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, Berlin (Germany); Institute of Solid State Physics, Technical University of Berlin (Germany)

    2008-07-01

    We have investigated the MOVPE growth of semipolar gallium nitride (GaN) films on (10 anti 1 0) m-plane sapphire substrates. Specular GaN films with a RMS roughness (10 x 10 {mu}m{sup 2}) of 15.2 nm were obtained and an arrowhead like structure aligned along[ anti 2 113] is prevailing. The orientation relationship was determined by XRD and yielded (212){sub GaN} parallel (10 anti 10){sub sapphire} and [anti 2113]{sub GaN} parallel [0001]{sub sapphire} as well as [anti 2113]{sub GaN} parallel [000 anti 1]{sub sapphire}. PL spectra exhibited near band edge emission accompanied by a strong basal plane stacking fault emission. In addition lower energy peaks attributed to prismatic plane stacking faults and donor acceptor pair emission appeared in the spectrum. With similar growth conditions also (1013) GaN films on m-plane sapphire were obtained. In the later case we found that the layer was twinned, crystallites with different c-axis orientation were present. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. High temperature refractive indices of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Liu, C.; Stepanov, S.; Gott, A.; Shields, P.A.; Zhirnov, E.; Wang, W.N. [Department of Physics, University of Bath, Bath, BA2 7AY (United Kingdom); Steimetz, E.; Zettler, J.T. [LayTec, Helmholtzstr. 13-14, 10587 Berlin (Germany)

    2006-06-15

    Undoped GaN (u-GaN) films were grown by low pressure metalorganic vapour phase epitaxy (LP-MOVPE) on sapphire substrates. In situ optical monitoring was applied to the growth process either using a LayTec EpiR-DA TT spectroscopic reflectometer or Filmetrics F30. Refractive indices of u-GaN films at 1060 C were obtained in a spectral range from 370-900 nm. A peak at 412{+-}5 nm in refractive index spectra was observed, which most likely corresponds to the band-gap of hexagonal GaN at a temperature of 1060 C. Refractive indices below this band-gap are fitted well to the first-order Sellmeier formula. As an example of the applications of the refractive indices, the effective film thicknesses of GaN during the resumption from 3 dimensional (3D) to 2 dimensional (2D) growth have been calculated from the spectra recorded by a LayTec system using the optical constants obtained. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Nonlinear characterization of GaN HEMT

    International Nuclear Information System (INIS)

    Chen Chi; Hao Yue; Yang Ling; Quan Si; Ma Xiaohua; Zhang Jincheng

    2010-01-01

    DC I-V output, small signal and an extensive large signal characterization (load-pull measurements) of a GaN HEMT on a SiC substrate with different gate widths of 100 μm and 1 mm have been carried out. From the small signal data, it has been found that the cutoff frequencies increase with gate width varying from 100 μm to 1mm, owing to the reduced contribution of the parasitic effect. The devices investigated with different gate widths are enough to work in the C band and X band. The large signal measurements include the load-pull measurements and power sweep measurements at the C band (5.5 GHz) and X band (8 GHz). When biasing the gate voltage in class AB and selecting the source impedance, the optimum load impedances seen from the device for output power and PAE were localized in the load-pull map. The results of a power sweep at an 8 GHz biased various drain voltage demonstrate that a GaN HEMT on a SiC substrate has good thermal conductivity and a high breakdown voltage, and the CW power density of 10.16 W/mm was obtained. From the results of the power sweep measurement at 5.5 GHz with different gate widths, the actual scaling rules and heat effect on the large periphery device were analyzed, although the effects are not serious. The measurement results and analyses prove that a GaN HEMT on a SiC substrate is an ideal candidate for high-power amplifier design.

  11. Homoepitaxial growth of a-plane GaN layers by reaction between Ga2O vapor and NH3 gas

    International Nuclear Information System (INIS)

    Sumi, Tomoaki; Taniyama, Yuuki; Takatsu, Hiroaki; Juta, Masami; Kitamoto, Akira; Imade, Mamoru; Yoshimura, Masashi; Mori, Yusuke; Isemura, Masashi

    2015-01-01

    Growth of high-quality a-plane GaN layers was performed by reaction between Ga 2 O vapor and NH 3 gas at a high temperature. Smooth a-plane GaN epitaxial layers were obtained on a-plane GaN seed substrates sliced from thick c-plane GaN crystals. Growth rate increased with increasing Ga 2 O partial pressure. An a-plane GaN layer with a growth rate of 48 μm/h was obtained. The X-ray rocking curve (XRC) measurement showed that the full widths at half maximum (FWHMs) of GaN(112-bar0) with the incident beam parallel and perpendicular to the [0001] direction were 29–43 and 29–42 arcsec, respectively. Secondary ion mass spectrometry (SIMS) measurement revealed that oxygen concentration decreased at a high temperature. These results suggest that growth of a-GaN layers using Ga 2 O vapor and NH 3 gas at a high temperature enables the generation of high-quality crystals. (author)

  12. Optical properties of Mg doped p-type GaN nanowires

    Science.gov (United States)

    Patsha, Avinash; Pandian, Ramanathaswamy; Dhara, S.; Tyagi, A. K.

    2015-06-01

    Mg doped p-type GaN nanowires are grown using chemical vapor deposition technique in vapor-liquid-solid (VLS) process. Morphological and structural studies confirm the VLS growth process of nanowires and wurtzite phase of GaN. We report the optical properties of Mg doped p-type GaN nanowires. Low temperature photoluminescence studies on as-grown and post-growth annealed samples reveal the successful incorporation of Mg dopants. The as-grwon and annealed samples show passivation and activation of Mg dopants, respectively, in GaN nanowires.

  13. Growth optimization and characterization of GaN epilayers on multifaceted (111) surfaces etched on Si(100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ansah-Antwi, KwaDwo Konadu, E-mail: kakadee@gmail.com; Chua, Soo Jin [Institute of Materials Research and Engineering (IMRE), A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis # 08-03, Singapore 138634 (Singapore); Department of Electrical and Computer Engineering, National University of Singapore, E4-5-45, 4 Engineering Drive 3, Singapore 117576 (Singapore); Soh, Chew Beng [Singapore Institute of Technology, 10 Dover Drive, Singapore 138683 (Singapore); Liu, Hongfei [Institute of Materials Research and Engineering (IMRE), A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis # 08-03, Singapore 138634 (Singapore)

    2015-11-15

    The four nearest Si(111) multifaceted sidewalls were exposed inside an array of 3 μm-wide square holes patterned on an Si(100) substrate, and this patterned Si(100) substrate was used as a substrate for the deposition of a gallium nitride (GaN) epilayer. Subsequently the effect that the growth pressure, the etched-hole profiles, and the etched-hole arrangement had upon the quality of the as-grown GaN was investigated. The coalescence of the as-grown GaN epilayer on the exposed Si(111) facets was observed to be enhanced with reduced growth pressure from 120 to 90 Torr. A larger Si(001) plane area at the bottom of the etched holes resulted in bidirectional GaN domains, which resulted in poor material quality. The bidirectional GaN domains were observed as two sets of six peaks via a high-resolution x-ray diffraction phi scan of the GaN(10-11) reflection. It was also shown that a triangular array of etched holes was more desirable than square arrays of etched holes for the growth high-quality and continuous GaN films.

  14. High-quality GaN nanowires grown on Si and porous silicon by thermal evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Shekari, L., E-mail: lsg09_phy089@student.usm.my [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia); Ramizy, A.; Omar, K.; Hassan, H. Abu; Hassan, Z. [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer A new kind of substrate (porous silicon) was used. Black-Right-Pointing-Pointer Also this research introduces an easy and safe method to grow high quality GaN NWs. Black-Right-Pointing-Pointer This is a new growth process to decrease the cost, complexity of growth of GaN NWs. Black-Right-Pointing-Pointer It is a controllable method to synthesize GaN NWs by thermal evaporation. - Abstract: Nanowires (NWs) of GaN thin films were prepared on as-grown Si (1 1 1) and porous silicon (PS) substrates using thermal evaporation method. The film growth produced high-quality wurtzite GaN NWs. The size, morphology, and nanostructures of the crystals were investigated through scanning electron microscopy, high-resolution X-ray diffraction and photoluminescence spectroscopy. The NWs grown on porous silicon were thinner, longer and denser compared with those on as-grown Si. The energy band gap of the NWs grown on PS was larger than that of NWs on as-grown Si. This is due to the greater quantum confinement effects of the crystalline structure of the NWs grown on PS.

  15. Taevo Gans / Ene Ammer

    Index Scriptorium Estoniae

    Ammer, Ene

    1998-01-01

    Sisearhitekt Taevo Gansist. Tudengipõlvest, selle aja projektidest, sõpruskonnast, tandemist Summatavet & Gans, Venemaa tellimustest, kaastöölistest. Üksinda Hommilkumaal vene tarbekunsti näitusega 1974. a. 1988. a. loodud perefirmast "GaDis" (omanikud Taevo, Helle Gans, Riia Oja), mis nõustab ka "Wermot" mööbli osas. "GaDise" sisekujundusprojektidest, millega Taevo ja Helle Gans tegelevad üheskoos

  16. Vertical current-flow enhancement via fabrication of GaN nanorod p–n junction diode on graphene

    Energy Technology Data Exchange (ETDEWEB)

    Ryu, Sung Ryong [Quantum-functional Semiconductor Research Center, Dongguk University-Seoul, 100-715 (Korea, Republic of); Department of physics, Dongguk University, Seoul, 100-715 (Korea, Republic of); Ram, S.D. Gopal; Lee, Seung Joo; Cho, Hak-dong; Lee, Sejoon [Quantum-functional Semiconductor Research Center, Dongguk University-Seoul, 100-715 (Korea, Republic of); Kang, Tae Won, E-mail: twkang@dongguk.edu [Quantum-functional Semiconductor Research Center, Dongguk University-Seoul, 100-715 (Korea, Republic of); Clean Energy and Nano Convergence Centre, Hindustan University, Chennai 600 016 (India); Kwon, Sangwoo; Yang, Woochul [Department of physics, Dongguk University, Seoul, 100-715 (Korea, Republic of); Shin, Sunhye [Soft-Epi Inc., 240 Opo-ro, Opo-eup, Gwangju-si, Gyeonggi-do (Korea, Republic of); Woo, Yongdeuk [Department of Mechanical and Automotive Engineering, Woosuk University, Chonbuk 565-701 (Korea, Republic of)

    2015-08-30

    Highlights: • Uniaxial p–n junction diode in GaN nanorod is made by Hydride vapor phase epitaxy method. • The p–n junction diode property is clearly observed from the fabricated uniaxial p–n junction nanorod GaN nanorod. • Graphene is used as a current spreading layer to reduce the lateral resistance up to 700 times when compared with the commercial sapphire substrate, which is clearly explained with the aid of an equivalent circuit. • Kelvin Force Probe microscopy method is employed to visualize the p- and n- regions in a single GaN nanorod. - Abstract: Mg doped GaN nanorods were grown on undoped n-type GaN nanorods uniaxial on monolayer graphene by hydride vapor phase epitaxy (HVPE) method. The monolayer graphene used as the bottom electrode and a substrate as well provides good electrical contact, acts as a current spreading layer, well suitable for the growth of hexagonal GaN nanorod. In addition it has a work function suitable to that of n-GaN. The formed p–n nanorods show a Schottky behavior with a turn on voltage of 3 V. Using graphene as the substrate, the resistance of the nanorod is reduced by 700 times when compared with the case without using graphene as the current spreading layer. The low resistance of graphene acts in parallel with the resistance of the GaN buffer layer, and reduces the resistance drastically. The formed p–n junction in a single GaN nanorod is visualized by Kelvin Force Probe Microscopy (KPFM) to have distinctively contrast p and n regions. The measured contact potential difference of p-and n-region has a difference of 103 mV which well confirms the formed regions are electronically different. Low temperature photoluminescence (PL) spectra give evidence of dopant related acceptor bound emission at 3.2 eV different from 3.4 eV of undoped GaN. The crystalline structure, compositional purity is confirmed by X-ray diffraction (XRD), Transmission and Scanning electron microcopies (SEM), (TEM), Energy dispersive analysis

  17. Vertical current-flow enhancement via fabrication of GaN nanorod p–n junction diode on graphene

    International Nuclear Information System (INIS)

    Ryu, Sung Ryong; Ram, S.D. Gopal; Lee, Seung Joo; Cho, Hak-dong; Lee, Sejoon; Kang, Tae Won; Kwon, Sangwoo; Yang, Woochul; Shin, Sunhye; Woo, Yongdeuk

    2015-01-01

    Highlights: • Uniaxial p–n junction diode in GaN nanorod is made by Hydride vapor phase epitaxy method. • The p–n junction diode property is clearly observed from the fabricated uniaxial p–n junction nanorod GaN nanorod. • Graphene is used as a current spreading layer to reduce the lateral resistance up to 700 times when compared with the commercial sapphire substrate, which is clearly explained with the aid of an equivalent circuit. • Kelvin Force Probe microscopy method is employed to visualize the p- and n- regions in a single GaN nanorod. - Abstract: Mg doped GaN nanorods were grown on undoped n-type GaN nanorods uniaxial on monolayer graphene by hydride vapor phase epitaxy (HVPE) method. The monolayer graphene used as the bottom electrode and a substrate as well provides good electrical contact, acts as a current spreading layer, well suitable for the growth of hexagonal GaN nanorod. In addition it has a work function suitable to that of n-GaN. The formed p–n nanorods show a Schottky behavior with a turn on voltage of 3 V. Using graphene as the substrate, the resistance of the nanorod is reduced by 700 times when compared with the case without using graphene as the current spreading layer. The low resistance of graphene acts in parallel with the resistance of the GaN buffer layer, and reduces the resistance drastically. The formed p–n junction in a single GaN nanorod is visualized by Kelvin Force Probe Microscopy (KPFM) to have distinctively contrast p and n regions. The measured contact potential difference of p-and n-region has a difference of 103 mV which well confirms the formed regions are electronically different. Low temperature photoluminescence (PL) spectra give evidence of dopant related acceptor bound emission at 3.2 eV different from 3.4 eV of undoped GaN. The crystalline structure, compositional purity is confirmed by X-ray diffraction (XRD), Transmission and Scanning electron microcopies (SEM), (TEM), Energy dispersive analysis

  18. X-ray determination of strain in ion implanted GaN

    International Nuclear Information System (INIS)

    Qadri, S.B.; Molnar, B.; Yousuf, M.; Carosella, C.A.

    2002-01-01

    The out-of-plane c, and in-plane a, lattice parameters of wurtzite gallium nitride (GaN) films, grown on the [0 0 0 1] basal plane of sapphire have been determined and the impact of ion implantation having dose between 5x10 13 and 5x10 15 cm -2 investigated. The thickness of the GaN layers was in the 1-3.5 μm range. The overall effect of the (0 0 0 1) GaN growth on (0 0 0 1) sapphire is biaxial compression in wurtzite α-GaN. Earlier X-ray studies have indicated that the films of GaN grow either purely in α-GaN phase or in α-GaN phase with a cubic β-GaN component. In contrast, our high-resolution X-ray diffraction (XRD) measurement revealed two isostructural polymorphs of α-GaN phases having different lattice parameters. Influence of ion implantation is to increase the values of lattice parameters a and c and could be rationalized in terms of an increase in the defects. At doses above 5x10 15 cm -2 , XRD analysis indicates the existence of an amorphous layer preventing the determination of a and c accurately

  19. Undoped p-type GaN1-xSbx alloys: Effects of annealing

    Science.gov (United States)

    Segercrantz, N.; Baumgartner, Y.; Ting, M.; Yu, K. M.; Mao, S. S.; Sarney, W. L.; Svensson, S. P.; Walukiewicz, W.

    2016-12-01

    We report p-type behavior for undoped GaN1-xSbx alloys with x ≥ 0.06 grown by molecular beam epitaxy at low temperatures (≤400 °C). Rapid thermal annealing of the GaN1-xSbx films at temperatures >400 °C is shown to generate hole concentrations greater than 1019 cm-3, an order of magnitude higher than typical p-type GaN achieved by Mg doping. The p-type conductivity is attributed to a large upward shift of the valence band edge resulting from the band anticrossing interaction between localized Sb levels and extended states of the host matrix.

  20. A comparative study on magnetism in Zn-doped AlN and GaN from first-principles

    International Nuclear Information System (INIS)

    Xu, Liang; Wang, Lingling; Huang, Weiqing; Xiao, Wenzhi; Xiao, Gang

    2014-01-01

    First-principles calculations have been used to comparatively investigate electronic and magnetic properties of Zn-doped AlN and GaN. A total magnetic moment of 1.0 μ B induced by Zn is found in AlN, but not in GaN. Analyses show that the origin of spontaneous polarization not only depend on the localized atomic orbitals of N and sufficient hole concentration, but also the relative intensity of the covalency of matrix. The relatively stronger covalent character of GaN with respect to AlN impedes forming local magnetic moment in GaN matrix. Our study offers a fresh sight of spontaneous spin polarization in d 0 magnetism. The much stronger ferromagnetic coupling in c-plane of AlN means that it is feasible to realize long-range ferromagnetic order via monolayer delta-doping. This can apply to other wide band-gap semiconductors in wurtzite structure.

  1. GaN への Mg イオン注入によるp 型層形成の検討

    OpenAIRE

    西城, 祐亮

    2016-01-01

    A selective area doping technology is required for making high performance GaN devices. Usually,ion implantation is used as a method of the selective area doping, but formation of the p-type conductive layer by ion implantation has been difficult for GaN. Mg-ion implanted layers in n--GaN on a high quality free-standing GaN substrate show p-type conduction after high temperature annealing at 1230°C,but Implanted layer consisted of uniform p-type crystalline area and localized crystal defect...

  2. Epitaxial growth of M-plane GaN on ZnO micro-rods by plasma-assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Shuo-Ting You

    2015-12-01

    Full Text Available We have studied the GaN grown on ZnO micro-rods by plasma-assisted molecular beam epitaxy. From the analyses of GaN microstructure grown on non-polar M-plane ZnO surface ( 10 1 ̄ 0 by scanning transmission electron microscope, we found that the ZnGa2O4 compound was formed at the M-plane hetero-interface, which was confirmed by polarization-dependent photoluminescence. We demonstrated that the M-plane ZnO micro-rod surface can be used as an alternative substrate to grow high quality M-plane GaN epi-layers.

  3. Dislocation confinement in the growth of Na flux GaN on metalorganic chemical vapor deposition-GaN

    International Nuclear Information System (INIS)

    Takeuchi, S.; Asazu, H.; Nakamura, Y.; Sakai, A.; Imanishi, M.; Imade, M.; Mori, Y.

    2015-01-01

    We have demonstrated a GaN growth technique in the Na flux method to confine c-, (a+c)-, and a-type dislocations around the interface between a Na flux GaN crystal and a GaN layer grown by metalorganic chemical vapor deposition (MOCVD) on a (0001) sapphire substrate. Transmission electron microscopy (TEM) clearly revealed detailed interface structures and dislocation behaviors that reduced the density of vertically aligned dislocations threading to the Na flux GaN surface. Submicron-scale voids were formed at the interface above the dislocations with a c component in MOCVD-GaN, while no such voids were formed above the a-type dislocations. The penetration of the dislocations with a c component into Na flux GaN was, in most cases, effectively blocked by the presence of the voids. Although some dislocations with a c component in the MOCVD-GaN penetrated into the Na flux GaN, their propagation direction changed laterally through the voids. On the other hand, the a-type dislocations propagated laterally and collectively near the interface, when these dislocations in the MOCVD-GaN penetrated into the Na flux GaN. These results indicated that the dislocation propagation behavior was highly sensitive to the type of dislocation, but all types of dislocations were confined to within several micrometers region of the Na flux GaN from the interface. The cause of void formation, the role of voids in controlling the dislocation behavior, and the mechanism of lateral and collective dislocation propagation are discussed on the basis of TEM results

  4. The impact of ScO{sub x}N{sub y} interlayers on unintentional doping and threading dislocations in GaN

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, T; Moram, M A; Rao, D V Sridhara; Li, H; Kappers, M J; Oliver, R A, E-mail: tz234@cam.ac.u [Department of Materials Science and Metallurgy, Pembroke Street, Cambridge, CB2 3QZ (United Kingdom)

    2010-02-01

    To reduce the threading dislocation density in (0001) GaN grown on c-plane sapphire, a series of samples have been grown using scandium oxynitride (ScO{sub x}N{sub y}) interlayers (ILs) on AlN-on-sapphire templates. Scanning capacitance microscopy (SCM) has been employed to investigate the unintentional doping in GaN with varying ScO{sub x}N{sub y} IL thicknesses. The use of ScO{sub x}N{sub y} ILs decreases the threading dislocation density. An unintentionally n-doped layer has been identified by SCM close to the GaN/ScO{sub x}N{sub y} interface. The average width of this conductive layer has been quantified and found to increase as the ScO{sub x}N{sub y} IL thickness increases up to 13 nm.

  5. The trap states in lightly Mg-doped GaN grown by MOVPE on a freestanding GaN substrate

    Science.gov (United States)

    Narita, Tetsuo; Tokuda, Yutaka; Kogiso, Tatsuya; Tomita, Kazuyoshi; Kachi, Tetsu

    2018-04-01

    We investigated traps in lightly Mg-doped (2 × 1017 cm-3) p-GaN fabricated by metalorganic vapor phase epitaxy (MOVPE) on a freestanding GaN substrate and the subsequent post-growth annealing, using deep level transient spectroscopy. We identified four hole traps with energy levels of EV + 0.46, 0.88, 1.0, and 1.3 eV and one electron trap at EC - 0.57 eV in a p-type GaN layer uniformly doped with magnesium (Mg). The Arrhenius plot of hole traps with the highest concentration (˜3 × 1016 cm-3) located at EV + 0.88 eV corresponded to those of hole traps ascribed to carbon on nitrogen sites in n-type GaN samples grown by MOVPE. In fact, the range of the hole trap concentrations at EV + 0.88 eV was close to the carbon concentration detected by secondary ion mass spectroscopy. Moreover, the electron trap at EC - 0.57 eV was also identical to the dominant electron traps commonly observed in n-type GaN. Together, these results suggest that the trap states in the lightly Mg-doped GaN grown by MOVPE show a strong similarity to those in n-type GaN, which can be explained by the Fermi level close to the conduction band minimum in pristine MOVPE grown samples due to existing residual donors and Mg-hydrogen complexes.

  6. Implantation induced electrical isolation of sulphur doped GaN xAs1-x layers

    International Nuclear Information System (INIS)

    Ahmed, S.; Lin, J.; Haq, A.; Sealy, B.

    2005-01-01

    The study of III-N-V semiconductor alloys, especially GaN x As 1-x has been increasing in the last few years. The strong dependence of the band gap on the nitrogen content has made this material important for a variety of applications, including long wavelength optoelectronic devices and high efficiency solar cells. We report on the effects of sulphur doping implants on the achieved electrical isolation in GaN x As 1-x layers using proton bombardment. Sulphur ions were implanted in MOCVD-grown GaN x As 1-x layers (1.4 μm thick with nominal x = 1%) with multiple energies creating approximately uniform doping profiles in the range of about 1 x 10 18 -5 x 10 19 cm -3 . Several proton implants were performed in order to find the threshold dose (minimum dose to achieve maximum sheet resistivity) for the electrical isolation of n-type GaN x As 1-x layers. Results show that the sheet resistance of n-type layers can be increased by about five orders of magnitude by proton implantation and the threshold dose to convert a conductive layer to a highly resistive one depends on the original free carrier concentration. The study of annealing temperature dependence of sheet resistivity in proton-isolated GaN x As 1-x layers shows that the electrical isolation can be preserved up to 450 and 500 deg. C when the implantation is performed at RT and 77 K with threshold dose, respectively. These results for n-type GaN x As 1-x layers are novel and have ramifications for device engineers

  7. Chemical lift-off of (11-22) semipolar GaN using periodic triangular cavities

    Science.gov (United States)

    Jeon, Dae-Woo; Lee, Seung-Jae; Jeong, Tak; Baek, Jong Hyeob; Park, Jae-Woo; Jang, Lee-Woon; Kim, Myoung; Lee, In-Hwan; Ju, Jin-Woo

    2012-01-01

    Chemical lift-off of (11-22) semipolar GaN using triangular cavities was investigated. The (11-22) semipolar GaN was grown using epitaxial lateral overgrowth by metal-organic chemical vapor deposition on m-plane sapphire, in such a way as to keep N terminated surface of c-plane GaN exposed in the cavities. After regrowing 300 μm thick (11-22) semipolar GaN by hydride vapor phase epitaxy for a free-standing (11-22) semipolar GaN substrate, the triangular cavities of the templates were chemically etched in molten KOH. The (000-2) plane in the triangular cavities can be etched in the [0002] direction with the high lateral etching rate of 196 μm/min. The resulting free-standing (11-22) semipolar GaN substrate was confirmed to be strain-free by the Raman analysis.

  8. Impact of GaN transition layers in the growth of GaN epitaxial layer on silicon

    International Nuclear Information System (INIS)

    Zhao Danmei; Zhao Degang; Jiang Desheng; Liu Zongshun; Zhu Jianjun; Chen Ping; Liu Wei; Li Xiang; Shi Ming

    2015-01-01

    A method for growing GaN epitaxial layer on Si (111) substrate is investigated. Due to the large lattice mismatch between GaN and AlN, GaN grown directly above an AlN buffer layer on the Si substrate turns out to be of poor quality. In this study, a GaN transition layer is grown additionally on the AlN buffer before the GaN epitaxial growth. By changing the growth conditions of the GaN transition layer, we can control the growth and merging of islands and control the transfer time from 3D to 2D growth mode. With this method, the crystalline quality of the GaN epitaxial layer can be improved and the crack density is reduced. Here, we have investigated the impact of a transition layer on the crystalline quality and stress evolution of a GaN epitaxial layer with methods of X-ray diffraction, optical microscopy and in situ reflectivity trace. With the increasing thickness of transition layer, the crack decreases and the crystalline quality is improved. But when the transition layer exceeds a critical thickness, the crystalline quality of the epilayer becomes lower and the crack density increases. (paper)

  9. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures.

    Science.gov (United States)

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-11-13

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices.

  10. High thermal stability of abrupt SiO2/GaN interface with low interface state density

    Science.gov (United States)

    Truyen, Nguyen Xuan; Taoka, Noriyuki; Ohta, Akio; Makihara, Katsunori; Yamada, Hisashi; Takahashi, Tokio; Ikeda, Mitsuhisa; Shimizu, Mitsuaki; Miyazaki, Seiichi

    2018-04-01

    The effects of postdeposition annealing (PDA) on the interface properties of a SiO2/GaN structure formed by remote oxygen plasma-enhanced chemical vapor deposition (RP-CVD) were systematically investigated. X-ray photoelectron spectroscopy clarified that PDA in the temperature range from 600 to 800 °C has almost no effects on the chemical bonding features at the SiO2/GaN interface, and that positive charges exist at the interface, the density of which can be reduced by PDA at 800 °C. The capacitance-voltage (C-V) and current density-SiO2 electric field characteristics of the GaN MOS capacitors also confirmed the reduction in interface state density (D it) and the improvement in the breakdown property of the SiO2 film after PDA at 800 °C. Consequently, a high thermal stability of the SiO2/GaN structure with a low fixed charge density and a low D it formed by RP-CVD was demonstrated. This is quite informative for realizing highly robust GaN power devices.

  11. Field plated 0.15 μm GaN HEMTs for millimeter-wave application

    International Nuclear Information System (INIS)

    Ren Chunjiang; Li Zhonghui; Yu Xuming; Wang Quanhui; Wang Wen; Chen Tangsheng; Zhang Bin

    2013-01-01

    SiN dielectrically-defined 0.15 μm field plated GaN HEMTs for millimeter-wave application have been presented. The AlGaN/GaN hetero-structure epitaxial material for HEMTs fabrication was grown on a 3-inch SiC substrate with an Fe doped GaN buffer layer by metal-organic chemical deposition. Electron beam lithography was used to define both the gate footprint and the cap of the gate with an integrated field plate. Gate recessing was performed to control the threshold voltage of the devices. The fabricated GaN HEMTs exhibited a unit current gain cut-off frequency of 39 GHz and a maximum frequency of oscillation of 63 GHz. Load-pull measurements carried out at 35 GHz showed a power density of 4 W/mm with associated power gain and power added efficiency of 5.3 dB and 35%, respectively, for a 0.15 mm gate width device operated at a 24 V drain bias. The developed 0.15 μm gate length GaN HEMT technology is suitable for Ka band applications and is ready for millimeter-wave power MMICs development. (semiconductor devices)

  12. Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Shih, Huan-Yu; Chen, Miin-Jang; Lin, Ming-Chih; Chen, Liang-Yih

    2015-01-01

    The growth of uniform gallium nitride (GaN) thin films was reported on (100) Si substrate by remote plasma atomic layer deposition (RP-ALD) using triethylgallium (TEG) and NH 3 as the precursors. The self-limiting growth of GaN was manifested by the saturation of the deposition rate with the doses of TEG and NH 3 . The increase in the growth temperature leads to the rise of nitrogen content and improved crystallinity of GaN thin films, from amorphous at a low deposition temperature of 200 °C to polycrystalline hexagonal structures at a high growth temperature of 500 °C. No melting-back etching was observed at the GaN/Si interface. The excellent uniformity and almost atomic flat surface of the GaN thin films also infer the surface control mode of the GaN thin films grown by the RP-ALD technique. The GaN thin films grown by RP-ALD will be further applied in the light-emitting diodes and high electron mobility transistors on (100) Si substrate. (paper)

  13. Metalorganic chemical vapor deposition growth of high-mobility AlGaN/AlN/GaN heterostructures on GaN templates and native GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Jr-Tai, E-mail: jrche@ifm.liu.se; Hsu, Chih-Wei; Forsberg, Urban; Janzén, Erik [Department of Physics, Chemistry, and Biology (IFM), Linköping University, SE 581 83 Linköping (Sweden)

    2015-02-28

    Severe surface decomposition of semi-insulating (SI) GaN templates occurred in high-temperature H{sub 2} atmosphere prior to epitaxial growth in a metalorganic chemical vapor deposition system. A two-step heating process with a surface stabilization technique was developed to preserve the GaN template surface. Utilizing the optimized heating process, a high two-dimensional electron gas mobility ∼2000 cm{sup 2}/V·s was obtained in a thin AlGaN/AlN/GaN heterostructure with an only 100-nm-thick GaN spacer layer homoepitaxially grown on the GaN template. This technique was also demonstrated viable for native GaN substrates to stabilize the surface facilitating two-dimensional growth of GaN layers. Very high residual silicon and oxygen concentrations were found up to ∼1 × 10{sup 20 }cm{sup −3} at the interface between the GaN epilayer and the native GaN substrate. Capacitance-voltage measurements confirmed that the residual carbon doping controlled by growth conditions of the GaN epilayer can be used to successfully compensate the donor-like impurities. State-of-the-art structural properties of a high-mobility AlGaN/AlN/GaN heterostructure was then realized on a 1 × 1 cm{sup 2} SI native GaN substrate; the full width at half maximum of the X-ray rocking curves of the GaN (002) and (102) peaks are only 21 and 14 arc sec, respectively. The surface morphology of the heterostructure shows uniform parallel bilayer steps, and no morphological defects were noticeable over the entire epi-wafer.

  14. GaN and ZnO nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Fuendling, Soenke; Soekmen, Uensal; Behrends, Arne; Al-Suleiman, Mohamed Aid Mansur; Merzsch, Stephan; Li, Shunfeng; Bakin, Andrey; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, Technische Universitaet Braunschweig, Braunschweig (Germany); Laehnemann, Jonas; Jahn, Uwe; Trampert, Achim; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2010-10-15

    GaN and ZnO are both wide band gap semiconductors with interesting properties concerning optoelectronic and sensor device applications. Due to the lack or the high costs of native substrates, alternatives like sapphire, silicon, or silicon carbide are taken, but the resulting lattice and thermal mismatches lead to increased defect densities which reduce the material quality. In contrast, nanostructures with high aspect ratio have lower defect densities as compared to layers. In this work, we give an overview on our results achieved on both ZnO as well as GaN based nanorods. ZnO nanostructures were grown by a wet chemical approach as well as by VPT on different substrates - even on flexible polymers. To compare the growth results we analyzed the structures by XRD and PL and show possible device applications. The GaN nano- and microstructures were grown by metal organic vapor phase epitaxy either in a self-organized process or by selective area growth for a better control of shape and material composition. Finally we take a look onto possible device applications, presenting our attempts, e.g., to build LEDs based on GaN nanostructures. (Abstract Copyright [2010], Wiley Periodicals, Inc.)

  15. Automatic semi-quantification of [(123)I]FP-CIT SPECT scans in healthy volunteers using BasGan version 2

    DEFF Research Database (Denmark)

    Nobili, Flavio; Naseri, Mehrdad; De Carli, Fabrizio

    2013-01-01

    with partial volume effect correction. Multicentre camera inhomogeneity was taken into account by calibrating values on basal ganglia phantom data. SBR in each caudate nucleus (C) and putamen (P) were the dependent variables in a repeated measures general linear model analysis; age, gender, handedness and body...... Medicine). Brain single photon emission computed tomography (SPECT) was acquired by means of dual-head cameras 3 h after [(123)I]FP-CIT administration. Specific to nondisplaceable binding ratios (SBRs) in the basal ganglia were computed using the 'BasGan' software, allowing automatic value extraction...... mass index (BMI) were the independent variables. RESULTS: SBR values in C and P were significantly associated with age (mean rate decrease with age: 0.0306 per year, or 0.57 % of the general mean; p ...

  16. Investigation of structural and optical properties of nanoporous GaN film

    International Nuclear Information System (INIS)

    Yam, F.K.; Hassan, Z.; Chuah, L.S.; Ali, Y.P.

    2007-01-01

    The structural and optical characteristics of porous GaN prepared by Pt-assisted electroless etching under different etching durations are reported. The porous GaN samples were investigated by scanning electron microscopy (SEM), high-resolution X-ray diffraction (HR-XRD), photoluminescence (PL) and Raman scattering. SEM images indicated that the density of the pores increased with the etching duration; however, the etching duration has no significant effect on the size and shape of the pores. XRD measurements showed that the (0 0 0 2) diffraction plane peak width of porous samples was slightly broader than the as-grown sample, and it increased with the etching duration. PL measurements revealed that the near band edge peak of all the porous samples were red-shifted; however, the porosity-induced PL intensity enhancement was only observed in the porous samples; apart from that, two additional strain-induced structural defect-related PL peaks observed in as-grown sample were absent in porous samples. Raman spectra showed that the shift of E 2 (high) to lower frequency was only found in samples with high density of pores. On the contrary, the absence of two forbidden TO modes in the as-grown sample was observed in some of porous samples

  17. Growth kinetics for temperature-controlled atomic layer deposition of GaN using trimethylgallium and remote-plasma-excited NH3

    International Nuclear Information System (INIS)

    Pansila, P.; Kanomata, K.; Miura, M.; Ahmmad, B.; Kubota, S.; Hirose, F.

    2015-01-01

    Highlights: • We discuss the reaction mechanism of the low temperature GaN ALD. • The plasma-excited NH 3 is effective in the nitridation of the TMG saturated GaN surface with surface temperatures in excess of 100 °C. • The temperature controlled ALD of GaN is examined using RT-TMG adsorption and plasma-excited NH 3 treatment with the temperature of 115 °C. - Abstract: Fundamental surface reactions in the atomic layer deposition of GaN with trimethylgallium (TMG) and plasma-excited NH 3 are investigated by multiple-internal-reflection infrared absorption spectroscopy (MIR-IRAS) at surface temperatures varying from room temperature (RT) to 400 °C. It is found that TMG is saturated at RT on GaN surfaces when the TMG exposure exceeds 8 × 10 4 Langmuir (L), where 1 L corresponds to 1.33 × 10 −4 Pa s (or 1.0 × 10 −6 Torr s), and its saturation density reaches the maximum value at RT. Nitridation with the plasma-excited NH 3 on the TMG-saturated GaN surface is investigated by X-ray photoelectron spectroscopy (XPS). The nitridation becomes effective at surface temperatures in excess of 100 °C. The reaction models of TMG adsorption and nitridation on the GaN surface are proposed in this paper. Based on the surface analysis, a temperature-controlled ALD process consisting of RT-TMG adsorption and nitridation at 115 °C is examined, where the growth per cycle of 0.045 nm/cycle is confirmed. XPS analysis indicates that all N atoms are bonded as GaN. Atomic force microscopy indicates an average roughness of 0.23 nm. We discuss the reaction mechanism of GaN ALD in the low-temperature region at around 115 °C with TMG and plasma-excited NH 3 .

  18. Mn doped GaN nanoparticles synthesized by rapid thermal treatment in ammonia

    International Nuclear Information System (INIS)

    Šimek, P.; Sedmidubský, D.; Huber, Š.; Klímová, K.; Maryško, M.; Mikulics, M.; Sofer, Z.

    2015-01-01

    We present a novel route for the synthesis of manganese doped GaN nanoparticles. Nanoparticles in the form of hexagonal discs were synthesized by rapid thermal treatment of manganese doped ammonium hexafluorogallate in ammonium atmosphere. The morphology of GaN:Mn nanoparticles was investigated using scanning electron microscopy. A concentration over 0.7 wt.% of Mn was observed by X-ray fluorescence and electron microprobe. Structural and electronic properties were investigated using X-ray diffraction, Raman spectroscopy and micro-photoluminescence with excitation wavelength of 325 nm and 532 nm. The magnetic properties between 4.5 K and 300 K were investigated by a superconducting quantum interference device (SQUID) magnetometer. GaN:Mn nanoparticles show a purely paramagnetic behavior which can be interpreted in terms of Mn 2+ ions exhibiting an antiferromagnetic interaction. - Highlights: • A new method for the synthesis of Mn doped GaN nanoparticles. • GaN:Mn nanoparticles form hexagonal discs. • None ferromagnetic ordering observed in GaN:Mn nanoparticles. • The concentration of Mn in GaN:Mn nanoparticles reach up to 0.8 wt.%

  19. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    Science.gov (United States)

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  20. Growth kinetics and structural perfection of (InN)_1/(GaN)_1_–_2_0 short-period superlattices on +c-GaN template in dynamic atomic layer epitaxy

    International Nuclear Information System (INIS)

    Kusakabe, Kazuhide; Hashimoto, Naoki; Wang, Ke; Imai, Daichi; Itoi, Takaomi; Yoshikawa, Akihiko

    2016-01-01

    The growth kinetics and structural perfection of (InN)_1/(GaN)_1_–_2_0 short-period superlattices (SPSs) were investigated with their application to ordered alloys in mind. The SPSs were grown on +c-GaN template at 650 °C by dynamic atomic layer epitaxy in conventional plasma-assisted molecular beam epitaxy. It was found that coherent structured InN/GaN SPSs could be fabricated when the thickness of the GaN barrier was 4 ML or above. Below 3 ML, the formation of SPSs was quite difficult owing to the increased strain in the SPS structure caused by the use of GaN as a template. The effective or average In composition of the (InN)_1/(GaN)_4 SPSs was around 10%, and the corresponding InN coverage in the ∼1 ML-thick InN wells was 50%. It was found that the effective InN coverage in ∼1 ML-thick InN wells could be varied with the growth conditions. In fact, the effective In composition could be increased up to 13.5%, i.e., the corresponding effective InN coverage was about 68%, by improving the capping/freezing speed by increasing the growth rate of the GaN barrier layer.

  1. Built-in surface electric field, piezoelectricity and photoelastic effect in GaN nanorods for nanophotonic devices.

    Science.gov (United States)

    Su, W S; Chen, T T; Cheng, C L; Fu, S P; Chen, Y F; Hsiao, C L; Tu, L W

    2008-06-11

    Novel behaviors arising from the coupling between the built-in surface electric field, piezoelectricity, electron-hole pairs and external light beam were observed in GaN nanorods. An increase in the optical excitation density resulted in a blueshift in the photoluminescence spectra and a redshift in the frequency of the GaN A(1)(LO) phonon. The underlying mechanism was attributed to the screening of the built-in surface electric field by photoexcited carriers and, through the converse piezoelectric effect, a reduction in the internal strain. The existence of the built-in surface electric field in GaN nanorods was confirmed by scanning Kelvin probe microscopy. Our results firmly establish the existence of the photoelastic effect in GaN nanorods. In addition to underpinning the principle for applications in nanophotonic devices, this discovery also draws attention to the novel effects arising from the inherent large surface-to-volume ratio of nanostructures, which is possibly applicable to many other nanomaterials.

  2. Impact of substrate off-angle on the m-plane GaN Schottky diodes

    Science.gov (United States)

    Yamada, Hisashi; Chonan, Hiroshi; Takahashi, Tokio; Shimizu, Mitsuaki

    2018-04-01

    We investigated the effects of the substrate off-angle on the m-plane GaN Schottky diodes. GaN epitaxial layers were grown by metal-organic chemical vapor deposition on m-plane GaN substrates having an off-angle of 0.1, 1.1, 1.7, or 5.1° toward [000\\bar{1}]. The surface of the GaN epitaxial layers on the 0.1°-off substrate consisted of pyramidal hillocks and contained oxygen (>1017 cm-3) and carbon (>1016 cm-3) impurities. The residual carbon and oxygen impurities decreased to current of the 0.1°-off m-plane GaN Schottky diodes originated from the +c facet of the pyramidal hillocks. The leakage current was efficiently suppressed through the use of an off-angle that was observed to be greater than 1.1°. The off-angle of the m-plane GaN substrate is critical in obtaining high-performance Schottky diodes.

  3. Growth and characterization of semi-insulating carbon-doped/undoped GaN multiple-layer buffer

    International Nuclear Information System (INIS)

    Kim, Dong-Seok; Won, Chul-Ho; Kang, Hee-Sung; Kim, Young-Jo; Kang, In Man; Lee, Jung-Hee; Kim, Yong Tae

    2015-01-01

    We have proposed a new semi-insulating GaN buffer layer, which consists of multiple carbon-doped and undoped GaN layer. The buffer layer showed sufficiently good semi-insulating characteristics, attributed to the depletion effect between the carbon-doped GaN and the undoped GaN layers, even though the thickness of the carbon-doped GaN layer in the periodic structure was designed to be very thin to minimize the total carbon incorporation into the buffer layer. The AlGaN/AlN/GaN heterostructure grown on the proposed buffer exhibited much better electrical and structural properties than that grown on the conventional thick carbon-doped semi-insulating GaN buffer layer, confirmed by Hall measurement, x-ray diffraction, and secondary ion mass spectrometry. The fabricated device also showed excellent buffer breakdown characteristics. (paper)

  4. Synthesis and morphology evolution of GaN/C nanocables

    International Nuclear Information System (INIS)

    Du Xuefeng; Zhu Yingchun; Yang Tao; Shen Yue; Zeng Yi; Xu Fangfang

    2009-01-01

    GaN/C nanocables were synthesized via a thermochemical process. The GaN/C nanocables were composed of single crystalline GaN nanowire cores with a mean diameter of 80 nm and parallel carbon sheathes with a thickness of several nanometers. We find that GaN nanocables were partially evolved into waved GaN nanowires and discontinuously ordered nanodots within the carbon sheaths due to the decomposition of GaN at high temperature regions. Both the carbon sheathes and GaN nanowire cores show a high degree of crystalline perfection. This method may be applied to coat a wide range of nanostructures with carbon sheathes and prepare various hetrostructures, which may serve as potential building blocks in nanodevices.

  5. RenderGAN: Generating Realistic Labeled Data

    Directory of Open Access Journals (Sweden)

    Leon Sixt

    2018-06-01

    Full Text Available Deep Convolutional Neuronal Networks (DCNNs are showing remarkable performance on many computer vision tasks. Due to their large parameter space, they require many labeled samples when trained in a supervised setting. The costs of annotating data manually can render the use of DCNNs infeasible. We present a novel framework called RenderGAN that can generate large amounts of realistic, labeled images by combining a 3D model and the Generative Adversarial Network framework. In our approach, image augmentations (e.g., lighting, background, and detail are learned from unlabeled data such that the generated images are strikingly realistic while preserving the labels known from the 3D model. We apply the RenderGAN framework to generate images of barcode-like markers that are attached to honeybees. Training a DCNN on data generated by the RenderGAN yields considerably better performance than training it on various baselines.

  6. High-Sensitivity GaN Microchemical Sensors

    Science.gov (United States)

    Son, Kyung-ah; Yang, Baohua; Liao, Anna; Moon, Jeongsun; Prokopuk, Nicholas

    2009-01-01

    Systematic studies have been performed on the sensitivity of GaN HEMT (high electron mobility transistor) sensors using various gate electrode designs and operational parameters. The results here show that a higher sensitivity can be achieved with a larger W/L ratio (W = gate width, L = gate length) at a given D (D = source-drain distance), and multi-finger gate electrodes offer a higher sensitivity than a one-finger gate electrode. In terms of operating conditions, sensor sensitivity is strongly dependent on transconductance of the sensor. The highest sensitivity can be achieved at the gate voltage where the slope of the transconductance curve is the largest. This work provides critical information about how the gate electrode of a GaN HEMT, which has been identified as the most sensitive among GaN microsensors, needs to be designed, and what operation parameters should be used for high sensitivity detection.

  7. Z L GAN

    Indian Academy of Sciences (India)

    Z L GAN. Articles written in Sadhana. Volume 43 Issue 4 April 2018 pp 59. Effect of scale size, orientation type and dispensing method on void formation in the CUF encapsulation of BGA · AIZAT ABAS FEI CHONG NG Z L GAN M H H ISHAK M Z ABDULLAH GEAN YUEN CHONG · More Details Abstract Fulltext PDF.

  8. Polarity-inverted lateral overgrowth and selective wet-etching and regrowth (PILOSWER) of GaN.

    Science.gov (United States)

    Jang, Dongsoo; Jue, Miyeon; Kim, Donghoi; Kim, Hwa Seob; Lee, Hyunkyu; Kim, Chinkyo

    2018-03-07

    On an SiO 2 -patterned c-plane sapphire substrate, GaN domains were grown with their polarity controlled in accordance with the pattern. While N-polar GaN was grown on hexagonally arranged circular openings, Ga-polar GaN was laterally overgrown on mask regions due to polarity inversion occurring at the boundary of the circular openings. After etching of N-polar GaN on the circular openings by H 3 PO 4 , this template was coated with 40-nm Si by sputtering and was slightly etched by KOH. After slight etching, a thin layer of Si left on the circular openings of sapphire,but not on GaN, was oxidized during thermal annealing and served as a dielectric mask during subsequent regrowth. Thus, the subsequent growth of GaN was made only on the existing Ga-polar GaN domains, not on the circular openings of the sapphire substrate. Transmission electron microscopy analysis revealed no sign of threading dislocations in this film. This approach may help fabricating an unholed and merged GaN film physically attached to but epitaxially separated from the SiO 2 -patterned sapphire.

  9. Effect of fluence on the lattice site of implanted Er and implantation induced strain in GaN

    CERN Document Server

    Wahl, U; Decoster, S; Vantomme, A; Correi, J G

    2009-01-01

    A GaN thin film was implanted with 5 × 1014 cm−2 of 60 keV stable 166Er, followed by the implantation of 2 × 1013 cm−2 radioactive 167Tm (t1/2 = 9.3 d) and an annealing sequence up to 900 °C. The emission channeling (EC) technique was applied to assess the lattice location of Er following the Tm decay from the conversion electrons emitted by 167mEr, which showed that more than 50% of 167mEr occupies substitutional Ga sites. The results are briefly compared to a 167mEr lattice location experiment in a GaN sample not pre-implanted with 166Er. In addition, high-resolution X-ray diffraction (HRXRD) was used to characterize the perpendicular strain in the high-fluence implanted film. The HRXRD experiments showed that the Er implantation resulted in an increase of the c-axis lattice constant of the GaN film around 0.5–0.7%. The presence of significant disorder within the implanted region was corroborated by the fact that the EC patterns for off-normal directions exhibit a pronounced angular broadening of t...

  10. High-electron-mobility GaN grown on free-standing GaN templates by ammonia-based molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kyle, Erin C. H., E-mail: erinkyle@umail.ucsb.edu; Kaun, Stephen W.; Burke, Peter G.; Wu, Feng; Speck, James S. [Materials Department, University of California, Santa Barbara, California 93106 (United States); Wu, Yuh-Renn [Institute of Photonics and Optoelectronics, and Department of Electrical Engineering, National Taiwan University, Taipei City 10617, Taiwan (China)

    2014-05-21

    The dependence of electron mobility on growth conditions and threading dislocation density (TDD) was studied for n{sup −}-GaN layers grown by ammonia-based molecular beam epitaxy. Electron mobility was found to strongly depend on TDD, growth temperature, and Si-doping concentration. Temperature-dependent Hall data were fit to established transport and charge-balance equations. Dislocation scattering was analyzed over a wide range of TDDs (∼2 × 10{sup 6} cm{sup −2} to ∼2 × 10{sup 10} cm{sup −2}) on GaN films grown under similar conditions. A correlation between TDD and fitted acceptor states was observed, corresponding to an acceptor state for almost every c lattice translation along each threading dislocation. Optimized GaN growth on free-standing GaN templates with a low TDD (∼2 × 10{sup 6} cm{sup −2}) resulted in electron mobilities of 1265 cm{sup 2}/Vs at 296 K and 3327 cm{sup 2}/Vs at 113 K.

  11. Improved InGaN/GaN quantum wells on treated GaN template with a Ga-rich GaN interlayer

    International Nuclear Information System (INIS)

    Fang, Zhilai; Shen, Xiyang; Wu, Zhengyuan; Zhang, Tong-Yi

    2015-01-01

    Treated GaN template was achieved by in situ droplet epitaxy of a Ga-rich GaN interlayer on the conventional GaN template. InGaN/GaN quantum wells (QWs) were grown on the conventional and treated GaN templates under the same growth conditions and then comprehensively characterized. The indium homogeneity in the InGaN layers and the interface sharpness between InGaN and GaN layers of the InGaN/GaN QWs on the treated GaN template were significantly improved. The emission intensity from the InGaN/GaN QWs on the treated GaN template was enhanced by 20% than that on the conventional GaN template, which was attributed to the strain reduction and the improvement in crystalline quality. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  12. Self-assembled GaN nano-column grown on Si(111) substrate using Au+Ga alloy seeding method by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Shim, Byung-Young; Ko, Eun-A; Song, Jae-Chul; Kang, Dong-Hun; Kim, Dong-Wook; Lee, In-Hwan; Kannappan, Santhakumar; Lee, Cheul-Ro

    2007-01-01

    Single-crystal GaN nano-column arrays were grown on Au-coated silicon (111) substrate by Au-Ga alloy seeding method using metalorganic chemical vapor deposition (MOCVD). The nano-column arrays were studied as a function of growth parameters and Au thin film thickness. The diameter and length of the as-grown nano-column vary from 100 to 500 nm and 4 to 6 μm, respectively. The surface morphology and optical properties of the nano-columns were investigated using scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDX), cathodoluminescence (CL) and photoluminescence (PL). The Au+Ga alloy droplets were found to be uniformly distributed on silicon surface. Further, SEM image reveals a vertical growth and cylindrical in shape GaN nano-column. The chemical composition of the nano-column, which composed of gallium and nitrogen ions, was estimated by EDX. CL reveals a strong band edge emission from the GaN nano-column. PL spectra show a peak at 365.7 nm with a full-width half maximum (FWHM) of 65 meV which indicates good optical quality GaN nano-column with low dislocation density. Our results suggest that single crystal GaN nano-column can be grown on Au+Ga alloy on silicon substrate with a low dislocation density for better device performances. (author)

  13. Macrodefect-free, large, and thick GaN bulk crystals for high-quality 2–6 in. GaN substrates by hydride vapor phase epitaxy with hardness control

    Science.gov (United States)

    Fujikura, Hajime; Konno, Taichiro; Suzuki, Takayuki; Kitamura, Toshio; Fujimoto, Tetsuji; Yoshida, Takehiro

    2018-06-01

    On the basis of a novel crystal hardness control, we successfully realized macrodefect-free, large (2–6 in.) and thick +c-oriented GaN bulk crystals by hydride vapor phase epitaxy. Without the hardness control, the introduction of macrodefects including inversion domains and/or basal-plane dislocations seemed to be indispensable to avoid crystal fracture in GaN growth with millimeter thickness. However, the presence of these macrodefects tended to limit the applicability of the GaN substrate to practical devices. The present technology markedly increased the GaN crystal hardness from below 20 to 22 GPa, thus increasing the available growth thickness from below 1 mm to over 6 mm even without macrodefect introduction. The 2 and 4 in. GaN wafers fabricated from these crystals had extremely low dislocation densities in the low- to mid-105 cm‑2 range and low off-angle variations (2 in.: <0.1° 4 in.: ∼0.2°). The realization of such high-quality 6 in. wafers is also expected.

  14. Hybrid device based on GaN nanoneedles and MEH-PPV/PEDOT:PSS polymer

    International Nuclear Information System (INIS)

    Shin, Min Jeong; Gwon, Dong-Oh; Lee, Chan-Mi; Lee, Gang Seok; Jeon, In-Jun; Ahn, Hyung Soo; Yi, Sam Nyung; Ha, Dong Han

    2015-01-01

    Highlights: • A hybrid device was demonstrated by using MEH-PPV, PEDOT:PSS, and GaN nanoneedles. • I–V curve of the hybrid device showed its rectification behaviour, similar to a diode. • EL peak originated by the different potential barriers at MEH-PPV and GaN interface. - Abstract: A hybrid device that combines the properties of organic and inorganic semiconductors was fabricated and studied. It incorporated poly[2-methoxy-5-(2-ethylhexyloxy)- 1,4-phenylenevinylene] (MEH-PPV) and poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate) (PEDOT:PSS) as organic polymers and GaN nanoneedles as an inorganic semiconductor. Layers of the two polymers were spin coated on to the GaN nanoneedles. The one peak in the electroluminescence spectrum originated from the MEH-PPV layer owing to the different potential barriers of electrons and holes at its interface with the GaN nanoneedles. However, the photoluminescence spectrum showed peaks due to both GaN nanoneedles and MEH-PPV. Such hybrid structures, suitably developed, might be able to improve the efficiency of optoelectronic devices

  15. Hybrid device based on GaN nanoneedles and MEH-PPV/PEDOT:PSS polymer

    Energy Technology Data Exchange (ETDEWEB)

    Shin, Min Jeong; Gwon, Dong-Oh; Lee, Chan-Mi; Lee, Gang Seok [Department of Applied Science, Korea Maritime and Ocean University, Busan 606-791 (Korea, Republic of); Jeon, In-Jun [Department of Nano-semiconductor Engineering, Korea Maritime and Ocean University, Busan 606-791 (Korea, Republic of); Ahn, Hyung Soo [Department of Applied Science, Korea Maritime and Ocean University, Busan 606-791 (Korea, Republic of); Department of Nano-semiconductor Engineering, Korea Maritime and Ocean University, Busan 606-791 (Korea, Republic of); Yi, Sam Nyung, E-mail: snyi@kmou.ac.kr [Department of Applied Science, Korea Maritime and Ocean University, Busan 606-791 (Korea, Republic of); Department of Nano-semiconductor Engineering, Korea Maritime and Ocean University, Busan 606-791 (Korea, Republic of); Ha, Dong Han [Division of Convergence Technology, Korea Research Institute of Standards and Science, Daejeon 305-340 (Korea, Republic of)

    2015-08-15

    Highlights: • A hybrid device was demonstrated by using MEH-PPV, PEDOT:PSS, and GaN nanoneedles. • I–V curve of the hybrid device showed its rectification behaviour, similar to a diode. • EL peak originated by the different potential barriers at MEH-PPV and GaN interface. - Abstract: A hybrid device that combines the properties of organic and inorganic semiconductors was fabricated and studied. It incorporated poly[2-methoxy-5-(2-ethylhexyloxy)- 1,4-phenylenevinylene] (MEH-PPV) and poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate) (PEDOT:PSS) as organic polymers and GaN nanoneedles as an inorganic semiconductor. Layers of the two polymers were spin coated on to the GaN nanoneedles. The one peak in the electroluminescence spectrum originated from the MEH-PPV layer owing to the different potential barriers of electrons and holes at its interface with the GaN nanoneedles. However, the photoluminescence spectrum showed peaks due to both GaN nanoneedles and MEH-PPV. Such hybrid structures, suitably developed, might be able to improve the efficiency of optoelectronic devices.

  16. Investigation of different mechanisms of GaN growth induced on AlN and GaN nucleation layers

    International Nuclear Information System (INIS)

    Tasco, V.; Campa, A.; Tarantini, I.; Passaseo, A.; Gonzalez-Posada, F.; Munoz, E.; Redondo-Cubero, A.; Lorenz, K.; Franco, N.

    2009-01-01

    The evolution of GaN growth on AlN and GaN nucleation layers is compared through morphological and structural analyses, including ion beam analysis. By using AlN nucleation layer grown at high temperature, improved crystalline quality is exhibited by 300 nm thin GaN epilayers. GaN (002) x-ray rocking curve as narrow as 168 arc sec and atomic-step surface morphology characterize such a thin GaN film on AlN. Defects are strongly confined into the first 50 nm of growth, whereas a fast laterally coherent growth is observed when increasing thickness, as an effect of high temperature AlN surface morphology and Ga adatom dynamics over this template

  17. Synthetic Strategies and Applications of GaN Nanowires

    Directory of Open Access Journals (Sweden)

    Guoquan Suo

    2014-01-01

    Full Text Available GaN is an important III-V semiconductor material with a direct band gap of 3.4 eV at 300 K. The wide direct band gap makes GaN an attractive material for various applications. GaN nanowires have demonstrated significant potential as fundamental building blocks for nanoelectronic and nanophotonic devices and also offer substantial promise for integrated nanosystems. In this paper, we provide a comprehensive review on the general synthetic strategies, characterizations, and applications of GaN nanowires. We first summarize several growth techniques of GaN nanowires. Subsequently, we discuss mechanisms involved to generate GaN nanowires from different synthetic schemes and conditions. Then we review some characterization methods of GaN nanowires. Finally, several kinds of main applications of GaN nanowires are discussed.

  18. A DFT study on NEA GaN photocathode with an ultrathin n-type Si-doped GaN cap layer

    Science.gov (United States)

    Xia, Sihao; Liu, Lei; Kong, Yike; Diao, Yu

    2016-10-01

    Due to the drawbacks of conventional negative electron affinity (NEA) GaN photocathodes activated by Cs or Cs/O, a new-type NEA GaN photocathodes with heterojunction surface dispense with Cs activation are proposed. This structure can be obtained through the coverage of an ultrathin n-type Si-doped GaN cap layer on the p-type Mg-doped GaN emission layer. The influences of the cap layer on the photocathode are calculated using DFT. This study indicates that the n-type cap layer can promote the photoemission characteristics of GaN photocathode and demonstrates the probability of the preparation of a NEA GaN photocathode with an n-type cap layer.

  19. Optoelectronic Properties and Structural Characterization of GaN Thick Films on Different Substrates through Pulsed Laser Deposition

    Directory of Open Access Journals (Sweden)

    Wei-Kai Wang

    2017-01-01

    Full Text Available Approximately 4-μm-thick GaN epitaxial films were directly grown onto a GaN/sapphire template, sapphire, Si(111, and Si(100 substrates by high-temperature pulsed laser deposition (PLD. The influence of the substrate type on the crystalline quality, surface morphology, microstructure, and stress states was investigated by X-ray diffraction (XRD, photoluminescence (PL, atomic force microscopy (AFM, transmission electron microscopy (TEM, and Raman spectroscopy. Raman scattering spectral analysis showed a compressive film stress of −0.468 GPa for the GaN/sapphire template, whereas the GaN films on sapphire, Si(111, and Si(100 exhibited a tensile stress of 0.21, 0.177, and 0.081 GPa, respectively. Comparative analysis indicated the growth of very close to stress-free GaN on the Si(100 substrate due to the highly directional energetic precursor migration on the substrate’s surface and the release of stress in the nucleation of GaN films during growth by the high-temperature (1000 °C operation of PLD. Moreover, TEM images revealed that no significant GaN meltback (Ga–Si etching process was found in the GaN/Si sample surface. These results indicate that PLD has great potential for developing stress-free GaN templates on different substrates and using them for further application in optoelectronic devices.

  20. Fast Growth of GaN Epilayers via Laser-Assisted Metal-Organic Chemical Vapor Deposition for Ultraviolet Photodetector Applications.

    Science.gov (United States)

    Rabiee Golgir, Hossein; Li, Da Wei; Keramatnejad, Kamran; Zou, Qi Ming; Xiao, Jun; Wang, Fei; Jiang, Lan; Silvain, Jean-François; Lu, Yong Feng

    2017-06-28

    In this study, we successfully developed a carbon dioxide (CO 2 )-laser-assisted metal-organic chemical vapor deposition (LMOCVD) approach to fast synthesis of high-quality gallium nitride (GaN) epilayers on Al 2 O 3 [sapphire(0001)] substrates. By employing a two-step growth procedure, high crystallinity and smooth GaN epilayers with a fast growth rate of 25.8 μm/h were obtained. The high crystallinity was confirmed by a combination of techniques, including X-ray diffraction, Raman spectroscopy, transmission electron microscopy, and atomic force microscopy. By optimizing growth parameters, the ∼4.3-μm-thick GaN films grown at 990 °C for 10 min showed a smooth surface with a root-mean-square surface roughness of ∼1.9 nm and excellent thickness uniformity with sharp GaN/substrate interfaces. The full-width at half-maximum values of the GaN(0002) X-ray rocking curve of 313 arcsec and the GaN(101̅2) X-ray rocking curve of 390 arcsec further confirmed the high crystallinity of the GaN epilayers. We also fabricated ultraviolet (UV) photodetectors based on the as-grown GaN layers, which exhibited a high responsivity of 0.108 A W -1 at 367 nm and a fast response time of ∼125 ns, demonstrating its high optical quality with potential in optoelectronic applications. Our strategy thus provides a simple and cost-effective means toward fast and high-quality GaN heteroepitaxy growth suitable for fabricating high-performance GaN-based UV detectors.

  1. Gallium adsorption on (0001) GaN surfaces

    International Nuclear Information System (INIS)

    Adelmann, Christoph; Brault, Julien; Mula, Guido; Daudin, Bruno; Lymperakis, Liverios; Neugebauer, Joerg

    2003-01-01

    We study the adsorption behavior of Ga on (0001) GaN surfaces combining experimental specular reflection high-energy electron diffraction with theoretical investigations in the framework of a kinetic model for adsorption and ab initio calculations of energy parameters. Based on the experimental results we find that for substrate temperatures and Ga fluxes typically used in molecular-beam epitaxy of GaN, finite equilibrium Ga surface coverages can be obtained. The measurement of a Ga/GaN adsorption isotherm allows the quantification of the equilibrium Ga surface coverage as a function of the impinging Ga flux. In particular, we show that a large range of Ga fluxes exists, where 2.5±0.2 monolayers (in terms of the GaN surface site density) of Ga are adsorbed on the GaN surface. We further demonstrate that the structure of this adsorbed Ga film is in good agreement with the laterally contracted Ga bilayer model predicted to be most stable for strongly Ga-rich surfaces [Northrup et al., Phys. Rev. B 61, 9932 (2000)]. For lower Ga fluxes, a discontinuous transition to Ga monolayer equilibrium coverage is found, followed by a continuous decrease towards zero coverage; for higher Ga fluxes, Ga droplet formation is found, similar to what has been observed during Ga-rich GaN growth. The boundary fluxes limiting the region of 2.5 monolayers equilibrium Ga adsorption have been measured as a function of the GaN substrate temperature giving rise to a Ga/GaN adsorption phase diagram. The temperature dependence is discussed within an ab initio based growth model for adsorption taking into account the nucleation of Ga clusters. This model consistently explains recent contradictory results of the activation energy describing the critical Ga flux for the onset of Ga droplet formation during Ga-rich GaN growth [Heying et al., J. Appl. Phys. 88, 1855 (2000); Adelmann et al., J. Appl. Phys. 91, 9638 (2002).

  2. Observation of depth-dependent atomic displacements related to dislocations in GaN by optical sectioning in the STEM

    International Nuclear Information System (INIS)

    Lozano, J G; Hirsch, P B; Nellist, P D; Guerrero-Lebrero, M P; Galindo, P L; Yasuhara, A; Okinishi, E; Zhang, S; Humphreys, C J

    2014-01-01

    We demonstrate that it is possible to observe depth-dependent atomic displacements in a GaN crystal due to the sufficiently small depth of field achievable in the aberration-corrected scanning transmission electron microscope. The depth-dependent displacements associated with the Eshelby twist of screw dislocations in GaN viewed end on are directly imaged, and makes possible the determination of the sign of the Burgers vector of the dislocation. The experimental results are in good agreement with theoretical images

  3. Formation of definite GaN p-n junction by Mg-ion implantation to n--GaN epitaxial layers grown on a high-quality free-standing GaN substrate

    Science.gov (United States)

    Oikawa, Takuya; Saijo, Yusuke; Kato, Shigeki; Mishima, Tomoyoshi; Nakamura, Tohru

    2015-12-01

    P-type conversion of n--GaN by Mg-ion implantation was successfully performed using high quality GaN epitaxial layers grown on free-standing low-dislocation-density GaN substrates. These samples showed low-temperature PL spectra quite similar to those observed from Mg-doped MOVPE-grown p-type GaN, consisting of Mg related donor-acceptor pair (DAP) and acceptor bound exciton (ABE) emission. P-n diodes fabricated by the Mg-ion implantation showed clear rectifying I-V characteristics and UV and blue light emissions were observed at forward biased conditions for the first time.

  4. Thinning of N-face GaN (0001) samples by inductively coupled plasma etching and chemomechanical polishing

    International Nuclear Information System (INIS)

    Rizzi, F.; Gu, E.; Dawson, M. D.; Watson, I. M.; Martin, R. W.; Kang, X. N.; Zhang, G. Y.

    2007-01-01

    The processing of N-polar GaN (0001) samples has been studied, motivated by applications in which extensive back side thinning of freestanding GaN (FS-GaN) substrates is required. Experiments were conducted on FS-GaN from two commercial sources, in addition to epitaxial GaN with the N-face exposed by a laser lift-off process. The different types of samples produced equivalent results. Surface morphologies were examined over relatively large areas, using scanning electron microscopy and stylus profiling. The main focus of this study was on inductively coupled plasma (ICP) etch processes, employing Cl 2 /Ar or Cl 2 /BCl 3 Ar gas mixtures. Application of a standard etch recipe, optimized for feature etching of Ga-polar GaN (0001) surfaces, caused severe roughening of N-polar samples and confirmed the necessity for specific optimization of etch conditions for N-face material. A series of recipes with a reduced physical (sputter-based) contribution to etching allowed average surface roughness values to be consistently reduced to below 3 nm. Maximum N-face etch rates of 370-390 nm/min have been obtained in recipes examined to date. These are typically faster than etch rates obtained on Ga-face samples under the same conditions and adequate for the process flows of interest. Mechanistic aspects of the ICP etch process and possible factors contributing to residual surface roughness are discussed. This study also included work on chemomechanical polishing (CMP). The optimized CMP process had stock removal rates of ∼500 nm/h on the GaN N face. This was much slower than the ICP etching but showed the important capability of recovering smooth surfaces on samples roughened in previous processing. In one example, a surface roughened by nonoptimized ICP etching was smoothed to give an average surface roughness of ∼2 nm

  5. From Stable ZnO and GaN Clusters to Novel Double Bubbles and Frameworks

    Directory of Open Access Journals (Sweden)

    Matthew R. Farrow

    2014-05-01

    Full Text Available A bottom up approach is employed in the design of novel materials: first, gas-phase “double bubble” clusters are constructed from high symmetry, Th, 24 and 96 atom, single bubbles of ZnO and GaN. These are used to construct bulk frameworks. Upon geometry optimization—minimisation of energies and forces computed using density functional theory—the symmetry of the double bubble clusters is reduced to either C1 or C2, and the average bond lengths for the outer bubbles are 1.9 Å, whereas the average bonds for the inner bubble are larger for ZnO than for GaN; 2.0 Å and 1.9 Å, respectively. A careful analysis of the bond distributions reveals that the inter-bubble bonds are bi-modal, and that there is a greater distortion for ZnO. Similar bond distributions are found for the corresponding frameworks. The distortion of the ZnO double bubble is found to be related to the increased flexibility of the outer bubble when composed of ZnO rather than GaN, which is reflected in their bulk moduli. The energetics suggest that (ZnO12@(GaN48 is more stable both in gas phase and bulk frameworks than (ZnO12@(ZnO48 and (GaN12@(GaN48. Formation enthalpies are similar to those found for carbon fullerenes.

  6. Exciton emission from bare and hybrid plasmonic GaN nanorods

    Science.gov (United States)

    Mohammadi, Fatemesadat; Kunert, Gerd; Hommel, Detlef; Ge, Jingxuan; Duscher, Gerd; Schmitzer, Heidrun; Wagner, Hans Peter

    We study the exciton emission of hybrid gold nanoparticle/Alq3 (aluminiumquinoline)/wurtzite GaN nanorods. GaN nanorods of 1.5 μm length and 250 nm diameter were grown by plasma assisted MBE. Hybrid GaN nanorods were synthesized by organic molecular beam deposition. Temperature and power dependent time integrated (TI) and time resolved (TR) photoluminescence (PL) measurements were performed on bare and hybrid structures. Bare nanorods show donor (D0,X) and acceptor bound (A0,X) exciton emission at 3.473 eV and at 3.463 eV, respectively. TR-PL trace modeling reveal lifetimes of 240 ps and 1.4 ns for the (D0,X) and (A0,X) transition. 10 nm gold coated GaN nanorods show a significant PL quenching and (D0,X) lifetime shortening which is tentatively attributed to impact ionization of (D0,X) due to hot electron injection from the gold nanoparticles. This is supported by electron energy loss spectroscopy that shows a redshift of a midgap state transition indicating a reduction of a preexisting band-bending at the nanorod surface due to positive charging of the gold nanoparticles. Inserting a nominally 5 nm thick Alq3 spacer between the nanorod and the gold reduces the PL quenching and lifetime shortening. Plasmonic nanorods with a 30 nm thick Alq3 spacer reveal lifetimes which are nearly identical to uncoated GaN nanorods.

  7. Leakage current analysis for dislocations in Na-flux GaN bulk single crystals by conductive atomic force microscopy

    Science.gov (United States)

    Hamachi, T.; Takeuchi, S.; Tohei, T.; Imanishi, M.; Imade, M.; Mori, Y.; Sakai, A.

    2018-04-01

    The mechanisms associated with electrical conduction through individual threading dislocations (TDs) in a Na-flux GaN crystal grown with a multipoint-seed-GaN technique were investigated by conductive atomic force microscopy (C-AFM). To focus on individual TDs, dislocation-related etch pits (DREPs) were formed on the Na-flux GaN surface by wet chemical etching, after which microscopic Pt electrodes were locally fabricated on the DREPs to form conformal contacts to the Na-flux GaN crystal, using electron beam assisted deposition. The C-AFM data clearly demonstrate that the leakage current flows through the individual TD sites. It is also evident that the leakage current and the electrical conduction mechanism vary significantly based on the area within the Na-flux GaN crystal where the TDs are formed. These regions include the c-growth sector (cGS) in which the GaN grows in the [0001 ] direction on top of the point-seed with a c-plane growth front, the facet-growth sector (FGS) in which the GaN grows with {10 1 ¯ 1 } facets on the side of the cGS, the boundary region between the cGS and FGS (BR), and the coalescence boundary region between FGSs (CBR). The local current-voltage (I-V) characteristics of the specimen demonstrate space charge limited current conduction and conduction related to band-like trap states associated with TDs in the FGS, BR, and CBR. A detailed analysis of the I-V data indicates that the electrical conduction through TDs in the cGS may proceed via the Poole-Frenkel emission mechanism.

  8. Study of GaN nanorods converted from β-Ga2O3

    Science.gov (United States)

    Li, Yuewen; Xiong, Zening; Zhang, Dongdong; Xiu, Xiangqian; Liu, Duo; Wang, Shuang; Hua, Xuemei; Xie, Zili; Tao, Tao; Liu, Bin; Chen, Peng; Zhang, Rong; Zheng, Youdou

    2018-05-01

    We report here high-quality β-Ga2O3 nanorods (NRs) grown on sapphire substrates by hydrothermal method. Ammoniating the β-Ga2O3 NRs results in strain-free wurtzite gallium nitride (GaN) NRs. It was shown by XRD and Raman spectroscopy that β-Ga2O3 was partially converted to GaN/β-Ga2O3 at 1000 °C and then completely converted to GaN NRs at 1050 °C, as confirmed by high-resolution transmission electron microscopy (HRTEM). There is no band-edge emission of β-Ga2O3 in the cathodoluminescence spectrum, and only a deep-level broad emission observed at 3.68-3.73 eV. The band edge emission (3.39 eV) of GaN NRs converted from β-Ga2O3 can also be observed.

  9. High Temperature Terahertz Detectors Realized by a GaN High Electron Mobility Transistor

    Science.gov (United States)

    Hou, H. W.; Liu, Z.; Teng, J. H.; Palacios, T.; Chua, S. J.

    2017-04-01

    In this work, a high temperature THz detector based on a GaN high electron mobility transistor (HEMT) with nano antenna structures was fabricated and demonstrated to be able to work up to 200 °C. The THz responsivity and noise equivalent power (NEP) of the device were characterized at 0.14 THz radiation over a wide temperature range from room temperature to 200 °C. A high responsivity Rv of 15.5 and 2.7 kV/W and a low NEP of 0.58 and 10 pW/Hz0.5 were obtained at room temperature and 200 °C, respectively. The advantages of the GaN HEMT over other types of field effect transistors for high temperature terahertz detection are discussed. The physical mechanisms responsible for the temperature dependence of the responsivity and NEP of the GaN HEMT are also analyzed thoroughly.

  10. A Rapid Method for Deposition of Sn-Doped GaN Thin Films on Glass and Polyethylene Terephthalate Substrates

    Science.gov (United States)

    Pat, Suat; Özen, Soner; Korkmaz, Şadan

    2018-01-01

    We report the influence of Sn doping on microstructure, surface, and optical properties of GaN thin films deposited on glass and polyethylene terephthalate (PET) substrate. Sn-doped GaN thin films have been deposited by thermionic vacuum arc (TVA) at low temperature. TVA is a rapid deposition technology for thin film growth. Surface and optical properties of the thin films were presented. Grain size, height distribution, roughness values were determined. Grain sizes were calculated as 20 nm and 13 nm for glass and PET substrates, respectively. Nano crystalline forms were shown by field emission scanning electron microscopy. Optical band gap values were determined by optical methods and photoluminescence measurement. The optical band gap values of Sn doped GaN on glass and PET were determined to be approximately ˜3.40 eV and ˜3.47 eV, respectively. As a result, TVA is a rapid and low temperature deposition technology for the Sn doped GaN deposited on glass and PET substrate.

  11. Growth of GaN single crystals by a Ca- and Ba-added Na flux method

    Science.gov (United States)

    Ukegawa, H.; Konishi, Y.; Fujimori, T.; Miyoshi, N.; Imade, M.; Yoshimura, M.; Kitaoka, Y.; Sasaki, T.; Mori, Y.

    2011-02-01

    GaN substrates are desirable for fabricating ultra-violet LEDs and LDs, and high-power and high-frequency transistors. High-quality GaN single crystals can be obtained by using Na flux method, but the growth habit of bulk crystals must be controlled. In this study, we investigated the effects of additives (Ca, Ba) on the growth habit and impurity concentration in the crystals. The aspect ratio (c/a) of the crystals was increased by increasing the amount of additives, showing that the growth habit could be changed from the pyramidal shape to the prism shape. Ba concentration was below the detection limit (1x1015 atoms/cm3).

  12. Growth kinetics for temperature-controlled atomic layer deposition of GaN using trimethylgallium and remote-plasma-excited NH{sub 3}

    Energy Technology Data Exchange (ETDEWEB)

    Pansila, P. [Graduate School of Science and Engineering, Yamagata University, 4-3-16 Jonan, Yonezawa 992-8510 (Japan); Kanomata, K. [Graduate School of Science and Engineering, Yamagata University, 4-3-16 Jonan, Yonezawa 992-8510 (Japan); Japan Society for the Promotion of Science, 5-3-1 Kojimachi, Chiyoda-ku, Tokyo 102-0083 (Japan); Miura, M. [Graduate School of Science and Engineering, Yamagata University, 4-3-16 Jonan, Yonezawa 992-8510 (Japan); Ahmmad, B.; Kubota, S. [Graduate School of Science and Engineering, Yamagata University, 4-3-16 Jonan, Yonezawa 992-8510 (Japan); CREST, Japan Science and Technology Agency, 4-3-16 Jonan, Yonezawa 992-8510 (Japan); Hirose, F., E-mail: fhirose@yz.yamagata-u.ac.jp [Graduate School of Science and Engineering, Yamagata University, 4-3-16 Jonan, Yonezawa 992-8510 (Japan); CREST, Japan Science and Technology Agency, 4-3-16 Jonan, Yonezawa 992-8510 (Japan)

    2015-12-01

    Highlights: • We discuss the reaction mechanism of the low temperature GaN ALD. • The plasma-excited NH{sub 3} is effective in the nitridation of the TMG saturated GaN surface with surface temperatures in excess of 100 °C. • The temperature controlled ALD of GaN is examined using RT-TMG adsorption and plasma-excited NH{sub 3} treatment with the temperature of 115 °C. - Abstract: Fundamental surface reactions in the atomic layer deposition of GaN with trimethylgallium (TMG) and plasma-excited NH{sub 3} are investigated by multiple-internal-reflection infrared absorption spectroscopy (MIR-IRAS) at surface temperatures varying from room temperature (RT) to 400 °C. It is found that TMG is saturated at RT on GaN surfaces when the TMG exposure exceeds 8 × 10{sup 4} Langmuir (L), where 1 L corresponds to 1.33 × 10{sup −4} Pa s (or 1.0 × 10{sup −6} Torr s), and its saturation density reaches the maximum value at RT. Nitridation with the plasma-excited NH{sub 3} on the TMG-saturated GaN surface is investigated by X-ray photoelectron spectroscopy (XPS). The nitridation becomes effective at surface temperatures in excess of 100 °C. The reaction models of TMG adsorption and nitridation on the GaN surface are proposed in this paper. Based on the surface analysis, a temperature-controlled ALD process consisting of RT-TMG adsorption and nitridation at 115 °C is examined, where the growth per cycle of 0.045 nm/cycle is confirmed. XPS analysis indicates that all N atoms are bonded as GaN. Atomic force microscopy indicates an average roughness of 0.23 nm. We discuss the reaction mechanism of GaN ALD in the low-temperature region at around 115 °C with TMG and plasma-excited NH{sub 3}.

  13. GaN based nanorods for solid state lighting

    Energy Technology Data Exchange (ETDEWEB)

    Li Shunfeng; Waag, Andreas [Institute of Semiconductor Technology, Braunschweig University of Technology, 38106 Braunschweig (Germany)

    2012-04-01

    In recent years, GaN nanorods are emerging as a very promising novel route toward devices for nano-optoelectronics and nano-photonics. In particular, core-shell light emitting devices are thought to be a breakthrough development in solid state lighting, nanorod based LEDs have many potential advantages as compared to their 2 D thin film counterparts. In this paper, we review the recent developments of GaN nanorod growth, characterization, and related device applications based on GaN nanorods. The initial work on GaN nanorod growth focused on catalyst-assisted and catalyst-free statistical growth. The growth condition and growth mechanisms were extensively investigated and discussed. Doping of GaN nanorods, especially p-doping, was found to significantly influence the morphology of GaN nanorods. The large surface of 3 D GaN nanorods induces new optical and electrical properties, which normally can be neglected in layered structures. Recently, more controlled selective area growth of GaN nanorods was realized using patterned substrates both by metalorganic chemical vapor deposition (MOCVD) and by molecular beam epitaxy (MBE). Advanced structures, for example, photonic crystals and DBRs are meanwhile integrated in GaN nanorod structures. Based on the work of growth and characterization of GaN nanorods, GaN nanoLEDs were reported by several groups with different growth and processing methods. Core/shell nanoLED structures were also demonstrated, which could be potentially useful for future high efficient LED structures. In this paper, we will discuss recent developments in GaN nanorod technology, focusing on the potential advantages, but also discussing problems and open questions, which may impose obstacles during the future development of a GaN nanorod based LED technology.

  14. Germanium doping of GaN by metalorganic chemical vapor deposition for polarization screening applications

    KAUST Repository

    Young, N.G.

    2016-10-01

    We demonstrate n-type doping of GaN with Ge by MOCVD at high concentrations that are necessary to fully screen the polarization fields in c-plane InGaN/GaN quantum wells. Hall measurements show linear Ge incorporation with dopant flow rate and carrier concentrations exceeding 1×10 cm. GaN:Ge layers exhibit excellent electron mobility, high conductivity, and contact resistivity comparable to the best unannealed contacts to Si-doped GaN. However, the surface morphology begins to degrade with Ge concentrations above 1×10 cm, resulting in severe step bunching and a network of plateaus and trenches, even in layers as thin as 10 nm.

  15. Germanium doping of GaN by metalorganic chemical vapor deposition for polarization screening applications

    KAUST Repository

    Young, N.G.; Farrell, R.M.; Iza, M.; Nakamura, S.; DenBaars, S.P.; Weisbuch, C.; Speck, J.S.

    2016-01-01

    We demonstrate n-type doping of GaN with Ge by MOCVD at high concentrations that are necessary to fully screen the polarization fields in c-plane InGaN/GaN quantum wells. Hall measurements show linear Ge incorporation with dopant flow rate and carrier concentrations exceeding 1×10 cm. GaN:Ge layers exhibit excellent electron mobility, high conductivity, and contact resistivity comparable to the best unannealed contacts to Si-doped GaN. However, the surface morphology begins to degrade with Ge concentrations above 1×10 cm, resulting in severe step bunching and a network of plateaus and trenches, even in layers as thin as 10 nm.

  16. Implantation activation annealing of Si-implanted gallium nitride at temperatures > 1,100 C

    International Nuclear Information System (INIS)

    Zolper, J.C.; Han, J.; Biefeld, R.M.

    1997-01-01

    The activation annealing of Si-implanted GaN is reported for temperatures from 1,100 to 1,400 C. Although previous work has shown that Si-implanted GaN can be activated by a rapid thermal annealing at ∼1,100 C, it was also shown that significant damage remained in the crystal. Therefore, both AlN-encapsulated and uncapped Si-implanted GaN samples were annealed in a metal organic chemical vapor deposition system in a N 2 /NH 3 ambient to further assess the annealing process. Electrical Hall characterization shows increases in carrier density and mobility for annealing up to 1,300 C before degrading at 1,400 C due to decomposition of the GaN epilayer. Rutherford backscattering spectra show that the high annealing temperatures reduce the implantation induced damage profile but do not completely restore the as-grown crystallinity

  17. Enhanced lateral heat dissipation packaging structure for GaN HEMTs on Si substrate

    International Nuclear Information System (INIS)

    Cheng, Stone; Chou, Po-Chien; Chieng, Wei-Hua; Chang, E.Y.

    2013-01-01

    This work presents a technology for packaging AlGaN/GaN high electron mobility transistors (HEMTs) on a Si substrate. The GaN HEMTs are attached to a V-groove copper base and mounted on a TO-3P leadframe. The various thermal paths from the GaN gate junction to the case are carried out for heat dissipation by spreading to protective coating; transferring through the bond wires; spreading in the lateral device structure through the adhesive layer, and vertical heat spreading of silicon chip bottom. Thermal characterization showed a thermal resistance of 13.72 °C/W from the device to the TO-3P package. Experimental tests of a 30 mm gate-periphery single chip packaged in a 5 × 3 mm V-groove Cu base with a 100 V drain bias showed power dissipation of 22 W. -- Highlights: ► An enhanced packaging structure designed for AlGaN/GaN HEMTs on an Si substrate. ► The V-groove copper base is designed on the device periphery surface heat conduction for enhancing Si substrate thermal dissipation. ► The proposed device shows a lower thermal resistance and upgrade in thermal conductivity capability. ► This work provides useful thermal IR imagery information to aid in designing high efficiency package for GaN HEMTs on Si

  18. Characterization of an Mg-implanted GaN p-i-n Diode

    Science.gov (United States)

    2016-03-31

    Characterization of an Mg- implanted GaN p-i-n Diode Travis J. Anderson, Jordan D. Greenlee, Boris N. Feigelson, Karl D. Hobart, and Francis J...Kub Naval Research Laboratory, Washington, DC 20375 Abstract: A p-i-n diode formed by the implantation of Mg in GaN was fabricated and...characterized. After implantation , Mg was activated using the symmetrical multicycle rapid thermal annealing technique with heating pulses up to 1340C

  19. The feasibility of tunable p-type Mg doping in a GaN monolayer nanosheet

    International Nuclear Information System (INIS)

    Xia, Congxin; Peng, Yuting; Wei, Shuyi; Jia, Yu

    2013-01-01

    Based on density functional theory, the electronic structures, formation energy and transition energy level of a p-type Mg-doped GaN nanosheet are investigated. Numerical results show that the transition energy level decreases monotonously with increasing Mg doping concentration in Mg-doped GaN nanosheet systems, which is lower than that of the Mg-doped bulk GaN case. Moreover, the formation energy calculations indicate that Mg-doped GaN nanosheet structures can be realized under N-rich experimental growth conditions

  20. Atomic structures and mechanical properties of single-crystal GaN nanotubes

    International Nuclear Information System (INIS)

    Xu, B.; Lu, A.J.; Pan, B.C.; Yu, Q.X.

    2005-01-01

    An approach is proposed to theoretically construct a realistic single-crystal GaN nanotube at atomic scale. The generated atomic structures of the single-crystal GaN nanotubes match the structural aspects from experiment very well. Our energetic calculations show that a single-crystal GaN nanotube with [100]-oriented lateral facets is more stable than that with [110]-oriented lateral facets, when they have around the same wall thickness. For a specified orientation of the lateral facets on the single-crystal GaN nanotubes, the energetic stabilities of the tubes obey a P rule, in which P is the ratio of the number of four-coordinated atoms to the number of three-coordinated atoms. Furthermore, the Young's modulus of the considered GaN nanotubes decrease with increasing the ratio of the number of bulk atoms to the number of surface atoms in each type of tube. Our calculations and analysis demonstrate that the surface effect of a single-crystal nanotube enhances its Young's modulus significantly

  1. Influence of growth temperature and temperature ramps on deep level defect incorporation in m-plane GaN

    International Nuclear Information System (INIS)

    Armstrong, A. M.; Kelchner, K.; Nakamura, S.; DenBaars, S. P.; Speck, J. S.

    2013-01-01

    The dependence of deep level defect incorporation in m-plane GaN films grown by metal-organic chemical vapor deposition on bulk m-plane GaN substrates as a function of growth temperature (T g ) and T g ramping method was investigated using deep level optical spectroscopy. Understanding the influence of T g on GaN deep level incorporation is important for InGaN/GaN multi-quantum well (MQW) light emitting diodes (LEDs) and laser diodes (LDs) because GaN quantum barrier (QB) layers are grown much colder than thin film GaN to accommodate InGaN QW growth. Deep level spectra of low T g (800 °C) GaN films grown under QB conditions were compared to deep level spectra of high T g (1150 °C) GaN. Reducing T g , increased the defect density significantly (>50×) through introduction of emergent deep level defects at 2.09 eV and 2.9 eV below the conduction band minimum. However, optimizing growth conditions during the temperature ramp when transitioning from high to low T g substantially reduced the density of these emergent deep levels by approximately 40%. The results suggest that it is important to consider the potential for non-radiative recombination in QBs of LED or LD active regions, and tailoring the transition from high T g GaN growth to active layer growth can mitigate such non-radiative channels

  2. Fabrication of GaN with buried tungsten (W) structures using epitaxial lateral overgrowth (ELO) via LP-MOVPE

    International Nuclear Information System (INIS)

    Miyake, Hideto; Yamaguchi, Motoo; Haino, Masahiro

    2000-01-01

    A buried tungsten (W) mask structure with GaN is successfully obtained by epitaxial lateral overgrowth (ELO) technique via low-pressure metalorganic vapor phase epitaxy (LP-MOVPE). The selectivity of GaN growth on the window region vs. the mask region is good. An underlying GaN with a striped W metal mask is easily decomposed above 500 C by the W catalytic effect, by which radical hydrogen is reacted with GaN. It is difficult to bury the W mask because severe damage occurs in the GaN epilayer under the mask. It is found that an underlying AlGaN/GaN layer with a narrow W stripe mask width (mask/window - 2/2 microm) leads the ELO GaN layer to be free from damage, resulting in an excellent W-buried structure

  3. Atomic layer deposition of GaN at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Ozgit, Cagla; Donmez, Inci; Alevli, Mustafa; Biyikli, Necmi [UNAM - Institute of Materials Science and Nanotechnology, Bilkent University, 06800 Ankara (Turkey)

    2012-01-15

    The authors report on the self-limiting growth of GaN thin films at low temperatures. Films were deposited on Si substrates by plasma-enhanced atomic layer deposition using trimethylgallium (TMG) and ammonia (NH{sub 3}) as the group-III and -V precursors, respectively. GaN deposition rate saturated at 185 deg. C for NH{sub 3} doses starting from 90 s. Atomic layer deposition temperature window was observed from 185 to {approx}385 deg. C. Deposition rate, which is constant at {approx}0.51 A/cycle within the temperature range of 250 - 350 deg. C, increased slightly as the temperature decreased to 185 deg. C. In the bulk film, concentrations of Ga, N, and O were constant at {approx}36.6, {approx}43.9, and {approx}19.5 at. %, respectively. C was detected only at the surface and no C impurities were found in the bulk film. High oxygen concentration in films was attributed to the oxygen impurities present in group-V precursor. High-resolution transmission electron microscopy studies revealed a microstructure consisting of small crystallites dispersed in an amorphous matrix.

  4. High-power blue laser diodes with indium tin oxide cladding on semipolar (202{sup ¯}1{sup ¯}) GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Pourhashemi, A., E-mail: pourhashemi@engr.ucsb.edu; Farrell, R. M.; Cohen, D. A.; Speck, J. S. [Materials Department, University of California, Santa Barbara, California 93106 (United States); DenBaars, S. P.; Nakamura, S. [Materials Department, University of California, Santa Barbara, California 93106 (United States); Department of Electrical and Computer Engineering, University of California, Santa Barbara, California 93106 (United States)

    2015-03-16

    We demonstrate a high power blue laser diode (LD) using indium tin oxide as a cladding layer on semipolar oriented GaN. These devices show peak output powers and external quantum efficiencies comparable to state-of-the-art commercial c-plane devices. Ridge waveguide LDs were fabricated on (202{sup ¯}1{sup ¯}) oriented GaN substrates using InGaN waveguiding layers and GaN cladding layers. At a lasing wavelength of 451 nm at room temperature, an output power of 2.52 W and an external quantum efficiency of 39% were measured from a single facet under a pulsed injection current of 2.34 A. The measured differential quantum efficiency was 50%.

  5. Defect formation and magnetic properties of Co-doped GaN crystal and nanowire

    International Nuclear Information System (INIS)

    Shi, Li-Bin; Liu, Jing-Jing; Fei, Ying

    2013-01-01

    Theoretical calculation based on density functional theory (DFT) and generalized gradient approximation (GGA) has been carried out in studying defect formation and magnetic properties of Co doped GaN crystal and nanowire (NW). Co does not exhibit site preference in GaN crystal. However, Co occupies preferably surface sites in GaN NW. Transition level of the defect is also investigated in GaN crystal. We also find that Co Ga (S) in NW does not produce spin polarization and Co Ga (B) produces spontaneous spin polarization. Ferromagnetic (FM) and antiferromagnetic (AFM) couplings are analyzed by six different configurations. The results show that AFM coupling is more stable than FM coupling for Co doped GaN crystal. It is also found from Co doped GaN NW calculation that the system remains FM stability for majority of the configurations. Magnetic properties in Co doped GaN crystal can be mediated by N and Ga vacancies. The FM and AFM stability can be explained by Co 3d energy level coupling

  6. A novel GaN HEMT with double recessed barrier layer for high efficiency-energy applications

    Science.gov (United States)

    Jia, Hujun; Luo, Yehui; Wu, Qiuyuan; Yang, Yintang

    2017-11-01

    In this paper, a novel GaN HEMT with high efficiency-energy characteristic is proposed. Different from the conventional structure, the proposed structure contains double recessed barriers layer (DRBL) beside the gate. The key idea in this work is to improve the microwave output characteristics. The simulated results show that the drain saturation current and peak transconductance of DRBL GaN HEMT is slightly decreased, the transconductance saturation flatness is increased by 0.5 V and the breakdown voltage is also enhanced too. Due to the both recessed barrier layer, the gate-drain/gate-source capacitance is decreased by 6.3% and 11.3%, respectively. The RF simulated results show that the maximum oscillation frequency for DRBL GaN HEMT is increased from 57 GHz to 64 GHz and the saturation power density is 8.7 W/mm at 600 MHz, 6.9 W/mm at 1200 MHz with the higher power added efficiency (PAE). Further investigation show that DRBL GaN HEMT can achieve to 6.4 W/mm and the maximum PAE 83.8% at 2400 MHz. Both are higher than the 5.0 W/mm and 80.3% for the conventional structure. When the operating frequency increases to X band, the DRBL GaN HEMT still exhibits the superior output performances. All the results show that the advantages and the potential capacities of DRBL GaN HEMT at high efficiency-energy are greater than the conventional GaN HEMT.

  7. Study on the structural, optical, and electrical properties of the yellow light-emitting diode grown on free-standing (0001) GaN substrate

    Science.gov (United States)

    Deng, Gaoqiang; Zhang, Yuantao; Yu, Ye; Yan, Long; Li, Pengchong; Han, Xu; Chen, Liang; Zhao, Degang; Du, Guotong

    2018-04-01

    In this paper, GaN-based yellow light-emitting diodes (LEDs) were homoepitaxially grown on free-standing (0001) GaN substrates by metal-organic chemical vapor deposition. X-ray diffraction (XRD), photoluminescence (PL), and electroluminescence (EL) measurements were conducted to investigate the structural, optical, and electrical properties of the yellow LED. The XRD measurement results showed that the InGaN/GaN multiple quantum wells (MQWs) in the LED structure have good periodicity because the distinct MQWs related higher order satellite peaks can be clearly observed from the profile of 2θ-ω XRD scan. The low temperature (10 K) and room temperature PL measurement results yield an internal quantum efficiency of 16% for the yellow LED. The EL spectra of the yellow LED present well Gaussian distribution with relatively low linewidth (47-55 nm), indicating the homogeneous In-content in the InGaN quantum well layers in the yellow LED structure. It is believed that this work will aid in the future development of GaN on GaN LEDs with long emission wavelength.

  8. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    Science.gov (United States)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  9. Strain-free GaN thick films grown on single crystalline ZnO buffer layer with in situ lift-off technique

    International Nuclear Information System (INIS)

    Lee, S. W.; Minegishi, T.; Lee, W. H.; Goto, H.; Lee, H. J.; Lee, S. H.; Lee, Hyo-Jong; Ha, J. S.; Goto, T.; Hanada, T.; Cho, M. W.; Yao, T.

    2007-01-01

    Strain-free freestanding GaN layers were prepared by in situ lift-off process using a ZnO buffer as a sacrificing layer. Thin Zn-polar ZnO layers were deposited on c-plane sapphire substrates, which was followed by the growth of Ga-polar GaN layers both by molecular beam epitaxy (MBE). The MBE-grown GaN layer acted as a protecting layer against decomposition of the ZnO layer and as a seeding layer for GaN growth. The ZnO layer was completely in situ etched off during growth of thick GaN layers at low temperature by hydride vapor phase epitaxy. Hence freestanding GaN layers were obtained for the consecutive growth of high-temperature GaN thick layers. The lattice constants of freestanding GaN agree with those of strain-free GaN bulk. Extensive microphotoluminescence study indicates that strain-free states extend throughout the high-temperature grown GaN layers

  10. Role of Ga vacancies in enhancing the leakage current of GaN Schottky barrier ultraviolet photodetectors

    International Nuclear Information System (INIS)

    De-Gang, Zhao; Shuang, Zhang; Wen-Bao, Liu; De-Sheng, Jiang; Jian-Jun, Zhu; Zong-Shun, Liu; Hui, Wang; Shu-Ming, Zhang; Hui, Yang; Xiao-Peng, Hao; Long, Wei

    2010-01-01

    The leakage current of GaN Schottky barrier ultraviolet photodetectors is investigated. It is found that the photodetectors adopting undoped GaN instead of lightly Si-doped GaN as an active layer show a much lower leakage current even when they have a higher dislocation density. It is also found that the density of Ga vacancies in undoped GaN is much lower than in Si-doped GaN. The Ga vacancies may enhance tunneling and reduce effective Schottky barrier height, leading to an increase of leakage current. It suggests that when undoped GaN is used as the active layer, it is necessary to reduce the leakage current of GaN Schottky barrier ultraviolet photodetector. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  11. Optical properties of m-plane GaN grown on patterned Si(112) substrates by MOCVD using a two-step approach

    Science.gov (United States)

    Izyumskaya, N.; Okur, S.; Zhang, F.; Monavarian, M.; Avrutin, V.; Özgür, Ü.; Metzner, S.; Karbaum, C.; Bertram, F.; Christen, J.; Morkoç, H.

    2014-03-01

    Nonpolar m-plane GaN layers were grown on patterned Si (112) substrates by metal-organic chemical vapor deposition (MOCVD). A two-step growth procedure involving a low-pressure (30 Torr) first step to ensure formation of the m-plane facet and a high-pressure step (200 Torr) for improvement of optical quality was employed. The layers grown in two steps show improvement of the optical quality: the near-bandedge photoluminescence (PL) intensity is about 3 times higher than that for the layers grown at low pressure, and deep emission is considerably weaker. However, emission intensity from m-GaN is still lower than that of polar and semipolar (1 100 ) reference samples grown under the same conditions. To shed light on this problem, spatial distribution of optical emission over the c+ and c- wings of the nonpolar GaN/Si was studied by spatially resolved cathodoluminescence and near-field scanning optical microscopy.

  12. Mechanical, Thermodynamic and Electronic Properties of Wurtzite and Zinc-Blende GaN Crystals

    Science.gov (United States)

    Luan, Xinghe; Feng, Chuang; Yang, Daoguo; Zhang, Guoqi

    2017-01-01

    For the limitation of experimental methods in crystal characterization, in this study, the mechanical, thermodynamic and electronic properties of wurtzite and zinc-blende GaN crystals were investigated by first-principles calculations based on density functional theory. Firstly, bulk moduli, shear moduli, elastic moduli and Poisson’s ratios of the two GaN polycrystals were calculated using Voigt and Hill approximations, and the results show wurtzite GaN has larger shear and elastic moduli and exhibits more obvious brittleness. Moreover, both wurtzite and zinc-blende GaN monocrystals present obvious mechanical anisotropic behavior. For wurtzite GaN monocrystal, the maximum and minimum elastic moduli are located at orientations [001] and , respectively, while they are in the orientations and for zinc-blende GaN monocrystal, respectively. Compared to the elastic modulus, the shear moduli of the two GaN monocrystals have completely opposite direction dependences. However, different from elastic and shear moduli, the bulk moduli of the two monocrystals are nearly isotropic, especially for the zinc-blende GaN. Besides, in the wurtzite GaN, Poisson’s ratios at the planes containing [001] axis are anisotropic, and the maximum value is 0.31 which is located at the directions vertical to [001] axis. For zinc-blende GaN, Poisson’s ratios at planes (100) and (111) are isotropic, while the Poisson’s ratio at plane (110) exhibits dramatically anisotropic phenomenon. Additionally, the calculated Debye temperatures of wurtzite and zinc-blende GaN are 641.8 and 620.2 K, respectively. At 300 K, the calculated heat capacities of wurtzite and zinc-blende are 33.6 and 33.5 J mol−1 K−1, respectively. Finally, the band gap is located at the G point for the two crystals, and the band gaps of wurtzite and zinc-blende GaN are 3.62 eV and 3.06 eV, respectively. At the G point, the lowest energy of conduction band in the wurtzite GaN is larger, resulting in a wider band gap

  13. Mechanical, Thermodynamic and Electronic Properties of Wurtzite and Zinc-Blende GaN Crystals

    Directory of Open Access Journals (Sweden)

    Hongbo Qin

    2017-12-01

    Full Text Available For the limitation of experimental methods in crystal characterization, in this study, the mechanical, thermodynamic and electronic properties of wurtzite and zinc-blende GaN crystals were investigated by first-principles calculations based on density functional theory. Firstly, bulk moduli, shear moduli, elastic moduli and Poisson’s ratios of the two GaN polycrystals were calculated using Voigt and Hill approximations, and the results show wurtzite GaN has larger shear and elastic moduli and exhibits more obvious brittleness. Moreover, both wurtzite and zinc-blende GaN monocrystals present obvious mechanical anisotropic behavior. For wurtzite GaN monocrystal, the maximum and minimum elastic moduli are located at orientations [001] and <111>, respectively, while they are in the orientations <111> and <100> for zinc-blende GaN monocrystal, respectively. Compared to the elastic modulus, the shear moduli of the two GaN monocrystals have completely opposite direction dependences. However, different from elastic and shear moduli, the bulk moduli of the two monocrystals are nearly isotropic, especially for the zinc-blende GaN. Besides, in the wurtzite GaN, Poisson’s ratios at the planes containing [001] axis are anisotropic, and the maximum value is 0.31 which is located at the directions vertical to [001] axis. For zinc-blende GaN, Poisson’s ratios at planes (100 and (111 are isotropic, while the Poisson’s ratio at plane (110 exhibits dramatically anisotropic phenomenon. Additionally, the calculated Debye temperatures of wurtzite and zinc-blende GaN are 641.8 and 620.2 K, respectively. At 300 K, the calculated heat capacities of wurtzite and zinc-blende are 33.6 and 33.5 J mol−1 K−1, respectively. Finally, the band gap is located at the G point for the two crystals, and the band gaps of wurtzite and zinc-blende GaN are 3.62 eV and 3.06 eV, respectively. At the G point, the lowest energy of conduction band in the wurtzite GaN is larger

  14. Mechanical, Thermodynamic and Electronic Properties of Wurtzite and Zinc-Blende GaN Crystals.

    Science.gov (United States)

    Qin, Hongbo; Luan, Xinghe; Feng, Chuang; Yang, Daoguo; Zhang, Guoqi

    2017-12-12

    For the limitation of experimental methods in crystal characterization, in this study, the mechanical, thermodynamic and electronic properties of wurtzite and zinc-blende GaN crystals were investigated by first-principles calculations based on density functional theory. Firstly, bulk moduli, shear moduli, elastic moduli and Poisson's ratios of the two GaN polycrystals were calculated using Voigt and Hill approximations, and the results show wurtzite GaN has larger shear and elastic moduli and exhibits more obvious brittleness. Moreover, both wurtzite and zinc-blende GaN monocrystals present obvious mechanical anisotropic behavior. For wurtzite GaN monocrystal, the maximum and minimum elastic moduli are located at orientations [001] and , respectively, while they are in the orientations and for zinc-blende GaN monocrystal, respectively. Compared to the elastic modulus, the shear moduli of the two GaN monocrystals have completely opposite direction dependences. However, different from elastic and shear moduli, the bulk moduli of the two monocrystals are nearly isotropic, especially for the zinc-blende GaN. Besides, in the wurtzite GaN, Poisson's ratios at the planes containing [001] axis are anisotropic, and the maximum value is 0.31 which is located at the directions vertical to [001] axis. For zinc-blende GaN, Poisson's ratios at planes (100) and (111) are isotropic, while the Poisson's ratio at plane (110) exhibits dramatically anisotropic phenomenon. Additionally, the calculated Debye temperatures of wurtzite and zinc-blende GaN are 641.8 and 620.2 K, respectively. At 300 K, the calculated heat capacities of wurtzite and zinc-blende are 33.6 and 33.5 J mol -1 K -1 , respectively. Finally, the band gap is located at the G point for the two crystals, and the band gaps of wurtzite and zinc-blende GaN are 3.62 eV and 3.06 eV, respectively. At the G point, the lowest energy of conduction band in the wurtzite GaN is larger, resulting in a wider band gap. Densities of

  15. Intermediate Nucleation State of GaN Growth

    Science.gov (United States)

    Zheng, L. X.; Xie, M. H.; Tong, S. Y.

    2001-03-01

    Homoexpitaxial nucleation of GaN during molecular-beam epitaxy is followed by scanning tunneling microcopy (STM). We observe a metastable nucleation state, which manifests as “ghost” islands in STM images. These “ghost” islands can be irreversibly driven into normal islands by continuous STM imaging. It is further established that the “ghost” island formation is related to the presence of excess Ga atoms on the surface: Normal islands are only seen under the N-rich or stoichiometric flux condition, whereas “ghost” islands are observed under Ga-rich conditions. For intermediate excess-Ga coverages, both normal and “ghost” islands are present, however, they show distinctly different sizes, suggesting different nucleation states for the two. A growth model is proposed to account for the formation of metastable, “ghost” islands. Kinetic Monte Carlo simulation is carried out and main features of the surface are reproduced. We acknowledge financial support from HK RGC under grant Nos. 7396/00P, 7142/99P, and 7121/00P.

  16. As-grown deep-level defects in n-GaN grown by metal-organic chemical vapor deposition on freestanding GaN

    International Nuclear Information System (INIS)

    Chen Shang; Ishikawa, Kenji; Hori, Masaru; Honda, Unhi; Shibata, Tatsunari; Matsumura, Toshiya; Tokuda, Yutaka; Ueda, Hiroyuki; Uesugi, Tsutomu; Kachi, Tetsu

    2012-01-01

    Traps of energy levels E c -0.26 and E c -0.61 eV have been identified as as-grown traps in n-GaN grown by metal-organic chemical vapor deposition by using deep level transient spectroscopy of the Schottky contacts fabricated by resistive evaporation. The additional traps of E c -0.13 and E c -0.65 eV have been observed in samples whose contacts are deposited by electron-beam evaporation. An increase in concentration of the E c -0.13 and E c -0.65 eV traps when approaching the interface between the contact and the GaN film supports our argument that these traps are induced by electron-beam irradiation. Conversely, the depth profiles of as-grown traps show different profiles between several samples with increased or uniform distribution in the near surface below 50 nm. Similar profiles are observed in GaN grown on a sapphire substrate. We conclude that the growth process causes these large concentrations of as-grown traps in the near-surface region. It is speculated that the finishing step in the growth process should be an essential issue in the investigation of the surface state of GaN.

  17. GaN Micromechanical Resonators with Meshed Metal Bottom Electrode.

    Science.gov (United States)

    Ansari, Azadeh; Liu, Che-Yu; Lin, Chien-Chung; Kuo, Hao-Chung; Ku, Pei-Cheng; Rais-Zadeh, Mina

    2015-03-17

    This work describes a novel architecture to realize high-performance gallium nitride (GaN) bulk acoustic wave (BAW) resonators. The method is based on the growth of a thick GaN layer on a metal electrode grid. The fabrication process starts with the growth of a thin GaN buffer layer on a Si (111) substrate. The GaN buffer layer is patterned and trenches are made and refilled with sputtered tungsten (W)/silicon dioxide (SiO₂) forming passivated metal electrode grids. GaN is then regrown, nucleating from the exposed GaN seed layer and coalescing to form a thick GaN device layer. A metal electrode can be deposited and patterned on top of the GaN layer. This method enables vertical piezoelectric actuation of the GaN layer using its largest piezoelectric coefficient ( d 33 ) for thickness-mode resonance. Having a bottom electrode also results in a higher coupling coefficient, useful for the implementation of acoustic filters. Growth of GaN on Si enables releasing the device from the frontside using isotropic xenon difluoride (XeF₂) etch and therefore eliminating the need for backside lithography and etching.

  18. Fabrication of GaN epitaxial thin film on InGaZnO4 single-crystalline buffer layer

    International Nuclear Information System (INIS)

    Shinozaki, Tomomasa; Nomura, Kenji; Katase, Takayoshi; Kamiya, Toshio; Hirano, Masahiro; Hosono, Hideo

    2010-01-01

    Epitaxial (0001) films of GaN were grown on (111) YSZ substrates using single-crystalline InGaZnO 4 (sc-IGZO) lattice-matched buffer layers by molecular beam epitaxy with a NH 3 source. The epitaxial relationships are (0001) GaN //(0001) IGZO //(111) YSZ in out-of-plane and [112-bar 0] GaN //[112-bar 0] IGZO //[11-bar 0] YSZ in in-plane. This is different from those reported for GaN on many oxide crystals; the in-plane orientation of GaN crystal lattice is rotated by 30 o with respect to those of oxide substrates except for ZnO. Although these GaN films showed relatively large tilting and twisting angles, which would be due to the reaction between GaN and IGZO, the GaN films grown on the sc-IGZO buffer layers exhibited stronger band-edge photoluminescence than GaN grown on a low-temperature GaN buffer layer.

  19. Electronic structure and magnetic properties of substitutional transition-metal atoms in GaN nanotubes

    International Nuclear Information System (INIS)

    Zhang Min; Shi Jun-Jie

    2014-01-01

    The electronic structure and magnetic properties of the transition-metal (TM) atoms (Sc—Zn, Pt and Au) doped zigzag GaN single-walled nanotubes (NTs) are investigated using first-principles spin-polarized density functional calculations. Our results show that the bindings of all TM atoms are stable with the binding energy in the range of 6–16 eV. The Sc- and V-doped GaN NTs exhibit a nonmagnetic behavior. The GaN NTs doped with Ti, Mn, Ni, Cu and Pt are antiferromagnetic. On the contrary, the Cr-, Fe-, Co-, Zn- and Au-doped GaN NTs show the ferromagnetic characteristics. The Mn- and Co-doped GaN NTs induce the largest local moment of 4μ B among these TM atoms. The local magnetic moment is dominated by the contribution from the substitutional TM atom and the N atoms bonded with it. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  20. Gan-Hang tectonic belt and its geologic significance

    International Nuclear Information System (INIS)

    Deng Jiarui; Zhang Zhiping.

    1989-01-01

    Gan-Hang tectonic belt is predominantly controlled by Gan-Hang fracture zone. It is mainly composed of Yongfeng-Zhuji downwarping zone, Gan-Hang volcanic activity structural belt and Gan-Hang red basin downfaulted zone. Gan-Hang fracture zone is derived from evolution and development of Shaoxing-Jiangshan deep fracture. It is mainly composed of three deep and large fracture and Fuzhou-Yongfeng large fracture. The fracture zone is a long active belt, but in each active period the geologic structural patterns intensity, depth and forming time were not same. Gan-Hang tectonic belt possesses obvious inheritance. It has always maintained the character of the relative depression or low land since the Caledonian movement. This specific structural environment is favourable for uranium mineralization. At any rate, the formation of this uranium minerogenetic zone has been experiencing a long and complicated processes which were closely associated with long activity of Gan-Hang fracture zone

  1. Electrically active point defects in Mg implanted n-type GaN grown by metal-organic chemical vapor deposition

    Science.gov (United States)

    Alfieri, G.; Sundaramoorthy, V. K.; Micheletto, R.

    2018-05-01

    Magnesium (Mg) is the p-type doping of choice for GaN, and selective area doping by ion implantation is a routine technique employed during device processing. While electrically active defects have been thoroughly studied in as-grown GaN, not much is known about defects generated by ion implantation. This is especially true for the case of Mg. In this study, we carried out an electrical characterization investigation of point defects generated by Mg implantation in GaN. We have found at least nine electrically active levels in the 0.2-1.2 eV energy range, below the conduction band. The isochronal annealing behavior of these levels showed that most of them are thermally stable up to 1000 °C. The nature of the detected defects is then discussed in the light of the results found in the literature.

  2. Electron holography studies of the charge on dislocations in GaN

    Energy Technology Data Exchange (ETDEWEB)

    Cherns, D.; Jiao, C.G.; Mokhtari, H. [H.H. Wills Physics Laboratory, University of Bristol, Tyndall Avenue, Bristol BS8 1TL (United Kingdom); Cai, J.; Ponce, F.A. [Department of Physics and Astronomy, Arizona State University, Tempe, AZ85287 (United States)

    2002-12-01

    The measurement of charge on dislocations in GaN by electron holography is described. Recent results are presented showing that edge dislocations in n-doped GaN are highly negatively charged, whereas those in p-doped GaN are positively charged. It is shown that the results are consistent with a model which assumes Fermi level pinning at dislocation states about 2.5 V below the conduction band edge. The application of electron holography to screw dislocations, and the dependence of the observations on the dislocation core structure, are also discussed. (Abstract Copyright [2002], Wiley Periodicals, Inc.)

  3. Electrical, optical, and structural properties of GaN films prepared by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Polyakov, A.Y.; Smirnov, N.B.; Yakimov, E.B.; Usikov, A.S.; Helava, H.; Shcherbachev, K.D.; Govorkov, A.V.; Makarov, Yu N.; Lee, In-Hwan

    2014-01-01

    Highlights: • GaN films are prepared by hydride vapor phase epitaxy (HVPE). • Residual donors and deep traps show a minimum density versus growth temperature. • This minimum is located close to the HVPE growth temperature of 950 °C. • Good crystalline GaN with residual donor density < 10 16 cm −3 can be grown at 950 °C. - Abstract: Two sets of undoped GaN films with the thickness of 10–20 μm were prepared by hydride vapor phase epitaxy (HVPE) and characterized by capacitance–voltage (C–V) profiling, microcathodoluminescence (MCL) spectra measurements, MCL imaging, electron beam induced current (EBIC) imaging, EBIC dependence on accelerating voltage, deep levels transient spectroscopy, high resolution X-ray diffraction measurements. The difference in growth conditions was mainly related to the lower (850 °C, group 1) or higher (950 °C, group 2) growth temperature. Both groups of samples showed similar crystalline quality with the dislocation density close to 10 8 cm −2 , but very different electrical and optical properties. In group 1 samples the residual donors concentration was ∼10 17 cm −3 or higher, the MCL spectra were dominated by the band-edge luminescence, and the diffusion length of charge carriers was close to 0.1 μm. Group 2 samples had a 2–4.5 μm thick highly resistive layer on top, for which MCL spectra were determined by green, yellow and red defect bands, and the diffusion length was 1.5 times higher than in group 1. We also present brief results of growth at the “standard” HVPE growth temperature of 1050 °C that show the presence of a minimum in the net donor concentration and deep traps density as a function of the growth temperature. Possible reasons for the observed results are discussed in terms of the electrical compensation of residual donors by deep traps

  4. Preparation and evaluation of Mn3GaN1-x thin films with controlled N compositions

    Science.gov (United States)

    Ishino, Sunao; So, Jongmin; Goto, Hirotaka; Hajiri, Tetsuya; Asano, Hidefumi

    2018-05-01

    Thin films of antiperovskite Mn3GaN1-x were grown on MgO (001) substrates by reactive magnetron sputtering, and their structural, magnetic, and magneto-optical properties were systematically investigated. It was found that the combination of the deposition rate and the N2 gas partial pressure could produce epitaxial films with a wide range of N composition (N-deficiency) and resulting c/a values (0.93 - 1.0). While the films with c/a = 0.992 - 1.0 were antiferromagnetic, the films with c/a = 0.93 - 0.989 showed perpendicular magnetic anisotropy (PMA) with the maximum PMA energy up to 1.5×106 erg/cm3. Systematic dependences of the energy spectra of the polar Kerr signals on the c/a ratio were observed, and the Kerr ellipticity was as large as 2.4 deg. at 1.9 eV for perpendicularly magnetized ferromagnetic thin films with c/a = 0.975. These results highlight that the tetragonal distortion plays an important role in magnetic and magneto-optical properties of Mn3GaN1-x thin films.

  5. The investigation of stress in freestanding GaN crystals grown from Si substrates by HVPE.

    Science.gov (United States)

    Lee, Moonsang; Mikulik, Dmitry; Yang, Mino; Park, Sungsoo

    2017-08-17

    We investigate the stress evolution of 400 µm-thick freestanding GaN crystals grown from Si substrates by hydride vapour phase epitaxy (HVPE) and the in situ removal of Si substrates. The stress generated in growing GaN can be tuned by varying the thickness of the MOCVD AlGaN/AlN buffer layers. Micro Raman analysis shows the presence of slight tensile stress in the freestanding GaN crystals and no stress accumulation in HVPE GaN layers during the growth. Additionally, it is demonstrated that the residual tensile stress in HVPE GaN is caused only by elastic stress arising from the crystal quality difference between Ga- and N-face GaN. TEM analysis revealed that the dislocations in freestanding GaN crystals have high inclination angles that are attributed to the stress relaxation of the crystals. We believe that the understanding and characterization on the structural properties of the freestanding GaN crystals will help us to use these crystals for high-performance opto-electronic devices.

  6. Effects of hydrogen on Mn-doped GaN: A first principles calculation

    International Nuclear Information System (INIS)

    Wu, M.S.; Xu, B.; Liu, G.; Lei, X.L.; Ouyang, C.Y.

    2013-01-01

    First-principles calculations based on spin density functional theory are performed to study the effects of H on the structural, electronic and magnetic properties of the Mn-doped GaN dilute magnetic semiconductors. Our results show that the interstitial H atom prefers to bond with N atom rather than Mn atom, which means that H favors to form the N–H complex rather than Mn–H complex in the Mn-doped GaN. After introducing one H atom in the system, the total magnetic moment of the Mn-doped GaN increases by 25%, from 4.0μ B to 5.0μ B . The physics mechanism of the increase of magnetic moment after hydrogenation in Mn-doped GaN is discussed

  7. Surface morphology of homoepitaxial GaN grown on non- and semipolar GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, Tim; Hoffmann, Veit; Netzel, Carsten; Knauer, Arne; Weyers, Markus [FBH, Berlin (Germany); Ploch, Simon; Rass, Jens [Institute of Solid State Physics, TU Berlin (Germany); Schade, Lukas; Schwarz, Ulrich [IAF, Freiburg (Germany); Kneissl, Michael [FBH, Berlin (Germany); Institute of Solid State Physics, TU Berlin (Germany)

    2010-07-01

    Recently a number of groups have reported laser diodes in the green spectral range on semi- and nonpolar GaN. Nevertheless the growth process on semipolar surfaces is not well understood. In this study 3.5 {mu} m thick MOVPE grown GaN layers on bulk m-plane, (11 anti 22), (10 anti 12), and (10 anti 11) GaN substrates were investigated. XRD rocking curves exhibit a FWHM of less than 150{sup ''}, indicating excellent crystalline quality. But the surface morphology exhibits hillocks with a height of 1 {mu}m and lateral extension of 150 {mu}m in many cases. Depending on the substrate orientation and the growth temperature different hillock shapes were observed. Morphology and luminescence data point to threading dislocations as formation sources. In QWs the hillock structure is reproduced in the emission intensity and wavelength distribution on (10 anti 11) but not on the m-plane surfaces. The hillocks could be eliminated for the semipolar planes (not for the m-plane) by increasing the reactor pressure and lowering the growth temperature. Hillock free separate confinement laser structures emitting at 405 nm feature a very homogeneous luminescence in micro-PL and show amplified spontaneous emission under high power stripe excitation. Furthermore the In incorporation was found to be highest in QWs on (10 anti 11).

  8. Growth kinetics for temperature-controlled atomic layer deposition of GaN using trimethylgallium and remote-plasma-excited NH3

    Science.gov (United States)

    Pansila, P.; Kanomata, K.; Miura, M.; Ahmmad, B.; Kubota, S.; Hirose, F.

    2015-12-01

    Fundamental surface reactions in the atomic layer deposition of GaN with trimethylgallium (TMG) and plasma-excited NH3 are investigated by multiple-internal-reflection infrared absorption spectroscopy (MIR-IRAS) at surface temperatures varying from room temperature (RT) to 400 °C. It is found that TMG is saturated at RT on GaN surfaces when the TMG exposure exceeds 8 × 104 Langmuir (L), where 1 L corresponds to 1.33 × 10-4 Pa s (or 1.0 × 10-6 Torr s), and its saturation density reaches the maximum value at RT. Nitridation with the plasma-excited NH3 on the TMG-saturated GaN surface is investigated by X-ray photoelectron spectroscopy (XPS). The nitridation becomes effective at surface temperatures in excess of 100 °C. The reaction models of TMG adsorption and nitridation on the GaN surface are proposed in this paper. Based on the surface analysis, a temperature-controlled ALD process consisting of RT-TMG adsorption and nitridation at 115 °C is examined, where the growth per cycle of 0.045 nm/cycle is confirmed. XPS analysis indicates that all N atoms are bonded as GaN. Atomic force microscopy indicates an average roughness of 0.23 nm. We discuss the reaction mechanism of GaN ALD in the low-temperature region at around 115 °C with TMG and plasma-excited NH3.

  9. The importance of structural inhomogeneity in GaN thin films

    Science.gov (United States)

    Liliental-Weber, Z.; Reis, Roberto dos; Weyher, Jan L.; Staszczak, Grzegorz; Jakieła, Rafał

    2016-12-01

    This paper describes two types of MOCVD-grown n-type GaN layers (Samples A and B) with similar carrier concentration but behaved differently under galvanic photo-etching. In order to understand this behavior, Transmission Electron Microscopy (TEM) for cross-section and plan-view samples, Secondary Ion Mass Spectroscopy (SIMS) and photoluminescence (PL) techniques were applied. SIMS studies showed that Si, C and O are approximately at the same concentration in both samples, but Sample B also contained Fe and Mg. Both GaN samples were grown on sapphire substrate with Ga growth polarity, which was confirmed by Convergent Beam Electron Diffraction (CBED). Despite a smaller layer thickness in Sample B, the density of edge dislocations is almost one order of magnitude lower than in Sample A. In addition, planar defects formed in this sample in the transition area between the undoped buffer and Si doped layers resulted in a substantial decrease in the density of screw dislocations at the sample surface. These planar defects most probably gave rise to the PL lines observed at 3.42 eV and 3.32 eV. The new PL lines that only appeared in Sample B might be related to Mg impurities found in this sample. There were no detectable gettering of these impurities at dislocations using different diffraction conditions. However, Fe rich platelets were found only in Sample B due to the presence of Fe as well as hexagonal features, similar to defects reported earlier in highly Mg-doped GaN. These structural and chemical non-uniformities between the two GaN samples can explain their different etching behaviors. This paper demonstrates that samples with similar carrier concentrations do not necessarily ensure similar structural and optical properties and that additional material characterization are needed to ensure that devices built on such samples have similar performance.

  10. Novel oxide buffer approach for GaN integration on Si(111) platform through Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} bi-layer

    Energy Technology Data Exchange (ETDEWEB)

    Tarnawska, Lidia

    2012-12-19

    topography of the films is characterized by scanning electron microscopy and chemical inter-diffusion is investigated by energy-dispersive X-ray spectroscopy. The nucleation processes of the GaN on Sc{sub 2}O{sub 3} buffer are followed in-situ by reflection high energy electron diffraction and the interface chemistry is analyzed by means of X-ray photoelectron spectroscopy. Results: It is found, that the Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} buffer approach provides a template of high structural quality for GaN overgrowth. The bi-layer buffer plays a lattice match mediator role between GaN and Si and acts as a barrier against impurity diffusion. GaN grown on Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3}/Si(111) templates is single crystalline with a wurtzite structure and (0001) oriented. Due to the -8% lattice mismatch between GaN and Sc{sub 2}O{sub 3}, GaN growth proceeds by the nucleation of 3D islands. The size of the islands, coalescence time and the relaxation process depend on the GaN growth conditions and have a strong influence on the topography of closed layers, crystalline quality (defect density) as well as optical properties. The best GaN material parameters are obtained for the layers grown in Ga-rich regime when the Ga/N ratio is slightly higher than unity. The main three defects found in the um-thick GaN layers are (a) threading dislocation, with density in the order of 10{sup 10} cm{sup -2}, (b) stacking faults, resulting in cubic inclusions in the hexagonal matrix and (c) inversion domain boundaries causing Ga-polar regions in the mainly N-polar film. A theoretical GaN/Sc{sub 2}O{sub 3} interface model is discussed to explain these experimental findings. Despite the relatively large number of structural defects, photoluminescence shows sharp and strong donor-bound exciton transition and very low intensity yellow emission, which indicate that GaN layers grown on Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3}/Si(111) are promising for future optoelectronic applications. Outlook

  11. Improved crystal quality of a-plane GaN with high- temperature 3-dimensional GaN buffer layers deposited by using metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Park, Sung Hyun; Moon, Dae Young; Kim, Bum Ho; Kim, Dong Uk; Chang, Ho Jun; Jeon, Heon Su; Yoon, Eui Joon; Joo, Ki Su; You, Duck Jae; Nanishi, Yasushi

    2012-01-01

    a-plane GaN on r-plane sapphire substrates suffers from high density defects and rough surfaces. To obtain pit-free a-plane GaN by metal-organic chemical vapor deposition, we intentionally grew high-temperature (HT) 3-dimensional (3D) GaN buffer layers on a GaN nucleation layer. The effects of the HT 3D GaN buffer layers on crystal quality and the surface morphology of a-plane GaN were studied. The insertion of a 3D GaN buffer layer with an optimum thickness was found to be an effective method to obtain pit-free a-plane GaN with improved crystalline quality on r-plane sapphire substrates. An a-plane GaN light emitting diode (LED) at an emission wavelength around 480 nm with negligible peak shift was successfully fabricated.

  12. Ultra-high Efficiency DC-DC Converter using GaN Devices

    DEFF Research Database (Denmark)

    Ramachandran, Rakesh

    2016-01-01

    properties of GaN devices can be utilized in power converters to make them more compact and highly efficient. This thesis entitled “Ultra-high Efficiency DC-DC Converter using GaN devices” focuses on achieving ultra-high conversion efficiency in an isolated dc-dc converter by the optimal utilization of Ga...... for many decades. However, the rate of improvement slowed as the silicon power materials asymptotically approached its theoretical bounds. Compared to Si, wideband gap materials such as Silicon Carbide (SiC) and Gallium Nitride (GaN) are promising semiconductors for power devices due to their superior...... in this thesis. Efficiency measurements from the hardware prototype of both the topologies are also presented in this thesis. Finally, the bidirectional operation of an optimized isolated dc-dc converter is presented. The optimized converter has achieved an ultra-high efficiency of 98.8% in both directions...

  13. Nonpolar a-plane GaN grown on r-plane sapphire using multilayer AlN buffer by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Chiang, C.H.; Chen, K.M.; Wu, Y.H.; Yeh, Y.S.; Lee, W.I.; Chen, J.F.; Lin, K.L.; Hsiao, Y.L.; Huang, W.C.; Chang, E.Y.

    2011-01-01

    Mirror-like and pit-free non-polar a-plane (1 1 -2 0) GaN films are grown on r-plane (1 -1 0 2) sapphire substrates using metalorganic chemical vapor deposition (MOCVD) with multilayer high-low-high temperature AlN buffer layers. The buffer layer structure and film quality are essential to the growth of a flat, crack-free and pit-free a-plane GaN film. The multilayer AlN buffer structure includes a thin low-temperature-deposited AlN (LT-AlN) layer inserted into the high-temperature-deposited AlN (HT-AlN) layer. The results demonstrate that the multilayer AlN buffer structure can improve the surface morphology of the upper a-plane GaN film. The grown multilayer AlN buffer structure reduced the tensile stress on the AlN buffer layers and increased the compressive stress on the a-plane GaN film. The multilayer AlN buffer structure markedly improves the surface morphology of the a-plane GaN film, as revealed by scanning electron microscopy. The effects of various growth V/III ratios was investigated to obtain a-plane GaN films with better surface morphology. The mean roughness of the surface was 1.02 nm, as revealed by atomic force microscopy. Accordingly, the multilayer AlN buffer structure improves the surface morphology and facilitates the complete coalescence of the a-plane GaN layer.

  14. Automatic semi-quantification of [123I]FP-CIT SPECT scans in healthy volunteers using BasGan version 2: results from the ENC-DAT database

    International Nuclear Information System (INIS)

    Nobili, Flavio; Naseri, Mehrdad; Morbelli, Silvia; De Carli, Fabrizio; Asenbaum, Susan; Booij, Jan; Darcourt, Jacques; Ell, Peter; Kapucu, Oezlem; Kemp, Paul; Varer, Claus; Pagani, Marco; Sabri, Osama; Tatsch, Klaus; Tossici-Bolt, Livia; Sera, Terez; Borght, Thierry vander; Laere, Koen van; Varrone, Andrea

    2013-01-01

    The aim of this study was to assess striatal dopamine transporter (DAT) availability in a large group of normal subjects. The study included 122 healthy subjects, aged 18-83 years, recruited in the multicentre 'ENC-DAT' study (promoted by the European Association of Nuclear Medicine). Brain single photon emission computed tomography (SPECT) was acquired by means of dual-head cameras 3 h after [ 123 I]FP-CIT administration. Specific to nondisplaceable binding ratios (SBRs) in the basal ganglia were computed using the 'BasGan' software, allowing automatic value extraction with partial volume effect correction. Multicentre camera inhomogeneity was taken into account by calibrating values on basal ganglia phantom data. SBR in each caudate nucleus (C) and putamen (P) were the dependent variables in a repeated measures general linear model analysis; age, gender, handedness and body mass index (BMI) were the independent variables. SBR values in C and P were significantly associated with age (mean rate decrease with age: 0.0306 per year, or 0.57 % of the general mean; p < 0.0001) and gender (women had higher values; p = 0.015), while no significant effect was found for handedness and BMI. A significant interaction was found between age and region (p < 0.0001) as the age-related decline was 0.028 for left C, 0.026 for right C and 0.034 for both P. P/C ratio analysis confirmed that age-related SBR decrease was stronger in P than in C (p < 0.0001). No significant effect was found for season or time of the day when the scan was acquired by analysing the residual of SBR values in C and P, after subtraction of age and gender effects. This study confirms the dependency of DAT on ageing and highlights the gender differences in a large sample of healthy subjects, while it does not support the dependency of DAT on BMI, handedness, circadian rhythm or season. (orig.)

  15. Strain and crystalline defects in epitaxial GaN layers studied by high-resolution X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Chierchia, Rosa

    2007-07-01

    This thesis treats strain and dislocations in MOVPE GaN layers. The mosaic structure of metalorganic vapour phase epitaxy (MOVPE)-grown GaN layers was studied in dependence on the grain diameter utilizing high-resolution XRD. Different models for the defect structure were analyzed, the edge type TD densities were calculated under the assumption that the dislocations are not randomly distributed but localized at the grain boundaries. Moreover, in situ measurements have shown that the layers are under tension in the c-plane when a nucleation layer is used. The second part of this thesis treats a particular approach to reduce dislocations in MOVPE GaN layers, i.e. maskless pendeo epitaxial growth of MOVPE GAN layers. FE simulations assuming the strain to be completely induced during cooling of the structures after growth agree only partly with experimental data. The strain state of single layers and stripes of GaN grown on SiC was studied to exploit the evolution of the strain in the different phases of the PE growth. The biaxial compressive stress, due to the lattice mismatch between the GaN layer and the AlN nucleation layer is plastically relieved before overgrowth. Temperature dependent measurements show a linear reduction of the wing tilt with increasing temperature varying from sample to sample. Bent TDs have been observed in TEM images of maskless PE samples. Stress induced from the mismatch between the AlN buffer layer and the GaN also contributes to the remaining part of the wing tilt not relieved thermally. It has to be noted that the rest tilt value varies from sample to sample at the growth temperature. In fact some of the data indicate that the wing tilt decreases with increasing V/III ratio. In the last Chapter the application of X-ray techniques for the analysis of strain and composition in layers of inhomogeneous composition is explored. In the first part of the Chapter the strain state and the Al content of AlGaN buffer layers grown directly on (0001

  16. Mechanical responses of a-axis GaN nanowires under axial loads

    Science.gov (United States)

    Wang, R. J.; Wang, C. Y.; Feng, Y. T.; Tang, Chun

    2018-03-01

    Gallium nitride (GaN) nanowires (NWs) hold technological significance as functional components in emergent nano-piezotronics. However, the examination of their mechanical responses, especially the mechanistic understanding of behavior beyond elasticity (at failure) remains limited due to the constraints of in situ experimentation. We therefore performed simulations of the molecular dynamics (MD) of the mechanical behavior of [1\\bar{2}10]-oriented GaN NWs subjected to tension or compression loading until failure. The mechanical properties and critical deformation processes are characterized in relation to NW sizes and loading conditions. Detailed examinations revealed that the failure mechanisms are size-dependent and controlled by the dislocation mobility on shuffle-set pyramidal planes. The size dependence of the elastic behavior is also examined in terms of the surface structure determined modification of Young’s modulus. In addition, a comparison with c-axis NWs is made to show how size-effect trends vary with the growth orientation of NWs.

  17. Design and simulation of GaN based Schottky betavoltaic nuclear micro-battery

    International Nuclear Information System (INIS)

    San, Haisheng; Yao, Shulin; Wang, Xiang; Cheng, Zaijun; Chen, Xuyuan

    2013-01-01

    The current paper presents a theoretical analysis of Ni-63 nuclear micro-battery based on a wide-band gap semiconductor GaN thin-film covered with thin Ni/Au films to form Schottky barrier for carrier separation. The total energy deposition in GaN was calculated using Monte Carlo methods by taking into account the full beta spectral energy, which provided an optimal design on Schottky barrier width. The calculated results show that an 8 μm thick Schottky barrier can collect about 95% of the incident beta particle energy. Considering the actual limitations of current GaN growth technique, a Fe-doped compensation technique by MOCVD method can be used to realize the n-type GaN with a carrier concentration of 1×10 15 cm −3 , by which a GaN based Schottky betavoltaic micro-battery can achieve an energy conversion efficiency of 2.25% based on the theoretical calculations of semiconductor device physics. - Highlights: • Ni-63 is employed as the pure beta radioisotope source. • The Schottky junction betavoltaic battery is based on the wide-band gap semiconductor GaN. • The total energy deposition of incident beta particles in GaN was simulated by the Monte Carlo method. • A Fe-doped compensation technique is suggested to increase the energy conversion efficiency

  18. Growth mechanism of InGaN nanodots on three-dimensional GaN structures

    Energy Technology Data Exchange (ETDEWEB)

    Park, Donghwy; Min, Daehong; Nam, Okhyun [Department of Nano-Optical Engineering, Convergence Center for Advanced Nano-Semiconductor (CANS), Korea Polytechnic University (KPU), Siheung-si, Gyeonggi-do (Korea, Republic of)

    2017-07-15

    In this study, we investigated the growth mechanism of indium gallium nitride (InGaN) nanodots (NDs) and an InGaN layer, which were simultaneously formed on a three-dimensional (3D) gallium nitride (GaN) structure, having (0001) polar, (11-22) semi-polar, and (11-20) nonpolar facets. We observed the difference in the morphological and compositional properties of the InGaN structures. From the high resolution transmission electron microscopy (HR-TEM) images, it can be seen that the InGaN NDs were formed only on the polar and nonpolar facets, whereas an InGaN layer was formed on the semi-polar facet. The indium composition variation in all the InGaN structures was observed using scanning transmission electron microscopy (STEM) and the energy dispersive X-ray spectroscopy (EDS). The different growth mechanism can be explained by two reasons: (i) The difference in the diffusivities of indium and gallium adatoms at each facet of 3D GaN structure; and (ii) the difference in the kinetic Wulff plots of polar, semi-polar, and nonpolar GaN planes. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  19. Physics, MOVPE growth and investigation of m-plane GaN films and InGaN/GaN quantum wells on γ-LiAlO2 substrates

    International Nuclear Information System (INIS)

    Mauder, Christof

    2011-01-01

    The growth of InGaN/GaN quantum well structures along a nonpolar orientation avoids the negative effects of the so-called ''Quantum Confined Stark Effect'' and is therefore considered as promising approach to improve wavelength stability and efficiency of future optoelectronic devices. This work describes physical principles and experimental results on metal-organic vapor phase epitaxy and characterization of GaN layers and InGaN/GaN quantum well structures, which grow along the nonpolar (1-100) m-plane on (100) lithium aluminum oxide (LiAlO 2 ) substrates. The limited thermal and chemical stability of the LiAlO 2 substrate can be improved by a nitridation step, which causes the formation of a thin (1-100) AlN layer on the surface of the LiAlO 2 . This enables the phase-pure deposition of high-quality and smooth (1-100) GaN layers. The low lattice mismatch of (1-100) GaN to (100) LiAlO 2 allows for a coherent growth of thin films, which show strong in-plane compressive strain. Due to the absence of a suitable slip plane, this strain relaxes only partly for layer thicknesses up to 1.7 μm. Low densities of line and planar defects compared to other heteroepitaxially deposited nonpolar GaN layers were assessed by X-ray diffraction (XRD), transmission electron microscopy (TEM) and electron channelling contrast imaging microscopy (ECCI). The surface of the GaN layers is dominated by macroscopic hillocks, which are elongated along the c-axis direction and result in an average root mean square (RMS) roughness of ∝ 20 nm in a 50 x 50 μm 2 scan area. Spiral growth around line defects is seen as most likely cause for this effect. In a microscopic scale, one can detect a stripe pattern, which is formed by 2-3 nm high steps aligned parallel to the c-axis. An anisotropic growth mode is assumed responsible for this appearance. Between these steps, much smoother areas with typical RMS roughness of 0.2 nm (for a 0.5 x 0.5 μm 2 scan) is found, which is also an indication for

  20. High temperature electron cyclotron resonance etching of GaN, InN, and AlN

    International Nuclear Information System (INIS)

    Shul, R.J.; Kilcoyne, S.P.; Hagerott Crawford, M.; Parmeter, J.E.; Vartuli, C.B.; Abernathy, C.R.; Pearton, S.J.

    1995-01-01

    Electron cyclotron resonance etch rates for GaN, InN, and AlN are reported as a function of temperature for Cl 2 /H 2 /CH 4 /Ar and Cl 2 /H 2 /Ar plasmas. Using Cl 2 /H 2 /CH 4 /Ar plasma chemistry, GaN etch rates remain relatively constant from 30 to 125 degree C and then increase to a maximum of 2340 A/min at 170 degree C. The InN etch rate decreases monotonically from 30 to 150 degree C and then rapidly increases to a maximum of 2300 A/min at 170 degree C. This is the highest etch rate reported for this material. The AlN etch rate decreases throughout the temperature range studied with a maximum of 960 A/min at 30 degree C. When CH 4 is removed from the plasma chemistry, the GaN and InN etch rates are slightly lower, with less dramatic changes with temperature. The surface composition of the III--V nitrides remains unchanged after exposure to the Cl 2 /H 2 /CH 4 /Ar plasma over the temperatures studied

  1. Selective area growth of GaN rod structures by MOVPE: Dependence on growth conditions

    Energy Technology Data Exchange (ETDEWEB)

    Li, Shunfeng; Fuendling, Soenke; Wang, Xue; Erenburg, Milena; Al-Suleiman, Mohamed Aid Mansur; Wei, Jiandong; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig, Hans-Sommer-Strasse 66, 38106 Braunschweig (Germany); Bergbauer, Werner [Institut fuer Halbleitertechnik, TU Braunschweig, Hans-Sommer-Strasse 66, 38106 Braunschweig (Germany); Osram Opto Semiconductors GmbH, Leibnizstr. 4, 93055 Regensburg (Germany); Strassburg, Martin [Osram Opto Semiconductors GmbH, Leibnizstr. 4, 93055 Regensburg (Germany)

    2011-07-15

    Selective area growth of GaN nanorods by metalorganic vapor phase epitaxy is highly demanding for novel applications in nano-optoelectronic and nanophotonics. Recently, we report the successful selective area growth of GaN nanorods in a continuous-flow mode. In this work, as examples, we show the morphology dependence of GaN rods with {mu}m or sub-{mu}m in diameters on growth conditions. Firstly, we found that the nitridation time is critical for the growth, with an optimum from 90 to 180 seconds. This leads to more homogeneous N-polar GaN rods growth. A higher temperature during GaN rod growth tends to increase the aspect ratio of the GaN rods. This is due to the enhanced surface diffusion of growth species. The V/III ratio is also an important parameter for the GaN rod growth. Its increase causes reduction of the aspect ratio of GaN rods, which could be explained by the relatively lower growth rate on (000-1) N-polar top surface than it on {l_brace}1-100{r_brace} m-planes by supplying more NH{sub 3} (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. High electron mobility through the edge states in random networks of c-axis oriented wedge-shaped GaN nanowalls grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Bhasker, H. P.; Dhar, S.; Sain, A.; Kesaria, Manoj; Shivaprasad, S. M.

    2012-01-01

    Transport and optical properties of random networks of c-axis oriented wedge-shaped GaN nanowalls grown spontaneously on c-plane sapphire substrates through molecular beam epitaxy are investigated. Our study suggests a one dimensional confinement of carriers at the top edges of these connected nanowalls, which results in a blue shift of the band edge luminescence, a reduction of the exciton-phonon coupling, and an enhancement of the exciton binding energy. Not only that, the yellow luminescence in these samples is found to be completely suppressed even at room temperature. All these changes are highly desirable for the enhancement of the luminescence efficiency of the material. More interestingly, the electron mobility through the network is found to be significantly higher than that is typically observed for GaN epitaxial films. This dramatic improvement is attributed to the transport of electrons through the edge states formed at the top edges of the nanowalls.

  3. The growth of GaN films by alternate source gas supply hot-mesh CVD method

    Energy Technology Data Exchange (ETDEWEB)

    Komae, Yasuaki; Saitou, Takeshi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Suemitsu, Maki; Ito, Takashi [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Endoh, Tetsuo [Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); Nakazawa, Hideki [Faculty of Science and Technology, Hirosaki University, Hirosaki 036-8561 (Japan); Narita, Yuzuru [Faculty of Engineering, Yamagata University, Yonezawa 992-8510 (Japan); Takata, Masasuke; Akahane, Tadashi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Yasui, Kanji, E-mail: kyasui@vos.nagaokaut.ac.j [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)

    2009-04-30

    Gallium nitride (GaN) films and Aluminium nitride (AlN) layers were deposited on SiC/Si (111) substrates by an alternating source gas supply or an intermittent supply of a source gas such as ammonia (NH{sub 3}), trimethylgallium (TMG) or trimethylaluminum (TMA) in a hot-mesh chemical vapor deposition (CVD) apparatus. The AlN layer was deposited as a buffer layer using NH{sub 3} and TMA on a SiC layer grown by carbonization on Si substrates using propane (C{sub 3}H{sub 8}). GaN films were grown on an AlN layer by a reaction between NH{sub x} radicals generated on a ruthenium (Ru) coated tungsten (W)-mesh and TMG molecules. An alternating source gas supply or an intermittent supply of one of the source gases during the film growth are expected to be effective for the suppression of gas phase reactions and for the enhancement of precursor migration on the substrate surface. By the intermittent supply of alkylmetal gas only during the growth of the AlN layer, the defect generation in the GaN films was reduced. GaN film growth by intermittent supply on an AlN buffer layer, however, did not lead to the improvement of the film quality.

  4. Increased p-type conductivity through use of an indium surfactant in the growth of Mg-doped GaN

    Science.gov (United States)

    Kyle, Erin C. H.; Kaun, Stephen W.; Young, Erin C.; Speck, James S.

    2015-06-01

    We have examined the effect of an indium surfactant on the growth of p-type GaN by ammonia-based molecular beam epitaxy. p-type GaN was grown at temperatures ranging from 700 to 780 °C with and without an indium surfactant. The Mg concentration in all films in this study was 4.5-6 × 1019 cm-3 as measured by secondary ion mass spectroscopy. All p-type GaN films grown with an indium surfactant had higher p-type conductivities and higher hole concentrations than similar films grown without an indium surfactant. The lowest p-type GaN room temperature resistivity was 0.59 Ω-cm, and the highest room temperature carrier concentration was 1.6 × 1018 cm-3. Fits of the temperature-dependent carrier concentration data showed a one to two order of magnitude lower unintentional compensating defect concentration in samples grown with the indium surfactant. Samples grown at higher temperature had a lower active acceptor concentration. Improvements in band-edge luminescence were seen by cathodoluminescence for samples grown with the indium surfactant, confirming the trends seen in the Hall data.

  5. Silicon—a new substrate for GaN growth

    Indian Academy of Sciences (India)

    Unknown

    of GaN devices based on silicon is the thermal mismatch of GaN and Si, which generates cracks. In 1998, the .... Considerable research is being carried out on GaN HEMTs at present. ... by InGaN/GaN multiquantum well in MOVPE was first.

  6. Optically active centers in Eu implanted, Eu in situ doped GaN, and Eu doped GaN quantum dots

    International Nuclear Information System (INIS)

    Bodiou, L.; Braud, A.; Doualan, J.-L.; Moncorge, R.; Park, J. H.; Munasinghe, C.; Steckl, A. J.; Lorenz, K.; Alves, E.; Daudin, B.

    2009-01-01

    A comparison is presented between Eu implanted and Eu in situ doped GaN thin films showing that two predominant Eu sites are optically active around 620 nm in both types of samples with below and above bandgap excitation. One of these sites, identified as a Ga substitutional site, is common to both types of Eu doped GaN samples despite the difference in the GaN film growth method and in the doping technique. High-resolution photoluminescence (PL) spectra under resonant excitation reveal that in all samples these two host-sensitized sites are in small amount compared to the majority of Eu ions which occupy isolated Ga substitutional sites and thus cannot be excited through the GaN host. The relative concentrations of the two predominant host-sensitized Eu sites are strongly affected by the annealing temperature for Eu implanted samples and by the group III element time opening in the molecular beam epitaxy growth. Red luminescence decay characteristics for the two Eu sites reveal different excitation paths. PL dynamics under above bandgap excitation indicate that Eu ions occupying a Ga substitutional site are either excited directly into the 5 D 0 level or into higher excited levels such as 5 D 1 , while Eu ions sitting in the other site are only directly excited into the 5 D 0 level. These differences are discussed in terms of the spectral overlap between the emission band of a nearby bound exciton and the absorption bands of Eu ions. The study of Eu doped GaN quantum dots reveals the existence of only one type of Eu site under above bandgap excitation, with Eu PL dynamics features similar to Eu ions in Ga substitutional sites

  7. P-type conduction in Mg-doped GaN treated with low-energy electron beam irradiation (LEEBI)

    International Nuclear Information System (INIS)

    Amano, Hiroshi; Kito, Masahiro; Hiramatsu, Kazumasa

    1989-01-01

    Distinct p-type conduction is realized with Mg-doped GaN by the low-energy electron-beam irradiation (LEEBI) treatment, and the properties of the GaN p-n junction LED are reported for the first time. It was found that the LEEBI treatment drastically lowers the resistivity and remarkably enhances the PL efficiency of MOVPE-grown Mg-doped GaN. The Hall effect measurement of this Mg-doped GaN treated with LEEBI at room temperature showed that the hole concentration is ∼2·10 16 cm -3 , the hole mobility is ∼8 cm 2 /V·s and the resistivity is ∼35Ω· cm. The p-n junction LED using Mg-doped GaN treated with LEEBI as the p-type material showed strong near-band-edge emission due to the hole injection from the p-layer to the n-layer at room temperature. (author)

  8. Heteroepitaxial Patterned Growth of Vertically Aligned and Periodically Distributed ZnO Nanowires on GaN Using Laser Interference Ablation

    KAUST Repository

    Yuan, Dajun

    2010-08-23

    A simple two-step method of fabricating vertically aligned and periodically distributed ZnO nanowires on gallium nitride (GaN) substrates is described. The method combines laser interference ablation (LIA) and low temperature hydrothermal decomposition. The ZnO nanowires grow heteroepitaxially on unablated regions of GaN over areas spanning 1 cm2, with a high degree of control over size, orientation, uniformity, and periodicity. High resolution transmission electron microscopy and scanning electron microscopy are utilized to study the structural characteristics of the LIA-patterned GaN substrate in detail. These studies reveal the possible mechanism for the preferential, site-selective growth of the ZnO nanowires. The method demonstrates high application potential for wafer-scale integration into sensor arrays, piezoelectric devices, and optoelectronic devices. © 2010 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Wedge-Shaped GaN Nanowalls: A Potential Candidate for Two-Dimensional Electronics and Spintronics

    Science.gov (United States)

    Deb, Swarup; Dhar, Subhabrata

    Schrödingerand Poisson equations are solved self-consistently in order to obtain the potential and charge density distribution in n-type GaN nanowalls tapered along c-axis by different angles. The study shows two-dimensional (2D) quantum confinement of electrons in the central vertical plane of the wall for the entire range of tapering. Calculation of room temperature electron mobility in the 2D channel shows a steady decrease with the increase of the inclination angle of the side facets with respect to the base. However, it is interesting to note that the mobility remains to be much larger than that of bulk GaN even for the inclination angle of 65∘. The properties of high mobility and the vertical orientation of the 2DEG plane in this system can be exploited in fabricating highly conducting transparent interconnects and field effect transistors, which can lead to large scale integration of 2D devices in future.

  10. Calculated and experimental low-loss electron energy loss spectra of dislocations in diamond and GaN

    CERN Document Server

    Jones, R; Gutiérrez-Sosa, A; Bangert, U; Heggie, M I; Blumenau, A T; Frauenheim, T; Briddon, P R

    2002-01-01

    First-principles calculations of electron energy loss (EEL) spectra for bulk GaN and diamond are compared with experimental spectra acquired with a scanning tunnelling electron microscope offering ultra-high-energy resolution in low-loss energy spectroscopy. The theoretical bulk low-loss EEL spectra, in the E sub g to 10 eV range, are in good agreement with experimental data. Spatially resolved spectra from dislocated regions in both materials are distinct from bulk spectra. The main effects are, however, confined to energy losses lying above the band edge. The calculated spectra for low-energy dislocations in diamond are consistent with the experimental observations, but difficulties remain in understanding the spectra of threading dislocations in GaN.

  11. Effects of substrate temperature, substrate orientation, and energetic atomic collisions on the structure of GaN films grown by reactive sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Schiaber, Ziani S.; Lisboa-Filho, Paulo N.; Silva, José H. D. da [Universidade Estadual Paulista, UNESP, Bauru, São Paulo 17033-360 (Brazil); Leite, Douglas M. G. [Universidade Federal de Itajubá, UNIFEI, Itajubá, Minas Gerais 37500-903 (Brazil); Bortoleto, José R. R. [Universidade Estadual Paulista, UNESP, Sorocaba, São Paulo 18087-180 (Brazil)

    2013-11-14

    The combined effects of substrate temperature, substrate orientation, and energetic particle impingement on the structure of GaN films grown by reactive radio-frequency magnetron sputtering are investigated. Monte-Carlo based simulations are employed to analyze the energies of the species generated in the plasma and colliding with the growing surface. Polycrystalline films grown at temperatures ranging from 500 to 1000 °C clearly showed a dependence of orientation texture and surface morphology on substrate orientation (c- and a-plane sapphire) in which the (0001) GaN planes were parallel to the substrate surface. A large increase in interplanar spacing associated with the increase in both a- and c-parameters of the hexagonal lattice and a redshift of the optical bandgap were observed at substrate temperatures higher than 600 °C. The results showed that the tensile stresses produced during the film's growth in high-temperature deposition ranges were much larger than the expected compressive stresses caused by the difference in the thermal expansion coefficients of the film and substrate in the cool-down process after the film growth. The best films were deposited at 500 °C, 30 W and 600 °C, 45 W, which corresponds to conditions where the out diffusion from the film is low. Under these conditions the benefits of the temperature increase because of the decrease in defect density are greater than the problems caused by the strongly strained lattice that occurr at higher temperatures. The results are useful to the analysis of the growth conditions of GaN films by reactive sputtering.

  12. Dislocation-induced nanoparticle decoration on a GaN nanowire.

    Science.gov (United States)

    Yang, Bing; Yuan, Fang; Liu, Qingyun; Huang, Nan; Qiu, Jianhang; Staedler, Thorsten; Liu, Baodan; Jiang, Xin

    2015-02-04

    GaN nanowires with homoepitaxial decorated GaN nanoparticles on their surface along the radial direction have been synthesized by means of a chemical vapor deposition method. The growth of GaN nanowires is catalyzed by Au particles via the vapor-liquid-solid (VLS) mechanism. Screw dislocations are generated along the radial direction of the nanowires under slight Zn doping. In contrast to the metal-catalyst-assisted VLS growth, GaN nanoparticles are found to prefer to nucleate and grow at these dislocation sites. High-resolution transmission electron microscopy (HRTEM) analysis demonstrates that the GaN nanoparticles possess two types of epitaxial orientation with respect to the corresponding GaN nanowire: (I) [1̅21̅0]np//[1̅21̅0]nw, (0001)np//(0001)nw; (II) [1̅21̅3]np//[12̅10]nw, (101̅0)np//(101̅0)nw. An increased Ga signal in the energy-dispersive spectroscopy (EDS) profile lines of the nanowires suggests GaN nanoparticle growth at the edge surface of the wires. All the crystallographic results confirm the importance of the dislocations with respect to the homoepitaxial growth of the GaN nanoparticles. Here, screw dislocations situated on the (0001) plane provide the self-step source to enable nucleation of the GaN nanoparticles.

  13. The study of electronic structures and optical properties of Al-doped GaN

    International Nuclear Information System (INIS)

    Li Enling; Hou Liping; Liu Mancang; Xi Meng; Wang Xiqiang; Dai Yuanbin; Li Lisha

    2011-01-01

    The electronic structures and optical properties of undoped and Al-doped GaN (Al x Ga 1-x N, x=0.0625, 0.125, 0.25) have been studied based on generalized gradient approximation (GGA) method of density functional theory (DFT). The differences of the electronic structures and optical properties of undoped and Al-doped GaN have been discussed in detail. The result shows: according to total density of state of undoped and Al-doped GaN, the conduction band becomes width and moves to high energy level with gradual increase concentration of Al impurity. Impurity energy band isn't found in energy band structures of Al x Ga 1-x N, the same as energy band structures of undoped GaN, but the band gaps gradually become wide with increase of Al impurity. Absorption spectra of undoped and Al-doped GaN of main absorption peak moves to high energy level with increase of Al impurity.

  14. Molecular orbital calculations for the formation of GaN layers on ultra-thin AlN/6H-SiC surface using alternating pulsative supply of gaseous trimethyl gallium (TMG) and NH3

    International Nuclear Information System (INIS)

    Seong, See Yearl; Hwang, Jin Soo

    2001-01-01

    The steps for the generation of very thin GaN films on ultrathin AlN/6H-SiC surface by alternating a pulsative supply (APS) of trimethyl gallium and NH 3 gases have been examined by ASED-MO calculations. We postulate that the gallium clusters was formed with the evaporation of CH 4 gases via the decomposition of trimethyl gallium (TMG), dimethyl gallium (DMG), and monomethyl gallium (MMG). During the injection of NH 3 gas into the reactor, the atomic hydrogens were produced from the thermal decomposition of NH 3 molecule. These hydrogen gases activated the Ga-C bond cleavage. An energetically stable GaN nucleation site was formed via nitrogen incorporation into the layer of gallium cluster. The nitrogen atoms produced from the thermal degradation of NH 3 were expected to incorporate into the edge of the gallium cluster since the galliums bind weakly to each other (0.19 eV). The structure was stabilized by 2.08 eV, as an adsorbed N atom incorporated into a tetrahedral site of the Ga cluster. This suggests that the adhesion of the initial layer can be reinforced by the incorporation of nitrogen atom through the formation of large grain boundary GaN crystals at the early stage of GaN film growth

  15. Thermoelastic Stress Field Investigation of GaN Material for Laser Lift-off Technique based on Finite Element Method

    International Nuclear Information System (INIS)

    Ting, Wang; Zhan-Zhong, Cui; Li-Xin, Xu

    2009-01-01

    The transient thermoelastic stress fields of GaN films is analyzed by the finite element method for the laser lift-off (LLO) technique. Stress distributions in GaN films irradiated by pulse laser with different energy densities as functions of time and depth are simulated. The results show that the high thermoelastic stress distributions in GaN films localize within about 1 μm below the GaN/Al 2 O 3 interface using proper laser parameters. It is also found that GaN films can avoid the thermal deformation because the maximum thermoelastic stress 4.28 GPa is much smaller than the yield strength of GaN 15GPa. The effects of laser beam dimension and the thickness of GaN films on stress distribution are also analyzed. The variation range of laser beam dimension as a function of the thickness of GaN films is simulated to keep the GaN films free of thermal deformation. LLO experiments are also carried out. GaN-based light-emitting diodes (LEDs) are separated from sapphire substrates using the parameters obtained from the simulation. Compared with devices before LLO, P–I–V measurements of GaN-based LEDs after LLO show that the electrical and optical characteristics improve greatly, indicating that no stress damage is brought to GaN films using proper parameters obtained by calculation during LLO

  16. Probing the cellular damage in bacteria induced by GaN nanoparticles using confocal laser Raman spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Sahoo, Prasana, E-mail: prasanasahoo@gmail.com [Indira Gandhi Center for Atomic Research, Surface and Nanoscience Division (India); Murthy, P. Sriyutha [Bhabha Atomic Research Centre, Biofouling and Biofilm Processes Section, Water and Steam Chemistry Division (India); Dhara, S., E-mail: dhara@igcar.gov.in [Indira Gandhi Center for Atomic Research, Surface and Nanoscience Division (India); Venugopalan, V. P. [Bhabha Atomic Research Centre, Biofouling and Biofilm Processes Section, Water and Steam Chemistry Division (India); Das, A.; Tyagi, A. K. [Indira Gandhi Center for Atomic Research, Surface and Nanoscience Division (India)

    2013-08-15

    Understanding the mechanism of nanoparticle (NP) induced toxicity in microbes is of potential importance to a variety of disciplines including disease diagnostics, biomedical implants, and environmental analysis. In this context, toxicity to bacterial cells and inhibition of biofilm formation by GaN NPs and their functional derivatives have been investigated against gram positive and gram negative bacterial species down to single cellular level. High levels of inhibition of biofilm formation (>80 %) was observed on treatments with GaN NPs at sub-micro molar concentrations. These results were substantiated with morphological features investigated with field emission scanning electron microscope, and the observed changes in vibrational modes of microbial cells using Raman spectroscopy. Raman spectra provided molecular interpretation of cell damage by registering signatures of molecular vibrations of individual living microbial cells and mapping the interplay of proteins at the cell membrane. As compared to the untreated cells, Raman spectra of NP-treated cells showed an increase in the intensities of characteristic protein bands, which confirmed membrane damage and subsequent release of cellular contents outside the cells. Raman spectral mapping at single cellular level can facilitate understanding of the mechanistic aspect of toxicity of GaN NPs. The effect may be correlated to passive diffusion causing mechanical damage to the membrane or ingress of Ga{sup 3+} (ionic radius {approx}0.076 nm) which can potentially interfere with bacterial metabolism, as it resembles Fe{sup 2+} (ionic radius {approx}0.077 nm), which is essential for energy metabolism.

  17. Probing the cellular damage in bacteria induced by GaN nanoparticles using confocal laser Raman spectroscopy

    International Nuclear Information System (INIS)

    Sahoo, Prasana; Murthy, P. Sriyutha; Dhara, S.; Venugopalan, V. P.; Das, A.; Tyagi, A. K.

    2013-01-01

    Understanding the mechanism of nanoparticle (NP) induced toxicity in microbes is of potential importance to a variety of disciplines including disease diagnostics, biomedical implants, and environmental analysis. In this context, toxicity to bacterial cells and inhibition of biofilm formation by GaN NPs and their functional derivatives have been investigated against gram positive and gram negative bacterial species down to single cellular level. High levels of inhibition of biofilm formation (>80 %) was observed on treatments with GaN NPs at sub-micro molar concentrations. These results were substantiated with morphological features investigated with field emission scanning electron microscope, and the observed changes in vibrational modes of microbial cells using Raman spectroscopy. Raman spectra provided molecular interpretation of cell damage by registering signatures of molecular vibrations of individual living microbial cells and mapping the interplay of proteins at the cell membrane. As compared to the untreated cells, Raman spectra of NP-treated cells showed an increase in the intensities of characteristic protein bands, which confirmed membrane damage and subsequent release of cellular contents outside the cells. Raman spectral mapping at single cellular level can facilitate understanding of the mechanistic aspect of toxicity of GaN NPs. The effect may be correlated to passive diffusion causing mechanical damage to the membrane or ingress of Ga 3+ (ionic radius ∼0.076 nm) which can potentially interfere with bacterial metabolism, as it resembles Fe 2+ (ionic radius ∼0.077 nm), which is essential for energy metabolism

  18. Spatially and spectrally resolved photoluminescence of InGaN MQWs grown on highly Si doped a-plane GaN buffer

    Energy Technology Data Exchange (ETDEWEB)

    Thunert, Martin; Wieneke, Matthias; Dempewolf, Anja; Bertram, Frank; Dadgar, Armin; Krost, Alois; Christen, Juergen [Institute of Experimental Physics, Otto-von-Guericke-University Magdeburg (Germany)

    2011-07-01

    A set of InGaN multi quantum well (MQW) samples grown by MOVPE on highly Si doped a-plane GaN on r-plane sapphire templates has been investigated using spatially resolved photoluminescence spectroscopy ({mu}-PL). The Si doping level of nominal about 10{sup 20} cm{sup -3} leads to three dimensionally grown crystallites mostly terminated by m-facets. The MQW thickness has been systematically varied from nominally 2.1 to 4.2 nm, as well as the InGaN growth temperature, which was varied from 760 C to 700 C. The growth of a-plane GaN based devices leads to a non-polar growth direction avoiding the polarization field affected Quantum-Confined-Stark-Effect. Spatially resolved PL studies show for all samples low near band edge (NBE) GaN emission intensity over the whole area under investigation accompanied by highly intense InGaN MQW emission for single crystallites. The MQW luminescence shows a systematic blueshift with increasing InGaN growth temperature due to lower In incorporation as well as a systematic redshift with increasing MQW thickness. Excitation power dependent spectra at 4 K as well as temperature dependent PL spectra will be presented.

  19. Influence of ammonia flow rate for improving properties of polycrystalline GaN

    Science.gov (United States)

    Ariff, A.; Ahmad, M. A.; Hassan, Z.; Zainal, N.

    2018-06-01

    Post-annealing treatment in ammonia ambient is widely accepted for GaN material, but less works have been done to investigate the influence of the ammonia (NH3) flow rate for reducing the N-deficiency as well as improving the quality of the material. In this work, we investigated the influence of NH3 flow rate at 1, 2, 3, and 4 slm in improving properties of a ∼1 μm thick polycrystalline GaN layer. Our simulation work suggested that the uniformity of temperature and pressure gradient of the NH3 gas did not lead to the reduction of N-deficiency of the polycrystalline GaN layer. Instead, it was found that the mitigation of the N-deficiency was strongly influenced by the fluid velocity of the NH3 gas, which had passed over the layer. Either at lower or higher fluid velocity, the chance for the active N atoms to incorporate into the GaN lattice structure was low. Therefore, the N-deficiency on the polycrystalline GaN layer could not be minimized under these conditions. As measured by EDX, the N atoms incorporation was the most effective when the NH3 flow rate at 3 slm, suggesting the flow rate significantly improved the N-deficiency of the polycrystalline GaN layer. Furthermore, it favored the formation of larger hexagonal faceted grains, with the smallest FWHM of XRD peaks from the GaN diffractions in (10 1 bar 0), (0002) and (10 1 bar 1) orientations, while allowing the polycrystalline GaN layer to show sharp and intense emissions peak of NBE in a PL spectrum.

  20. Light Modulation and Water Splitting Enhancement Using a Composite Porous GaN Structure.

    Science.gov (United States)

    Yang, Chao; Xi, Xin; Yu, Zhiguo; Cao, Haicheng; Li, Jing; Lin, Shan; Ma, Zhanhong; Zhao, Lixia

    2018-02-14

    On the basis of the laterally porous GaN, we designed and fabricated a composite porous GaN structure with both well-ordered lateral and vertical holes. Compared to the plane GaN, the composite porous GaN structure with the combination of the vertical holes can help to reduce UV reflectance and increase the saturation photocurrent during water splitting by a factor of ∼4.5. Furthermore, we investigated the underlying mechanism for the enhancement of the water splitting performance using a finite-difference time-domain method. The results show that the well-ordered vertical holes can not only help to open the embedded pore channels to the electrolyte at both sides and reduce the migration distance of the gas bubbles during the water splitting reactions but also help to modulate the light field. Using this composite porous GaN structure, most of the incident light can be modulated and trapped into the nanoholes, and thus the electric fields localized in the lateral pores can increase dramatically as a result of the strong optical coupling. Our findings pave a new way to develop GaN photoelectrodes for highly efficient solar water splitting.

  1. Automatic semi-quantification of [{sup 123}I]FP-CIT SPECT scans in healthy volunteers using BasGan version 2: results from the ENC-DAT database

    Energy Technology Data Exchange (ETDEWEB)

    Nobili, Flavio [University of Genoa, Clinical Neurophysiology, Department of Neurosciences, Ophthalmology and Genetics, Genoa (Italy); Naseri, Mehrdad; Morbelli, Silvia [University of Genoa, Nuclear Medicine Unit, Department of Internal Medicine, Genoa (Italy); De Carli, Fabrizio [National Research Council, Institute of Bioimaging and Molecular Physiology, Genoa (Italy); Asenbaum, Susan [Medical University of Vienna, Department of Neurology, Vienna (Austria); Booij, Jan [University of Amsterdam, Department of Nuclear Medicine, Academic Medical Center, Amsterdam (Netherlands); Darcourt, Jacques [University of Nice Sophia Antipolis, Nuclear Medicine Department Centre Antoine Lacassagne TIRO Laboratory CEA, Nice (France); Ell, Peter [University College London Hospitals NHS Trust, Institute of Nuclear Medicine, London (United Kingdom); Kapucu, Oezlem [Gazi University School of Medicine, Department of Nuclear Medicine, Gazi Hospital, Besevler, Ankara (Turkey); Kemp, Paul [Southampton University Hospitals Trust, Department of Nuclear Medicine, Southampton (United Kingdom); Varer, Claus [Copenhagen University Hospital, Rigshospitalet, Neurobiology Research Unit and Center for Integrated Molecular Brain Imaging (CIMBI), Copenhagen (Denmark); Pagani, Marco [Karolinska University Hospital, Department of Nuclear Medicine, Stockholm (Sweden); Consiglio Nazionale delle Ricerche (CNR), Institute of Cognitive Sciences and Technologies, Rome (Italy); Sabri, Osama [University of Leipzig, Department of Nuclear Medicine, Leipzig (Germany); Tatsch, Klaus [Municipal Hospital of Karlsruhe Inc., Department of Nuclear Medicine, Karlsruhe (Germany); Tossici-Bolt, Livia [Southampton University Hospitals NHS Trust, Department of Medical Physics and Bioengineering, Southampton (United Kingdom); Sera, Terez [University of Szeged, Department of Nuclear Medicine and Euromedic Szeged, Szeged (Hungary); Borght, Thierry vander [Universite Catholique de Louvain, Nuclear Medicine Division, Mont-Godinne Medical Center, Yvoir (Belgium); Laere, Koen van [University Hospitals Leuven, Division of Nuclear Medicine, Leuven (Belgium); Varrone, Andrea [Karolinska Hospital, Centre for Psychiatry Research, Karolinska Institutet, Stockholm (Sweden)

    2013-04-15

    The aim of this study was to assess striatal dopamine transporter (DAT) availability in a large group of normal subjects. The study included 122 healthy subjects, aged 18-83 years, recruited in the multicentre 'ENC-DAT' study (promoted by the European Association of Nuclear Medicine). Brain single photon emission computed tomography (SPECT) was acquired by means of dual-head cameras 3 h after [{sup 123}I]FP-CIT administration. Specific to nondisplaceable binding ratios (SBRs) in the basal ganglia were computed using the 'BasGan' software, allowing automatic value extraction with partial volume effect correction. Multicentre camera inhomogeneity was taken into account by calibrating values on basal ganglia phantom data. SBR in each caudate nucleus (C) and putamen (P) were the dependent variables in a repeated measures general linear model analysis; age, gender, handedness and body mass index (BMI) were the independent variables. SBR values in C and P were significantly associated with age (mean rate decrease with age: 0.0306 per year, or 0.57 % of the general mean; p < 0.0001) and gender (women had higher values; p = 0.015), while no significant effect was found for handedness and BMI. A significant interaction was found between age and region (p < 0.0001) as the age-related decline was 0.028 for left C, 0.026 for right C and 0.034 for both P. P/C ratio analysis confirmed that age-related SBR decrease was stronger in P than in C (p < 0.0001). No significant effect was found for season or time of the day when the scan was acquired by analysing the residual of SBR values in C and P, after subtraction of age and gender effects. This study confirms the dependency of DAT on ageing and highlights the gender differences in a large sample of healthy subjects, while it does not support the dependency of DAT on BMI, handedness, circadian rhythm or season. (orig.)

  2. Photoluminescence enhancement from GaN by beryllium doping

    Science.gov (United States)

    García-Gutiérrez, R.; Ramos-Carrazco, A.; Berman-Mendoza, D.; Hirata, G. A.; Contreras, O. E.; Barboza-Flores, M.

    2016-10-01

    High quality Be-doped (Be = 0.19 at.%) GaN powder has been grown by reacting high purity Ga diluted alloys (Be-Ga) with ultra high purity ammonia in a horizontal quartz tube reactor at 1200 °C. An initial low-temperature treatment to dissolve ammonia into the Ga melt produced GaN powders with 100% reaction efficiency. Doping was achieved by dissolving beryllium into the gallium metal. The powders synthesized by this method regularly consist of two particle size distributions: large hollow columns with lengths between 5 and 10 μm and small platelets in a range of diameters among 1 and 3 μm. The GaN:Be powders present a high quality polycrystalline profile with preferential growth on the [10 1 bar 1] plane, observed by means of X-ray diffraction. The three characteristics growth planes of the GaN crystalline phase were found by using high resolution TEM microscopy. The optical enhancing of the emission in the GaN powder is attributed to defects created with the beryllium doping. The room temperature photoluminescence emission spectra of GaN:Be powders, revealed the presence of beryllium on a shoulder peak at 3.39 eV and an unusual Y6 emission at 3.32eV related to surface donor-acceptor pairs. Also, a donor-acceptor-pair transition at 3.17 eV and a phonon replica transition at 3.1 eV were observed at low temperature (10 K). The well-known yellow luminescence band coming from defects was observed in both spectra at room and low temperature. Cathodoluminescence emission from GaN:Be powders presents two main peaks associated with an ultraviolet band emission and the yellow emission known from defects. To study the trapping levels related with the defects formed in the GaN:Be, thermoluminescence glow curves were obtained using UV and β radiation in the range of 50 and 150 °C.

  3. Theoretical investigation of electronic, magnetic and optical properties of Fe doped GaN thin films

    International Nuclear Information System (INIS)

    Salmani, E.; Mounkachi, O.; Ez-Zahraouy, H.; Benyoussef, A.; Hamedoun, M.; Hlil, E.K.

    2013-01-01

    Highlights: •Magnetic and optical properties Fe-doped GaN thin films are studied using DFT. •The band gaps of GaN thin films are larger than the one of the bulk. •The layer thickness and acceptor defect can switch the magnetic ordering. -- Abstract: Using first principles calculations based on spin-polarized density functional theory, the magnetic and optical properties of GaN and Fe-doped GaN thin films with and without acceptor defect is studied. The band structure calculations show that the band gaps of GaN thin films with 2, 4 and 6 layers are larger than the one of the bulk with wurtzite structure and decreases with increasing the film thickness. In Fe doped GaN thin films, we show that layer of thickness and acceptor defect can switch the magnetic ordering from disorder local moment (DLM) to ferromagnetic (FM) order. Without acceptor defect Fe doped GaN exhibits spin glass phase in 4 layers form and ferromagnetic state for 2 layers form of the thin films, while it exhibits ferromagnetic phase with acceptor defect such as vacancies defect for 2 and 4 layers. In the FM ordering, the thin films is half-metallic and is therefore ideal for spin application. The different energy between ferromagnetic state and disorder local moment state was evaluated. Moreover, the optical absorption spectra obtained by ab initio calculations confirm the ferromagnetic stability based on the charge state of magnetic impurities

  4. Investigation on the surface characterization of Ga-faced GaN after chemical-mechanical polishing

    Energy Technology Data Exchange (ETDEWEB)

    Gong, Hua [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China); Pan, Guoshun, E-mail: pangs@tsinghua.edu.cn [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China); Zhou, Yan; Shi, Xiaolei; Zou, Chunli; Zhang, Suman [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China)

    2015-05-30

    Highlights: • Tiny-sized nanoparticles were introduced in GaN CMP to realize a good surface. • The relationship between surface characterization and abrasive size was conducted. • An atomic step-terrace structure was achieved on GaN surface after CMP. • Pt/C catalyst nanoparticles were used in GaN CMP to get a higher MRR. - Abstract: The relationship between the surface characterization after chemical mechanical polishing (CMP) and the size of the silica (SiO{sub 2}) abrasive used for CMP of gallium nitride (GaN) substrates was investigated in detail. Atomic force microscope was used for measuring the surface morphology, pit feature, pit depth distribution, and atomic step-terrace structure. With the decrease of SiO{sub 2} abrasive size, the pit depth reduced and the atomic step-terrace structure became more whole with smaller damage area, resulting in smaller roughness. For tiny-sized SiO{sub 2} abrasive, an almost complete atomic step-terrace structure with 0.0523 nm roughness was achieved. On the other hand, in order to acquire higher removal, Pt/C nanoparticle was employed as a catalyst in CMP slurry. The result indicates that when Pt/C catalyst content was reached to 1.0 ppm, material removal rate was increased by 47.69% compared to that by none of the catalyst, and besides, the pit depth reduced and the surface atomic step-terrace structure was not destroyed. The Pt/C nanoparticle is proved to be the promising catalyst to the surface preparation of super-hard and inert materials with high efficiency and good surface.

  5. Effect of the Ti-Nanolayer Thickness on the Self-Lift-off of Thick GaN Epitaxial Layers

    International Nuclear Information System (INIS)

    Yugov, A. A.; Malahov, S. S.; Donskov, A. A.; Duhnovskii, M. P.; Knyazev, S. N.; Kozlova, Yu. P.; Yugova, T. G.; Belogorokhov, I. A.

    2016-01-01

    The effect of the type of substrate, sapphire substrate (c- and r-orientation) or GaN/Al_2O_3 template (c- and r-orientations), on the nitridation of an amorphous titanium nanolayer is shown. The effect of the titanium-nanolayer thickness on thick GaN epitaxial layer self-separation from the substrate is revealed. The titanium-nanolayer thickness at which thick GaN layer is reproducibly self-separated is within 20–40 nm.

  6. Structural characterisation of GaN and GaN:O thin films

    International Nuclear Information System (INIS)

    Granville, S.; Budde, F.; Koo, A.; Ruck, B.J.; Trodahl, H.J.; Bittar, A.; Metson, J.B.; James, B.J.; Kennedy, V.J.; Markwitz, A.; Prince, K.E.

    2005-01-01

    In its crystalline form, the wide band-gap semiconductor GaN is of exceptional interest in the development of suitable materials for short wavelength optoelectronic devices. One of the barriers to its potential usefulness however is the large concentration of defects present even in MBE-grown material often due to the lattice mismatch of the GaN with common substrate materials. Calculations have suggested that GaN films grown with an amorphous structure retain many of the useful properties of the crystalline material, including the wide band-gap and a low density of states in the gap, and thus may be a suitable alternative to the single crystal GaN for a variety of applications. We have performed structural and compositional measurements on heavily disordered GaN thin films with and without measureable O and H concentrations grown using ion-assisted deposition. X-ray diffraction and x-ray absorption fine structure measurements show that stoichiometric films are composed of nanocrystallites of ∼3-4 nm in size and that GaN films containing O to 10 at % or greater are amorphous. Rutherford backscattering spectroscopy (RBS) was performed and nuclear reaction analysis (NRA) measurements were made to determine the elemental composition of the films and elastic recoil detection (ERD) detected the hydrogen concentrations. Secondary ion mass spectroscopy (SIMS) measurements were used to depth profile the films. X-ray photoelectron spectroscopy (XPS) measurements probed the bonding environment of the Ga in the films. (author). 2 figs., 1 tab

  7. Interaction of GaN epitaxial layers with atomic hydrogen

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S

    2004-08-15

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H{sub 2} plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states.

  8. Interaction of GaN epitaxial layers with atomic hydrogen

    International Nuclear Information System (INIS)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S.

    2004-01-01

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H 2 plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states

  9. Epitaxial growth of GaN by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) in the downflow of a very high frequency (VHF) N2/H2 excited plasma - effect of TMG flow rate and VHF power

    Science.gov (United States)

    Lu, Yi; Kondo, Hiroki; Ishikawa, Kenji; Oda, Osamu; Takeda, Keigo; Sekine, Makoto; Amano, Hiroshi; Hori, Masaru

    2014-04-01

    Gallium nitride (GaN) films have been grown by using our newly developed Radical-Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD) system. This system has three features: (1) application of very high frequency (60 MHz) power in order to increase the plasma density, (2) introduction of H2 gas together with N2 gas in the plasma discharge region to generate not only nitrogen radicals but also active NHx molecules, and (3) radical supply under remote plasma arrangement with suppression of charged ions and photons by employing a Faraday cage. Using this new system, we have studied the effect of the trimethylgallium (TMG) source flow rate and of the plasma generation power on the GaN crystal quality by using scanning electron microscopy (SEM) and double crystal X-ray diffraction (XRD). We found that this REMOCVD allowed the growth of epitaxial GaN films of the wurtzite structure of (0001) orientation on sapphire substrates with a high growth rate of 0.42 μm/h at a low temperature of 800 °C. The present REMOCVD is a promising method for GaN growth at relatively low temperature and without using costly ammonia gas.

  10. Induced defects in neutron irradiated GaN single crystals

    International Nuclear Information System (INIS)

    Park, I. W.; Koh, E. K.; Kim, Y. M.; Choh, S. H.; Park, S. S.; Kim, B. G.; Sohn, J. M.

    2005-01-01

    The local structure of defects in undoped, Si-doped, and neutron irradiated free standing GaN bulk crystals, grown by hydride vapor phase epitaxy, has been investigated by employing Raman scattering and cathodoluminescence. The GaN samples were irradiated to a dose of 2 x 10 17 neutrons in an atomic reactor at Korea Atomic Energy Research Institute. There was no appreciable change in the Raman spectra for undoped GaN samples before and after neutron irradiation. However, a forbidden transition, A 1 (TO) mode, appeared for a neutron irradiated Si-doped GaN crystal. Cathodoluminescence spectrum for the neutron irradiated Si-doped GaN crystal became much more broadened than that for the unirradiated one. The experimental results reveal the generation of defects with locally deformed structure in the wurtzite Si-doped GaN single crystal

  11. Self-assembled growth and structural analysis of inclined GaN nanorods on nanoimprinted m-sapphire using catalyst-free metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Kyuseung; Chae, Sooryong; Jang, Jongjin; Min, Daehong; Kim, Jaehwan; Nam, Okhyun, E-mail: ohnam@kpu.ac.kr [Convergence Center for Advanced Nano Semiconductor (CANS), Department of Nano-Optical Engineering, Korea Polytechnic University, Siheung, 15073 (Korea, Republic of)

    2016-04-15

    In this study, self-assembled inclined (1-10-3)-oriented GaN nanorods (NRs) were grown on nanoimprinted (10-10) m-sapphire substrates using catalyst-free metal-organic chemical vapor deposition. According to X-ray phi-scans, the inclined GaN NRs were tilted at an angle of ∼57.5° to the [10-10]{sub sapp} direction. Specifically, the GaN NRs grew in a single inclined direction to the [11-20]{sub sapp}. Uni-directionally inclined NRs were formed through the one-sided (10-11)-faceted growth of the interfacial a-GaN plane layer. It was confirmed that a thin layer of a-GaN was formed on r-facet nanogrooves of the m-sapphire substrate by nitridation. The interfacial a-GaN nucleation affected both the inclined angle and the growth direction of the inclined GaN NRs. Using X-ray diffraction and selective area electron diffraction, the epitaxial relationship between the inclined (1-10-3) GaN NRs and interfacial a-GaN layer on m-sapphire substrates was systematically investigated. Moreover, the inclined GaN NRs were observed to be mostly free of stacking fault-related defects using high-resolution transmission electron microscopy.

  12. Formation of Ga2O3 by the oxidation of p-type GaN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Pinnisch, Melanie; Reppin, Daniel; Stehr, Jan; Laufer, Andreas; Hofmann, Detlev M.; Meyer, Bruno K. [1. Physikalisches Institut, Justus-Liebig-University, Giessen (Germany)

    2010-07-01

    Both GaN and Ga{sub 2}O{sub 3} are wide band gap semiconductors with energies of 3.45 eV and 4.9 eV, respectively. While GaN can be achieved p- or n-type conducting by doping, Ga{sub 2}O{sub 3} is n-type or high resistive dependent on the presence of oxygen vacancies. We studied the conversion of p-type Mg doped GaN thin films to Ga{sub 2}O{sub 3} by thermal treatments in the temperature range from 600 C to 1200 C and in different atmospheres. Changes of the film properties were studied by means of X-ray diffraction, photo-electron spectroscopy and atomic force microscopy. Optical and magnetic resonance methods were used to investigate the evolution of the dopands and defects.

  13. Impact of defects on the electrical transport, optical properties and failure mechanisms of GaN nanowires.

    Energy Technology Data Exchange (ETDEWEB)

    Armstrong, Andrew M.; Aubry, Sylvie; Shaner, Eric Arthur; Siegal, Michael P.; Li, Qiming; Jones, Reese E.; Westover, Tyler; Wang, George T.; Zhou, Xiao Wang; Talin, Albert Alec; Bogart, Katherine Huderle Andersen; Harris, C. Thomas; Huang, Jian Yu

    2010-09-01

    We present the results of a three year LDRD project that focused on understanding the impact of defects on the electrical, optical and thermal properties of GaN-based nanowires (NWs). We describe the development and application of a host of experimental techniques to quantify and understand the physics of defects and thermal transport in GaN NWs. We also present the development of analytical models and computational studies of thermal conductivity in GaN NWs. Finally, we present an atomistic model for GaN NW electrical breakdown supported with experimental evidence. GaN-based nanowires are attractive for applications requiring compact, high-current density devices such as ultraviolet laser arrays. Understanding GaN nanowire failure at high-current density is crucial to developing nanowire (NW) devices. Nanowire device failure is likely more complex than thin film due to the prominence of surface effects and enhanced interaction among point defects. Understanding the impact of surfaces and point defects on nanowire thermal and electrical transport is the first step toward rational control and mitigation of device failure mechanisms. However, investigating defects in GaN NWs is extremely challenging because conventional defect spectroscopy techniques are unsuitable for wide-bandgap nanostructures. To understand NW breakdown, the influence of pre-existing and emergent defects during high current stress on NW properties will be investigated. Acute sensitivity of NW thermal conductivity to point-defect density is expected due to the lack of threading dislocation (TD) gettering sites, and enhanced phonon-surface scattering further inhibits thermal transport. Excess defect creation during Joule heating could further degrade thermal conductivity, producing a viscous cycle culminating in catastrophic breakdown. To investigate these issues, a unique combination of electron microscopy, scanning luminescence and photoconductivity implemented at the nanoscale will be used in

  14. Electrical transport in GaN and InN nanowires; Elektrischer Transport in GaN- und InN-Nanodraehten

    Energy Technology Data Exchange (ETDEWEB)

    Richter, Thomas Fabian

    2008-12-19

    This thesis discusses the analysis of the electrical transport in GaN and InN nanowires at room temperature and deep temperatures. From those measurements two different transport models for those two in matter of the band banding completely different materials have been found. In the investigation of the GaN nanowires the main focus was the electrical transport in dependence of the diameter and the n-doping. With the use of IV-measurements on those MBE grown nanowires with different diameters at dark and under UV illumination as well as the decay of the persistent photocurrent, it was possible to find an for GaN untypical behaviour. The electrical transport in those wires is extremely diameter dependent. The dark current shows space charged limited current. With the help of those cognitions a diameter dependent transport model could be found. The transport phenomena in those wires is based on the diameter depending band bending at the edge of the wires caused by the Fermi level pinning inside the forbidden band. This model can be fit to the data with the three parameter doping, fermi level pinning and wire diameter. On the base of those effects a method to determine the doping concentration inside those wires without field effect measurements and contact resistance has been developed. The defect structure inside those wires has been analysed with the help of spectral photoluminescence measurements. Here several defect bands have been found and it was possible with help of several contacts on one single wire to determine different defect regions along the wire and to explain them by the lattice mismatch between nanowire and substrate. Further temperature depending measurements and investigations on Schottky contacted wires as well as on GaN wires with AlN tunnel structures complete the work on GaN. The electrical characterisation on a large scale of undoped and doped InN nanowires shows linear growth of the dark current with the diameter up to wires of around 100 nm

  15. Ab initio study of metastability of Eu{sup 3+} defect complexes in GaN

    Energy Technology Data Exchange (ETDEWEB)

    Ouma, Cecil N.M., E-mail: Cecil.Ouma@up.ac.za; Meyer, Walter E.

    2014-04-15

    Density functional theory (DFT) within the generalized gradient approximation (GGA) has been used to study the structural and electronic properties of Eu{sup 3+} defect complexes in GaN under Ga-rich conditions. Two distinct configurations of the Eu{sub Ga}V{sub N} defect complex, the axial and basal configuration, have been investigated. We report two forms of metastable defects namely; the Negative U defect in the lower half of the GaN band-gap and a metastable defect with two distinct configurations each with levels at E{sub C} −0.46 eV and −0.56 eV in the upper half of the GaN band-gap.

  16. Facile synthesis and photoluminescence spectroscopy of 3D-triangular GaN nano prism islands.

    Science.gov (United States)

    Kumar, Mukesh; Pasha, S K; Shibin Krishna, T C; Singh, Avanish Pratap; Kumar, Pawan; Gupta, Bipin Kumar; Gupta, Govind

    2014-08-21

    We report a strategy for fabrication of 3D triangular GaN nano prism islands (TGNPI) grown on Ga/Si(553) substrate at low temperature by N2(+) ions implantation using a sputtering gun technique. The annealing of Ga/Si(553) (600 °C) followed by nitridation (2 keV) shows the formation of high quality GaN TGNPI cross-section. TGNPI morphology has been confirmed by atomic force microscopy. Furthermore, these nano prism islands exhibit prominent ultra-violet luminescence peaking at 366 nm upon 325 nm excitation wavelength along with a low intensity yellow luminescence broad peak at 545 nm which characterizes low defects density TGNPI. Furthermore, the time-resolved spectroscopy of luminescent TGNPI in nanoseconds holds promise for its futuristic application in next generation UV-based sensors as well as many portable optoelectronic devices.

  17. Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Chen, Yen-Liang; Lo, Ikai; Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi; Tsai, Jenn-Kai; Schuber, Ralf; Schaadt, Daniel

    2012-01-01

    We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69″ to 59.43″ for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 × 5 μm 2 with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: ► The samples were grown by plasma-assisted molecular beam epitaxy. ► The GaN epilayer was grown on sapphire substrate. ► The samples were characterized by X-ray diffraction and atomic force microscopy. ► The sample quality was improved by gradient buffer layer.

  18. Polarization Raman spectroscopy of GaN nanorod bundles

    International Nuclear Information System (INIS)

    Tite, T.; Lee, C. J.; Chang, Y.-M.

    2010-01-01

    We performed polarization Raman spectroscopy on single wurtzite GaN nanorod bundles grown by plasma-assisted molecular beam epitaxy. The obtained Raman spectra were compared with those of GaN epilayer. The spectral difference between the GaN nanorod bundles and epilayer reveals the relaxation of Raman selection rules in these GaN nanorod bundles. The deviation of polarization-dependent Raman spectroscopy from the prediction of Raman selection rules is attributed to both the orientation of the crystal axis with respect to the polarization vectors of incident and scattered light and the structural defects in the merging boundary of GaN nanorods. The presence of high defect density induced by local strain at the merging boundary was further confirmed by transmission electron microscopy. The averaged defect interspacing was estimated to be around 3 nm based on the spatial correlation model.

  19. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Science.gov (United States)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  20. Nucleation and Growth of GaN on GaAs (001) Substrates

    International Nuclear Information System (INIS)

    Drummond, Timothy J.; Hafich, Michael J.; Heller, Edwin J.; Lee, Stephen R.; Liliental-Weber, Zuzanna; Ruvimov, Sergei; Sullivan, John P.

    1999-01-01

    The nucleation of GaN thin films on GaAs is investigated for growth at 620 ''C. An rf plasma cell is used to generate chemically active nitrogen from N 2 . An arsenic flux is used in the first eight monolayer of nitride growth to enhance nucleation of the cubic phase. Subsequent growth does not require an As flux to preserve the cubic phase. The nucleation of smooth interfaces and GaN films with low stacking fault densities is dependent upon relative concentrations of active nitrogen species in the plasma and on the nitrogen to gallium flux ratio

  1. Computational study of GaAs1-xNx and GaN1-yAsy alloys and arsenic impurities in GaN

    International Nuclear Information System (INIS)

    Laaksonen, K; Komsa, H-P; Arola, E; Rantala, T T; Nieminen, R M

    2006-01-01

    We have studied the structural and electronic properties of As-rich GaAs 1-x N x and N-rich GaN 1-y As y alloys in a large composition range using first-principles methods. We have systematically investigated the effect of the impurity atom configuration near both GaAs and GaN sides of the concentration range on the total energies, lattice constants and bandgaps. The N (As) atoms, replacing substitutionally As (N) atoms in GaAs (GaN), cause the surrounding Ga atoms to relax inwards (outwards), making the Ga-N (Ga-As) bond length about 15% shorter (longer) than the corresponding Ga-As (Ga-N) bond length in GaAs (GaN). The total energies of the relaxed alloy supercells and the bandgaps experience large fluctuations within different configurations and these fluctuations grow stronger if the impurity concentration is increased. Substituting As atoms with N in GaAs induces modifications near the conduction band minimum, while substituting N atoms with As in GaN modifies the states near the valence band maximum. Both lead to bandgap reduction, which is at first rapid but later slows down. The relative size of the fluctuations is much larger in the case of GaAs 1-x N x alloys. We have also looked into the question of which substitutional site (Ga or N) As occupies in GaN. We find that under Ga-rich conditions arsenic prefers the substitutional N site over the Ga site within a large range of Fermi level values

  2. Ga vacancy induced ferromagnetism enhancement and electronic structures of RE-doped GaN

    International Nuclear Information System (INIS)

    Zhong Guohua; Zhang Kang; He Fan; Ma Xuhang; Lu Lanlan; Liu Zhuang; Yang Chunlei

    2012-01-01

    Because of their possible applications in spintronic and optoelectronic devices, GaN dilute magnetic semiconductors (DMSs) doped by rare-earth (RE) elements have attracted much attention since the high Curie temperature was obtained in RE-doped GaN DMSs and a colossal magnetic moment was observed in the Gd-doped GaN thin film. We have systemically studied the GaN DMSs doped by RE elements (La, Ce-Yb) using the full-potential linearized augmented plane wave method within the framework of density functional theory and adding the considerations of the electronic correlation and the spin-orbital coupling effects. We have studied the electronic structures of DMSs, especially for the contribution from f electrons. The origin of magnetism, magnetic interaction and the possible mechanism of the colossal magnetic moment were explored. We found that, for materials containing f electrons, electronic correlation was usually strong and the spin-orbital coupling was sometimes crucial in determining the magnetic ground state. It was found that GaN doped by La was non-magnetic. GaN doped by Ce, Nd, Pm, Eu, Gd, Tb and Tm are stabilized at antiferromagnetic phase, while GaN doped by other RE elements show strong ferromagnetism which is suitable materials for spintronic devices. Moreover, we have identified that the observed large enhancement of magnetic moment in GaN is mainly caused by Ga vacancies (3.0μB per Ga vacancy), instead of the spin polarization by magnetic ions or originating from N vacancies. Various defects, such as substitutional Mg for Ga, O for N under the RE doping were found to bring a reduction of ferromagnetism. In addition, intermediate bands were observed in some systems of GaN:RE and GaN with intrinsic defects, which possibly opens the potential application of RE-doped semiconductors in the third generation high efficiency photovoltaic devices.

  3. Valence band offset of β-Ga2O3/wurtzite GaN heterostructure measured by X-ray photoelectron spectroscopy.

    Science.gov (United States)

    Wei, Wei; Qin, Zhixin; Fan, Shunfei; Li, Zhiwei; Shi, Kai; Zhu, Qinsheng; Zhang, Guoyi

    2012-10-10

    A sample of the β-Ga2O3/wurtzite GaN heterostructure has been grown by dry thermal oxidation of GaN on a sapphire substrate. X-ray diffraction measurements show that the β-Ga2O3 layer was formed epitaxially on GaN. The valence band offset of the β-Ga2O3/wurtzite GaN heterostructure is measured by X-ray photoelectron spectroscopy. It is demonstrated that the valence band of the β-Ga2O3/GaN structure is 1.40 ± 0.08 eV.

  4. Investigations on 40 MeV Li3+ ions irradiated GaN epilayers

    International Nuclear Information System (INIS)

    Suresh Kumar, V.; Kumar, J.; Kanjilal, D.; Asokan, K.; Mohanty, T.; Tripathi, A.; Rossi, Francisca; Zappettini, A.; Lazzarani, L.; Ferrari, C.

    2008-01-01

    The Metal Organic Chemical Vapour Deposition (MOCVD) grown n-type Gallium nitride (GaN) layers on sapphire (0 0 0 1) substrates have been irradiated at low and room temperatures with 40 MeV Li 3+ ions at the fluence of 1 x 10 13 ions cm -2 . Irradiated samples were characterised by using X-ray diffraction (XRD), photoluminescence (PL), Raman spectroscopy and atomic force microscopy (AFM). XRD results show that the formation of Ga 2 O 3 has been observed upon irradiation. This is due to interface mixing of GaN/Al 2 O 3 , at both temperatures. Also the GaN (0 0 0 2) peak splits into two at low temperature irradiation. PL measurements show a yellow emission band shift towards blue band side upon irradiation at 77 K. Raman studies indicate that the lattice disorder is high at room temperature irradiation compared to low temperature irradiation. AFM images indicate the increasing surface roughness after ion irradiation at room temperature when compared to pristine GaN and low temperature irradiated GaN. These observations are discussed in detail with the use of complementary techniques

  5. GaN nanorods and LED structures grown on patterned Si and AlN/Si substrates by selective area growth

    Energy Technology Data Exchange (ETDEWEB)

    Li, Shunfeng; Fuendling, Soenke; Soekmen, Uensal; Neumann, Richard; Merzsch, Stephan; Peiner, Erwin; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig (Germany); Hinze, Peter; Weimann, Thomas [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany); Jahn, Uwe; Trampert, Achim; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2010-07-15

    GaN nanorods (NRs) show promising applications in high-efficiency light emitting diodes, monolithic white light emission and optical interconnection due to their superior properties. In this work, we performed GaN nanostructures growth by pre-patterning the Si and AlN/Si substrates. The pattern was transferred to Si and AlN/Si substrates by photolithography and inductively-coupled plasma etching. GaN NRs were grown on these templates by metal-organic vapour phase epitaxy (MOVPE). GaN grown on Si pillar templates show a truncated pyramidal structure. Transmission electron microscopy measurements demonstrated clearly that the threading dislocations bend to the side facets of the GaN nanostructures and terminate. GaN growth can also be observed on the sidewalls and bottom surface between the Si pillars. A simple phenomenological model is proposed to explain the GaN nanostructure growth on Si pillar templates. Based on this model, we developed another growth method, by which we grow GaN rod structures on pre-patterned AlN/Si templates. By in-situ nitridation and decreasing of the V/III ratio, we found that GaN rods only grew on the patterned AlN/Si dots with an aspect ratio of about 1.5 - 2. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Development of a backscattering type ultraviolet apertureless near-field scanning optical microscope.

    Science.gov (United States)

    Kwon, Sangjin; Jeong, Hyun; Jeong, Mun Seok; Jeong, Sungho

    2011-08-01

    A backscattering type ultraviolet apertureless near-field scanning optical microscope (ANSOM) for the correlated measurement of topographical and optical characteristics of photonic materials with high optical resolution was developed. The near-field Rayleigh scattering image of GaN covered with periodic submicron Cr dots showed that optical resolution around 40 nm was achievable. By measuring the tip scattered photoluminescence of InGaN/GaN multi quantum wells, the applicability of the developed microscope for high resolution fluorescence measurement was also demonstrated.

  7. Photo-assisted Kelvin probe force microscopy investigation of three dimensional GaN structures with various crystal facets, doping types, and wavelengths of illumination

    Science.gov (United States)

    Ali Deeb, Manal; Ledig, Johannes; Wei, Jiandong; Wang, Xue; Wehmann, Hergo-Heinrich; Waag, Andreas

    2017-08-01

    Three dimensional GaN structures with different crystal facets and doping types have been investigated employing the surface photo-voltage (SPV) method to monitor illumination-induced surface charge behavior using Kelvin probe force microscopy. Various photon energies near and below the GaN bandgap were used to modify the generation of electron-hole pairs and their motion under the influence of the electric field near the GaN surface. Fast and slow processes for Ga-polar c-planes on both Si-doped n-type as well as Mg-doped p-type GaN truncated pyramid micro-structures were found and their origin is discussed. The immediate positive (for n-type) and negative (for p-type) SPV response dominates at band-to-band and near-bandgap excitation, while only the slow process is present at sub-bandgap excitation. The SPV behavior for the semi-polar facets of the p-type GaN truncated pyramids has a similar characteristic to that on its c-plane, which indicates that it has a comparable band bending and no strong influence of the polarity-induced charges is detectable. The SPV behavior of the non-polar m-facets of the Si-doped n-type part of a transferred GaN column is similar to that of a clean c-plane GaN surface during illumination. However, the SPV is smaller in magnitude, which is attributed to intrinsic surface states of m-plane surfaces and their influence on the band bending. The SPV behavior of the non-polar m-facet of the slightly Mg-doped part of this GaN column is found to behave differently. Compared to c- and r-facets of p-type surfaces of GaN-light-emitting diode micro-structures, the m-plane is more chemically stable.

  8. High quality self-separated GaN crystal grown on a novel nanoporous template by HVPE.

    Science.gov (United States)

    Huo, Qin; Shao, Yongliang; Wu, Yongzhong; Zhang, Baoguo; Hu, Haixiao; Hao, Xiaopeng

    2018-02-16

    In this study, a novel nanoporous template was obtained by a two-step etching process from MOCVD-GaN/Al 2 O 3 (MGA) with electrochemical etching sequentially followed by chemical wet etching. The twice-etched MOCVD-GaN/Al 2 O 3 (TEMGA) templates were utilized to grow GaN crystals by hydride vapor phase epitaxy (HVPE) method. The GaN crystals were separated spontaneously from the TEMGA template with the assistance of voids formed by the etched nanopores. Several techniques were utilized to characterize the quality of the free-standing GaN crystals obtained from the TEMGA template. Results showed that the quality of the as-obtained GaN crystals was improved obviously compared with those grown on the MGA. This convenient technique can be applied to grow high-quality free-standing GaN crystals.

  9. Botulinum toxin detection using AlGaN /GaN high electron mobility transistors

    Science.gov (United States)

    Wang, Yu-Lin; Chu, B. H.; Chen, K. H.; Chang, C. Y.; Lele, T. P.; Tseng, Y.; Pearton, S. J.; Ramage, J.; Hooten, D.; Dabiran, A.; Chow, P. P.; Ren, F.

    2008-12-01

    Antibody-functionalized, Au-gated AlGaN /GaN high electron mobility transistors (HEMTs) were used to detect botulinum toxin. The antibody was anchored to the gate area through immobilized thioglycolic acid. The AlGaN /GaN HEMT drain-source current showed a rapid response of less than 5s when the target toxin in a buffer was added to the antibody-immobilized surface. We could detect a range of concentrations from 1to10ng/ml. These results clearly demonstrate the promise of field-deployable electronic biological sensors based on AlGaN /GaN HEMTs for botulinum toxin detection.

  10. Electron transport properties of degenerate n-type GaN prepared by pulsed sputtering

    Science.gov (United States)

    Ueno, Kohei; Fudetani, Taiga; Arakawa, Yasuaki; Kobayashi, Atsushi; Ohta, Jitsuo; Fujioka, Hiroshi

    2017-12-01

    We report a systematic investigation of the transport properties of highly degenerate electrons in Ge-doped and Si-doped GaN epilayers prepared using the pulsed sputtering deposition (PSD) technique. Secondary-ion mass spectrometry and Hall-effect measurements revealed that the doping efficiency of PSD n-type GaN is close to unity at electron concentrations as high as 5.1 × 1020 cm-3. A record low resistivity for n-type GaN of 0.16 mΩ cm was achieved with an electron mobility of 100 cm2 V-1 s-1 at a carrier concentration of 3.9 × 1020 cm-3. We explain this unusually high electron mobility of PSD n-type GaN within the framework of conventional scattering theory by modifying a parameter related to nonparabolicity of the conduction band. The Ge-doped GaN films show a slightly lower electron mobility compared with Si-doped films with the same carrier concentrations, which is likely a consequence of the formation of a small number of compensation centers. The excellent electrical properties presented in this letter clearly demonstrate the striking advantages of the low-temperature PSD technique for growing high-quality and highly conductive n-type GaN.

  11. Electron transport properties of degenerate n-type GaN prepared by pulsed sputtering

    Directory of Open Access Journals (Sweden)

    Kohei Ueno

    2017-12-01

    Full Text Available We report a systematic investigation of the transport properties of highly degenerate electrons in Ge-doped and Si-doped GaN epilayers prepared using the pulsed sputtering deposition (PSD technique. Secondary-ion mass spectrometry and Hall-effect measurements revealed that the doping efficiency of PSD n-type GaN is close to unity at electron concentrations as high as 5.1 × 1020 cm−3. A record low resistivity for n-type GaN of 0.16 mΩ cm was achieved with an electron mobility of 100 cm2 V−1 s−1 at a carrier concentration of 3.9 × 1020 cm−3. We explain this unusually high electron mobility of PSD n-type GaN within the framework of conventional scattering theory by modifying a parameter related to nonparabolicity of the conduction band. The Ge-doped GaN films show a slightly lower electron mobility compared with Si-doped films with the same carrier concentrations, which is likely a consequence of the formation of a small number of compensation centers. The excellent electrical properties presented in this letter clearly demonstrate the striking advantages of the low-temperature PSD technique for growing high-quality and highly conductive n-type GaN.

  12. Increased p-type conductivity through use of an indium surfactant in the growth of Mg-doped GaN

    Energy Technology Data Exchange (ETDEWEB)

    Kyle, Erin C. H., E-mail: erinkyle@engineering.ucsb.edu; Kaun, Stephen W.; Young, Erin C.; Speck, James S. [Materials Department, University of California, Santa Barbara, California 93106 (United States)

    2015-06-01

    We have examined the effect of an indium surfactant on the growth of p-type GaN by ammonia-based molecular beam epitaxy. p-type GaN was grown at temperatures ranging from 700 to 780 °C with and without an indium surfactant. The Mg concentration in all films in this study was 4.5–6 × 10{sup 19} cm{sup −3} as measured by secondary ion mass spectroscopy. All p-type GaN films grown with an indium surfactant had higher p-type conductivities and higher hole concentrations than similar films grown without an indium surfactant. The lowest p-type GaN room temperature resistivity was 0.59 Ω-cm, and the highest room temperature carrier concentration was 1.6 × 10{sup 18} cm{sup −3}. Fits of the temperature-dependent carrier concentration data showed a one to two order of magnitude lower unintentional compensating defect concentration in samples grown with the indium surfactant. Samples grown at higher temperature had a lower active acceptor concentration. Improvements in band-edge luminescence were seen by cathodoluminescence for samples grown with the indium surfactant, confirming the trends seen in the Hall data.

  13. Zn Electrodeposition on Single-Crystal GaN(0001 Surface: Nucleation and Growth Mechanism

    Directory of Open Access Journals (Sweden)

    Fei Peng

    2016-01-01

    Full Text Available The electrochemical deposition of zinc on single-crystal n-type GaN(0001 from a sulphate solution has been investigated on the basis of electrochemical techniques including cyclic voltammetry, chronoamperometry, and Tafel plot. The morphology and crystal structure of zinc deposits have been characterized by means of scanning electron microscopy, X-ray diffraction, and energy-dispersive X-ray analysis. The result has revealed that the deposition of Zn on GaN electrode commenced at a potential of −1.12 V versus Ag/AgCl. According to the Tafel plot, an exchange current density of ~0.132 mA cm−2 was calculated. In addition, the current transient measurements have shown that Zn deposition process followed the instantaneous nucleation in 10 mM ZnSO4 + 0.5 M Na2SO4 + 0.5 M H3BO3 (pH = 4.

  14. Ga induced superstructures as templates for lattice matched hetroepitaxial growth of GaN on Si(111) substrate

    International Nuclear Information System (INIS)

    Kumar, Praveen; Kuyyalil, Jithesh; Shivaprasad, S. M.

    2010-01-01

    High quality GaN is grown by plasma assisted molecular beam epitaxy on Ga induced superstructural phases of Si(111)7x7. Three stable surface phases induced by Ga adsorption, viz., (1x1), (6.3x6.3), and (√3x√3)R30 deg., are employed as templates to grow epitaxial (0001) GaN thin films. GaN grown on Si(√3x√3)R30 deg. -Ga is found to be highly crystalline with intense (0002) x-ray diffraction and photoluminescence peaks with low full width at half maximum, low surface roughness, and stoichiometric surface composition. The high quality of these GaN films formed at a low temperature of 400 deg. C is explained by the integral (x2) lattice matching between the unit cell of GaN and the (√3x√3) phase. The experiments demonstrate a plausible approach of adsorbate induced surface modifications as templates for III-V hetroepitaxy on Si surfaces.

  15. Investigation on thermodynamics of ion-slicing of GaN and heterogeneously integrating high-quality GaN films on CMOS compatible Si(100) substrates.

    Science.gov (United States)

    Huang, Kai; Jia, Qi; You, Tiangui; Zhang, Runchun; Lin, Jiajie; Zhang, Shibin; Zhou, Min; Zhang, Bo; Yu, Wenjie; Ou, Xin; Wang, Xi

    2017-11-08

    Die-to-wafer heterogeneous integration of single-crystalline GaN film with CMOS compatible Si(100) substrate using the ion-cutting technique has been demonstrated. The thermodynamics of GaN surface blistering is in-situ investigated via a thermal-stage optical microscopy, which indicates that the large activation energy (2.5 eV) and low H ions utilization ratio (~6%) might result in the extremely high H fluence required for the ion-slicing of GaN. The crystalline quality, surface topography and the microstructure of the GaN films are characterized in detail. The full width at half maximum (FWHM) for GaN (002) X-ray rocking curves is as low as 163 arcsec, corresponding to a density of threading dislocation of 5 × 10 7  cm -2 . Different evolution of the implantation-induced damage was observed and a relationship between the damage evolution and implantation-induced damage is demonstrated. This work would be beneficial to understand the mechanism of ion-slicing of GaN and to provide a platform for the hybrid integration of GaN devices with standard Si CMOS process.

  16. Energetics of Mg incorporation at GaN(0001) and GaN(0001¯) surfaces

    Science.gov (United States)

    Sun, Qiang; Selloni, Annabella; Myers, T. H.; Doolittle, W. Alan

    2006-04-01

    By using density functional calculations in the generalized gradient approximation, we investigate the energetics of Mg adsorption and incorporation at GaN(0001) and GaN(0001¯) surfaces under various Ga and Mg coverage conditions as well as in presence of light or electron beam-induced electronic excitation. We find significant differences in Mg incorporation between Ga- and N-polar surfaces. Mg incorporation is easier at the Ga-polar surface, but high Mg coverages are found to cause important distortions which locally change the polarity from Ga to N polar. At the N-rich and moderately Ga-rich GaN(0001) surface, 0.25 ML of Mg substituting Ga in the top bilayer strongly reduce the surface diffusion barriers of Ga and N adatoms, in agreement with the surfactant effect observed in experiments. As the Mg coverage exceeds 0.5 ML, partial incorporation in the subsurface region (second bilayer) becomes favorable. A surface structure with 0.5 ML of incorporated Mg in the top bilayer and 0.25 ML in the second bilayer is found to be stable over a wide range of Ga chemical potential. At the Ga bilayer-terminated GaN(0001) surface, corresponding to Ga-rich conditions, configurations where Mg is incorporated in the interface region between the metallic Ga bilayer and the underlying GaN bilayer appear to be favored. At the N-polar surface, Mg is not incorporated under N-rich or moderately Ga-rich conditions, whereas incorporation in the adlayer may take place under Ga-rich conditions. In the presence of light or electron beam induced excitation, energy differences between Mg incorporated at the surface and in deeper layers are reduced so that the tendency toward surface segregation is also reduced.

  17. First-principles and thermodynamic analysis of trimethylgallium (TMG) decomposition during MOVPE growth of GaN

    Science.gov (United States)

    Sekiguchi, K.; Shirakawa, H.; Yamamoto, Y.; Araidai, M.; Kangawa, Y.; Kakimoto, K.; Shiraishi, K.

    2017-06-01

    We analyzed the decomposition mechanisms of trimethylgallium (TMG) used for the gallium source of GaN fabrication based on first-principles calculations and thermodynamic analysis. We considered two conditions. One condition is under the total pressure of 1 atm and the other one is under metal organic vapor phase epitaxy (MOVPE) growth of GaN. Our calculated results show that H2 is indispensable for TMG decomposition under both conditions. In GaN MOVPE, TMG with H2 spontaneously decomposes into Ga(CH3) and Ga(CH3) decomposes into Ga atom gas when temperature is higher than 440 K. From these calculations, we confirmed that TMG surely becomes Ga atom gas near the GaN substrate surfaces.

  18. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F. [University of Tsukuba, Institute of Applied Physics, Tsukuba, Ibaraki 305-8573 (Japan)

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerance of GaAs and that Ti can protected GaAs from erosion by NH{sub 3}. By depositing Ti on GaAs(111)A surface, a mirror-like GaN layer could be grown at 1000 C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    Science.gov (United States)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  20. Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Yen-Liang [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Lo, Ikai, E-mail: ikailo@mail.phys.nsysu.edu.tw [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Tsai, Jenn-Kai [Department of Electronics Engineering, National Formosa University, Hu-Wei, Yun-Lin County 63208, Taiwan, ROC (China); Schuber, Ralf; Schaadt, Daniel [Institute of Applied Physics/DFG-Center for Functional Nanostructures (CFN), Karlsruhe Institute of Technology (KIT), Karlsruhe (Germany)

    2012-07-31

    We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69 Double-Prime to 59.43 Double-Prime for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 Multiplication-Sign 5 {mu}m{sup 2} with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: Black-Right-Pointing-Pointer The samples were grown by plasma-assisted molecular beam epitaxy. Black-Right-Pointing-Pointer The GaN epilayer was grown on sapphire substrate. Black-Right-Pointing-Pointer The samples were characterized by X-ray diffraction and atomic force microscopy. Black-Right-Pointing-Pointer The sample quality was improved by gradient buffer layer.

  1. GaN transistors for efficient power conversion

    CERN Document Server

    Lidow, Alex; de Rooij, Michael; Reusch, David

    2014-01-01

    The first edition of GaN Transistors for Efficient Power Conversion was self-published by EPC in 2012, and is currently the only other book to discuss GaN transistor technology and specific applications for the technology. More than 1,200 copies of the first edition have been sold through Amazon or distributed to selected university professors, students and potential customers, and a simplified Chinese translation is also available. The second edition has expanded emphasis on applications for GaN transistors and design considerations. This textbook provides technical and application-focused i

  2. Growth of β-Ga2O3 and GaN nanowires on GaN for photoelectrochemical hydrogen generation

    International Nuclear Information System (INIS)

    Hwang, Jih-Shang; Liu, Tai-Yan; Chen, Han-Wei; Chattopadhyay, Surjit; Hsu, Geng-Ming; Basilio, Antonio M; Hsu, Yu-Kuei; Tu, Wen-Hsun; Lin, Yan-Gu; Chen, Kuei-Hsien; Li, Chien-Cheng; Wang, Sheng-Bo; Chen, Hsin-Yi; Chen, Li-Chyong

    2013-01-01

    Enhanced photoelectrochemical (PEC) performances of Ga 2 O 3 and GaN nanowires (NWs) grown in situ from GaN were demonstrated. The PEC conversion efficiencies of Ga 2 O 3 and GaN NWs have been shown to be 0.906% and 1.09% respectively, in contrast to their 0.581% GaN thin film counterpart under similar experimental conditions. A low crystallinity buffer layer between the grown NWs and the substrate was found to be detrimental to the PEC performance, but the layer can be avoided at suitable growth conditions. A band bending at the surface of the GaN NWs generates an electric field that drives the photogenerated electrons and holes away from each other, preventing recombination, and was found to be responsible for the enhanced PEC performance. The enhanced PEC efficiency of the Ga 2 O 3 NWs is aided by the optical absorption through a defect band centered 3.3 eV above the valence band of Ga 2 O 3 . These findings are believed to have opened up possibilities for enabling visible absorption, either by tailoring ion doping into wide bandgap Ga 2 O 3 NWs, or by incorporation of indium to form InGaN NWs. (paper)

  3. Growth of β-Ga2O3 and GaN nanowires on GaN for photoelectrochemical hydrogen generation.

    Science.gov (United States)

    Hwang, Jih-Shang; Liu, Tai-Yan; Chattopadhyay, Surjit; Hsu, Geng-Ming; Basilio, Antonio M; Chen, Han-Wei; Hsu, Yu-Kuei; Tu, Wen-Hsun; Lin, Yan-Gu; Chen, Kuei-Hsien; Li, Chien-Cheng; Wang, Sheng-Bo; Chen, Hsin-Yi; Chen, Li-Chyong

    2013-02-08

    Enhanced photoelectrochemical (PEC) performances of Ga(2)O(3) and GaN nanowires (NWs) grown in situ from GaN were demonstrated. The PEC conversion efficiencies of Ga(2)O(3) and GaN NWs have been shown to be 0.906% and 1.09% respectively, in contrast to their 0.581% GaN thin film counterpart under similar experimental conditions. A low crystallinity buffer layer between the grown NWs and the substrate was found to be detrimental to the PEC performance, but the layer can be avoided at suitable growth conditions. A band bending at the surface of the GaN NWs generates an electric field that drives the photogenerated electrons and holes away from each other, preventing recombination, and was found to be responsible for the enhanced PEC performance. The enhanced PEC efficiency of the Ga(2)O(3) NWs is aided by the optical absorption through a defect band centered 3.3 eV above the valence band of Ga(2)O(3). These findings are believed to have opened up possibilities for enabling visible absorption, either by tailoring ion doping into wide bandgap Ga(2)O(3) NWs, or by incorporation of indium to form InGaN NWs.

  4. Epitaxial condition and polarity in GaN grown on a HfN-buffered Si(111) wafer

    Science.gov (United States)

    Xu, X.; Armitage, R.; Shinkai, Satoko; Sasaki, Katsutaka; Kisielowski, C.; Weber, E. R.

    2005-05-01

    Single-crystal GaN thin films have been deposited epitaxially on a HfN-buffered Si(111) substrates by molecular-beam epitaxy. The microstructural and compositional characteristics of the films were studied in detail by transmission electron microscopy (TEMs). Cross-sectional TEM investigations have revealed the crystallographic orientation relationship in different GaN /HfN/Si layers. GaN film polarity is studied by conventional TEM and convergent beam electron diffraction simulations, and the results show that the GaN film has a Ga polarity with relatively high density of inversion domains. Based on our observations, growth mechanisms related to the structural properties are discussed.

  5. Electron beam irradiation effect on GaN HEMT

    International Nuclear Information System (INIS)

    Lou Yinhong; Guo Hongxia; Zhang Keying; Wang Yuanming; Zhang Fengqi

    2011-01-01

    In this work, GaN HEMTs (High Electron Mobility Transistor) were irradiated by 0.8 and 1.2 MeV electron beams, and the irradiation effects were investigated. The results show that the device damage caused by 0.8 MeV electrons is more serious than that by 1.2 MeV electrons. Saturation drain current increase and threshold voltage negative shift are due to trapped positive charge from ionization in the AlGaN layer and N, Ga vacancy from non-ionizing energy loss in the GaN layer. Electron traps and trapped positive charges from non-ionizing in the AlGaN layer act as trap-assisted-tunneling centers that increase the gate leakage current.(authors)

  6. Determination of the nitrogen vacancy as a shallow compensating center in GaN doped with divalent metals.

    Science.gov (United States)

    Buckeridge, J; Catlow, C R A; Scanlon, D O; Keal, T W; Sherwood, P; Miskufova, M; Walsh, A; Woodley, S M; Sokol, A A

    2015-01-09

    We report accurate energetics of defects introduced in GaN on doping with divalent metals, focusing on the technologically important case of Mg doping, using a model that takes into consideration both the effect of hole localization and dipolar polarization of the host material, and includes a well-defined reference level. Defect formation and ionization energies show that divalent dopants are counterbalanced in GaN by nitrogen vacancies and not by holes, which explains both the difficulty in achieving p-type conductivity in GaN and the associated major spectroscopic features, including the ubiquitous 3.46 eV photoluminescence line, a characteristic of all lightly divalent-metal-doped GaN materials that has also been shown to occur in pure GaN samples. Our results give a comprehensive explanation for the observed behavior of GaN doped with low concentrations of divalent metals in good agreement with relevant experiment.

  7. Determination of the Nitrogen Vacancy as a Shallow Compensating Center in GaN Doped with Divalent Metals

    Science.gov (United States)

    Buckeridge, J.; Catlow, C. R. A.; Scanlon, D. O.; Keal, T. W.; Sherwood, P.; Miskufova, M.; Walsh, A.; Woodley, S. M.; Sokol, A. A.

    2015-01-01

    We report accurate energetics of defects introduced in GaN on doping with divalent metals, focusing on the technologically important case of Mg doping, using a model that takes into consideration both the effect of hole localization and dipolar polarization of the host material, and includes a well-defined reference level. Defect formation and ionization energies show that divalent dopants are counterbalanced in GaN by nitrogen vacancies and not by holes, which explains both the difficulty in achieving p -type conductivity in GaN and the associated major spectroscopic features, including the ubiquitous 3.46 eV photoluminescence line, a characteristic of all lightly divalent-metal-doped GaN materials that has also been shown to occur in pure GaN samples. Our results give a comprehensive explanation for the observed behavior of GaN doped with low concentrations of divalent metals in good agreement with relevant experiment.

  8. Beryllium doped p-type GaN grown by metal-organic chemical vapor depostion

    International Nuclear Information System (INIS)

    Al-Tahtamouni, T.M.; Sedhain, A.; Lin, J.Y.; Jiang, H.X.

    2010-01-01

    The authors report on the growth of Be-doped p-type GaN epilayers by metal-organic chmical vapor deposition (MOCVD). The electrical and optical properties of the Be-doped GaN epilayers were studied by Hall-effect measurements and photoluminescence (PL) spectroscopy. The PL spectra of Be-doped GaN epilayers ethibited two emission lines at 3.36 and 2.71 eV, which were obsent in undoped epilayers. The transition at 3.36 eV was at 3.36 and 2.71eV, which were absent in undoped epilayers. The transition at 3.36 eV was assigned to the transition of free electrons to the neutral Be acceptor Be d eg.. The transition at 2.71 eV was assigned to the transition of electrons bound to deep level donors to the Be d eg. acceptors. Three independent measurements: (a) resistivity vs. temperature, (b) PL peak positions between Be doped and undoped GaN and (c) activation energy of 2.71 eV transition all indicate that the Be energy level is between 120 and 140 meV above the valence band. This is about 20-40 meV shallower than the Mg energy level (160 meV) in GaN. It is thus concluded that Be could be an excellent acceptor dopant in nitride materials. (authors).

  9. Benefits and Drawbacks of A High Frequency Gan Zvzcps Converter

    Directory of Open Access Journals (Sweden)

    Blanes J. M.

    2017-01-01

    Full Text Available This paper presents the benefits and drawbacks of replacing the traditional Si Mosfets transistors with enhancement mode GaN transistors in a Half-Bridge Zero Voltage and Zero Current Switching Power Switching (ZVZCPS converter. This type of converters is usually used as Electronic Power Converters (EPC for telecommunication satellites travelling-wave tube amplifiers (TWTAs. In this study, firstly the converter is theoretically analysed, obtaining its operation, losses and efficiency equations. From these equations, optimizations maps based on the main system parameters are obtained. These optimization maps are the key to quantify the potential benefits of GaN transistors in this type of converters. Theoretical results show that using GaN transistors, the frequency of the converter can be pushed from 125kHz to 830kHz without sacrificing the converter efficiency. This frequency increase is directly related to reduction on the EPC size and weight.

  10. Electronic structure and optical properties of Al and Mg co-doped GaN

    International Nuclear Information System (INIS)

    Ji Yan-Jun; Du Yu-Jie; Wang Mei-Shan

    2013-01-01

    The electronic structure and optical properties of Al and Mg co-doped GaN are calculated from first principles using density function theory with the plane-wave ultrasoft pseudopotential method. The results show that the optimal form of p-type GaN is obtained with an appropriate Al:Mg co-doping ratio rather than with only Mg doping. Al doping weakens the interaction between Ga and N, resulting in the Ga 4s states moving to a high energy region and the system band gap widening. The optical properties of the co-doped system are calculated and compared with those of undoped GaN. The dielectric function of the co-doped system is anisotropic in the low energy region. The static refractive index and reflectivity increase, and absorption coefficient decreases. This provides the theoretical foundation for the design and application of Al—Mg co-doped GaN photoelectric materials

  11. StackGAN++: Realistic Image Synthesis with Stacked Generative Adversarial Networks

    OpenAIRE

    Zhang, Han; Xu, Tao; Li, Hongsheng; Zhang, Shaoting; Wang, Xiaogang; Huang, Xiaolei; Metaxas, Dimitris

    2017-01-01

    Although Generative Adversarial Networks (GANs) have shown remarkable success in various tasks, they still face challenges in generating high quality images. In this paper, we propose Stacked Generative Adversarial Networks (StackGAN) aiming at generating high-resolution photo-realistic images. First, we propose a two-stage generative adversarial network architecture, StackGAN-v1, for text-to-image synthesis. The Stage-I GAN sketches the primitive shape and colors of the object based on given...

  12. Low loss GaN waveguides at the visible spectral wavelengths for integrated photonics applications.

    Science.gov (United States)

    Chen, Hong; Fu, Houqiang; Huang, Xuanqi; Zhang, Xiaodong; Yang, Tsung-Han; Montes, Jossue A; Baranowski, Izak; Zhao, Yuji

    2017-12-11

    We perform comprehensive studies on the fundamental loss mechanisms in III-nitride waveguides in the visible spectral region. Theoretical analysis shows that free carrier loss dominates for GaN under low photon power injection. When optical power increases, the two photon absorption loss becomes important and eventually dominates when photon energy above half-bandgap of GaN. When the dimensions of the waveguides reduce, the sidewall scattering loss will start to dominate. To verify the theoretical results, a high performance GaN-on-sapphire waveguide was fabricated and characterized. Experimental results are consistent with the theoretical findings, showing that under high power injection the optical loss changed significantly for GaN waveguides. A low optical loss ~2 dB/cm was achieved on the GaN waveguide, which is the lowest value ever reported for the visible spectral range. The results and fabrication processes developed in this work pave the way for the development of III-nitride integrated photonics in the visible and potentially ultraviolet spectral range for nonlinear optics and quantum photonics applications.

  13. Integration and electrical properties of epitaxial LiNbO{sub 3} ferroelectric film on n-type GaN semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Hao Lanzhong, E-mail: hao_lanzhong@live.cn [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Faculty of Science, China University of Petroleum, Tsingtao, Shandong 266555 (China); Zhu Jun, E-mail: junzhu@uestc.edu.cn [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Liu Yunjie [Faculty of Science, China University of Petroleum, Tsingtao, Shandong 266555 (China); Wang Shuili; Zeng Huizhong; Liao Xiuwei; Liu Yingying; Lei Huawei; Zhang Ying; Zhang Wanli; Li Yanrong [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China)

    2012-01-31

    LiNbO{sub 3} (LNO) films were epitaxially grown on n-type GaN templates using pulsed laser deposition technique. The microstructures and electrical properties of the LNO/GaN heterostructure were characterized by x-ray diffraction, transmission electron microscope, and capacitance-voltage (C-V) measurements. The LNO films had two variants of grains rotated 60 Degree-Sign in-plane to each other. The epitaxial relationship of the respective variants could be built as [10-10]LNO//[1-210]GaN and [1-100]LNO//[11-20]GaN via 30 Degree-Sign in-plane rotation of the LNO film relative to the GaN layer. Interface analysis of the heterostructure demonstrated that two different epitaxial growth mechanisms vertical heteroepitaxy and lateral homoepitaxy, should happen at the interface of LNO/GaN. Counterclockwise C-V windows induced by the ferroelectric polarizations of LNO film could be observed clearly. The size of the window increased with increasing the sweep bias and a large window of 5.8 V was achieved at {+-} 15 V. By solving Poisson and drift-diffusion equations, the physical mechanisms of the C-V characteristics were demonstrated.

  14. Ab initio investigations of the strontium gallium nitride ternaries Sr 3GaN3 and Sr6GaN5: Promising materials for optoelectronic

    KAUST Repository

    Goumri-Said, Souraya

    2013-05-31

    Sr3GaN3 and Sr6GaN5 could be promising potential materials for applications in the microelectronics, optoelectronics and coating materials areas of research. We studied in detail their structural, elastic, electronic, optical as well as the vibrational properties, by means of density functional theory framework. Both of these ternaries are semiconductors, where Sr3GaN3 exhibits a small indirect gap whereas Sr6GaN5 has a large direct gap. Indeed, their optical properties are reported for radiation up to 40 eV. Charge densities contours, Hirshfeld and Mulliken populations, are reported to investigate the role of each element in the bonding. From the mechanical properties calculation, it is found that Sr6GaN5 is harder than Sr3GaN3, and the latter is more anisotropic than the former. The phonon dispersion relation, density of phonon states and the vibrational stability are reported from the density functional perturbation theory calculations. © 2013 IOP Publishing Ltd.

  15. Role of the ganSPQAB Operon in Degradation of Galactan by Bacillus subtilis.

    Science.gov (United States)

    Watzlawick, Hildegard; Morabbi Heravi, Kambiz; Altenbuchner, Josef

    2016-10-15

    Bacillus subtilis possesses different enzymes for the utilization of plant cell wall polysaccharides. This includes a gene cluster containing galactan degradation genes (ganA and ganB), two transporter component genes (ganQ and ganP), and the sugar-binding lipoprotein-encoding gene ganS (previously known as cycB). These genes form an operon that is regulated by GanR. The degradation of galactan by B. subtilis begins with the activity of extracellular GanB. GanB is an endo-β-1,4-galactanase and is a member of glycoside hydrolase (GH) family 53. This enzyme was active on high-molecular-weight arabinose-free galactan and mainly produced galactotetraose as well as galactotriose and galactobiose. These galacto-oligosaccharides may enter the cell via the GanQP transmembrane proteins of the galactan ABC transporter. The specificity of the galactan ABC transporter depends on the sugar-binding lipoprotein, GanS. Purified GanS was shown to bind galactotetraose and galactotriose using thermal shift assay. The energy for this transport is provided by MsmX, an ATP-binding protein. The transported galacto-oligosaccharides are further degraded by GanA. GanA is a β-galactosidase that belongs to GH family 42. The GanA enzyme was able to hydrolyze short-chain β-1,4-galacto-oligosaccharides as well as synthetic β-galactopyranosides into galactose. Thermal shift assay as well as electrophoretic mobility shift assay demonstrated that galactobiose is the inducer of the galactan operon regulated by GanR. DNase I footprinting revealed that the GanR protein binds to an operator overlapping the -35 box of the σ(A)-type promoter of Pgan, which is located upstream of ganS IMPORTANCE: Bacillus subtilis is a Gram-positive soil bacterium that utilizes different types of carbohydrates, such as pectin, as carbon sources. So far, most of the pectin degradation systems and enzymes have been thoroughly studied in B. subtilis Nevertheless, the B. subtilis utilization system of galactan, which is

  16. Doping process of p-type GaN nanowires: A first principle study

    Science.gov (United States)

    Xia, Sihao; Liu, Lei; Diao, Yu; Feng, Shu

    2017-10-01

    The process of p-type doping for GaN nanowires is investigated using calculations starting from first principles. The influence of different doping elements, sites, types, and concentrations is discussed. Results suggest that Mg is an optimal dopant when compared to Be and Zn due to its stronger stability, whereas Be atoms are more inclined to exist in the interspace of a nanowire. Interstitially-doped GaN nanowires show notable n-type conductivity, and thus, Be is not a suitable dopant, which is to be expected since systems with inner substitutional dopants are more favorable than those with surface substitutions. Both interstitial and substitutional doping affect the atomic structure near dopants and induce charge transfer between the dopants and adjacent atoms. By altering doping sites and concentrations, nanowire atomic structures remain nearly constant. Substitutional doping models show p-type conductivity, and Mg-doped nanowires with doping concentrations of 4% showing the strongest p-type conductivity. All doping configurations are direct bandgap semiconductors. This study is expected to direct the preparation of high-quality GaN nanowires.

  17. Ti/Al Ohmic Contacts to n-Type GaN Nanowires

    Directory of Open Access Journals (Sweden)

    Gangfeng Ye

    2011-01-01

    Full Text Available Titanium/aluminum ohmic contacts to tapered n-type GaN nanowires with triangular cross-sections were studied. To extract the specific contact resistance, the commonly used transmission line model was adapted to the particular nanowire geometry. The most Al-rich composition of the contact provided a low specific contact resistance (mid 10−8 Ωcm2 upon annealing at 600 °C for 15 s, but it exhibited poor thermal stability due to oxidation of excess elemental Al remaining after annealing, as revealed by transmission electron microscopy. On the other hand, less Al-rich contacts required higher annealing temperatures (850 or 900 °C to reach a minimum specific contact resistance but exhibited better thermal stability. A spread in the specific contact resistance from contact to contact was tentatively attributed to the different facets that were contacted on the GaN nanowires with a triangular cross-section.

  18. Infrared Reflectance Analysis of Epitaxial n-Type Doped GaN Layers Grown on Sapphire.

    Science.gov (United States)

    Tsykaniuk, Bogdan I; Nikolenko, Andrii S; Strelchuk, Viktor V; Naseka, Viktor M; Mazur, Yuriy I; Ware, Morgan E; DeCuir, Eric A; Sadovyi, Bogdan; Weyher, Jan L; Jakiela, Rafal; Salamo, Gregory J; Belyaev, Alexander E

    2017-12-01

    Infrared (IR) reflectance spectroscopy is applied to study Si-doped multilayer n + /n 0 /n + -GaN structure grown on GaN buffer with GaN-template/sapphire substrate. Analysis of the investigated structure by photo-etching, SEM, and SIMS methods showed the existence of the additional layer with the drastic difference in Si and O doping levels and located between the epitaxial GaN buffer and template. Simulation of the experimental reflectivity spectra was performed in a wide frequency range. It is shown that the modeling of IR reflectance spectrum using 2 × 2 transfer matrix method and including into analysis the additional layer make it possible to obtain the best fitting of the experimental spectrum, which follows in the evaluation of GaN layer thicknesses which are in good agreement with the SEM and SIMS data. Spectral dependence of plasmon-LO-phonon coupled modes for each GaN layer is obtained from the spectral dependence of dielectric of Si doping impurity, which is attributed to compensation effects by the acceptor states.

  19. Lattice-Symmetry-Driven Epitaxy of Hierarchical GaN Nanotripods

    KAUST Repository

    Wang, Ping

    2017-01-18

    Lattice-symmetry-driven epitaxy of hierarchical GaN nanotripods is demonstrated. The nanotripods emerge on the top of hexagonal GaN nanowires, which are selectively grown on pillar-patterned GaN templates using molecular beam epitaxy. High-resolution transmission electron microscopy confirms that two kinds of lattice-symmetry, wurtzite (wz) and zinc-blende (zb), coexist in the GaN nanotripods. Periodical transformation between wz and zb drives the epitaxy of the hierarchical nanotripods with N-polarity. The zb-GaN is formed by the poor diffusion of adatoms, and it can be suppressed by improving the ability of the Ga adatoms to migrate as the growth temperature increased. This controllable epitaxy of hierarchical GaN nanotripods allows quantum dots to be located at the phase junctions of the nanotripods and nanowires, suggesting a new recipe for multichannel quantum devices.

  20. W and WSix Ohmic contacts on p- and n-type GaN

    International Nuclear Information System (INIS)

    Cao, X.A.; Ren, F.; Pearton, S.J.; Zeitouny, A.; Eizenberg, M.; Zolper, J.C.; Abernathy, C.R.; Han, J.; Shul, R.J.; Lothian, J.R.

    1999-01-01

    W and WSi Ohmic contacts on both p- and n-type GaN have been annealed at temperatures from 300 to 1000 degree C. There is minimal reaction (≤100 Angstrom broadening of the metal/GaN interface) even at 1000 degree C. Specific contact resistances in the 10 -5 Ω cm 2 range are obtained for WSi x on Si-implanted GaN with a peak doping concentration of ∼5x10 20 cm -3 , after annealing at 950 degree C. On p-GaN, leaky Schottky diode behavior is observed for W, WSi x and Ni/Au contacts at room temperature, but true Ohmic characteristics are obtained at 250 - 300 degree C, where the specific contact resistances are, typically, in the 10 -2 Ω cm 2 range. The best contacts for W and WSi x are obtained after 700 degree C annealing for periods of 30 - 120 s. The formation of β-W 2 N interfacial phases appear to be important in determining the contact quality. copyright 1999 American Vacuum Society

  1. Nondestructive evaluation of adhesive joints by C-scan ultrasonic testing

    International Nuclear Information System (INIS)

    Zeighami, Mehdi; Honarvar, Farhang

    2009-01-01

    Evaluation of the quality of adhesive bonding is an important issue in many industries who incorporate adhesive joints in their products. Over the past few decades, numerous acoustical techniques have been developed for nondestructive testing (NDT) of adhesively bonded joints. Among these techniques, the ultrasonic pulse-echo method is the most promising means for inspection of adhesive bonds. In practice, due to low impedance matching between adhesive and metal, the discrimination of a good bond from a bad bond is difficult. The low impedance matching also results in low contrast between perfect and disbanded zone in a C-scan image. In this paper, the quality of the interface between aluminum and epoxy is investigated by using an in-house built ultrasonic C-scan system. Two adhesion indices are proposed for producing C-scan images. To verify the capability of these indices, an adhesively bonded sample was fabricated using aluminum plates and epoxy. An artificial defect was implanted in the first interface of the specimens. The C-scan measurement prepared based on the proposed indices was able to reveal the defect much better than the C-scan image prepared by conventional approach. (author)

  2. Polycrystalline GaN layer recrystallization by metal-induced method during the baking process

    Energy Technology Data Exchange (ETDEWEB)

    Jagoda, A.; Stanczyk, B.; Dobrzanski, L.; Diduszko, R. [Institute of Electronic Materials Technology, Wolczynska 133, 01-919 Warsaw 118 (Poland)

    2007-04-15

    Radio frequency reactive sputtering was used to produce gallium nitride films on thermally oxidized silicon substrates at room temperature. Metallic Ga (purity 6N) was used as the target, N{sub 2} and Ar were utilized as sputtering gases. Amorphous GaN was obtained by metal-induced crystallization with a Ni assistance. The nickel particles were scattered onto the surface by rf sputtering and their density was 2 x 10{sup 14} atoms/cm{sup 2} or 4 x 10{sup 14} atoms/cm{sup 2}, which corresponds to 0.02 nm and 0.04 nm thick layer. These values are less than a monolayer thickness, so they are not continuous. Samples were annealed at 700 C for 3 h and at 900 C for 5 min in a RTP furnace. The 2.5 {mu}m GaN layers grown on the Ni-coated SiO{sub 2} surface recrystallized during annealing forming crystals of (002) orientation. The catalytic regrowth mechanism of GaN is discussed on the basis of experimental results. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Physics, MOVPE growth and investigation of m-plane GaN films and InGaN/GaN quantum wells on {gamma}-LiAlO{sub 2} substrates

    Energy Technology Data Exchange (ETDEWEB)

    Mauder, Christof

    2011-12-20

    The growth of InGaN/GaN quantum well structures along a nonpolar orientation avoids the negative effects of the so-called ''Quantum Confined Stark Effect'' and is therefore considered as promising approach to improve wavelength stability and efficiency of future optoelectronic devices. This work describes physical principles and experimental results on metal-organic vapor phase epitaxy and characterization of GaN layers and InGaN/GaN quantum well structures, which grow along the nonpolar (1-100) m-plane on (100) lithium aluminum oxide (LiAlO{sub 2}) substrates. The limited thermal and chemical stability of the LiAlO{sub 2} substrate can be improved by a nitridation step, which causes the formation of a thin (1-100) AlN layer on the surface of the LiAlO{sub 2}. This enables the phase-pure deposition of high-quality and smooth (1-100) GaN layers. The low lattice mismatch of (1-100) GaN to (100) LiAlO{sub 2} allows for a coherent growth of thin films, which show strong in-plane compressive strain. Due to the absence of a suitable slip plane, this strain relaxes only partly for layer thicknesses up to 1.7 {mu}m. Low densities of line and planar defects compared to other heteroepitaxially deposited nonpolar GaN layers were assessed by X-ray diffraction (XRD), transmission electron microscopy (TEM) and electron channelling contrast imaging microscopy (ECCI). The surface of the GaN layers is dominated by macroscopic hillocks, which are elongated along the c-axis direction and result in an average root mean square (RMS) roughness of {proportional_to} 20 nm in a 50 x 50 {mu}m{sup 2} scan area. Spiral growth around line defects is seen as most likely cause for this effect. In a microscopic scale, one can detect a stripe pattern, which is formed by 2-3 nm high steps aligned parallel to the c-axis. An anisotropic growth mode is assumed responsible for this appearance. Between these steps, much smoother areas with typical RMS roughness of 0.2 nm (for a 0.5 x

  4. Study of GaN nanowires converted from β-Ga2O3 and photoconduction in a single nanowire

    Science.gov (United States)

    Kumar, Mukesh; Kumar, Sudheer; Chauhan, Neha; Sakthi Kumar, D.; Kumar, Vikram; Singh, R.

    2017-08-01

    The formation of GaN nanowires from β-Ga2O3 nanowires and photoconduction in a fabricated single GaN nanowire device has been studied. Wurtzite phase GaN were formed from monoclinic β-Ga2O3 nanowires with or without catalyst particles at their tips. The formation of faceted nanostructures from catalyst droplets presented on a nanowire tip has been discussed. The nucleation of GaN phases in β-Ga2O3 nanowires and their subsequent growth due to interfacial strain energy has been examined using a high resolution transmission electron microscope. The high quality of the converted GaN nanowire is confirmed by fabricating single nanowire photoconducting devices which showed ultra high responsivity under ultra-violet illumination.

  5. P-type doping of GaN(000\\bar{1}) by magnesium ion implantation

    Science.gov (United States)

    Narita, Tetsuo; Kachi, Tetsu; Kataoka, Keita; Uesugi, Tsutomu

    2017-01-01

    Magnesium ion implantation has been performed on a GaN(000\\bar{1}) substrate, whose surface has a high thermal stability, thus allowing postimplantation annealing without the use of a protective layer. The current-voltage characteristics of p-n diodes fabricated on GaN(000\\bar{1}) showed distinct rectification at a turn-on voltage of about 3 V, although the leakage current varied widely among the diodes. Coimplantation with magnesium and hydrogen ions effectively suppressed the leakage currents and device-to-device variations. In addition, an electroluminescence band was observed at wavelengths shorter than 450 nm for these diodes. These results provide strong evidence that implanted magnesium ions create acceptors in GaN(000\\bar{1}).

  6. Partially filled intermediate band of Cr-doped GaN films

    International Nuclear Information System (INIS)

    Sonoda, S.

    2012-01-01

    We investigated the band structure of sputtered Cr-doped GaN (GaCrN) films using optical absorption, photoelectron yield spectroscopy, and charge transport measurements. It was found that an additional energy band is formed in the intrinsic band gap of GaN upon Cr doping, and that charge carriers in the material move in the inserted band. Prototype solar cells showed enhanced short circuit current and open circuit voltage in the n-GaN/GaCrN/p-GaN structure compared to the GaCrN/p-GaN structure, which validates the proposed concept of an intermediate-band solar cell.

  7. Low p-type contact resistance by field-emission tunneling in highly Mg-doped GaN

    Science.gov (United States)

    Okumura, Hironori; Martin, Denis; Grandjean, Nicolas

    2016-12-01

    Mg-doped GaN with a net acceptor concentration (NA-ND) in the high 1019 cm-3 range was grown using ammonia molecular-beam epitaxy. Electrical properties of NiO contact on this heavily doped p-type GaN were investigated. A potential-barrier height of 0.24 eV was extracted from the relationship between NA-ND and the specific contact resistivity (ρc). We found that there is an optimum NA-ND value of 5 × 1019 cm-3 for which ρc is as low as 2 × 10-5 Ω cm2. This low ρc is ascribed to hole tunneling through the potential barrier at the NiO/p+-GaN interface, which is well accounted for by the field-emission model.

  8. Influence of wet chemical cleaning on quantum efficiency of GaN photocathode

    International Nuclear Information System (INIS)

    Wang Xiao-Hui; Gao Pin; Wang Hong-Gang; Li Biao; Chang Ben-Kang

    2013-01-01

    GaN samples 1–3 are cleaned by a 2:2:1 solution of sulfuric acid (98%) to hydrogen peroxide (30%) to de-ionized water; hydrochloric acid (37%); or a 4:1 solution of sulfuric acid (98%) to hydrogen peroxide (30%). The samples are activated by Cs/O after the same annealing process. X-ray photoelectron spectroscopy after the different ways of wet chemical cleaning shows: sample 1 has the largest proportion of Ga, N, and O among the three samples, while its C content is the lowest. After activation the quantum efficiency curves show sample 1 has the best photocathode performance. We think the wet chemical cleaning method is a process which will mainly remove C contamination. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  9. Hole-induced d"0 ferromagnetism enhanced by Na-doping in GaN

    International Nuclear Information System (INIS)

    Zhang, Yong; Li, Feng

    2017-01-01

    The d"0 ferromagnetism in wurtzite GaN is investigated by the first-principle calculations. It is found that spontaneous magnetization occurs if sufficient holes are injected in GaN. Both Ga vacancy and Na doping can introduce holes into GaN. However, Ga vacancy has a high formation energy, and is thus unlikely to occur in a significant concentration. In contrast, Na doping has relatively low formation energy. Under N-rich growth condition, Na doping with a sufficient concentration can be achieved, which can induce half-metallic ferromagnetism in GaN. Moreover, the estimated Curie temperature of Na-doped GaN is well above the room temperature. - Highlights: • Hole-induced ferromagnetism in GaN is confirmed. • Both Ga Vacancy and Na-doping can introduce hole into GaN. • The concentration of Ga vacancy is too low to induce detectable ferromagnetism. • Na-doped GaN is a possible ferromagnet with a high curie-temperature.

  10. Viability and proliferation of endothelial cells upon exposure to GaN nanoparticles.

    Science.gov (United States)

    Braniste, Tudor; Tiginyanu, Ion; Horvath, Tibor; Raevschi, Simion; Cebotari, Serghei; Lux, Marco; Haverich, Axel; Hilfiker, Andres

    2016-01-01

    Nanotechnology is a rapidly growing and promising field of interest in medicine; however, nanoparticle-cell interactions are not yet fully understood. The goal of this work was to examine the interaction between endothelial cells and gallium nitride (GaN) semiconductor nanoparticles. Cellular viability, adhesion, proliferation, and uptake of nanoparticles by endothelial cells were investigated. The effect of free GaN nanoparticles versus the effect of growing endothelial cells on GaN functionalized surfaces was examined. To functionalize surfaces with GaN, GaN nanoparticles were synthesized on a sacrificial layer of zinc oxide (ZnO) nanoparticles using hydride vapor phase epitaxy. The uptake of GaN nanoparticles by porcine endothelial cells was strongly dependent upon whether they were fixed to the substrate surface or free floating in the medium. The endothelial cells grown on surfaces functionalized with GaN nanoparticles demonstrated excellent adhesion and proliferation, suggesting good biocompatibility of the nanostructured GaN.

  11. Phonon deformation potentials of hexagonal GaN studied by biaxial stress modulation

    Directory of Open Access Journals (Sweden)

    Jun-Yong Lu

    2011-09-01

    Full Text Available In this work, a biaxial stress modulation method, combining the microfabrication technique, finite element analysis and a weighted averaging process, was developed to study piezospectroscopic behavior of hexagonal GaN films, epitaxially grown by metalorganic chemical vapor deposition on c-sapphire and Si (111 substrates. Adjusting the size of patterned islands, various biaxial stress states could be obtained at the island centers, leading to abundant stress-Raman shift data. With the proposed stress modulation method, the Raman biaxial stress coefficients of E2H and A1 (LO phonons of GaN were determined to be 3.43 cm-1/GPa and 2.34 cm-1/GPa, respectively.

  12. Electronic Transport Mechanism for Schottky Diodes Formed by Au/HVPE a-Plane GaN Templates Grown via In Situ GaN Nanodot Formation

    Directory of Open Access Journals (Sweden)

    Moonsang Lee

    2018-06-01

    Full Text Available We investigate the electrical characteristics of Schottky contacts for an Au/hydride vapor phase epitaxy (HVPE a-plane GaN template grown via in situ GaN nanodot formation. Although the Schottky diodes present excellent rectifying characteristics, their Schottky barrier height and ideality factor are highly dependent upon temperature variation. The relationship between the barrier height, ideality factor, and conventional Richardson plot reveals that the Schottky diodes exhibit an inhomogeneous barrier height, attributed to the interface states between the metal and a-plane GaN film and to point defects within the a-plane GaN layers grown via in situ nanodot formation. Also, we confirm that the current transport mechanism of HVPE a-plane GaN Schottky diodes grown via in situ nanodot formation prefers a thermionic field emission model rather than a thermionic emission (TE one, implying that Poole–Frenkel emission dominates the conduction mechanism over the entire range of measured temperatures. The deep-level transient spectroscopy (DLTS results prove the presence of noninteracting point-defect-assisted tunneling, which plays an important role in the transport mechanism. These electrical characteristics indicate that this method possesses a great throughput advantage for various applications, compared with Schottky contact to a-plane GaN grown using other methods. We expect that HVPE a-plane GaN Schottky diodes supported by in situ nanodot formation will open further opportunities for the development of nonpolar GaN-based high-performance devices.

  13. Structure Shift of GaN Among Nanowall Network, Nanocolumn, and Compact Film Grown on Si (111) by MBE.

    Science.gov (United States)

    Zhong, Aihua; Fan, Ping; Zhong, Yuanting; Zhang, Dongping; Li, Fu; Luo, Jingting; Xie, Yizhu; Hane, Kazuhiro

    2018-02-13

    Structure shift of GaN nanowall network, nanocolumn, and compact film were successfully obtained on Si (111) by plasma-assisted molecular beam epitaxy (MBE). As is expected, growth of the GaN nanocolumns was observed in N-rich condition on bare Si, and the growth shifted to compact film when the Ga flux was improved. Interestingly, if an aluminum (Al) pre-deposition for 40 s was carried out prior to the GaN growth, GaN grows in the form of the nanowall network. Results show that the pre-deposited Al exits in the form of droplets with typical diameter and height of ~ 80 and ~ 6.7 nm, respectively. A growth model for the nanowall network is proposed and the growth mechanism is discussed. GaN grows in the area without Al droplets while the growth above Al droplets is hindered, resulting in the formation of continuous GaN nanowall network that removes the obstacles of nano-device fabrication.

  14. Synthesis, optical properties and residual strain effect of GaN nanowires generated via metal-assisted photochemical electroless etching

    KAUST Repository

    Najar, Adel

    2017-04-18

    Herein, we report on the studies of GaN nanowires (GaN NWs) prepared via a metal-assisted photochemical electroless etching method with Pt as the catalyst. It has been found that etching time greatly influences the growth of GaN NWs. The density and the length of nanowires increased with longer etching time, and excellent substrate coverage was observed. The average nanowire width and length are around 35 nm and 10 μm, respectively. Transmission electron microscopy (TEM) shows a single-crystalline wurtzite structure and is confirmed by X-ray measurements. The synthesis mechanism of GaN NWs using the metal-assisted photochemical electroless etching method was presented. Photoluminescence (PL) measurements of GaN NWs show red-shift PL peaks compared to the as-grown sample associated with the relaxation of compressive stress. Furthermore, a shift of the E2 peak to the lower frequency in the Raman spectra for the samples etched for a longer time confirms such a stress relaxation. Based on Raman measurements, the compressive stress σxx and the residual strain εxx were evaluated to be 0.23 GPa and 2.6 × 10−4, respectively. GaN NW synthesis using a low cost method might be used for the fabrication of power optoelectronic devices and gas sensors.

  15. Surface morphology of homoepitaxial GaN grown on non- and semipolar GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, Tim; Ploch, Simon [Institute of Solid State Physics, Technische Universitaet Berlin, Hardenbergstr. 36, 10623 Berlin (Germany); Hoffmann, Veit; Knauer, Arne; Weyers, Markus [Ferdinand-Braun-Institut, Leibniz Institut fuer Hoechstfrequenztechnik, Gustav-Kirchhoff-Str. 4, 12489 Berlin (Germany); Kneissl, Michael [Institute of Solid State Physics, Technische Universitaet Berlin, Hardenbergstr. 36, 10623 Berlin (Germany); Ferdinand-Braun-Institut, Leibniz Institut fuer Hoechstfrequenztechnik, Gustav-Kirchhoff-Str. 4, 12489 Berlin (Germany)

    2011-03-15

    GaN layers on bulk m-plane, (11 anti 22), (10 anti 12) and (10 anti 11) GaN substrates were grown by metal organic vapor phase epitaxy. XRD rocking curves have a FWHM of less than 150'', indicating excellent crystalline quality. However in many cases surface morphology exhibits hillocks with a height of 1-2 {mu}m and a lateral extension of 50-200 {mu}m whereas a smooth surface would be desirable for optoelectronic devices. The influence of growth parameters on the surface morphology was studied. The goal was, to constrain the material redistribution, that is necessary to form large hillocks. This was achieved by lowering the adatom diffusion length by a reduction of temperature and an increased reactor pressure. In the case of the (10 anti 11) and (10 anti 12) semipolar planes a reduction of the adatom diffusion length leads to a reduction of hillock density, hillock size and a smoother surface between hillocks. However, the m-plane surface does not react to a reduction of adatom mobility. Even at 890 C and 400 mbar rectangular pyramids cover the surface. In contrast to the other planes, the (11 anti 22) becomes instable, when the adatom diffusion length is reduced. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  16. Polarization of eigenmodes in laser diode waveguides on semipolar and nonpolar GaN

    Energy Technology Data Exchange (ETDEWEB)

    Rass, Jens; Vogt, Patrick [Institute of Solid State Physics, Technische Universitaet Berlin (Germany); Wernicke, Tim; Einfeldt, Sven; Weyers, Markus [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, Berlin (Germany); Scheibenzuber, Wolfgang G.; Schwarz, Ulrich T. [Department of Physics, Regensburg University (Germany); Kupec, Jan [Integrated Systems Laboratory, ETH Zurich (Switzerland); Witzigmann, Bernd [Computational Electronics and Photonics Group, University of Kassel (Germany); Kneissl, Michael [Institute of Solid State Physics, Technische Universitaet Berlin (Germany); Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, Berlin (Germany)

    2010-02-15

    Recent calculations of the eigenmodes in waveguides grown on semipolar GaN suggest that the optical polarization of the emitted light as well as the optical gain depends on the orientation of the resonator. Our measurements on separate confinement heterostructures on semipolar (11 anti 22) and (10 anti 12) GaN show that for laser resonators along the semipolar [11 anti 2 anti 3 ] and [0 anti 111] directions (i.e. the projection of the c-axis onto the plane of growth) the threshold for amplified spontaneous emission is lower than for the nonpolar direction and that the stimulated emission is linearly polarized as TE mode. For the waveguide structures along the nonpolar [1 anti 100] or [11 anti 20] direction on the other hand, birefringence and anisotropy of the optical gain in the plane of growth leads not only to a higher threshold but also to a rotation of the optical polarization which is not any more TE- or TM-polarized but influenced by the ordinary and extraordinary refractive index of the material. We observe stimulated emission into a mode which is linearly polarized in extraordinarydirection nearly parallel to the c-axis. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Atomic-scale structure of irradiated GaN compared to amorphised GaP and GaAs

    International Nuclear Information System (INIS)

    Ridgway, M.C.; Everett, S.E.; Glover, C.J.; Kluth, S.M.; Kluth, P.; Johannessen, B.; Hussain, Z.S.; Llewellyn, D.J.; Foran, G.J.; Azevedo, G. de M.

    2006-01-01

    We have compared the atomic-scale structure of ion irradiated GaN to that of amorphised GaP and GaAs. While continuous and homogenous amorphised layers were easily achieved in GaP and GaAs, ion irradiation of GaN yielded both structural and chemical inhomogeneities. Transmission electron microscopy revealed GaN crystallites and N 2 bubbles were interspersed within an amorphous GaN matrix. The crystallite orientation was random relative to the unirradiated epitaxial structure, suggesting their formation was irradiation-induced, while the crystallite fraction was approximately constant for all ion fluences beyond the amorphisation threshold, consistent with a balance between amorphisation and recrystallisation processes. Extended X-ray absorption fine structure measurements at the Ga K-edge showed short-range order was retained in the amorphous phase for all three binary compounds. For ion irradiated GaN, the stoichiometric imbalance due to N 2 bubble formation was not accommodated by Ga-Ga bonding in the amorphous phase or precipitation of metallic Ga but instead by a greater reduction in Ga coordination number

  18. High optical and structural quality of GaN epilayers grown on ( 2¯01) β-Ga2O3

    KAUST Repository

    Mumthaz Muhammed, Mufasila; Peres, M.; Yamashita, Y.; Morishima, Y.; Sato, S.; Franco, N.; Lorenz, K.; Kuramata, A.; Roqan, Iman S.

    2014-01-01

    Producing highly efficient GaN-based optoelectronic devices has been a challenge for a long time due to the large lattice mismatch between III-nitride materials and the most common substrates, which causes a high density of threading dislocations. Therefore, it is essential to obtain alternative substrates with small lattice mismatches, appropriate structural, thermal and electrical properties, and a competitive price. Our results show that (2̄01) oriented β-Ga2O3 has the potential to be used as a transparent and conductive substrate for GaN-growth. Photoluminescence spectra of thick GaN layers grown on (2̄01) oriented β-Ga 2O3 are found to be dominated by intense bandedge emission. Atomic force microscopy studies show a modest threading dislocation density of ∼108cm-2. X-ray diffraction studies show the high quality of the single-phase wurtzite GaN thin film on (2̄01) β-Ga2O3 with in-plane epitaxial orientation relationships between the β-Ga2O3 and the GaN thin film defined by (010) β-Ga2O3 || (112̄0) GaN and (2̄01) β-Ga2O3 || (0001) GaN leading to a lattice mismatch of ∼4.7%. Complementary Raman spectroscopy indicates that the quality of the GaN epilayer is high. © 2014 AIP Publishing LLC.

  19. High optical and structural quality of GaN epilayers grown on ( 2¯01) β-Ga2O3

    KAUST Repository

    Mumthaz Muhammed, Mufasila

    2014-07-28

    Producing highly efficient GaN-based optoelectronic devices has been a challenge for a long time due to the large lattice mismatch between III-nitride materials and the most common substrates, which causes a high density of threading dislocations. Therefore, it is essential to obtain alternative substrates with small lattice mismatches, appropriate structural, thermal and electrical properties, and a competitive price. Our results show that (2̄01) oriented β-Ga2O3 has the potential to be used as a transparent and conductive substrate for GaN-growth. Photoluminescence spectra of thick GaN layers grown on (2̄01) oriented β-Ga 2O3 are found to be dominated by intense bandedge emission. Atomic force microscopy studies show a modest threading dislocation density of ∼108cm-2. X-ray diffraction studies show the high quality of the single-phase wurtzite GaN thin film on (2̄01) β-Ga2O3 with in-plane epitaxial orientation relationships between the β-Ga2O3 and the GaN thin film defined by (010) β-Ga2O3 || (112̄0) GaN and (2̄01) β-Ga2O3 || (0001) GaN leading to a lattice mismatch of ∼4.7%. Complementary Raman spectroscopy indicates that the quality of the GaN epilayer is high. © 2014 AIP Publishing LLC.

  20. Emission dynamics of hybrid plasmonic gold/organic GaN nanorods

    Science.gov (United States)

    Mohammadi, F.; Schmitzer, H.; Kunert, G.; Hommel, D.; Ge, J.; Duscher, G.; Langbein, W.; Wagner, H. P.

    2017-12-01

    We studied the emission of bare and aluminum quinoline (Alq3)/gold coated wurtzite GaN nanorods by temperature- and intensity-dependent time-integrated and time-resolved photoluminescence (PL). The GaN nanorods of ˜1.5 μm length and ˜250 nm diameter were grown by plasma-assisted molecular beam epitaxy. Gold/Alq3 coated GaN nanorods were synthesized by organic molecular beam deposition. The near band-edge and donor-acceptor pair luminescence was investigated in bare GaN nanorods and compared with multilevel model calculations providing the dynamical parameters for electron-hole pairs, excitons, impurity bound excitons, donors and acceptors. Subsequently, the influence of a 10 nm gold coating without and with an Alq3 spacer layer was studied and the experimental results were analyzed with the multilevel model. Without a spacer layer, a significant PL quenching and lifetime reduction of the near band-edge emission is found. The behavior is attributed to surface band-bending and Förster energy transfer from excitons to surface plasmons in the gold layer. Inserting a 5 nm Alq3 spacer layer reduces the PL quenching and lifetime reduction which is consistent with a reduced band-bending and Förster energy transfer. Increasing the spacer layer to 30 nm results in lifetimes which are similar to uncoated structures, showing a significantly decreased influence of the gold coating on the excitonic dynamics.

  1. Raman scattering in GaN, AlN and AlGaN. Basic material properties, processing and devices

    International Nuclear Information System (INIS)

    Hayes, J.M.

    2002-05-01

    GaN, AIN and AIGaN are very promising materials for high-power, high-temperature and high-frequency electronic device applications but many of their material properties and the effects of processing steps for device fabrication have not yet been fully investigated. AIGaN/GaN films were annealed at temperatures of 800 to 1300 deg C in different ambient atmospheres. The films were then analysed by micro-Raman spectroscopy. Compressive stress was found in films annealed in oxygen containing atmospheres which was significantly enhanced by the presence of water vapour in the annealing atmosphere. No stress was detected after annealing in nitrogen even at temperatures close to the thermal decomposition temperature and in the presence of water vapour. Thermal decomposition can be prevented by the use of high-pressure atmospheres during annealing. Mg/P implanted and non-implanted GaN films annealed at temperatures up to 1500 deg C with nitrogen over-pressures of 1-1.5 GPa were analysed by micro-Raman spectroscopy. Annealing temperatures of 1400-1500 deg C resulted in the nearly full recovery of the crystalline quality of the ion-implanted GaN. Ultraviolet Raman spectroscopy showed that no significant surface degradation occurred during the annealing. High-quality bulk AIN crystals were studied by micro-Raman spectroscopy. The pressure dependence of the phonon frequencies was measured in the range 0 GPa to 9.5 GPa determining the mode-Grueneisen parameters. The temperature dependence of the phonon frequencies and lifetimes was measured from 10 K to 1275 K. Empirical fitting and theoretical modelling of the temperature dependence was performed. The results have application for the monitoring of temperature in (Ga/AI)N. The E 2 (high) phonon frequency of GaN measured by micro-Raman spectroscopy was used to monitor local temperatures in active AIGaN/GaN hetero-structure field effect transistor devices (HFETs). The temperature rise in the active area of devices on sapphire

  2. Preparation of freestanding GaN wafer by hydride vapor phase epitaxy on porous silicon

    Science.gov (United States)

    Wu, Xian; Li, Peng; Liang, Renrong; Xiao, Lei; Xu, Jun; Wang, Jing

    2018-05-01

    A freestanding GaN wafer was prepared on porous Si (111) substrate using hydride vapor phase epitaxy (HVPE). To avoid undesirable effects of the porous surface on the crystallinity of the GaN, a GaN seed layer was first grown on the Si (111) bare wafer. A pattern with many apertures was fabricated in the GaN seed layer using lithography and etching processes. A porous layer was formed in the Si substrate immediately adjacent to the GaN seed layer by an anodic etching process. A 500-μm-thick GaN film was then grown on the patterned GaN seed layer using HVPE. The GaN film was separated from the Si substrate through the formation of cracks in the porous layer caused by thermal mismatch stress during the cooling stage of the HVPE. Finally, the GaN film was polished to obtain a freestanding GaN wafer.

  3. Electrical conduction along dislocations in plastically deformed GaN

    Energy Technology Data Exchange (ETDEWEB)

    Kamimura, Y; Yokoyama, T; Oiwa, H; Edagawa, K [Institute of Industrial Science, the University of Tokyo, 4-6-1 Komaba, Meguro, Tokyo 153-8505 (Japan); Yonenaga, I, E-mail: yasushi@iis.u-tokyo.ac.jp [Institute for Materials Research, Tohoku University, 2-1-1 Katahira, Aoba, Sendai 980-8577 (Japan)

    2009-07-15

    Electrical conduction along dislocations in plastically deformed n-GaN single crystals has been investigated by scanning spread resistance microscopy (SSRM). In the SSRM images, many conductive spots have been observed, which correspond to electrical conduction along the dislocations introduced by deformation. Here, the introduced dislocations are b=(a/3)<1overline 210> edge dislocations parallel to the [0001] direction. The current values at the spots normalized to the background current value are larger than 100. Previous works have shown that grown-in edge dislocations in GaN are nonconductive. The high conductivity of the deformation-introduced edge dislocations in the present work suggests that the conductivity depends sensitively on the dislocation core structure.

  4. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    Science.gov (United States)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  5. Optical and structural characterization of GaN thin films at different N to Ga flux ratios

    International Nuclear Information System (INIS)

    El-Naggar, Ahmed M.

    2011-01-01

    GaN films were grown on Si(111) substrates under various beam equivalent pressure (BEP) ratios by plasma-assisted molecular beam epitaxy. The optical properties for the grown samples were studied over a wide spectral range from 200 to 3300 nm using the reflectance spectrum only. It was found that increasing the N/Ga BEP ratio from 17.9 to 46.1 increases the refractive index (n) from 2.05 to 2.38 at wavelength 630 nm (for example), while the optical energy gap (E g ) were found to be in the range between 3.325 to 3.35 eV with no specific trend. The structural properties for the grown films were studied through two types of rocking curve measurements; normal rocking curve (ω-scan) and triple axis rocking curve (ω/2θ-scan). It was found that with decreasing the N/Ga ratio from 46.1 to 17.9 the full width at half maximum decreases from 0.62 deg. to 0.58 deg. for ω-scan and from 0.022 deg. to 0.021 deg. for ω/2θ-scan. Thus, our results showed a clear correlation between the optical-structural parameters and the BEP ratios of N and Ga.

  6. The 2018 GaN power electronics roadmap

    Science.gov (United States)

    Amano, H.; Baines, Y.; Beam, E.; Borga, Matteo; Bouchet, T.; Chalker, Paul R.; Charles, M.; Chen, Kevin J.; Chowdhury, Nadim; Chu, Rongming; De Santi, Carlo; Merlyne De Souza, Maria; Decoutere, Stefaan; Di Cioccio, L.; Eckardt, Bernd; Egawa, Takashi; Fay, P.; Freedsman, Joseph J.; Guido, L.; Häberlen, Oliver; Haynes, Geoff; Heckel, Thomas; Hemakumara, Dilini; Houston, Peter; Hu, Jie; Hua, Mengyuan; Huang, Qingyun; Huang, Alex; Jiang, Sheng; Kawai, H.; Kinzer, Dan; Kuball, Martin; Kumar, Ashwani; Boon Lee, Kean; Li, Xu; Marcon, Denis; März, Martin; McCarthy, R.; Meneghesso, Gaudenzio; Meneghini, Matteo; Morvan, E.; Nakajima, A.; Narayanan, E. M. S.; Oliver, Stephen; Palacios, Tomás; Piedra, Daniel; Plissonnier, M.; Reddy, R.; Sun, Min; Thayne, Iain; Torres, A.; Trivellin, Nicola; Unni, V.; Uren, Michael J.; Van Hove, Marleen; Wallis, David J.; Wang, J.; Xie, J.; Yagi, S.; Yang, Shu; Youtsey, C.; Yu, Ruiyang; Zanoni, Enrico; Zeltner, Stefan; Zhang, Yuhao

    2018-04-01

    Gallium nitride (GaN) is a compound semiconductor that has tremendous potential to facilitate economic growth in a semiconductor industry that is silicon-based and currently faced with diminishing returns of performance versus cost of investment. At a material level, its high electric field strength and electron mobility have already shown tremendous potential for high frequency communications and photonic applications. Advances in growth on commercially viable large area substrates are now at the point where power conversion applications of GaN are at the cusp of commercialisation. The future for building on the work described here in ways driven by specific challenges emerging from entirely new markets and applications is very exciting. This collection of GaN technology developments is therefore not itself a road map but a valuable collection of global state-of-the-art GaN research that will inform the next phase of the technology as market driven requirements evolve. First generation production devices are igniting large new markets and applications that can only be achieved using the advantages of higher speed, low specific resistivity and low saturation switching transistors. Major investments are being made by industrial companies in a wide variety of markets exploring the use of the technology in new circuit topologies, packaging solutions and system architectures that are required to achieve and optimise the system advantages offered by GaN transistors. It is this momentum that will drive priorities for the next stages of device research gathered here.

  7. Photoluminescence and photoluminescence excitation studies in 80 MeV Ni ion irradiated MOCVD grown GaN

    Energy Technology Data Exchange (ETDEWEB)

    Devaraju, G. [School of Physics, University of Hyderabad, Central University P.O., Hyderabad 500 046 (India); Pathak, A.P., E-mail: appsp@uohyd.ernet.in [School of Physics, University of Hyderabad, Central University P.O., Hyderabad 500 046 (India); Srinivasa Rao, N.; Saikiran, V. [School of Physics, University of Hyderabad, Central University P.O., Hyderabad 500 046 (India); Enrichi, Francesco [Coordinamento Interuniversitario Veneto per le Nanotecnologie (CIVEN), via delle Industrie 5, Marghera, I-30175Venice (Italy); Trave, Enrico [Dipartimento di Chimica Fisica, Universita Ca' Foscari Venezia, Dorsoduro 2137, I-30123 Venice (Italy)

    2011-09-01

    Highlights: {yields} MOCVD grown GaN samples are irradiated with 80 MeV Ni ions at room temperature. {yields} PL and PLE studies have been carried out for band to band, BL and YL emissions. {yields} Ni ions irradiated GaN shows BL band at 450 nm besides YL band. {yields} Radiation annealed Ga vacancies have quenching effect on YL intensity. {yields} We speculated that BL and YL are associated with N and Ga vacancies, respectively. - Abstract: We report damage creation and annihilation under energetic ion bombardment at a fixed fluence. MOCVD grown GaN thin films were irradiated with 80 MeV Ni ions at a fluence of 1 x 10{sup 13} ions/cm{sup 2}. Irradiated GaN thin films were subjected to rapid thermal annealing for 60 s in nitrogen atmosphere to anneal out the defects. The effects of defects on luminescence were explored with photoluminescence measurements. Room temperature photoluminescence spectra from pristine sample revealed presence of band to band transition besides unwanted yellow luminescence. Irradiated GaN does not show any band to band transition but there is a strong peak at 450 nm which is attributed to ion induced defect blue luminescence. However, irradiated and subsequently annealed samples show improved band to band transitions and a significant decrease in yellow luminescence intensity due to annihilation of defects which were created during irradiation. Irradiation induced effects on yellow and blue emissions are discussed.

  8. Understanding the Room Temperature Ferromagnetism in GaN Nanowires with Pd Doping

    International Nuclear Information System (INIS)

    Manna, S; De, S K

    2011-01-01

    We report the first synthesis and characterization of 4d transition metal palladium-doped GaN nanowires (NWs). Room temperature ferromagnetism has been observed in high quality Vapor Liquid Solid (VLS) epitaxy grown undoped n-type GaN nanowires. It was proposed that this type of magnetism is due to defects which are not observed in Bulk GaN because of large formation energy of defects in bulk GaN. Here we have successfully doped 4d transition metal Pd in GaN NWs. We find fairly strong and long-range ferromagnetic coupling between Pd substituted for Ga in GaN . The results suggest that 4d metals such as Pd may also be considered as candidates for ferromagnetic dopants in semiconductors.

  9. Effect of III/V ratio on the polarity of AlN and GaN layers grown in the metal rich growth regime on Si(111) by plasma assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Agrawal, Manvi; Dharmarasu, Nethaji; Radhakrishnan, K.; Pramana, Stevin Snellius

    2015-01-01

    Wet chemical etching, reflection high energy electron diffraction, scanning electron microscope and convergent beam electron diffraction have been employed to study the polarities of AlN and the subsequently grown GaN as a function of metal flux in the metal rich growth regime. Both AlN and GaN exhibited metal polarity in the intermediate growth conditions. However, in the droplet growth regime, the polarity of AlN and GaN were N polar and Ga polar, respectively. It was observed that Ga polar GaN could be obtained on both Al and N polar AlN. AlGaN/GaN high electron mobility transistor (HEMT) heterostructure exhibiting hall mobility of 900 cm 2 V -1 s -1 and sheet carrier density of 1.2 × 10 13 cm -2 was demonstrated using N polar AlN which confirmed Ga polarity of GaN. Al metal flux was likely to play an important role in controlling the polarity of AlN and determining the polarity of the subsequent GaN grown on Si(111) by plasma assisted molecular beam epitaxy (PA-MBE). (author)

  10. Topical Review: Development of overgrown semi-polar GaN for high efficiency green/yellow emission

    Science.gov (United States)

    Wang, T.

    2016-09-01

    The most successful example of large lattice-mismatched epitaxial growth of semiconductors is the growth of III-nitrides on sapphire, leading to the award of the Nobel Prize in 2014 and great success in developing InGaN-based blue emitters. However, the majority of achievements in the field of III-nitride optoelectronics are mainly limited to polar GaN grown on c-plane (0001) sapphire. This polar orientation poses a number of fundamental issues, such as reduced quantum efficiency, efficiency droop, green and yellow gap in wavelength coverage, etc. To date, it is still a great challenge to develop longer wavelength devices such as green and yellow emitters. One clear way forward would be to grow III-nitride device structures along a semi-/non-polar direction, in particular, a semi-polar orientation, which potentially leads to both enhanced indium incorporation into GaN and reduced quantum confined Stark effects. This review presents recent progress on developing semi-polar GaN overgrowth technologies on sapphire or Si substrates, the two kinds of major substrates which are cost-effective and thus industry-compatible, and also demonstrates the latest achievements on electrically injected InGaN emitters with long emission wavelengths up to and including amber on overgrown semi-polar GaN. Finally, this review presents a summary and outlook on further developments for semi-polar GaN based optoelectronics.

  11. Microstructure of nitrides grown on inclined c-plane sapphire and SiC substrate

    International Nuclear Information System (INIS)

    Imura, M.; Honshio, A.; Miyake, Y.; Nakano, K.; Tsuchiya, N.; Tsuda, M.; Okadome, Y.; Balakrishnan, K.; Iwaya, M.; Kamiyama, S.; Amano, H.; Akasaki, I.

    2006-01-01

    High-quality (112-bar 0) GaN layers with atomically flat surface have been grown on a precisely offset-angle-controlled (11-bar 02) sapphire substrate by metal-organic vapor phase epitaxy (MOVPE). Insertion of AlGaN layer between underlying AlN layer and GaN was found to improve crystalline quality of upper GaN layer. In addition, a combination of high growth condition followed and epitaxial lateral overgrowth has been employed for the growth of GaN and this helped in reducing the dislocation density in the resultant layers. GaN and AlN were grown on (303-bar 8) SiC substrates by MOVPE and sublimation methods, respectively. The crystal orientation of GaN and AlN could be just aligned to that of the substrate. Microstructure analysis of the layers was also carried out by transmission electron microscopy

  12. Gate less-FET pH Sensor Fabricated on Undoped AlGaN/ GaN HEMT Structure

    International Nuclear Information System (INIS)

    Maneea Eizadi Sharifabad; Mastura Shafinaz Zainal Abidin; Shaharin Fadzli Abd Rahman; Abdul Manaf Hashim; Abdul Rahim Abdul Rahman

    2011-01-01

    Gallium nitride with wurtzite crystal structure is a chemically stable semiconductor with high internal spontaneous and piezoelectric polarization, which make it highly suitable materials to create very sensitive and robust sensors for the detection of ions, gases and liquids. Sensing characteristics of an open-gate liquid-phase sensor fabricated on undoped-AlGaN/ GaN high-electron-mobility-transistor (HEMT) structure in aqueous solution was investigated. In ambient atmosphere, the open-gate undoped AlGaN/ GaN HEMT clearly showed only the presence of linear region of currents while Si-doped AlGaN/ GaN showed the linear and saturation regions of currents, very similar to those of gated devices. This seems to show that very low Fermi level pinning by surface states exists in undoped AlGaN/ GaN sample. In aqueous solution, the typical current-voltage (I-V) characteristics of HEMTs with good gate controllability were observed. The potential of the AlGaN surface at the open-gate area is effectively controlled via aqueous solution by Ag/ AgCl reference gate electrode. The open-gate undoped AlGaN/ GaN HEMT structure is capable of stable operation in aqueous electrolytes and exhibit linear sensitivity, and high sensitivity of 1.9 mA/ pH or 3.88 mA/ mm/ pH at drain-source voltage, VDS = 5 V was obtained. Due to large leakage current where it increases with the negative reference gate voltage, the Nernstians like sensitivity cannot be determined. Suppression of current leakage is likely to improve the device performance. The open-gate undoped-AlGaN/ GaN structure is expected to be suitable for pH sensing application. (author)

  13. Polarity Control of Heteroepitaxial GaN Nanowires on Diamond.

    Science.gov (United States)

    Hetzl, Martin; Kraut, Max; Hoffmann, Theresa; Stutzmann, Martin

    2017-06-14

    Group III-nitride materials such as GaN nanowires are characterized by a spontaneous polarization within the crystal. The sign of the resulting sheet charge at the top and bottom facet of a GaN nanowire is determined by the orientation of the wurtzite bilayer of the different atomic species, called N and Ga polarity. We investigate the polarity distribution of heteroepitaxial GaN nanowires on different substrates and demonstrate polarity control of GaN nanowires on diamond. Kelvin Probe Force Microscopy is used to determine the polarity of individual selective area-grown and self-assembled nanowires over a large scale. At standard growth conditions, mixed polarity occurs for selective GaN nanowires on various substrates, namely on silicon, on sapphire and on diamond. To obtain control over the growth orientation on diamond, the substrate surface is modified by nitrogen and oxygen plasma exposure prior to growth, and the growth parameters are adjusted simultaneously. We find that the surface chemistry and the substrate temperature are the decisive factors for obtaining control of up to 93% for both polarity types, whereas the growth mode, namely selective area or self-assembled growth, does not influence the polarity distribution significantly. The experimental results are discussed by a model based on the interfacial bonds between the GaN nanowires, the termination layer, and the substrate.

  14. Lattice location of Mg in GaN: a fresh look at doping limitations

    CERN Document Server

    AUTHOR|(CDS)2069243; Augustyns, Valerie; Granadeiro Costa, Angelo Rafael; David Bosne, Eric; De Lemos Lima, Tiago Abel; Lippertz, Gertjan; Martins Correia, Joao; Castro Ribeiro Da Silva, Manuel; Kappers, Menno; Temst, Kristiaan; Vantomme, André; Da Costa Pereira, Lino Miguel

    2017-01-01

    Radioactive 27Mg (t1/2=9.5 min) was implanted into GaN of different doping types at CERN’s ISOLDE facility and its lattice site determined via beta− emission channeling. Following implantations between room temperature and 800°C, the majority of 27Mg occupies the substitutional Ga sites, however, below 350°C significant fractions were also found on interstitial positions ~0.6 Å from ideal octahedral sites. The interstitial fraction of Mg was correlated with the GaN doping character, being highest (up to 31%) in samples doped p-type with 2E19 cm−3 stable Mg during epilayer growth, and lowest in Si-doped n-GaN, thus giving direct evidence for the amphoteric character of Mg. Implanting above 350°C converts interstitial 27Mg to substitutional Ga sites, which allows estimating the activation energy for migration of interstitial Mg as between 1.3 and 2.0 eV.

  15. High temperature dielectric function of silicon, germanium and GaN

    Energy Technology Data Exchange (ETDEWEB)

    Leyer, Martin; Pristovsek, Markus; Kneissl, Michael [Technische Universitaet Berlin (Germany). Institut fuer Festkoerperphysik

    2010-07-01

    In the last few years accurate values for the optical properties of silicon, germanium and GaN at high temperatures have become important as a reference for in-situ analysis, e.g. reflectometry. Precise temperature dependent dielectric measurements are necessary for the growth of GaInP/GaInAs/Ge triple-junction solar cells and the hetero epitaxy of GaN on silicon and sapphire. We performed spectroscopic ellipsometry (SE) measurements of the dielectric function of silicon, germanium and GaN between 1.5 eV and 6.5 eV in the temperature range from 300 K to 1300 K. The Samples were deoxidized chemically or by heating. High resolution SE spectra were taken every 50 K while cooling down to room temperature. The temperature dependence of the critical energies is compared to literature. Measurements for germanium showed a shift of the E{sub 2} critical point of {proportional_to}0.1 eV toward lower energies. The reason for this behavior is a non-negligible oxide layer on the samples in the literature.

  16. GaN membrane MSM ultraviolet photodetectors

    Science.gov (United States)

    Muller, A.; Konstantinidis, G.; Kostopoulos, A.; Dragoman, M.; Neculoiu, D.; Androulidaki, M.; Kayambaki, M.; Vasilache, D.; Buiculescu, C.; Petrini, I.

    2006-12-01

    GaN exhibits unique physical properties, which make this material very attractive for wide range of applications and among them ultraviolet detection. For the first time a MSM type UV photodetector structure was manufactured on a 2.2 μm. thick GaN membrane obtained using micromachining techniques. The low unintentionally doped GaN layer structure was grown by MOCVD on high resistivity (ρ>10kΩcm) oriented silicon wafers, 500μm thick. The epitaxially grown layers include a thin AlN layer in order to reduce the stress in the GaN layer and avoid cracking. Conventional contact lithography, e-gun Ni/Au (10nm /200nm) evaporation and lift-off techniques were used to define the interdigitated Schottky metalization on the top of the wafer. Ten digits with a width of 1μm and a length of 100μm were defined for each electrode. The distance between the digits was also 1μm. After the backside lapping of the wafer to a thickness of approximately 150μm, a 400nm thick Al layer was patterned and deposited on the backside, to be used as mask for the selective reactive ion etching of silicon. The backside mask, for the membrane formation, was patterned using double side alignment techniques and silicon was etched down to the 2.2μm thin GaN layer using SF 6 plasma. A very low dark current (30ρA at 3V) was obtained. Optical responsivity measurements were performed at 1.5V. A maximum responsivity of 18mA/W was obtained at a wavelength of 370nm. This value is very good and can be further improved using transparent contacts for the interdigitated structure.

  17. A case of linear nevus sebaceous syndrome showing abnormalities by head CT scan

    International Nuclear Information System (INIS)

    Matsuda, Yoshio; Kuraya, Kazue; Sumiyoshi, Minoru; Seki, Shuichiro; Murakami, Naoki

    1982-01-01

    A female baby weighing 2,702 g, who was delivered spontaneously after 37 weeks of gestation, showed linear nevus sebaceous syndrome with abnormalities on EEG and head CT scan. Immediately after birth, the baby showed abnormalities of the skin in the left half of the body, especially from the head to the face. At the same time, EEG showed a low voltage on the affected side, and head CT scan showed expansion of the lateral ventricle. Funduscopic findings showed retinochoroidal toxoplasmosis-like degeneration. This disease has been rarely reported. An early diagnosis is seemed to be important since the skin lesion per se was premalignant, and generalized abnormalities including those of the central nervous system occurred concurrently. (Chiba, N.)

  18. High hole mobility p-type GaN with low residual hydrogen concentration prepared by pulsed sputtering

    Science.gov (United States)

    Arakawa, Yasuaki; Ueno, Kohei; Kobayashi, Atsushi; Ohta, Jitsuo; Fujioka, Hiroshi

    2016-08-01

    We have grown Mg-doped GaN films with low residual hydrogen concentration using a low-temperature pulsed sputtering deposition (PSD) process. The growth system is inherently hydrogen-free, allowing us to obtain high-purity Mg-doped GaN films with residual hydrogen concentrations below 5 × 1016 cm-3, which is the detection limit of secondary ion mass spectroscopy. In the Mg profile, no memory effect or serious dopant diffusion was detected. The as-deposited Mg-doped GaN films showed clear p-type conductivity at room temperature (RT) without thermal activation. The GaN film doped with a low concentration of Mg (7.9 × 1017 cm-3) deposited by PSD showed hole mobilities of 34 and 62 cm2 V-1 s-1 at RT and 175 K, respectively, which are as high as those of films grown by a state-of-the-art metal-organic chemical vapor deposition apparatus. These results indicate that PSD is a powerful tool for the fabrication of GaN-based vertical power devices.

  19. Conductivity based on selective etch for GaN devices and applications thereof

    Science.gov (United States)

    Zhang, Yu; Sun, Qian; Han, Jung

    2015-12-08

    This invention relates to methods of generating NP gallium nitride (GaN) across large areas (>1 cm.sup.2) with controlled pore diameters, pore density, and porosity. Also disclosed are methods of generating novel optoelectronic devices based on porous GaN. Additionally a layer transfer scheme to separate and create free-standing crystalline GaN thin layers is disclosed that enables a new device manufacturing paradigm involving substrate recycling. Other disclosed embodiments of this invention relate to fabrication of GaN based nanocrystals and the use of NP GaN electrodes for electrolysis, water splitting, or photosynthetic process applications.

  20. Characterization of vertical GaN p-n diodes and junction field-effect transistors on bulk GaN down to cryogenic temperatures

    Science.gov (United States)

    Kizilyalli, I. C.; Aktas, O.

    2015-12-01

    There is great interest in wide-bandgap semiconductor devices and most recently in vertical GaN structures for power electronic applications such as power supplies, solar inverters and motor drives. In this paper the temperature-dependent electrical behavior of vertical GaN p-n diodes and vertical junction field-effect transistors fabricated on bulk GaN substrates of low defect density (104 to 106 cm-2) is described. Homoepitaxial MOCVD growth of GaN on its native substrate and the ability to control the doping in the drift layers in GaN have allowed the realization of vertical device architectures with drift layer thicknesses of 6 to 40 μm and net carrier electron concentrations as low as 1 × 1015 cm-3. This parameter range is suitable for applications requiring breakdown voltages of 1.2 kV to 5 kV. Mg, which is used as a p-type dopant in GaN, is a relatively deep acceptor (E A ≈ 0.18 eV) and susceptible to freeze-out at temperatures below 200 K. The loss of holes in p-GaN has a deleterious effect on p-n junction behavior, p-GaN contacts and channel control in junction field-effect transistors at temperatures below 200 K. Impact ionization-based avalanche breakdown (BV > 1200 V) in GaN p-n junctions is characterized between 77 K and 423 K for the first time. At higher temperatures the p-n junction breakdown voltage improves due to increased phonon scattering. A positive temperature coefficient in the breakdown voltage is demonstrated down to 77 K; however, the device breakdown characteristics are not as abrupt at temperatures below 200 K. On the other hand, contact resistance to p-GaN is reduced dramatically above room temperature, improving the overall device performance in GaN p-n diodes in all cases except where the n-type drift region resistance dominates the total forward resistance. In this case, the electron mobility can be deconvolved and is found to decrease with T -3/2, consistent with a phonon scattering model. Also, normally-on vertical junction

  1. Thermodynamic analysis of Mg-doped p-type GaN semiconductor

    International Nuclear Information System (INIS)

    Li Jingbo; Liang Jingkui; Rao Guanghui; Zhang Yi; Liu Guangyao; Chen Jingran; Liu Quanlin; Zhang Weijing

    2006-01-01

    A thermodynamic modeling of Mg-doped p-type GaN was carried out to describe the thermodynamic behaviors of native defects, dopants (Mg and H) and carriers in GaN. The formation energies of charged component compounds in a four-sublattice model were defined as functions of the Fermi-level based on the results of the first-principles calculations and adjusted to fit experimental data. The effect of the solubility of Mg on the low doping efficiency of Mg in GaN and the role of H in the Mg-doping MOCVD process were discussed. The modeling provides a thermodynamic approach to understand the doping process of GaN semiconductors

  2. Structural and Magnetic Properties of Sm Implanted GaN

    International Nuclear Information System (INIS)

    Li-Juan, Jiang; Xiao-Liang, Wang; Hong-Ling, Xiao; Zhan-Guo, Wang; Chun, Feng; Ming-Lan, Zhang; Jian, Tang

    2009-01-01

    The structural and magnetic properties of Sm ion-implanted GaN with different Sm concentrations are investigated. XRD results do not show any peaks associated with second phase formation. Magnetic investigations performed by superconducting quantum interference device reveal ferromagnetic behavior with an ordering temperature above room temperature in all the implanted samples, while the effective magnetic moment per Sm obtained from saturation magnetization gives a much higher value than the atomic moment of Sm. These results could be explained by the phenomenological model proposed by Dhar et al. [Phys. Rev. Lett. 94(2005)037205, Phys. Rev. B 72(2005)245203] in terms of a long-range spin polarization of the GaN matrix by the Sm atoms. (condensed matter: electronicstructure, electrical, magnetic, and opticalproperties)

  3. Localized tip enhanced Raman spectroscopic study of impurity incorporated single GaN nanowire in the sub-diffraction limit

    International Nuclear Information System (INIS)

    Patsha, Avinash; Dhara, Sandip; Tyagi, A. K.

    2015-01-01

    The localized effect of impurities in single GaN nanowires in the sub-diffraction limit is reported using the study of lattice vibrational modes in the evanescent field of Au nanoparticle assisted tip enhanced Raman spectroscopy (TERS). GaN nanowires with the O impurity and the Mg dopants were grown by the chemical vapor deposition technique in the catalyst assisted vapor-liquid-solid process. Symmetry allowed Raman modes of wurtzite GaN are observed for undoped and doped nanowires. Unusually very strong intensity of the non-zone center zone boundary mode is observed for the TERS studies of both the undoped and the Mg doped GaN single nanowires. Surface optical mode of A 1 symmetry is also observed for both the undoped and the Mg doped GaN samples. A strong coupling of longitudinal optical (LO) phonons with free electrons, however, is reported only in the O rich single nanowires with the asymmetric A 1 (LO) mode. Study of the local vibration mode shows the presence of Mg as dopant in the single GaN nanowires

  4. Localized tip enhanced Raman spectroscopic study of impurity incorporated single GaN nanowire in the sub-diffraction limit

    Energy Technology Data Exchange (ETDEWEB)

    Patsha, Avinash, E-mail: avinash.phy@gmail.com, E-mail: dhara@igcar.gov.in; Dhara, Sandip; Tyagi, A. K. [Surface and Nanoscience Division, Indira Gandhi Centre for Atomic Research, Kalpakkam 603102 (India)

    2015-09-21

    The localized effect of impurities in single GaN nanowires in the sub-diffraction limit is reported using the study of lattice vibrational modes in the evanescent field of Au nanoparticle assisted tip enhanced Raman spectroscopy (TERS). GaN nanowires with the O impurity and the Mg dopants were grown by the chemical vapor deposition technique in the catalyst assisted vapor-liquid-solid process. Symmetry allowed Raman modes of wurtzite GaN are observed for undoped and doped nanowires. Unusually very strong intensity of the non-zone center zone boundary mode is observed for the TERS studies of both the undoped and the Mg doped GaN single nanowires. Surface optical mode of A{sub 1} symmetry is also observed for both the undoped and the Mg doped GaN samples. A strong coupling of longitudinal optical (LO) phonons with free electrons, however, is reported only in the O rich single nanowires with the asymmetric A{sub 1}(LO) mode. Study of the local vibration mode shows the presence of Mg as dopant in the single GaN nanowires.

  5. Thermal etching rate of GaN during MOCVD growth interruption in hydrogen and ammonia ambient determined by AlGaN/GaN superlattice structures

    Science.gov (United States)

    Zhang, Feng; Ikeda, Masao; Zhang, Shuming; Liu, Jianping; Tian, Aiqin; Wen, Pengyan; Cheng, Yang; Yang, Hui

    2017-10-01

    Thermal etching effect of GaN during growth interruption in the metalorganic chemical vapor deposition reactor was investigated in this paper. The thermal etching rate was determined by growing a series of AlGaN/GaN superlattice structures with fixed GaN growth temperature at 735 °C and various AlGaN growth temperature changing from 900 °C to 1007 °C. It was observed that the GaN layer was etched off during the growth interruption when the growth temperature ramped up to AlGaN growth temperature. The etching thickness was determined by high resolution X-ray diffractometer and the etching rate was deduced accordingly. An activation energy of 2.53 eV was obtained for the thermal etching process.

  6. A new system for sodium flux growth of bulk GaN. Part II: in situ investigation of growth processes

    KAUST Repository

    Von Dollen, Paul

    2016-09-09

    We report recent results of bulk GaN crystal growth using the sodium flux method in a new crucible-free growth system. We observed a (0001) Ga face (+c-plane) growth rate >50 µm/h for growth at a N2 overpressure of ~5 MPa and 860 °C, which is the highest crystal growth rate reported for this technique to date. Omega X-ray rocking curve (ω-XRC) measurements indicated the presence of multiple grains, though full width at half maximum (FWHM) values for individual peaks were <100 arcseconds. Oxygen impurity concentrations as measured by secondary ion mass spectroscopy (SIMS) were >1020 atoms/cm3. By monitoring the nitrogen pressure decay over the course of the crystal growth, we developed an in situ method that correlates gas phase changes with precipitation of GaN from the sodium-gallium melt. Based on this analysis, the growth rate may have actually been as high as 90 µm/h, as it would suggest GaN growth ceased prior to the end of the run. We also observed gas phase behavior identified as likely characteristic of GaN polynucleation.

  7. A new system for sodium flux growth of bulk GaN. Part II: in situ investigation of growth processes

    KAUST Repository

    Von Dollen, Paul; Pimputkar, Siddha; Alreesh, Mohammed Abo; Nakamura, Shuji; Speck, James S.

    2016-01-01

    We report recent results of bulk GaN crystal growth using the sodium flux method in a new crucible-free growth system. We observed a (0001) Ga face (+c-plane) growth rate >50 µm/h for growth at a N2 overpressure of ~5 MPa and 860 °C, which is the highest crystal growth rate reported for this technique to date. Omega X-ray rocking curve (ω-XRC) measurements indicated the presence of multiple grains, though full width at half maximum (FWHM) values for individual peaks were <100 arcseconds. Oxygen impurity concentrations as measured by secondary ion mass spectroscopy (SIMS) were >1020 atoms/cm3. By monitoring the nitrogen pressure decay over the course of the crystal growth, we developed an in situ method that correlates gas phase changes with precipitation of GaN from the sodium-gallium melt. Based on this analysis, the growth rate may have actually been as high as 90 µm/h, as it would suggest GaN growth ceased prior to the end of the run. We also observed gas phase behavior identified as likely characteristic of GaN polynucleation.

  8. Optimum Er concentration for in situ doped GaN visible and infrared luminescence

    International Nuclear Information System (INIS)

    Lee, D. S.; Heikenfeld, J.; Steckl, A. J.; Hommerich, U.; Seo, J. T.; Braud, A.; Zavada, J.

    2001-01-01

    GaN thin films have been doped with varying Er concentrations (0.01--10 at.%) during molecular-beam-epitaxy growth. As expected, the visible and infrared (IR) emissions, from photoluminescence (PL) and electroluminescence (EL), are a strong function of Er concentration. We report on the determination of an optimum Er doping level for PL and EL intensity. Secondary ion mass spectroscopy and Rutherford backscattering measurements showed that the Er concentration in GaN increased exponentially with Er cell temperature. PL and EL intensity of green emission at 537 and 558 nm, due to Er 4f--4f inner shell transitions, exhibited a maximum at ∼1 at.% Er. IR PL intensity at 1.54 μm, due to another Er transition, revealed the same maximum for ∼1 at.% Er concentration. PL lifetime measurements at 537 nm showed that samples with Er concentration <1 at.% had a lifetime of ∼5 μs. For Er concentration ≥1 at.%, the lifetime decreased rapidly to values below 1 μs. This concentration quenching is believed to be due to a combination of Er cross relaxation and energy transfer to GaN defects, eventually followed by precipitation. This conclusion is supported by x-ray diffraction measurements. As a result, we have determined that the optimum Er doping concentration into GaN is ∼1 at.%. Copyright 2001 American Institute of Physics

  9. Transmission electron microscopy of defects and internal fields in GaN structures

    Energy Technology Data Exchange (ETDEWEB)

    Mokhtari, H

    2001-07-01

    The main aim of this study was to understand the microstructure of GaN and InGaN/GaN and to examine electric fields around the defects, and across the quantum wells by electron holography. For this reason different types of GaN and InGaN/GaN samples have been prepared and studied. Conventional transmission electron microscopy has been used for structural study of two MBE grown GaN/GaAs samples, grown at room temperature and at 340 deg C. The structure of the samples were found to be hexagonal polycrystalline in an amorphous GaN matrix, and textured hexagonal polycrystalline material respectively. The experimental results indicate that the higher growth temperature results in a more crystalline material with a higher density of bigger grain sizes. Different types of undoped and Si doped GaN/Sapphire samples were studied, with respect to the defect structure in GaN films. GaN was found to be a highly defective material with a dislocation density of 10{sup 9}/cm{sup 2}. The majority of the dislocations are edge dislocations. It has been found that nanopipes are open core screw dislocations, and the population and size of the nanopipes is proportional to the Si doping concentration. Dislocation structures were found to depend on the Si doping level in the material, with higher Si doping giving a lower density of dislocations with a more random distribution. In addition some EELS, EDX and HRTEM have been performed on the nanopipes and dislocations in order to investigate Si segregation in the defects. In MBE grown GaN/In{sub 0.1}Ga{sub 0.9}N/GaN SQWs and MQWs, V shaped defects were found to be present in the InGaN regions, which locally reduced the width of the InGaN layers. (author)

  10. Transmission electron microscopy of defects and internal fields in GaN structures

    International Nuclear Information System (INIS)

    Mokhtari, H.

    2001-07-01

    The main aim of this study was to understand the microstructure of GaN and InGaN/GaN and to examine electric fields around the defects, and across the quantum wells by electron holography. For this reason different types of GaN and InGaN/GaN samples have been prepared and studied. Conventional transmission electron microscopy has been used for structural study of two MBE grown GaN/GaAs samples, grown at room temperature and at 340 deg C. The structure of the samples were found to be hexagonal polycrystalline in an amorphous GaN matrix, and textured hexagonal polycrystalline material respectively. The experimental results indicate that the higher growth temperature results in a more crystalline material with a higher density of bigger grain sizes. Different types of undoped and Si doped GaN/Sapphire samples were studied, with respect to the defect structure in GaN films. GaN was found to be a highly defective material with a dislocation density of 10 9 /cm 2 . The majority of the dislocations are edge dislocations. It has been found that nanopipes are open core screw dislocations, and the population and size of the nanopipes is proportional to the Si doping concentration. Dislocation structures were found to depend on the Si doping level in the material, with higher Si doping giving a lower density of dislocations with a more random distribution. In addition some EELS, EDX and HRTEM have been performed on the nanopipes and dislocations in order to investigate Si segregation in the defects. In MBE grown GaN/In 0.1 Ga 0.9 N/GaN SQWs and MQWs, V shaped defects were found to be present in the InGaN regions, which locally reduced the width of the InGaN layers. (author)

  11. GaN and LED structures grown on pre-patterned silicon pillar arrays

    Energy Technology Data Exchange (ETDEWEB)

    Li, Shunfeng; Fuendling, Soenke; Soekmen, Uensal; Merzsch, Stephan; Neumann, Richard; Peiner, Erwin; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig, Hans-Sommer-Strasse 66, 38106 Braunschweig (Germany); Hinze, Peter; Weimann, Thomas [Physikalisch-Technische Bundesanstalt (PTB), Bundesallee 100, 38116 Braunschweig (Germany); Jahn, Uwe; Trampert, Achim; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvoigteiplatz 5-7, 10117 Berlin (Germany)

    2010-01-15

    GaN nanorods (or nanowires) have attracted great interest in a variety of applications, e.g. high-efficiency light emitting diodes, monolithic white light emission and optical interconnection due to their superior properties. In contrast to the mostly investigated self-assembled growth of GaN nanorods, we performed GaN nanorod growth by pre-patterning of the Si substrates. The pattern was transferred to Si substrates by photolithography and cryo-temperature inductively-coupled plasma etching. These Si templates then were used for further GaN nanorod growth by metal-organic vapour phase epitaxy (MOVPE). The low temperature AlN nucleation layer had to be optimized since it differs from its 2D layer counterpart on the surface area and orientations. We found a strong influence of diffusion processes, i.e. the GaN grown on top of the Si nanopillars can deplete the GaN around the Si pillars. Transmission electron microscopy measurements demonstrated clearly that the threading dislocations bend to the side facets of the pyramidal GaN nanostructures and terminate. Cathodoluminescence measurements reveal a difference of In composition and/or thickness of InGaN quantum wells on the different facets of the pyramidal GaN nanostructures. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Red shift of near band edge emission in cerium implanted GaN

    International Nuclear Information System (INIS)

    Majid, Abdul; Ali, Akbar

    2009-01-01

    Rare earth (RE) doping in GaN is a promising technology to control the optical properties. However, there are no reports on doping of cerium (Ce) into GaN, which is a very unique RE element. In this paper, we performed photoluminescence (PL) and optical transmission measurements on Ce-doped GaN for the first time. A significant red shift of about 120 meV was observed in the PL peak position of the donor bound excitons. This red shift of near band emission was corroborated by the red shift of the absorption edge related to GaN in the optical transmission measurements. This observation is attributed to the band gap narrowing in GaN heavily doped with Ce. The activation energy of the Ce-related shallow donor is found to be 21.9 meV in GaN.

  13. Red shift of near band edge emission in cerium implanted GaN

    Energy Technology Data Exchange (ETDEWEB)

    Majid, Abdul; Ali, Akbar, E-mail: abdulmajid40@yahoo.co, E-mail: akbar@qau.edu.p [Advance Materials Physics Laboratory, Physics Department, Quaid-i-Azam University, Islamabad (Pakistan)

    2009-02-21

    Rare earth (RE) doping in GaN is a promising technology to control the optical properties. However, there are no reports on doping of cerium (Ce) into GaN, which is a very unique RE element. In this paper, we performed photoluminescence (PL) and optical transmission measurements on Ce-doped GaN for the first time. A significant red shift of about 120 meV was observed in the PL peak position of the donor bound excitons. This red shift of near band emission was corroborated by the red shift of the absorption edge related to GaN in the optical transmission measurements. This observation is attributed to the band gap narrowing in GaN heavily doped with Ce. The activation energy of the Ce-related shallow donor is found to be 21.9 meV in GaN.

  14. Positron annihilation study of Pd contacts on impurity-doped GaN

    International Nuclear Information System (INIS)

    Lee, Jong-Lam; Kim, Jong Kyu; Weber, Marc H.; Lynn, Kelvin G.

    2001-01-01

    Pd contacts on both n-type and p-type GaN were studied using positron annihilation spectroscopy, and the results were used to interpret the role of Ga vacancies on the band bending below the contacts. The concentration of Ga vacancy in Si-doped GaN was higher than that in the Mg-doped one. In Si-doped GaN, implanted positrons were annihilated at the nearer surface region and the interface of Pd/n-type GaN was detected by positrons clearly shifted toward the surface of Pd. This suggests that Ga vacancies could act as an interface state, pinning the Fermi level at the interface of Pd with GaN, leading to the production of a negative electric field below the interface. [copyright] 2001 American Institute of Physics

  15. A Frontal Attack on Limiting Defects in GaN

    National Research Council Canada - National Science Library

    Morkoc, Hadis

    2002-01-01

    GaN community, particularly under the leadership of Drs. Wood, Win, and Litton, recognized that it is imperative that the extended, and point defects in GaN and related materials, and the mechanisms for their formation are understood...

  16. The origins and properties of intrinsic nonradiative recombination centers in wide bandgap GaN and AlGaN

    Science.gov (United States)

    Chichibu, S. F.; Uedono, A.; Kojima, K.; Ikeda, H.; Fujito, K.; Takashima, S.; Edo, M.; Ueno, K.; Ishibashi, S.

    2018-04-01

    The nonradiative lifetime (τNR) of the near-band-edge emission in various quality GaN samples is compared with the results of positron annihilation measurement, in order to identify the origin and to determine the capture-cross-section of the major intrinsic nonradiative recombination centers (NRCs). The room-temperature τNR of various n-type GaN samples increased with decreasing the concentration of divacancies composed of a Ga vacancy (VGa) and a N vacancy (VN), namely, VGaVN. The τNR value also increased with increasing the diffusion length of positrons, which is almost proportional to the inverse third root of the gross concentration of all point defects. The results indicate that major intrinsic NRC in n-type GaN is VGaVN. From the relationship between its concentration and τNR, its hole capture-cross-section is estimated to be about 7 × 10-14 cm2. Different from the case of 4H-SiC, the major NRCs in p-type and n-type GaN are different: the major NRCs in Mg-doped p-type GaN epilayers are assigned to multiple vacancies containing a VGa and two (or three) VNs, namely, VGa(VN)n (n = 2 or 3). The ion-implanted Mg-doped GaN films are found to contain larger size vacancy complexes such as (VGa)3(VN)3. In analogy with GaN, major NRCs in Al0.6Ga0.4N alloys are assigned to vacancy complexes containing an Al vacancy or a VGa.

  17. The origin of the residual conductivity of GaN films on ferroelectric materials

    Science.gov (United States)

    Lee, Kyoung-Keun; Cai, Zhuhua; Ziemer, Katherine; Doolittle, William Alan

    2009-08-01

    In this paper, the origin of the conductivity of GaN films grown on ferroelectric materials was investigated using XPS, AES, and XRD analysis tools. Depth profiles confirmed the existence of impurities in the GaN film originating from the substrates. Bonding energy analysis from XPS and AES verified that oxygen impurities from the substrates were the dominant origin of the conductivity of the GaN film. Furthermore, Ga-rich GaN films have a greater chance of enhancing diffusion of lithium oxide from the substrates, resulting in more substrate phase separation and a wider inter-mixed region confirmed by XRD. Therefore, the direct GaN film growth on ferroelectric materials causes impurity diffusion from the substrates, resulting in highly conductive GaN films. Future work needs to develop non-conductive buffer layers for impurity suppression in order to obtain highly resistive GaN films.

  18. Ultrathin silicon oxynitride layer on GaN for dangling-bond-free GaN/insulator interface.

    Science.gov (United States)

    Nishio, Kengo; Yayama, Tomoe; Miyazaki, Takehide; Taoka, Noriyuki; Shimizu, Mitsuaki

    2018-01-23

    Despite the scientific and technological importance of removing interface dangling bonds, even an ideal model of a dangling-bond-free interface between GaN and an insulator has not been known. The formation of an atomically thin ordered buffer layer between crystalline GaN and amorphous SiO 2 would be a key to synthesize a dangling-bond-free GaN/SiO 2 interface. Here, we predict that a silicon oxynitride (Si 4 O 5 N 3 ) layer can epitaxially grow on a GaN(0001) surface without creating dangling bonds at the interface. Our ab initio calculations show that the GaN/Si 4 O 5 N 3 structure is more stable than silicon-oxide-terminated GaN(0001) surfaces. The electronic properties of the GaN/Si 4 O 5 N 3 structure can be tuned by modifying the chemical components near the interface. We also propose a possible approach to experimentally synthesize the GaN/Si 4 O 5 N 3 structure.

  19. Insufficiency of the Young’s modulus for illustrating the mechanical behavior of GaN nanowires

    Science.gov (United States)

    Zamani Kouhpanji, Mohammad Reza; Behzadirad, Mahmoud; Feezell, Daniel; Busani, Tito

    2018-05-01

    We use a non-classical modified couple stress theory including the acceleration gradients (MCST-AG), to precisely demonstrate the size dependency of the mechanical properties of gallium nitride (GaN) nanowires (NWs). The fundamental elastic constants, Young’s modulus and length scales of the GaN NWs were estimated both experimentally, using a novel experimental technique applied to atomic force microscopy, and theoretically, using atomic simulations. The Young’s modulus, static and the dynamic length scales, calculated with the MCST-AG, were found to be 323 GPa, 13 and 14.5 nm, respectively, for GaN NWs from a few nanometers radii to bulk radii. Analyzing the experimental data using the classical continuum theory shows an improvement in the experimental results by introducing smaller error. Using the length scales determined in MCST-AG, we explain the inconsistency of the Young’s moduli reported in recent literature, and we prove the insufficiency of the Young’s modulus for predicting the mechanical behavior of GaN NWs.

  20. A new circuit for at-speed scan SoC testing

    International Nuclear Information System (INIS)

    Lin Wei; Shi Wenlong

    2013-01-01

    It is very important to detect transition-delay faults and stuck-at faults in system on chip (SoC) under 90 nm processing technology, and the transition-delay faults can only be detected by using an at-speed testing method. In this paper, an on-chip clock (OCC) controller with a bypass function based on an internal phase-locked loop is designed to test faults in SoC. Furthermore, a clock chain logic which can eliminate the metastable state is realized to generate an enable signal for the OCC controller, and then, the test pattern is generated by automatic test pattern generation (ATPG) tools. Next, the scan test pattern is simulated by using the Synopsys tool and the correctness of the design is verified. The result shows that the design of an at-speed scan test in this paper is highly efficient for detecting timing-related defects. Finally, the 89.29% transition-delay fault coverage and the 94.50% stuck-at fault coverage are achieved, and it is successfully applied to an integrated circuit design. (semiconductor integrated circuits)

  1. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs.

    Science.gov (United States)

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-09-02

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This "compliant" buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 10(5) cm(-2). In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6" wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors.

  2. A Hybrid Multi-gate Model of a Gallium Nitride (GaN) High Electron Mobility Transistor (HEMT) Device Incorporating GaN-substrate Thermal Boundary Resistance

    Science.gov (United States)

    2012-10-01

    right by a pitch (P) and subsequently summed to provide a multi-gate superimposed temperature distribution ( TMG (x)). An example is shown in figure...temperature rise over the coolant, or the difference between the centerline multi gate junction temperature on the upper surface ( TMG ,GaN(0)) of the GaN...TC coolant temperature (°C) TCP(x) cold plate temperature distribution (°C) TGaN(x,y) temperature distribution within GaNC) TMG (x) multiple gate

  3. Characterization of GaN P-N Junction Grown on Si (111) Substrate by Plasma-assisted Molecular Beam Epitaxy

    International Nuclear Information System (INIS)

    Rosfariza Radzali; Rosfariza Radzali; Mohd Anas Ahmad; Zainuriah Hassan; Norzaini Zainal; Kwong, Y.F.; Woei, C.C.; Mohd Zaki Mohd Yusoff; Mohd Zaki Mohd Yusoff

    2011-01-01

    In this report, the growth of GaN pn junction on Si (111) substrate by plasma assisted molecular beam epitaxy (PAMBE) is presented. Doping of GaN p-n junction has been carried out using Si and Mg as n-type dopant and p-type dopants, respectively. The sample had been characterized by PL, Raman spectroscopy, HR-XRD and SEM. PL spectrum showed strong band edge emission of GaN at ∼364 nm, indicating good quality of the sample. The image of SEM cross section of the sample showed sharp interfaces. The presence of peak ∼657 cm -1 in Raman measurement exhibited successful doping of Mg in the sample. (author)

  4. GaN thin films growth and their application in photocatalytic removal of sulforhodamine B from aqueous solution under UV pulsed laser irradiation.

    Science.gov (United States)

    Gondal, Mohammed A; Chang, Xiao F; Yamani, Zain H; Yang, Guo F; Ji, Guang B

    2011-01-01

    Single-crystalline Gallium Nitride (GaN) thin films were fabricated and grown by metal organic chemical vapor deposition (MOCVD) method on c-plane sapphire substrates and then characterized by high resolution-X-ray diffraction (HR-XRD) and photoluminescence (PL) measurements. The photocatalytic decomposition of Sulforhodamine B (SRB) molecules on GaN thin films was investigated under 355 nm pulsed UV laser irradiation. The results demonstrate that as-grown GaN thin films exhibited efficient degradation of SRB molecules and exhibited an excellent photocatalytic-activity-stability under UV pulsed laser exposure.

  5. Effects of Gan Lian Yuping Feng powder on the antibody titers to ...

    African Journals Online (AJOL)

    The study was conducted in order to investigate the immuno-enhancing property of the Chinese herbal formula, Gan lian Yu ping feng powder. Three hundred and thirty six 45-day-old chicks were randomly divided into eight groups. The chicks in groups A, B, C were orally given 0.25 g/mL (low-)C0.5 g/mL (middle-) and 1.0 ...

  6. Density-dependent electron transport and precise modeling of GaN high electron mobility transistors

    Energy Technology Data Exchange (ETDEWEB)

    Bajaj, Sanyam, E-mail: bajaj.10@osu.edu; Shoron, Omor F.; Park, Pil Sung; Krishnamoorthy, Sriram; Akyol, Fatih; Hung, Ting-Hsiang [Department of Electrical and Computer Engineering, The Ohio State University, Columbus, Ohio 43210 (United States); Reza, Shahed; Chumbes, Eduardo M. [Raytheon Integrated Defense Systems, Andover, Massachusetts 01810 (United States); Khurgin, Jacob [Department of Electrical and Computer Engineering, Johns Hopkins University, Baltimore, Maryland 21218 (United States); Rajan, Siddharth [Department of Electrical and Computer Engineering, The Ohio State University, Columbus, Ohio 43210 (United States); Department of Material Science and Engineering, The Ohio State University, Columbus, Ohio 43210 (United States)

    2015-10-12

    We report on the direct measurement of two-dimensional sheet charge density dependence of electron transport in AlGaN/GaN high electron mobility transistors (HEMTs). Pulsed IV measurements established increasing electron velocities with decreasing sheet charge densities, resulting in saturation velocity of 1.9 × 10{sup 7 }cm/s at a low sheet charge density of 7.8 × 10{sup 11 }cm{sup −2}. An optical phonon emission-based electron velocity model for GaN is also presented. It accommodates stimulated longitudinal optical (LO) phonon emission which clamps the electron velocity with strong electron-phonon interaction and long LO phonon lifetime in GaN. A comparison with the measured density-dependent saturation velocity shows that it captures the dependence rather well. Finally, the experimental result is applied in TCAD-based device simulator to predict DC and small signal characteristics of a reported GaN HEMT. Good agreement between the simulated and reported experimental results validated the measurement presented in this report and established accurate modeling of GaN HEMTs.

  7. Density-dependent electron transport and precise modeling of GaN high electron mobility transistors

    International Nuclear Information System (INIS)

    Bajaj, Sanyam; Shoron, Omor F.; Park, Pil Sung; Krishnamoorthy, Sriram; Akyol, Fatih; Hung, Ting-Hsiang; Reza, Shahed; Chumbes, Eduardo M.; Khurgin, Jacob; Rajan, Siddharth

    2015-01-01

    We report on the direct measurement of two-dimensional sheet charge density dependence of electron transport in AlGaN/GaN high electron mobility transistors (HEMTs). Pulsed IV measurements established increasing electron velocities with decreasing sheet charge densities, resulting in saturation velocity of 1.9 × 10 7  cm/s at a low sheet charge density of 7.8 × 10 11  cm −2 . An optical phonon emission-based electron velocity model for GaN is also presented. It accommodates stimulated longitudinal optical (LO) phonon emission which clamps the electron velocity with strong electron-phonon interaction and long LO phonon lifetime in GaN. A comparison with the measured density-dependent saturation velocity shows that it captures the dependence rather well. Finally, the experimental result is applied in TCAD-based device simulator to predict DC and small signal characteristics of a reported GaN HEMT. Good agreement between the simulated and reported experimental results validated the measurement presented in this report and established accurate modeling of GaN HEMTs

  8. Piezo-generator integrating a vertical array of GaN nanowires.

    Science.gov (United States)

    Jamond, N; Chrétien, P; Houzé, F; Lu, L; Largeau, L; Maugain, O; Travers, L; Harmand, J C; Glas, F; Lefeuvre, E; Tchernycheva, M; Gogneau, N

    2016-08-12

    We demonstrate the first piezo-generator integrating a vertical array of GaN nanowires (NWs). We perform a systematic multi-scale analysis, going from single wire properties to macroscopic device fabrication and characterization, which allows us to establish for GaN NWs the relationship between the material properties and the piezo-generation, and to propose an efficient piezo-generator design. The piezo-conversion of individual MBE-grown p-doped GaN NWs in a dense array is assessed by atomic force microscopy (AFM) equipped with a Resiscope module yielding an average output voltage of 228 ± 120 mV and a maximum value of 350 mV generated per NW. In the case of p-doped GaN NWs, the piezo-generation is achieved when a positive piezo-potential is created inside the nanostructures, i.e. when the NWs are submitted to compressive deformation. The understanding of the piezo-generation mechanism in our GaN NWs, gained from AFM analyses, is applied to design a piezo-generator operated under compressive strain. The device consists of NW arrays of several square millimeters in size embedded into spin-on glass with a Schottky contact for rectification and collection of piezo-generated carriers. The generator delivers a maximum power density of ∼12.7 mW cm(-3). This value sets the new state of the art for piezo-generators based on GaN NWs and more generally on nitride NWs, and offers promising prospects for the use of GaN NWs as high-efficiency ultra-compact energy harvesters.

  9. RADIATION PERFORMANCE OF GAN AND INAS/GAAS QUANTUM DOT BASED DEVICES SUBJECTED TO NEUTRON RADIATION

    Directory of Open Access Journals (Sweden)

    Dhiyauddin Ahmad Fauzi

    2017-05-01

    Full Text Available In addition to their useful optoelectronics functions, gallium nitride (GaN and quantum dots (QDs based structures are also known for their radiation hardness properties. With demands on such semiconductor material structures, it is important to investigate the differences in reliability and radiation hardness properties of these two devices. For this purpose, three sets of GaN light-emitting diode (LED and InAs/GaAs dot-in-a well (DWELL samples were irradiated with thermal neutron of fluence ranging from 3×1013 to 6×1014 neutron/cm2 in PUSPATI TRIGA research reactor. The radiation performances for each device were evaluated based on the current-voltage (I-V and capacitance-voltage (C-V electrical characterisation method. Results suggested that the GaN based sample is less susceptible to electrical changes due to the thermal neutron radiation effects compared to the QD based sample.

  10. Characterization of vertical GaN p–n diodes and junction field-effect transistors on bulk GaN down to cryogenic temperatures

    International Nuclear Information System (INIS)

    Kizilyalli, I C; Aktas, O

    2015-01-01

    There is great interest in wide-bandgap semiconductor devices and most recently in vertical GaN structures for power electronic applications such as power supplies, solar inverters and motor drives. In this paper the temperature-dependent electrical behavior of vertical GaN p–n diodes and vertical junction field-effect transistors fabricated on bulk GaN substrates of low defect density (10 4 to 10 6 cm −2 ) is described. Homoepitaxial MOCVD growth of GaN on its native substrate and the ability to control the doping in the drift layers in GaN have allowed the realization of vertical device architectures with drift layer thicknesses of 6 to 40 μm and net carrier electron concentrations as low as 1 × 10 15 cm −3 . This parameter range is suitable for applications requiring breakdown voltages of 1.2 kV to 5 kV. Mg, which is used as a p-type dopant in GaN, is a relatively deep acceptor (E A  ≈ 0.18 eV) and susceptible to freeze-out at temperatures below 200 K. The loss of holes in p-GaN has a deleterious effect on p–n junction behavior, p-GaN contacts and channel control in junction field-effect transistors at temperatures below 200 K. Impact ionization-based avalanche breakdown (BV > 1200 V) in GaN p–n junctions is characterized between 77 K and 423 K for the first time. At higher temperatures the p–n junction breakdown voltage improves due to increased phonon scattering. A positive temperature coefficient in the breakdown voltage is demonstrated down to 77 K; however, the device breakdown characteristics are not as abrupt at temperatures below 200 K. On the other hand, contact resistance to p-GaN is reduced dramatically above room temperature, improving the overall device performance in GaN p–n diodes in all cases except where the n-type drift region resistance dominates the total forward resistance. In this case, the electron mobility can be deconvolved and is found to decrease with T −3/2 , consistent with a phonon scattering model. Also

  11. Fabrication and Characterization of Micro-membrane GaN Light Emitting Diodes

    KAUST Repository

    Liao, Hsien-Yu

    2015-05-01

    Developing etching of GaN material system is the key to device fabrications. In this thesis, we report on the fabrication of high throughput lift-off of InGaN/GaN based micro-membrane light emitting diode (LED) from sapphire substrate using UV-assisted photoelectroless chemical (PEsC) etching. Unlike existing bandgap selective etching based on unconventional sacrificial layer, the current hydrofluoric acid based wet etching process enables the selective etching of undoped GaN layer already incorporated in standard commercial LED structures, thus attaining the leverage on high performance device design, and facile wet process technology. The lift-off micro-membrane LED showed 16% alleviated quantum efficiency droop under 200 mA/cm2 current injection, demonstrating the advantage of LED epitaxy exfoliation from the lattice-mismatched sapphire substrate. The origin of the performance improvement was investigated based on non-destructive characterization methods. Photoluminescence (PL) characterization showed a 7nm peak emission wavelength shift in the micro-membrane LED compared to the GaN-on-Sapphire LED. The Raman spectroscopy measurements correlate well with the PL observation that a 0.86 GPa relaxed compressive biaxial strain was achieved after the lift-off process. The micro-membrane LED technology enables further heterogeneous integration for forming pixelated red, green, blue (RGB) display on flexible and transparent substrate. The development of discrete and membrane LEDs using nano-fiber paper as the current spreading layer was also explored for such integration.

  12. Spin injection in epitaxial MnGa(111)/GaN(0001) heterostructures

    Science.gov (United States)

    Zube, Christian; Malindretos, Joerg; Watschke, Lars; Zamani, Reza R.; Disterheft, David; Ulbrich, Rainer G.; Rizzi, Angela; Iza, Michael; Keller, Stacia; DenBaars, Steven P.

    2018-01-01

    Ferromagnetic MnGa(111) layers were grown on GaN(0001) by molecular beam epitaxy. MnGa/GaN Schottky diodes with a doping level of around n = 7 × 1018 cm-3 were fabricated to achieve single step tunneling across the metal/semiconductor junction. Below the GaN layer, a thin InGaN quantum well served as optical spin detector ("spin-LED"). For electron spin injection from MnGa into GaN and subsequent spin transport through a 45 nm (70 nm) thick GaN layer, we observe a circular polarization of 0.3% (0.2%) in the electroluminescence at 80 K. Interface mixing, spin polarization losses during electrical transport in the GaN layer, and spin relaxation in the InGaN quantum well are discussed in relation with the low value of the optically detected spin polarization.

  13. Thermal Annealing induced relaxation of compressive strain in porous GaN structures

    KAUST Repository

    Ben Slimane, Ahmed; Najar, Adel; Ng, Tien Khee; Ooi, Boon S.

    2012-01-01

    The effect of annealing on strain relaxation in porous GaN fabricated using electroless chemical etching is presented. The Raman shift of 1 cm-1 in phonon frequency of annealed porous GaN with respect to as-grown GaN corresponds to a relaxation

  14. Electronic and Optical Properties of Two-Dimensional GaN from First-Principles.

    Science.gov (United States)

    Sanders, Nocona; Bayerl, Dylan; Shi, Guangsha; Mengle, Kelsey A; Kioupakis, Emmanouil

    2017-12-13

    Gallium nitride (GaN) is an important commercial semiconductor for solid-state lighting applications. Atomically thin GaN, a recently synthesized two-dimensional material, is of particular interest because the extreme quantum confinement enables additional control of its light-emitting properties. We performed first-principles calculations based on density functional and many-body perturbation theory to investigate the electronic, optical, and excitonic properties of monolayer and bilayer two-dimensional (2D) GaN as a function of strain. Our results demonstrate that light emission from monolayer 2D GaN is blueshifted into the deep ultraviolet range, which is promising for sterilization and water-purification applications. Light emission from bilayer 2D GaN occurs at a similar wavelength to its bulk counterpart due to the cancellation of the effect of quantum confinement on the optical gap by the quantum-confined Stark shift. Polarized light emission at room temperature is possible via uniaxial in-plane strain, which is desirable for energy-efficient display applications. We compare the electronic and optical properties of freestanding two-dimensional GaN to atomically thin GaN wells embedded within AlN barriers in order to understand how the functional properties are influenced by the presence of barriers. Our results provide microscopic understanding of the electronic and optical characteristics of GaN at the few-layer regime.

  15. Comb-drive GaN micro-mirror on a GaN-on-silicon platform

    International Nuclear Information System (INIS)

    Wang, Yongjin; Sasaki, Takashi; Wu, Tong; Hu, Fangren; Hane, Kazuhiro

    2011-01-01

    We report here a double-sided process for the fabrication of a comb-drive GaN micro-mirror on a GaN-on-silicon platform. A silicon substrate is first patterned from the backside and removed by deep reactive ion etching, resulting in totally suspended GaN slabs. GaN microstructures including the torsion bars, movable combs and mirror plate are then defined on a freestanding GaN slab by the backside alignment technique and generated by fast atom beam etching with Cl 2 gas. Although the fabricated comb-drive GaN micro-mirrors are deflected by the residual stress in GaN thin films, they can operate on a high resistivity silicon substrate without introducing any additional isolation layer. The optical rotation angles are experimentally characterized in the rotation experiments. This work opens the possibility of producing GaN optical micro-electro-mechanical-system (MEMS) devices on a GaN-on-silicon platform.

  16. Normally-off GaN Transistors for Power Applications

    International Nuclear Information System (INIS)

    Hilt, O; Bahat-Treidel, E; Brunner, F; Knauer, A; Zhytnytska, R; Kotara, P; Wuerfl, J

    2014-01-01

    Normally-off high voltage GaN-HFETs for switching applications are presented. Normally-off operation with threshold voltages of 1 V and more and with 5 V gate swing has been obtained by using p-type GaN as gate. Different GaN-based buffer types using doping and backside potential barriers have been used to obtain blocking strengths up to 1000 V. The increase of the dynamic on-state resistance is analyzed for the different buffer types. The best trade-off between low dispersion and high blocking strength was obtained for a modified carbon-doped GaN-buffer that showed a 2.6x increase of the dynamic on-state resistance for 500 V switching as compared to switching from 20 V off-state drain bias. Device operation up to 200 °C ambient temperature without any threshold voltage shift is demonstrated.

  17. Polarity control of GaN epitaxial films grown on LiGaO2(001) substrates and its mechanism.

    Science.gov (United States)

    Zheng, Yulin; Wang, Wenliang; Li, Xiaochan; Li, Yuan; Huang, Liegen; Li, Guoqiang

    2017-08-16

    The polarity of GaN epitaxial films grown on LiGaO 2 (001) substrates by pulsed laser deposition has been well controlled. It is experimentally proved that the GaN epitaxial films grown on nitrided LiGaO 2 (001) substrates reveal Ga-polarity, while the GaN epitaxial films grown on non-nitrided LiGaO 2 (001) substrates show N-polarity. The growth mechanisms for these two cases are systematically studied by first-principles calculations based on density functional theory. Theoretical calculation presents that the adsorption of a Ga atom preferentially occurs at the center of three N atoms stacked on the nitrided LiGaO 2 (001) substrates, which leads to the formation of Ga-polarity GaN. Whereas the adsorption of a Ga atom preferentially deposits at the top of a N atom stacked on the non-nitrided LiGaO 2 (001) substrates, which results in the formation of N-polarity GaN. This work of controlling the polarity of GaN epitaxial films is of paramount importance for the fabrication of group-III nitride devices for various applications.

  18. Application of Generative Adversarial Networks (GANs) to jet images

    CERN Multimedia

    CERN. Geneva

    2017-01-01

    https://arxiv.org/abs/1701.05927 We provide a bridge between generative modeling in the Machine Learning community and simulated physical processes in High Energy Particle Physics by applying a novel Generative Adversarial Network (GAN) architecture to the production of jet images -- 2D representations of energy depositions from particles interacting with a calorimeter. We propose a simple architecture, the Location-Aware Generative Adversarial Network, that learns to produce realistic radiation patterns from simulated high energy particle collisions. The pixel intensities of GAN-generated images faithfully span over many orders of magnitude and exhibit the desired low-dimensional physical properties (i.e., jet mass, n-subjettiness, etc.). We shed light on limitations, and provide a novel empirical validation of image quality and validity of GAN-produced simulations of the natural world. This work provides a base for further explorations of GANs for use in faster simulation in High Energy Particle Physics.

  19. The effect of a slight mis-orientation angle of c-plane sapphire substrate on surface and crystal quality of MOCVD grown GaN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Seong-Woo; Suzuki, Toshimasa [Nippon Institute of Technology, 4-1 Gakuendai, Miyashiro, Saitama, 345-8501 (Japan); Aida, Hideo [NAMIKI Precision Jewel Co. Ltd., 3-8-22 Shinden, Adachi-ku, Tokyo, 123-8511 (Japan)

    2004-09-01

    The effect of a slight mis-orientation of c-plane sapphire substrate on the surface morphology and crystal quality of GaN thin films grown by MOCVD has been investigated. The mis-orientation angle of vicinal c-plane sapphire substrate was changed within the range of 0.00(zero)-1.00(one) degree, and the experimental results were compared with those on just angle (zero degree) c-plane sapphire substrate. The surface morphology and crystal quality were found to be very sensitive to mis-orientation angle. Consequently, the mis-orientation angle was optimized to be 0.15 . (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Electrical performance of GaN diode as betavoltaic isotope battery energy converter

    International Nuclear Information System (INIS)

    Wang Guanquan; Yang Yuqing; Liu Yebing; Hu Rui; Li Hao; Zhong Zhengkun; Luo Shunzhong

    2013-01-01

    Two kinds of GaN PiN diodes were prepared to be the energy converters of betavoltaic batteries, and irradiated by 63 Ni and 3 H radioactive sources. The I sc was 5.4 nA and V oc was 771 mV for 63 Ni source; the I sc was 10.8 nA and V oc was 839 mV for 3 H source. These results show that their V oc are far better than silicon diodes', but their I sc are poor. And there are some differences between the theory values and experiment results. There would be greatly improving space in electrical performance of beta voltaic isotope batteries with GaN diodes as the energy converters, if the dislocation could be reduced in GaN material producing process, the Ohmic contact could be prepared very well and the diodes configuration could be designed more optimizedly in the future. (authors)

  1. Mg doping and its effect on the semipolar GaN(1122) growth kinetics

    International Nuclear Information System (INIS)

    Lahourcade, L.; Wirthmueller, A.; Monroy, E.; Pernot, J.; Chauvat, M. P.; Ruterana, P.; Laufer, A.; Eickhoff, M.

    2009-01-01

    We report the effect of Mg doping on the growth kinetics of semipolar GaN(1122) synthesized by plasma-assisted molecular-beam epitaxy. Mg tends to segregate on the surface, inhibiting the formation of the self-regulated Ga film which is used as a surfactant for the growth of undoped and Si-doped GaN(1122). We observe an enhancement of Mg incorporation in GaN(1122) compared to GaN(0001). Typical structural defects or polarity inversion domains found in Mg-doped GaN(0001) were not observed for the semipolar films investigated in the present study.

  2. Use of GaN as a Scintillating Ionizing Radiation Detector

    Science.gov (United States)

    Wensman, Johnathan; Guardala, Noel; Mathur, Veerendra; Alasagas, Leslie; Vanhoy, Jeffrey; Statham, John; Marron, Daniel; Millett, Marshall; Marsh, Jarrod; Currie, John; Price, Jack

    2017-09-01

    Gallium nitride (GaN) is a III/V direct bandgap semiconductor which has been used in light emitting diodes (LEDs) since the 1990s. Currently, due to a potential for increased efficiency, GaN is being investigated as a replacement for silicon in power electronics finding potential uses ranging from data centers to electric vehicles. In addition to LEDs and power electronics though, doped GaN can be used as a gamma insensitive fast neutron detector due to the direct band-gap, light propagation properties, and response to ionizing radiations. Investigation of GaN as a semiconductor scintillator for use in a radiation detection system involves mapping the response function of the detector crystal over a range of photon and neutron energies, and measurements of light generation in the GaN crystal due to proton, alpha, and nitrogen projectiles. In this presentation we discuss the measurements made to date, and plausible interpretations of the response functions. This work funded in part by the Naval Surface Warfare Center, Carderock Division In-house Laboratory Independent Research program.

  3. Backward diodes using heavily Mg-doped GaN growth by ammonia molecular-beam epitaxy

    Science.gov (United States)

    Okumura, Hironori; Martin, Denis; Malinverni, Marco; Grandjean, Nicolas

    2016-02-01

    We grew heavily Mg-doped GaN using ammonia molecular-beam epitaxy. The use of low growth temperature (740 °C) allows decreasing the incorporation of donor-like defects (p-type doping compensation. As a result, a net acceptor concentration of 7 × 1019 cm-3 was achieved, and the hole concentration measured by Hall effect was as high as 2 × 1019 cm-3 at room temperature. Using such a high Mg doping level, we fabricated GaN backward diodes without polarization-assisted tunneling. The backward diodes exhibited a tunneling-current density of 225 A/cm2 at a reverse bias of -1 V at room temperature.

  4. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    International Nuclear Information System (INIS)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    Graphical abstract: - Highlights: • Effects of Mg doping on wet etching of N-polar GaN are illustrated and analysed. • Etching process model of Mg-doped N-polar GaN in KOH solution is purposed. • It is found that Mg doping can induce tensile strain in N-polar GaN film. • N-polar p-GaN film with a hole concentration of 2.4 × 10"1"7 cm"−"3 is obtained. - Abstract: KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 × 10"1"7 cm"−"3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  5. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Qianjin Street 2699, Changchun 130012 (China); Zhao, Degang [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Science, PO Box 912, Beijing 100083 (China); Zhang, Baolin; Du, Guotong [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Qianjin Street 2699, Changchun 130012 (China)

    2016-01-01

    Graphical abstract: - Highlights: • Effects of Mg doping on wet etching of N-polar GaN are illustrated and analysed. • Etching process model of Mg-doped N-polar GaN in KOH solution is purposed. • It is found that Mg doping can induce tensile strain in N-polar GaN film. • N-polar p-GaN film with a hole concentration of 2.4 × 10{sup 17} cm{sup −3} is obtained. - Abstract: KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 × 10{sup 17} cm{sup −3} was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  6. Determination of plant components degradation using ultrasonic C-scan

    International Nuclear Information System (INIS)

    Mohamad Pauzi Ismail; Suhairy Sani; Abdul Nassir Ibrahim

    2002-01-01

    C-scan Ultrasonic Inspection technique is increasingly used for the assessment of plant integrity. Due to the advancement of the equipment, Probability of Detection (POD) of this technique increased significantly as compared with the conventional techniques. Thus in many cases, the technique is accepted by engineers to be used to replace the conventional inspection methods such as visual inspections, thickness gauging and ultrasonic B-Scan. Thickness gauging and ultrasonic B-scan is still widely used by industries. However, both techniques have their own disadvantages. The most notable disadvantages of these techniques are related to the reliability of readings given by the equipment. In addition to this, thickness gauge would only provide data at certain points and B-scan would only provide data for certain lines. This paper presents and discusses results of C-scan measurement performed in power generation, chemical and petro-chemical plants. Due to its high accuracy, results from these measurements were used to establish the true condition of plant and to calculate its remaining safe life. Results presented in this paper include those related to corrosion, erosion and lamination in acid and gas pipelines, finger sludge catcher, steam drums in vessels and piping and electron beam machine. (Author)

  7. Modification of GaN(0001) growth kinetics by Mg doping

    International Nuclear Information System (INIS)

    Monroy, E.; Andreev, T.; Holliger, P.; Bellet-Amalric, E.; Shibata, T.; Tanaka, M.; Daudin, B.

    2004-01-01

    We have studied the effect of Mg doping on the surface kinetics of GaN during growth by plasma-assisted molecular-beam epitaxy. Mg tends to segregate on the surface of GaN, inhibiting the formation of the self-regulated Ga film which is used as a surfactant for the growth of undoped and Si-doped GaN. The growth window is hence significantly reduced. Higher growth temperatures lead to an enhancement of Mg segregation and an improvement of the surface morphology

  8. Probing exciton density of states through phonon-assisted emission in GaN epilayers: A and B exciton contributions

    Science.gov (United States)

    Cavigli, Lucia; Gabrieli, Riccardo; Gurioli, Massimo; Bogani, Franco; Feltin, Eric; Carlin, Jean-François; Butté, Raphaël; Grandjean, Nicolas; Vinattieri, Anna

    2010-09-01

    A detailed experimental investigation of the phonon-assisted emission in a high-quality c -plane GaN epilayer is presented up to 200 K. By performing photoluminescence and reflectivity measurements, we find important etaloning effects in the phonon-replica spectra, which have to be corrected before addressing the lineshape analysis. Direct experimental evidence for free exciton thermalization is found for the whole temperature range investigated. A close comparison with existing models for phonon replicas originating from a thermalized free exciton distribution shows that the simplified and commonly adopted description of the exciton-phonon interaction with a single excitonic band leads to a large discrepancy with experimental data. Only the consideration of the complex nature of the excitonic band in GaN, including A and B exciton contributions, allows accounting for the temperature dependence of the peak energy, intensity, and lineshape of the phonon replicas.

  9. Thermal quenching of the yellow luminescence in GaN

    Science.gov (United States)

    Reshchikov, M. A.; Albarakati, N. M.; Monavarian, M.; Avrutin, V.; Morkoç, H.

    2018-04-01

    We observed varying thermal quenching behavior of the yellow luminescence band near 2.2 eV in different GaN samples. In spite of the different behavior, the yellow band in all the samples is caused by the same defect—the YL1 center. In conductive n-type GaN, the YL1 band quenches with exponential law, and the Arrhenius plot reveals an ionization energy of ˜0.9 eV for the YL1 center. In semi-insulating GaN, an abrupt and tunable quenching of the YL1 band is observed, where the apparent activation energy in the Arrhenius plot is not related to the ionization energy of the defect. In this case, the ionization energy can be found by analyzing the shift of the characteristic temperature of PL quenching with excitation intensity. We conclude that only one defect, namely, the YL1 center, is responsible for the yellow band in undoped and doped GaN samples grown by different techniques.

  10. Mn doped GaN thin films and nanoparticles

    Czech Academy of Sciences Publication Activity Database

    Šofer, Z.; Sedmidubský, D.; Huber, Š.; Hejtmánek, Jiří; Macková, Anna; Fiala, R.

    2012-01-01

    Roč. 9, 8-9 (2012), s. 809-824 ISSN 1475-7435 R&D Projects: GA ČR GA104/09/0621 Institutional research plan: CEZ:AV0Z10100521; CEZ:AV0Z10480505 Keywords : GaN nanoparticles * GaN thin films * manganese * transition metals * MOVPE * ion implantations Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.087, year: 2012

  11. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    Science.gov (United States)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 ÿ 1017 cm⿿3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  12. The influence of Fe doping on the surface topography of GaN epitaxial material

    International Nuclear Information System (INIS)

    Cui Lei; Yin Haibo; Jiang Lijuan; Wang Quan; Feng Chun; Xiao Hongling; Wang Cuimei; Wang Xiaoliang; Gong Jiamin; Zhang Bo; Li Baiquan; Wang Zhanguo

    2015-01-01

    Fe doping is an effective method to obtain high resistivity GaN epitaxial material. But in some cases, Fe doping could result in serious deterioration of the GaN material surface topography, which will affect the electrical properties of two dimensional electron gas (2DEG) in HEMT device. In this paper, the influence of Fe doping on the surface topography of GaN epitaxial material is studied. The results of experiments indicate that the surface topography of Fe-doped GaN epitaxial material can be effectively improved and the resistivity could be increased after increasing the growth rate of GaN materials. The GaN material with good surface topography can be manufactured when the Fe doping concentration is 9 × 10 19 cm −3 . High resistivity GaN epitaxial material which is 1 × 10 9 Ω·cm is achieved. (paper)

  13. Lateral epitaxial overgrowth of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, Yongjin; Hu, Fangren; Hane, Kazuhiro

    2011-01-01

    We report here the lateral epitaxial overgrowth (LEO) of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy (MBE) growth with radio frequency nitrogen plasma as a gas source. Two kinds of GaN nanostructures are defined by electron beam lithography and realized on a GaN substrate by fast atom beam etching. The epitaxial growth of GaN by MBE is performed on the prepared GaN template, and the selective growth of GaN takes place with the assistance of GaN nanostructures. The LEO of GaN produces novel GaN epitaxial structures which are dependent on the shape and the size of the processed GaN nanostructures. Periodic GaN hexagonal pyramids are generated inside the air holes, and GaN epitaxial strips with triangular section are formed in the grating region. This work provides a promising way for producing novel GaN-based devices by the LEO of GaN using the MBE technique

  14. Crystal Structures of GaN Nanodots by Nitrogen Plasma Treatment on Ga Metal Droplets

    Directory of Open Access Journals (Sweden)

    Yang-Zhe Su

    2018-06-01

    Full Text Available Gallium nitride (GaN is one of important functional materials for optoelectronics and electronics. GaN exists both in equilibrium wurtzite and metastable zinc-blende structural phases. The zinc-blende GaN has superior electronic and optical properties over wurtzite one. In this report, GaN nanodots can be fabricated by Ga metal droplets in ultra-high vacuum and then nitridation by nitrogen plasma. The size, shape, density, and crystal structure of GaN nanodots can be characterized by transmission electron microscopy. The growth parameters, such as pre-nitridation treatment on Si surface, substrate temperature, and plasma nitridation time, affect the crystal structure of GaN nanodots. Higher thermal energy could provide the driving force for the phase transformation of GaN nanodots from zinc-blende to wurtzite structures. Metastable zinc-blende GaN nanodots can be synthesized by the surface modification of Si (111 by nitrogen plasma, i.e., the pre-nitridation treatment is done at a lower growth temperature. This is because the pre-nitridation process can provide a nitrogen-terminal surface for the following Ga droplet formation and a nitrogen-rich condition for the formation of GaN nanodots during droplet epitaxy. The pre-nitridation of Si substrates, the formation of a thin SiNx layer, could inhibit the phase transformation of GaN nanodots from zinc-blende to wurtzite phases. The pre-nitridation treatment also affects the dot size, density, and surface roughness of samples.

  15. Analysis of reaction between c+a and -c+a dislocations in GaN layer grown on 4-inch Si(111) substrate with AlGaN/AlN strained layer superlattice by transmission electron microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Sugawara, Yoshihiro; Ishikawa, Yukari, E-mail: yukari@jfcc.or.jp [Japan Fine Ceramics Center, Atsuta, Nagoya, 456-8587 (Japan); Watanabe, Arata [Research Center for Nano Devices and Advanced Materials, Nagoya Institute of Technology, Nagoya, 466-8555 (Japan); Miyoshi, Makoto; Egawa, Takashi [Research Center for Nano Devices and Advanced Materials, Nagoya Institute of Technology, Nagoya, 466-8555 (Japan); Innovation Center for Multi-Business of Nitride Semiconductors, Nagoya Institute of Technoloy, Nagoya, 466-8555 (Japan)

    2016-04-15

    The behavior of dislocations in a GaN layer grown on a 4-inch Si(111) substrate with an AlGaN/AlN strained layer superlattice using horizontal metal-organic chemical vapor deposition was observed by transmission electron microscopy. Cross-sectional observation indicated that a drastic decrease in the dislocation density occurred in the GaN layer. The reaction of a dislocation (b=1/3[-211-3]) and anothor dislocation (b =1/3[-2113]) to form one dislocation (b =2/3[-2110]) in the GaN layer was clarified by plan-view observation using weak-beam dark-field and large-angle convergent-beam diffraction methods.

  16. SiC substrate defects and III-N heteroepitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Poust, B D [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Koga, T S [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Sandhu, R [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Heying, B [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Hsing, R [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Wojtowicz, M [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Khan, A [Department of Electrical Engineering, University of South Carolina, Columbia, SC (United States); Goorsky, M S [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States)

    2003-05-21

    This study addressed how defects in SiC substrates influence the crystallographic properties of AlGaN/GaN layers deposited by metallorganic vapour phase epitaxy and by molecular beam epitaxy. We employed double crystal reflection x-ray topography using symmetric (0008) and (00012) reflections with CuK{alpha} radiation ({lambda} = 1.54 A) to image dislocations, micropipes, and low angle boundaries in SiC substrates. Lattice strain near the core of a micropipe defect was estimated to be of the order of 10{sup -7}. The substrates investigated exhibited radial patterns of strain and, primarily, of tilt of the order of tens of arcsec. After deposition of the AlGaN and GaN layers, DCXRT images were generated from the substrate (0008) or (00012) and GaN epitaxial layer (0004) reflections. Full-width at half-maximum values ranging from {approx}100 to 300 arcsec were typical of the GaN reflections, while those of the 4H-SiC reflections were {approx}20-70 arcsec. Micropipes, tilt boundaries, and inclusions in the SiC were shown to produce structural defects in the GaN layers. A clear correlation between SiC substrate defects and GaN defects has been established.

  17. SiC substrate defects and III-N heteroepitaxy

    International Nuclear Information System (INIS)

    Poust, B D; Koga, T S; Sandhu, R; Heying, B; Hsing, R; Wojtowicz, M; Khan, A; Goorsky, M S

    2003-01-01

    This study addressed how defects in SiC substrates influence the crystallographic properties of AlGaN/GaN layers deposited by metallorganic vapour phase epitaxy and by molecular beam epitaxy. We employed double crystal reflection x-ray topography using symmetric (0008) and (00012) reflections with CuKα radiation (λ = 1.54 A) to image dislocations, micropipes, and low angle boundaries in SiC substrates. Lattice strain near the core of a micropipe defect was estimated to be of the order of 10 -7 . The substrates investigated exhibited radial patterns of strain and, primarily, of tilt of the order of tens of arcsec. After deposition of the AlGaN and GaN layers, DCXRT images were generated from the substrate (0008) or (00012) and GaN epitaxial layer (0004) reflections. Full-width at half-maximum values ranging from ∼100 to 300 arcsec were typical of the GaN reflections, while those of the 4H-SiC reflections were ∼20-70 arcsec. Micropipes, tilt boundaries, and inclusions in the SiC were shown to produce structural defects in the GaN layers. A clear correlation between SiC substrate defects and GaN defects has been established

  18. Light effect in photoionization of traps in GaN MESFETs

    Directory of Open Access Journals (Sweden)

    H. Arabshahi

    2009-09-01

    Full Text Available Trapping of hot electron behavior by trap centers located in buffer layer of a wurtzite phase GaN MESFET has been simulated using an ensemble Monte Carlo simulation. The results of the simulation show that the trap centers are responsible for current collapse in GaN MESFET at low temperatures. These electrical traps degrade the performance of the device at low temperature. On the opposite, a light-induced increase in the trap-limited drain current, results from the photoionization of trapped carriers and their return to the channel under the influence of the built in electric field associated with the trapped charge distribution. The simulated device geometries and doping are matched to the nominal parameters described for the experimental structures as closely as possible, and the predicted drain current and other electrical characteristics for the simulated device including trapping center effects show close agreement with the available experimental data.

  19. Inductively coupled plasma-induced defects in n-type GaN studied from Schottky diode characteristics

    International Nuclear Information System (INIS)

    Nakamura, W.; Tokuda, Y.; Ueda, H.; Kachi, T.

    2006-01-01

    Inductively coupled plasma-(ICP-)induced defects in n-type GaN have been studied from current-voltage (I-V) characteristics and deep-level transient spectroscopy (DLTS) for Schottky diodes fabricated on etched surfaces. The samples after ICP etching show the ohmic I-V characteristics. Schottky characteristics are obtained after annealing at 600 and 800 deg. C in N 2 , but are not restored to that of the control samples. DLTS shows that the effect of ICP etching is small on the region beyond 80 nm from the surface. These results suggest that there remain ICP-induced damage in the near-surface region after thermal annealing

  20. N-polar GaN epitaxy and high electron mobility transistors

    International Nuclear Information System (INIS)

    Wong, Man Hoi; Keller, Stacia; Dasgupta, Nidhi Sansaptak; Denninghoff, Daniel J; Kolluri, Seshadri; Brown, David F; Lu, Jing; Fichtenbaum, Nicholas A; Ahmadi, Elaheh; DenBaars, Steven P; Speck, James S; Mishra, Umesh K; Singisetti, Uttam; Chini, Alessandro; Rajan, Siddharth

    2013-01-01

    This paper reviews the progress of N-polar (0001-bar) GaN high frequency electronics that aims at addressing the device scaling challenges faced by GaN high electron mobility transistors (HEMTs) for radio-frequency and mixed-signal applications. Device quality (Al, In, Ga)N materials for N-polar heterostructures are developed using molecular beam epitaxy and metalorganic chemical vapor deposition. The principles of polarization engineering for designing N-polar HEMT structures will be outlined. The performance, scaling behavior and challenges of microwave power devices as well as highly-scaled depletion- and enhancement-mode devices employing advanced technologies including self-aligned processes, n+ (In,Ga)N ohmic contact regrowth and high aspect ratio T-gates will be discussed. Recent research results on integrating N-polar GaN with Si for prospective novel applications will also be summarized. (invited review)

  1. Basic Equations for the Modeling of Gallium Nitride (gan) High Electron Mobility Transistors (hemts)

    Science.gov (United States)

    Freeman, Jon C.

    2003-01-01

    Gallium nitride (GaN) is a most promising wide band-gap semiconductor for use in high-power microwave devices. It has functioned at 320 C, and higher values are well within theoretical limits. By combining four devices, 20 W has been developed at X-band. GaN High Electron Mobility Transistors (HEMTs) are unique in that the two-dimensional electron gas (2DEG) is supported not by intentional doping, but instead by polarization charge developed at the interface between the bulk GaN region and the AlGaN epitaxial layer. The polarization charge is composed of two parts: spontaneous and piezoelectric. This behavior is unlike other semiconductors, and for that reason, no commercially available modeling software exists. The theme of this document is to develop a self-consistent approach to developing the pertinent equations to be solved. A Space Act Agreement, "Effects in AlGaN/GaN HEMT Semiconductors" with Silvaco Data Systems to implement this approach into their existing software for III-V semiconductors, is in place (summer of 2002).

  2. Vectorial near-field imaging of a GaN based photonic crystal cavity

    International Nuclear Information System (INIS)

    La China, F.; Intonti, F.; Caselli, N.; Lotti, F.; Vinattieri, A.; Gurioli, M.; Vico Triviño, N.; Carlin, J.-F.; Butté, R.; Grandjean, N.

    2015-01-01

    We report a full optical deep sub-wavelength imaging of the vectorial components of the electric local density of states for the confined modes of a modified GaN L3 photonic crystal nanocavity. The mode mapping is obtained with a scanning near-field optical microscope operating in a resonant forward scattering configuration, allowing the vectorial characterization of optical passive samples. The optical modes of the investigated cavity emerge as Fano resonances and can be probed without the need of embedded light emitters or evanescent light coupling into the nanocavity. The experimental maps, independently measured in the two in-plane polarizations, turn out to be in excellent agreement with numerical predictions

  3. Algan/Gan Hemt By Magnetron Sputtering System

    Science.gov (United States)

    Garcia Perez, Roman

    In this thesis, the growth of the semiconductor materials AlGaN and GaN is achieved by magnetron sputtering for the fabrication of High Electron Mobility Transistors (HEMTs). The study of the deposited nitrides is conducted by spectroscopy, diffraction, and submicron scale microscope methods. The preparation of the materials is performed using different parameters in terms of power, pressure, temperature, gas, and time. Silicon (Si) and Sapphire (Al2O3) wafers are used as substrates. The chemical composition and surface topography of the samples are analyzed to calculate the materials atomic percentages and to observe the devices surface. The instruments used for the semiconductors characterization are X-ray Photoelectron Spectroscopy (XPS), X-ray Diffraction (XRD), Scanning Electron Microscopy (SEM), and Atomic Force Microscope (AFM). The project focused its attention on the reduction of impurities during the deposition, the controlled thicknesses of the thin-films, the atomic configuration of the alloy AlxGa1-xN, and the uniformity of the surfaces.

  4. Amphoteric arsenic in GaN

    CERN Document Server

    Wahl, U; Araújo, J P; Rita, E; Soares, JC

    2007-01-01

    We have determined the lattice location of implanted arsenic in GaN by means of conversion electron emission channeling from radioactive $^{73}$As. We give direct evidence that As is an amphoteric impurity, thus settling the long-standing question as to whether it prefers cation or anion sites in GaN. The amphoteric character of As and the fact that As$\\scriptstyle_{Ga}\\,$ " anti-sites ” are not minority defects provide additional aspects to be taken into account for an explanantion of the so-called “ miscibility gap ” in ternary GaAs$\\scriptstyle_{1-x}$N$\\scriptstyle_{x}$ compounds, which cannot be grown with a single phase for values of $x$ in the range 0.1<${x}$< 0.99.

  5. Polarity analysis of GaN nanorods by photo-assisted Kelvin probe force microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Wei, Jiandong; Neumann, Richard; Wang, Xue; Li, Shunfeng; Fuendling, Soenke; Merzsch, Stephan; Al-Suleiman, Mohamed A.M.; Soekmen, Uensal; Wehmann, Hergo-H.; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig (Germany)

    2011-07-15

    Polarity dependence (N-polar (000-1) and Ga-polar (0001)) of surface photovoltage of epitaxially grown, vertically aligned GaN nanorods has been investigated by photo-assisted Kelvin probe force microscopy (KPFM). Commercial GaN substrates with known polarities are taken as reference samples. The polarity of GaN substrates can be well distinguished by the change in surface photovoltage upon UV illumination in air ambient. These different behaviors of Ga- and N-polar surfaces are attributed to the polarity-related surface-bound charges and photochemical reactivity. GaN nanorods were grown on patterned SiO{sub 2}/sapphire templates by metal-organic vapor phase epitaxy (MOVPE). In order to analyze the bottom surface of the grown GaN nanorods, a technique known from high power electronics and joining techniques is applied to remove the substrate. The top and bottom surfaces of the GaN nanorods are identified to be N-polar and Ga-polar according to the KPFM results, respectively. Our experiments demonstrate that KPFM is a simple and suitable method capable to identify the polarity of GaN nanorods. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Stage of GAN (Grupo de Analise do Nucleo) calculus methodology

    International Nuclear Information System (INIS)

    Silva, C.F. da.

    1987-11-01

    This Technical Note presents the stage of GAN Calculus Methodology in areas of Neutronics, Fuel Rod Performance and Fission Products Inventory. Proposals of GAN's members are presented and analyzed for each of these areas and a work schedule is established. (author)

  7. Rare earths in GaN and ZnO studied with the PAC method; Seltene Erden in GaN und ZnO untersucht mit der PAC-Methode

    Energy Technology Data Exchange (ETDEWEB)

    Nedelec, R.

    2007-07-01

    The present thesis deals with the implantation and annealing behaviour of two examples of large-band-gap semiconductors GaN and ZnO. The studies begin with the annealing behaviour of GaN after the implantation of {sup 172}Lu. For GaN the annealing process begins at low temperatures with the decreasing of the damping of the lattice frequency. At essentially higher temperatures finally the substitunial contribution increases. This behaviour is also observed for other probe nuclei in GaN. For ZnO the behaviour at low temperature is different. Both for {sup 172}Lu and for {sup 181}Hf the damping is already after the implantation very low. The increasement of the substitutional contribution occurs like in GaN at higher temperatures. Thereafter for GaN and ZnO PAC spectra were token up at different measurement temperatures between 25 and 873 K. For {sup 172}Lu in GaN and in ZnO a strong temperature dependence of the lattice field gradient was observed. Also for {sup 181}Hf in ZnO a strong temperature dependence is observed. For {sup 172}Lu by means of a model for the interaction of quadrupole moments of electronic shells with the nucleus a lattice field gradient of {+-}5.9.10{sup 15} Vcm{sup -2} could be determined. For {sup 172}Lu in ZnO the model yields at 293 K a lattice field gradient of +14.10{sup 15} Vcm{sup -2} respectively -13.10{sup 15} Vcm{sup -2}. The corrsponding measurement with {sup 181}Hf yields a lattice field gradient of {+-}5.7.10{sup 15} Vcm{sup -2}.

  8. Photoconductive GaN UV Detectors

    National Research Council Canada - National Science Library

    Baranowski, Jacek

    1999-01-01

    This report results from a contract tasking University of Warsaw as follows: The contractor will investigate the growth of GaN material using atmospheric pressure metalorganic chemical vapor deposition method (MOCVD...

  9. Annealing effects on the structural, optical and magnetic properties of Mn implanted GaN

    International Nuclear Information System (INIS)

    Majid, Abdul; Ali, Akbar; Sharif, Rehana; Husnain, G

    2009-01-01

    Mn ions were implanted into GaN thin films with six doses ranging from 10 14 to 5 x 10 16 cm -2 and the samples were subsequently annealed isochronically in three steps at 800, 850 and 900 deg. C. Structural, optical and magnetic properties of the implanted samples were studied after each annealing. X-ray diffraction measurements exhibited new peaks on the lower angle side of the main GaN peak which are attributed to the implantation induced damage as well as the formation of a GaMnN phase. A dose dependent decrease in the optical band gap and an increase in the Urbach tail were observed from optical transmission measurements. The clear magnetic hysteresis loops were recorded by the magnetometer which revealed the room temperature ferromagnetic ordering in all the implanted samples. Unusual behaviour in the magnetic measurements was observed when saturation magnetic moment decreased in all the samples with an increase in annealing temperature from 850 to 900 deg. C. This is explained by the out-diffusion of Mn atoms from the samples during high temperature annealing. Annealing temperature of 850 deg. C for Mn implanted GaN has been suggested as suitable since the samples annealed at this temperature exhibited maximum M s and minimum Urbach energy. Bound magnetic polarons are suggested to be the origin of room temperature ferromagnetic exchange in the samples. XPS measurements indicated that the Mn ions have been incorporated into the wurtzite structure of the host lattice by substituting the Ga sites.

  10. Annealing effects on the structural, optical and magnetic properties of Mn implanted GaN

    Energy Technology Data Exchange (ETDEWEB)

    Majid, Abdul; Ali, Akbar [Advance Materials Physics Laboratory, Physics Department, Quaid-i-Azam University, Islamabad (Pakistan); Sharif, Rehana [Department of Physics, University of Engineering and Technology, Lahore (Pakistan); Husnain, G, E-mail: abdulmajid40@yahoo.co, E-mail: akbar@qau.edu.p [Key Laboratory of Nuclear Physics and Technology, Peking University, Beijing 100871 (China)

    2009-07-07

    Mn ions were implanted into GaN thin films with six doses ranging from 10{sup 14} to 5 x 10{sup 16} cm{sup -2} and the samples were subsequently annealed isochronically in three steps at 800, 850 and 900 deg. C. Structural, optical and magnetic properties of the implanted samples were studied after each annealing. X-ray diffraction measurements exhibited new peaks on the lower angle side of the main GaN peak which are attributed to the implantation induced damage as well as the formation of a GaMnN phase. A dose dependent decrease in the optical band gap and an increase in the Urbach tail were observed from optical transmission measurements. The clear magnetic hysteresis loops were recorded by the magnetometer which revealed the room temperature ferromagnetic ordering in all the implanted samples. Unusual behaviour in the magnetic measurements was observed when saturation magnetic moment decreased in all the samples with an increase in annealing temperature from 850 to 900 deg. C. This is explained by the out-diffusion of Mn atoms from the samples during high temperature annealing. Annealing temperature of 850 deg. C for Mn implanted GaN has been suggested as suitable since the samples annealed at this temperature exhibited maximum M{sub s} and minimum Urbach energy. Bound magnetic polarons are suggested to be the origin of room temperature ferromagnetic exchange in the samples. XPS measurements indicated that the Mn ions have been incorporated into the wurtzite structure of the host lattice by substituting the Ga sites.

  11. Effects of radiation and temperature on gallium nitride (GaN) metal-semiconductor-metal ultraviolet photodetectors

    Science.gov (United States)

    Chiamori, Heather C.; Angadi, Chetan; Suria, Ateeq; Shankar, Ashwin; Hou, Minmin; Bhattacharya, Sharmila; Senesky, Debbie G.

    2014-06-01

    The development of radiation-hardened, temperature-tolerant materials, sensors and electronics will enable lightweight space sub-systems (reduced packaging requirements) with increased operation lifetimes in extreme harsh environments such as those encountered during space exploration. Gallium nitride (GaN) is a ceramic, semiconductor material stable within high-radiation, high-temperature and chemically corrosive environments due to its wide bandgap (3.4 eV). These material properties can be leveraged for ultraviolet (UV) wavelength photodetection. In this paper, current results of GaN metal-semiconductor-metal (MSM) UV photodetectors behavior after irradiation up to 50 krad and temperatures of 15°C to 150°C is presented. These initial results indicate that GaN-based sensors can provide robust operation within extreme harsh environments. Future directions for GaN-based photodetector technology for down-hole, automotive and space exploration applications are also discussed.

  12. Electromechanical Characterization of Single GaN Nanobelt Probed with Conductive Atomic Force Microscope

    Science.gov (United States)

    Yan, X. Y.; Peng, J. F.; Yan, S. A.; Zheng, X. J.

    2018-04-01

    The electromechanical characterization of the field effect transistor based on a single GaN nanobelt was performed under different loading forces by using a conductive atomic force microscope (C-AFM), and the effective Schottky barrier height (SBH) and ideality factor are simulated by the thermionic emission model. From 2-D current image, the high value of the current always appears on the nanobelt edge with the increase of the loading force less than 15 nN. The localized (I-V) characteristic reveals a typical rectifying property, and the current significantly increases with the loading force at the range of 10-190 nN. The ideality factor is simulated as 9.8 within the scope of GaN nano-Schottky diode unity (6.5-18), therefore the thermionic emission current is dominant in the electrical transport of the GaN-tip Schottky junction. The SBH is changed through the piezoelectric effect induced by the loading force, and it is attributed to the enhanced current. Furthermore, a single GaN nanobelt has a high mechanical-induced current ratio that could be made use of in a nanoelectromechanical switch.

  13. Ultraviolet Laser SQUID Microscope for GaN Blue Light Emitting Diode Testing

    International Nuclear Information System (INIS)

    Daibo, M; Kamiwano, D; Kurosawa, T; Yoshizawa, M; Tayama, N

    2006-01-01

    We carried out non-contacting measurements of photocurrent distributions in GaN blue light emitting diode (LED) chips using our newly developed ultraviolet (UV) laser SQUID microscope. The UV light generates the photocurrent, and then the photocurrent induces small magnetic fields around the chip. An off-axis arranged HTS-SQUID magnetometer is employed to detect a vector magnetic field whose typical amplitude is several hundred femto-tesla. Generally, it is difficult to obtain Ohmic contacts for p-type GaN because of the low hole concentration in the p-type epitaxial layer and the lack of any available metal with a higher work function compared with the p-type GaN. Therefore, a traditional probecontacted electrical test is difficult to conduct for wide band gap semiconductors without an adequately annealed electrode. Using the UV-laser SQUID microscope, the photocurrent can be measured without any electrical contact. We show the photocurrent vector map which was reconstructed from measured magnetic fields data. We also demonstrate how we found the position of a defect of the electrical short circuits in the LED chip

  14. (-201) β-Gallium oxide substrate for high quality GaN materials

    KAUST Repository

    Roqan, Iman S.

    2015-03-13

    (-201) oriented β-Ga2O3 has the potential to be used as a transparent and conductive substrate for GaN-growth. The key advantages of Ga2O3 are its small lattice mismatches (4.7%), appropriate structural, thermal and electrical properties and a competitive price compared to other substrates. Optical characterization show that GaN layers grown on (-201) oriented β-Ga2O3 are dominated by intense bandedge emission with a high luminescence efficiency. Atomic force microscopy studies show a modest threading dislocation density of ~108 cm-2, while complementary Raman spectroscopy indicates that the GaN epilayer is of high quality with slight compressive strain. Room temperature time-findings suggest that the limitation of the photoluminescence lifetime (~500 ps) is due to nonradiative recombination arising from threading dislocation. Therefore, by optimizing the growth conditions, high quality material with significant optical efficiency can be obtained.

  15. Ab initio-based approach to reconstruction, adsorption and incorporation on GaN surfaces

    International Nuclear Information System (INIS)

    Ito, T; Akiyama, T; Nakamura, K

    2012-01-01

    Reconstruction, adsorption and incorporation on various GaN surfaces are systematically investigated using an ab initio-based approach that predicts the surface phase diagram as functions of temperature and beam-equivalent pressure (BEP). The calculated results for GaN surface reconstructions with polar (0 0 0 1), nonpolar (1 1 −2 0), semipolar (1 −1 0 1) and semipolar (1 1 −2 2) orientations imply that reconstructions on GaN surfaces with Ga adlayers generally appear on the polar and the semipolar surfaces, while the stable ideal surface without Ga adsorption is found on the nonpolar GaN(1 1 −2 0) surface because it satisfies the electron counting rule. The hydrogen adsorption on GaN(0 0 0 1) and GaN(1 1 −2 0) realizes several surface structures forming N–H and Ga–NH 2 bonds on their surfaces that depend on temperature and Ga BEP during metal-organic vapor-phase epitaxy (MOVPE). In contrast, the stable structures due to hydrogen adsorption on the semipolar GaN(1 −1 0 1) and GaN(1 1 −2 2) surfaces are not varied over the wide range of temperature and Ga BEP. This implies that the hydrogen adsorbed stable structures are expected to emerge on the semipolar surfaces during MOVPE regardless of the growth conditions. Furthermore, we clarify that Mg incorporation on GaN(1 −1 0 1) surfaces is enhanced by hydrogen adsorption consistent with experimental findings

  16. Ground Albedo Neutron Sensing (GANS) method for measurements of soil moisture in cropped fields

    Science.gov (United States)

    Andres Rivera Villarreyes, Carlos; Baroni, Gabriele; Oswald, Sascha E.

    2013-04-01

    Measurement of soil moisture at the plot or hill-slope scale is an important link between local vadose zone hydrology and catchment hydrology. However, so far only few methods are on the way to close this gap between point measurements and remote sensing. This study evaluates the applicability of the Ground Albedo Neutron Sensing (GANS) for integral quantification of seasonal soil moisture in the root zone at the scale of a field or small watershed, making use of the crucial role of hydrogen as neutron moderator relative to other landscape materials. GANS measurements were performed at two locations in Germany under different vegetative situations and seasonal conditions. Ground albedo neutrons were measured at (i) a lowland Bornim farmland (Brandenburg) cropped with sunflower in 2011 and winter rye in 2012, and (ii) a mountainous farmland catchment (Schaefertal, Harz Mountains) since middle 2011. At both sites depth profiles of soil moisture were measured at several locations in parallel by frequency domain reflectometry (FDR) for comparison and calibration. Initially, calibration parameters derived from a previous study with corn cover were tested under sunflower and winter rye periods at the same farmland. GANS soil moisture based on these parameters showed a large discrepancy compared to classical soil moisture measurements. Therefore, two new calibration approaches and four different ways of integration the soil moisture profile to an integral value for GANS were evaluated in this study. This included different sets of calibration parameters based on different growing periods of sunflower. New calibration parameters showed a good agreement with FDR network during sunflower period (RMSE = 0.023 m3 m-3), but they underestimated soil moisture in the winter rye period. The GANS approach resulted to be highly affected by temporal changes of biomass and crop types which suggest the need of neutron corrections for long-term observations with crop rotation. Finally

  17. Si Complies with GaN to Overcome Thermal Mismatches for the Heteroepitaxy of Thick GaN on Si.

    Science.gov (United States)

    Tanaka, Atsunori; Choi, Woojin; Chen, Renjie; Dayeh, Shadi A

    2017-10-01

    Heteroepitaxial growth of lattice mismatched materials has advanced through the epitaxy of thin coherently strained layers, the strain sharing in virtual and nanoscale substrates, and the growth of thick films with intermediate strain-relaxed buffer layers. However, the thermal mismatch is not completely resolved in highly mismatched systems such as in GaN-on-Si. Here, geometrical effects and surface faceting to dilate thermal stresses at the surface of selectively grown epitaxial GaN layers on Si are exploited. The growth of thick (19 µm), crack-free, and pure GaN layers on Si with the lowest threading dislocation density of 1.1 × 10 7 cm -2 achieved to date in GaN-on-Si is demonstrated. With these advances, the first vertical GaN metal-insulator-semiconductor field-effect transistors on Si substrates with low leakage currents and high on/off ratios paving the way for a cost-effective high power device paradigm on an Si CMOS platform are demonstrated. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Selected Energy Epitaxial Deposition and Low Energy Electron Microscopy of AlN, GaN and SiC Thin Films

    National Research Council Canada - National Science Library

    Davis, R

    1999-01-01

    The homoepitaxial growth of GaN(0001) layers was studied in situ and in real time using the low-energy electron microscope and ex situ using atomic force microscopy and transmission electron microscopy...

  19. Differences in MRI findings in cases showing ring-enhancement on a CT scan

    International Nuclear Information System (INIS)

    Tokiwa, Kaichi; Hashimoto, Takashi; Miyasaka, Yoshio; Yada, Kenzoh; Kan, Shinichi; Takagi, Hiroshi.

    1990-01-01

    It is sometimes difficult to differentiate between a brain abscess and a tumor, for both show ring-enhancement on a CT scan. The present authors have studied the benefit of MRI for the differential diagnosis of these two lesions. The subjects of this study were 6 cases of brain abscess and 10 cases of brain tumor, all of them showing ring-enhancement on a CT scan. The MRI findings were compared with those of the CT scan taken at almost the same time, especially focussing on the difference in the ring-enhancement. In 5 out of the 6 cases of brain abscess, T 2 -weighted MRI demonstrated a comparatively thin and homogeneous low-intensity, round rim. In the cases of brain tumor, however, none of the cases demonstrated this typical low-intensity, round rim; rather, in them the rim was thick and irregular. The authors can conclude that those MRI findings can serve as important differential diagnostic findings between brain abscess and tumor; also, MRI may be used as a landmark for terminating the administration of antibiotics in cases of brain abscess. (author)

  20. Luminescence evolution of porous GaN thin films prepared via UV-assisted electrochemical etching

    International Nuclear Information System (INIS)

    Cheah, S.F.; Lee, S.C.; Ng, S.S.; Yam, F.K.; Abu Hassan, H.; Hassan, Z.

    2015-01-01

    Porous gallium nitride (GaN) thin films with different surface morphologies and free carriers properties were fabricated from Si-doped GaN thin films using ultra-violet assisted electrochemical etching approach under various etching voltages. Fluctuation of luminescence signals was observed in the photoluminescence spectra of porous GaN thin films. Taking advantage of the spectral sensitivity of infrared attenuated total reflection spectroscopy on semiconductor materials, roles of free carriers and porous structure in controlling luminescence properties of GaN were investigated thoroughly. The results revealed that enhancement in luminescence signal is not always attained upon porosification. Although porosification is correlated to the luminescence enhancement, however, free carrier is the primary factor to enhance luminescence intensity. Due to unavoidable significant reduction of free carriers from Si-doped GaN in the porosification process, control of etching depth (i.e., thickness of porous layer formed from the Si-doped layer) is critical in fabricating porous GaN thin film with enhanced luminescence response. - Highlights: • Various pore morphologies with free carrier properties are produced by Si-doped GaN. • Free carriers are important to control the luminescence signal of porous GaN. • Enhancement of luminescence signal relies on the pore depth of Si-doped layer

  1. AlGaN/GaN heterostructures with an AlGaN layer grown directly on reactive-ion-etched GaN showing a high electron mobility (>1300 cm2 V-1 s-1)

    Science.gov (United States)

    Yamamoto, Akio; Makino, Shinya; Kanatani, Keito; Kuzuhara, Masaaki

    2018-04-01

    In this study, the metal-organic-vapor-phase-epitaxial growth behavior and electrical properties of AlGaN/GaN structures prepared by the growth of an AlGaN layer on a reactive-ion-etched (RIE) GaN surface without regrown GaN layers were investigated. The annealing of RIE-GaN surfaces in NH3 + H2 atmosphere, employed immediately before AlGaN growth, was a key process in obtaining a clean GaN surface for AlGaN growth, that is, in obtaining an electron mobility as high as 1350 cm2 V-1 s-1 in a fabricated AlGaN/RIE-GaN structure. High-electron-mobility transistors (HEMTs) were successfully fabricated with AlGaN/RIE-GaN wafers. With decreasing density of dotlike defects observed on the surfaces of AlGaN/RIE-GaN wafers, both two-dimensional electron gas properties of AlGaN/RIE-GaN structures and DC characteristics of HEMTs were markedly improved. Since dotlike defect density was markedly dependent on RIE lot, rather than on growth lot, surface contaminations of GaN during RIE were believed to be responsible for the formation of dotlike defects and, therefore, for the inferior electrical properties.

  2. Oxygen adsorption and incorporation at irradiated GaN(0001) and GaN(0001¯) surfaces: First-principles density-functional calculations

    Science.gov (United States)

    Sun, Qiang; Selloni, Annabella; Myers, T. H.; Doolittle, W. Alan

    2006-11-01

    Density functional theory calculations of oxygen adsorption and incorporation at the polar GaN(0001) and GaN(0001¯) surfaces have been carried out to explain the experimentally observed reduced oxygen concentration in GaN samples grown by molecular beam epitaxy in the presence of high energy (˜10keV) electron beam irradiation [Myers , J. Vac. Sci. Technol. B 18, 2295 (2000)]. Using a model in which the effect of the irradiation is to excite electrons from the valence to the conduction band, we find that both the energy cost of incorporating oxygen impurities in deeper layers and the oxygen adatom diffusion barriers are significantly reduced in the presence of the excitation. The latter effect leads to a higher probability for two O adatoms to recombine and desorb, and thus to a reduced oxygen concentration in the irradiated samples, consistent with experimental observations.

  3. What is the real value of diffusion length in GaN?

    International Nuclear Information System (INIS)

    Yakimov, E.B.

    2015-01-01

    Highlights: • The applicability of SEM methods for diffusion length measurements in GaN is discussed. • The discussion is based on our own experiments and on the available literature data. • A study of EBIC dependence on beam energy suits well for a small diffusion length. • The most reliable diffusion length values in the state-of-the-art n-GaN are evaluated. - Abstract: The applicability of scanning electron microscopy methods for excess carrier diffusion length measurements in GaN is discussed. The discussion is based on author’s experiments and on the available literature data. It is shown that for semiconductors with submicron diffusion length special attention should be paid to the choice of measuring method and experimental conditions. Some reasons for diffusion length overestimation and underestimation are analyzed. It is shown that a measurement of collected current dependence on electron beam energy is the most suitable method for submicron diffusion length evaluations because it is much easier to meet conditions for a proper application of this method than for other widely used methods. The analysis of data previously reported in literature and author’s results have shown that the diffusion length values in the range from 70 to 400 nm are the most reliable for state-of-the-art n-GaN epilayers

  4. Structural and optical studies of GaN pn-junction with AlN buffer layer grown on Si (111) by RF plasma enhanced MBE

    Energy Technology Data Exchange (ETDEWEB)

    Yusoff, Mohd Zaki Mohd; Hassan, Zainuriah; Woei, Chin Che; Hassan, Haslan Abu; Abdullah, Mat Johar [Nano-Optoelectronics Research and Technology Laboratory School of Physics, Universiti Sains Malaysia, 11800 Penang, Malaysia and Department of Applied Sciences Universiti Teknologi MARA (UiTM) 13500 Permatang Pauh, Penang (Malaysia); Department of Applied Sciences Universiti Teknologi MARA (UiTM) 13500 Permatang Pauh, Penang (Malaysia)

    2012-06-29

    GaN pn-junction grown on silicon substrates have been the focus in a number of recent reports and further effort is still necessary to improve its crystalline quality for practical applications. GaN has the high n-type background carrier concentration resulting from native defects commonly thought to be nitrogen vacancies. In this work, we present the growth of pn-junction of GaN on Si (111) substrate using RF plasma-enhanced molecular beam epitaxy (MBE). Both of the layers show uniformity with an average thickness of 0.709 {mu}m and 0.095 {mu}m for GaN and AlN layers, respectively. The XRD spectra indicate that no sign of cubic phase of GaN are found, so it is confirmed that the sample possessed hexagonal structure. It was found that all the allowed Raman optical phonon modes of GaN, i.e. the E2 (low), E1 (high) and A1 (LO) are clearly visible.

  5. Ultrasonic C-scan Technique for Nondestructive Evaluation of Spot Weld Quality

    International Nuclear Information System (INIS)

    Park, Ik Gun

    1994-01-01

    This paper discusses the feasibility of ultrasonic C-scan technique for nondestructive evaluation of spot weld quality. Ultrasonic evaluation for spot weld quality was performed by immersion method with the mechanical and the electronic scanning of point-focussed ultrasonic beam(25 MHz). For the sake of the approach to the quantitative measurement of nugget diameter and the discrimination of the corona bond from nugget, preliminary infinitesimal gap experiment by newton ring is tried in order to set up the optimum ultrasonic test condition. Ultrasonic image data obtained were confirmed and compared by optical microscope and SAM(Scanning Acoustic Microscope) observation of the spot-weld cross section. The results show that the nugget diameter can be measured with the accuracy of 1.0mm, and voids included in nugget can be detected to 10μm extent with simplicity and accuracy. Finally, it was found that it is necessary to make a profound study of definite discrimination of corona bond from nugget and the approach of quantitative evaluation of nugget diameter by utilizing the various image processing techniques

  6. Comparative study on stress in AlGaN/GaN HEMT structures grown on 6H-SiC, Si and on composite substrates of the 6H-SiC/poly-SiC and Si/poly-SiC

    International Nuclear Information System (INIS)

    Guziewicz, M; Kaminska, E; Piotrowska, A; Golaszewska, K; Domagala, J Z; Poisson, M-A; Lahreche, H; Langer, R; Bove, P

    2008-01-01

    The stresses in GaN-based HEMT structures grown on both single crystal 6H SiC(0001) and Si(111) have been compared to these in the HEMT structures grown on new composite substrates engendered as a thin monocrystalline film attached to polycrystalline 3C-SiC substrate. By using HRXRD technique and wafer curvature method we show that stress of monocrystalline layer in composite substrates of the type mono-Si/poly-SiC is lower than 100 MPa and residual stress of epitaxial GaN buffer grown on the composite substrate does not exceed 0.31 GPa, but in the cases of single crystal SiC or Si substrates the GaN buffer stress is compressive in the range of -0.5 to -0.75 GPa. The total stress of the HEMT structure calculated from strains is consistent with the averaged stress of the multilayers stack measured by wafer curvature method. The averaged stress of HEMT structure grown on single crystals is higher than those in structures grown on composites substrates

  7. Control of strain in GaN by a combination of H2 and N2 carrier gases

    International Nuclear Information System (INIS)

    Yamaguchi, Shigeo; Kariya, Michihiko; Kosaki, Masayoshi; Yukawa, Yohei; Nitta, Shugo; Amano, Hiroshi; Akasaki, Isamu

    2001-01-01

    We study the effect of a combination of N 2 and H 2 carrier gases on the residual strain and crystalline properties of GaN, and we propose its application to the improvement of crystalline quality of GaN/Al 0.17 Ga 0.83 N multiple quantum well (MQW) structures. GaN was grown with H 2 or N 2 carrier gas (H 2 - or N 2 - GaN) on an AlN low-temperature-deposited buffer layer. A (0001) sapphire substrate was used. N 2 - GaN was grown on H 2 - GaN. The total thickness was set to be 1.5 μm, and the ratio of N 2 - GaN thickness to the total thickness, x, ranged from 0 to 1. With increasing x, the tensile stress in GaN increased. Photoluminescence intensity at room temperature was much enhanced. Moreover, the crystalline quality of GaN/Al 0.17 Ga 0.83 N MQW was much higher when the MQW was grown with N 2 on H 2 - GaN than when it was grown with H 2 on H 2 - GaN. These results were due to the achievement of control of strain in GaN using a combination of N 2 - GaN and H 2 - GaN. [copyright] 2001 American Institute of Physics

  8. GaN growth on silane exposed AlN seed layers

    Energy Technology Data Exchange (ETDEWEB)

    Ruiz-Zepeda, F. [Posgrado en Fisica de Materiales, Centro de Investigacion Cientifica y de Educacion Superior de Ensenada, Km. 107 Carret, Tijuana-Ensenada, C.P. 22860, Ensenada, B.C. (Mexico); Contreras, O. [Centro de Ciencias de la Materia Condesada, Universidad Nacional Autonoma de Mexico, Apdo. Postal 356, C.P. 22800, Ensenada, B.C. (Mexico); Dadgar, A.; Krost, A. [Otto-von-Guericke-Universitaet Magdeburg, FNW-IEP, Universitaetsplatz 2, 39106 Magdeburg (Germany)

    2008-07-01

    The microstructure and surface morphology of GaN films grown on AlN seed layers exposed to silane flow has been studied by TEM and AFM. The epilayers were grown on silicon(111) substrates by MOCVD. The AlN seed layer surface was treated at different SiH{sub 4} exposure times prior to the growth of the GaN film. A reduction in the density of threading dislocations is observed in the GaN films and their surface roughness is minimized for an optimal SiH{sub 4} exposure time between 75-90 sec. At this optimal condition a step-flow growth mode of GaN film is predominant. The improvement of the surface and structure quality of the epilayers is observed to be related to an annihilation process of threading dislocations done by SiN{sub x} masking. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Discovering a Defect that Imposes a Limit to Mg Doping in p-Type GaN

    International Nuclear Information System (INIS)

    Liliental-Weber, Z.; Tomaszewicz, T.; Zakharov, D.; O'Keefe, M.A.

    2006-01-01

    Gallium nitride (GaN) is the III-V semiconductor used to produce blue light-emitting diodes (LEDs) and blue and ultraviolet solid-state lasers. To be useful in electronic devices, GaN must be doped with elements that function either as electron donors or as acceptors to turn it into either an n-type semiconductor or a p-type semiconductor. It has been found that GaN can easily be grown with n-conductivity, even up to large concentrations of donors--in the few 10 19 cm -3 range. However, p-doping, the doping of the structure with atoms that provide electron sinks or holes, is not well understood and remains extremely difficult. The only efficient p-type dopant is Mg, but it is found that the free hole concentration is limited to 2 x 10 18 cm -3 , even when Mg concentrations are pushed into the low 10 19 cm -3 range. This saturation effect could place a limit on further development of GaN based devices. Further increase of the Mg concentration, up to 1 x 10 20 cm -3 leads to a decrease of the free hole concentration and an increase in defects. While low- to medium-brightness GaN light-emitting diodes (LEDs) are remarkably tolerant of crystal defects, blue and UV GaN lasers are much less so. We used electron microscopy to investigate Mg doping in GaN. Our transmission electron microscopy (TEM) studies revealed the formation of different types of Mg-rich defects [1,2]. In particular, high-resolution TEM allowed us to characterize a completely new type of defect in Mg-rich GaN. We found that the type of defect depended strongly on crystal growth polarity. For crystals grown with N-polarity, planar defects are distributed at equal distances (20 unit cells of GaN); these defects can be described as inversion domains [1]. For growth with Ga-polarity, we found a different type of defect [2]. These defects turn out to be three-dimensional Mg-rich hexagonal pyramids (or trapezoids) with their base on the (0001) plane and their six walls formed on {1123} planes (Fig. 1a). In

  10. Thermal Annealing induced relaxation of compressive strain in porous GaN structures

    KAUST Repository

    Ben Slimane, Ahmed

    2012-01-01

    The effect of annealing on strain relaxation in porous GaN fabricated using electroless chemical etching is presented. The Raman shift of 1 cm-1 in phonon frequency of annealed porous GaN with respect to as-grown GaN corresponds to a relaxation of compressive strain by 0.41 ± 0.04 GPa. The strain relief promises a marked reduction in threading dislocation for subsequent epitaxial growth.

  11. Characterization of plasma etching damage on p-type GaN using Schottky diodes

    International Nuclear Information System (INIS)

    Kato, M.; Mikamo, K.; Ichimura, M.; Kanechika, M.; Ishiguro, O.; Kachi, T.

    2008-01-01

    The plasma etching damage in p-type GaN has been characterized. From current-voltage and capacitance-voltage characteristics of Schottky diodes, it was revealed that inductively coupled plasma (ICP) etching causes an increase in series resistance of the Schottky diodes and compensation of acceptors in p-type GaN. We investigated deep levels near the valence band of p-type GaN using current deep level transient spectroscopy (DLTS), and no deep level originating from the ICP etching damage was observed. On the other hand, by capacitance DLTS measurements for n-type GaN, we observed an increase in concentration of a donor-type defect with an activation energy of 0.25 eV after the ICP etching. The origin of this defect would be due to nitrogen vacancies. We also observed this defect by photocapacitance measurements for ICP-etched p-type GaN. For both n- and p-type GaN, we found that the low bias power ICP etching is effective to reduce the concentration of this defect introduced by the high bias power ICP etching

  12. Stress relaxed nanoepitaxy GaN for growth of phosphor-free indium-rich nanostructures incorporated in apple-white LEDs

    Energy Technology Data Exchange (ETDEWEB)

    Soh, C.B.; Liu, W.; Ang, N.S.S.; Yong, A.M.; Lai, S.C.; Teng, J.H. [Institute of Materials Research and Engineering, Agency for Science, Technology and Research, 3 Research Link, Singapore 117602 (Singapore); Chua, S.J. [Institute of Materials Research and Engineering, Agency for Science, Technology and Research, 3 Research Link, Singapore 117602 (Singapore); Singapore-MIT Alliance, 4 Engineering Drive 3, Singapore 117576 (Singapore)

    2010-06-15

    Phosphor-free apple-white light emitting diodes (LEDs) have been fabricated using dual stacked InGaN/GaN multiple quantum wells (MQWs) comprising a lower set of long wavelength emitting indium rich nanostructures incorporated in MQWs with an upper set of cyan-green emitting MQWs. The LEDs were grown on nano-epitaxial lateral overgrown (ELO) GaN template formed by regrowth of GaN over SiO{sub 2} film patterned using an anodic alumina oxide mask with holes of {proportional_to}125 nm diameter and a period of 250 nm. The MQWs grown on the nano-ELO GaN templates show stronger photoluminescence intensity and a higher activation energy for their peak emission. A minimal shift in the electroluminescence (EL) spectra with higher injection current applied for LEDs grown on ELO-GaN compared to conventional GaN template, suggests a reduction in strain of the quantum well layers on the nano-ELO GaN template. An enhancement in the light extraction efficiency is also achieved with multiple scattering via the embedded SiO{sub 2} mask. (Abstract Copyright [2010], Wiley Periodicals, Inc.)

  13. Transient atomic behavior and surface kinetics of GaN

    International Nuclear Information System (INIS)

    Moseley, Michael; Billingsley, Daniel; Henderson, Walter; Trybus, Elaissa; Doolittle, W. Alan

    2009-01-01

    An in-depth model for the transient behavior of metal atoms adsorbed on the surface of GaN is developed. This model is developed by qualitatively analyzing transient reflection high energy electron diffraction (RHEED) signals, which were recorded for a variety of growth conditions of GaN grown by molecular-beam epitaxy (MBE) using metal-modulated epitaxy (MME). Details such as the initial desorption of a nitrogen adlayer and the formation of the Ga monolayer, bilayer, and droplets are monitored using RHEED and related to Ga flux and shutter cycles. The suggested model increases the understanding of the surface kinetics of GaN, provides an indirect method of monitoring the kinetic evolution of these surfaces, and introduces a novel method of in situ growth rate determination.

  14. Transient atomic behavior and surface kinetics of GaN

    Science.gov (United States)

    Moseley, Michael; Billingsley, Daniel; Henderson, Walter; Trybus, Elaissa; Doolittle, W. Alan

    2009-07-01

    An in-depth model for the transient behavior of metal atoms adsorbed on the surface of GaN is developed. This model is developed by qualitatively analyzing transient reflection high energy electron diffraction (RHEED) signals, which were recorded for a variety of growth conditions of GaN grown by molecular-beam epitaxy (MBE) using metal-modulated epitaxy (MME). Details such as the initial desorption of a nitrogen adlayer and the formation of the Ga monolayer, bilayer, and droplets are monitored using RHEED and related to Ga flux and shutter cycles. The suggested model increases the understanding of the surface kinetics of GaN, provides an indirect method of monitoring the kinetic evolution of these surfaces, and introduces a novel method of in situ growth rate determination.

  15. Structural and luminescence properties of GaN nanowires grown using cobalt phthalocyanine as catalyst

    Science.gov (United States)

    Yadav, Shivesh; Rodríguez-Fernández, Carlos; de Lima, Mauricio M.; Cantarero, Andres; Dhar, Subhabrata

    2015-12-01

    Catalyst free methods have usually been employed to avoid any catalyst induced contamination for the synthesis of GaN nanowires with better transport and optical properties. Here, we have used a catalytic route to grow GaN nanowires, which show good optical quality. Structural and luminescence properties of GaN nanowires grown by vapor-liquid-solid technique using cobalt phthalocyanine as catalyst are systematically investigated as a function of various growth parameters such as the growth temperature and III/V ratio. The study reveals that most of the nanowires, which are several tens of microns long, grow along [ 10 1 ¯ 0 ] direction. Interestingly, the average wire diameter has been found to decrease with the increase in III/V ratio. It has also been observed that in these samples, defect related broad luminescence features, which are often present in GaN, are completely suppressed. At all temperatures, photoluminescence spectrum is found to be dominated only by a band edge feature, which comprises of free and bound excitonic transitions. Our study furthermore reveals that the bound excitonic feature is associated with excitons trapped in certain deep level defects, which result from the deficiency of nitrogen during growth. This transition has a strong coupling with the localized vibrational modes of the defects.

  16. Inductively Coupled Plasma-Induced Etch Damage of GaN p-n Junctions

    International Nuclear Information System (INIS)

    SHUL, RANDY J.; ZHANG, LEI; BACA, ALBERT G.; WILLISON, CHRISTI LEE; HAN, JUNG; PEARTON, S.J.; REN, F.

    1999-01-01

    Plasma-induced etch damage can degrade the electrical and optical performance of III-V nitride electronic and photonic devices. We have investigated the etch-induced damage of an Inductively Coupled Plasma (ICP) etch system on the electrical performance of mesa-isolated GaN pn-junction diodes. GaN p-i-n mesa diodes were formed by Cl 2 /BCl 3 /Ar ICP etching under different plasma conditions. The reverse leakage current in the mesa diodes showed a strong relationship to chamber pressure, ion energy, and plasma flux. Plasma induced damage was minimized at moderate flux conditions (≤ 500 W), pressures ≥2 mTorr, and at ion energies below approximately -275 V

  17. Optical properties of metastable shallow acceptors in Mg-doped GaN layers grown by metal-organic vapor phase epitaxy

    OpenAIRE

    Pozina, Galia; Hemmingsson, Carl; Bergman, Peder; Kawashima, T.; Amano, H.; Akasaki, I.; Usui, A.; Monemar, Bo

    2010-01-01

    GaN layers doped by Mg show a metastable behavior of the near-band-gap luminescence caused by electron irradiation or UV excitation. At low temperatures < 30 K the changes in luminescence are permanent. Heating to room temperature recovers the initial low temperature spectrum shape completely. Two acceptors are involved in the recombination process as confirmed by transient PL. In as-grown samples a possible candidate for the metastable acceptor is C-N, while after annealing a second m...

  18. GaN MOSHEMT employing HfO2 as a gate dielectric with partially etched barrier

    Science.gov (United States)

    Han, Kefeng; Zhu, Lin

    2017-09-01

    In order to suppress the gate leakage current of a GaN high electron mobility transistor (GaN HEMT), a GaN metal-oxide-semiconductor high electron mobility transistor (MOSHEMT) is proposed, in which a metal-oxide-semiconductor gate with high-dielectric-constant HfO2 as an insulating dielectric is employed to replace the traditional GaN HEMT Schottky gate. A 0.5 μm gate length GaN MOSHEMT was fabricated based on the proposed structure, the {{{Al}}}0.28{{{Ga}}}0.72{{N}} barrier layer is partially etched to produce a higher transconductance without deteriorating the transport characteristics of the two-dimensional electron gas in the channel, the gate dielectric is HfO2 deposited by atomic layer deposition. Current-voltage characteristics and radio frequency characteristics are obtained after device preparation, the maximum current density of the device is 900 mA mm-1, the source-drain breakdown voltage is 75 V, gate current is significantly suppressed and the forward gate voltage swing range is about ten times higher than traditional GaN HEMTs, the GaN MOSHEMT also demonstrates radio frequency characteristics comparable to traditional GaN HEMTs with the same gate length.

  19. Correlation of a generation-recombination center with a deep level trap in GaN

    International Nuclear Information System (INIS)

    Nguyen, X. S.; Lin, K.; Zhang, Z.; Arehart, A. R.; Ringel, S. A.; McSkimming, B.; Speck, J. S.; Fitzgerald, E. A.; Chua, S. J.

    2015-01-01

    We report on the identification of a deep level trap centre which contributes to generation-recombination noise. A n-GaN epilayer, grown by MOCVD on sapphire, was measured by deep level transient spectroscopy (DLTS) and noise spectroscopy. DLTS found 3 well documented deep levels at E c  − 0.26 eV, E c  − 0.59 eV, and E c  − 0.71 eV. The noise spectroscopy identified a generation recombination centre at E c  − 0.65 ± 0.1 eV with a recombination lifetime of 65 μs at 300 K. This level is considered to be the same as the one at E c  − 0.59 eV measured from DLTS, as they have similar trap densities and capture cross section. This result shows that some deep levels contribute to noise generation in GaN materials

  20. Reduction of the Mg acceptor activation energy in GaN, AlN, Al0.83Ga0.17N and MgGa δ-doping (AlN)5/(GaN)1: the strain effect

    Science.gov (United States)

    Jiang, Xin-He; Shi, Jun-Jie; Zhang, Min; Zhong, Hong-Xia; Huang, Pu; Ding, Yi-Min; He, Ying-Ping; Cao, Xiong

    2015-12-01

    To resolve the p-type doping problem of Al-rich AlGaN alloys, we investigate the influence of biaxial and hydrostatic strains on the activation energy, formation energy and band gap of Mg-doped GaN, AlN, Al0.83Ga0.17N disorder alloy and (AlN)5/(GaN)1 superlattice based on first-principles calculations by combining the standard DFT and hybrid functional. We find that the Mg acceptor activation energy {{E}\\text{A}} , the formation energy {{E}\\text{f}} and the band gap {{E}\\text{g}} decrease with increasing the strain ɛ. The hydrostatic strain has a more remarkable impact on {{E}\\text{g}} and {{E}\\text{A}} than the biaxial strain. Both {{E}\\text{A}} and {{E}\\text{g}} have a linear dependence on the hydrostatic strain. For the biaxial strain, {{E}\\text{g}} shows a parabolic dependence on ɛ if \\varepsilon ≤slant 0 while it becomes linear if \\varepsilon ≥slant 0 . In GaN and (AlN)5/(GaN)1, {{E}\\text{A}} parabolically depends on the biaxial compressive strain and linearly depends on the biaxial tensible strain. However, the dependence is approximately linear over the whole biaxial strain range in AlN and Al0.83Ga0.17N. The Mg acceptor activation energy in (AlN)5/(GaN)1 can be reduced from 0.26 eV without strain to 0.16 (0.22) eV with the hydrostatic (biaxial) tensible strain 3%.