WorldWideScience

Sample records for saddle-field plasma-enhanced chemical-vapor

  1. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  2. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  3. Hydrogen plasma enhanced alignment on CNT-STM tips grown by liquid catalyst-assisted microwave plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Tung, Fa-Kuei; Yoshimura, Masamichi; Ueda, Kazuyuki; Ohira, Yutaka; Tanji, Takayoshi

    2008-01-01

    Carbon nanotubes are grown directly on a scanning tunneling microscopy tip by liquid catalyst-assisted microwave-enhanced chemical vapor deposition, and effects of hydrogen plasma treatment on the tip have been investigated in detail by field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and Raman spectroscopy. The unaligned CNTs on the as-grown tip apex have been realigned and reshaped by subsequent hydrogen plasma treatment. The diameter of CNTs is enlarged mainly due to amorphous layers being re-sputtered over their outer shells

  4. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  5. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  6. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  7. Plasma effects in aligned carbon nanoflake growth by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wang, B.B. [College of Chemistry and Chemical Engineering, Chongqing University of Technology, 69 Hongguang Rd, Lijiatuo, Banan District, Chongqing 400054 (China); Zheng, K. [Institute of Microstructure and Properties of Advanced Materials, Beijing University of Technology, Beijing 100124 (China); Cheng, Q.J., E-mail: qijin.cheng@xmu.edu.cn [School of Energy Research, Xiamen University, Xiamen 361005 (China); Ostrikov, K. [Plasma Nanoscience Center Australia (PNCA), Manufacturing Flagship, Commonwealth Scientific and Industrial Research Organization, PO Box 218, Lindfield 2070, NSW (Australia); Institute for Future Environments and School of Chemistry, Physics and Mechanical Engineering, Queensland University of Technology, Brisbane 4000, QLD (Australia); Plasma Nanoscience, School of Physics, The University of Sydney, Sydney 2006, NSW (Australia)

    2015-01-15

    Highlights: • Plasma-specific effects in the growth of carbon nanoflakes (CNFs) are studied. • Electic field in the plasma sheath promotes separation of CNFs from the substrate. • The orentention of GNFs is related to the combined electic force and growth effects. • The high growth grates of aligned GNFs are plasma-related. - Abstract: Carbon nanofilms are directly grown on silicon substrates by plasma-enhanced hot filament chemical vapor deposition in methane environment. It is shown that the nanofilms are composed of aligned carbon nanoflakes by extensive investigation of experimental results of field emission scanning electron microscopy, micro-Raman spectroscopy and transmission electron microscopy. In comparison with the graphene-like films grown without plasmas, the carbon nanoflakes grow in an alignment mode and the growth rate of the films is increased. The effects of the plasma on the growth of the carbon nanofilms are studied. The plasma plays three main effects of (1) promoting the separation of the carbon nanoflakes from the silicon substrate, (2) accelerating the motion of hydrocarbon radicals, and (3) enhancing the deposition of hydrocarbon ions onto the substrate surface. Due to these plasma-specific effects, the carbon nanofilms can be formed from the aligned carbon nanoflakes with a high rate. These results advance our knowledge on the synthesis, properties and applications of graphene-based materials.

  8. Enhanced field emission characteristics of boron doped diamond films grown by microwave plasma assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Koinkar, Pankaj M. [Center for International Cooperation in Engineering Education (CICEE), University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan); Patil, Sandip S. [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Kim, Tae-Gyu [Department of Nano System and Process Engineering, Pusan National University, 50 Cheonghak-ri, Samrangjin-eup, Miryang, Gyeongnam, Pusan 627-706 (Korea, Republic of); Yonekura, Daisuke [Department of Mechanical Engineering, University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan); More, Mahendra A., E-mail: mam@physics.unipune.ac.in [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Joag, Dilip S. [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Murakami, Ri-ichi, E-mail: murakami@me.tokushima-u.ac.jp [Department of Mechanical Engineering, University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan)

    2011-01-01

    Boron doped diamond films were synthesized on silicon substrates by microwave plasma chemical vapor deposition (MPCVD) technique. The effect of B{sub 2}O{sub 3} concentration varied from 1000 to 5000 ppm on the field emission characteristics was examined. The surface morphology and quality of films were characterized by scanning electron microscope (SEM) and Raman spectroscopy. The surface morphology obtained by SEM showed variation from facetted microcrystal covered with nanometric grains to cauliflower of nanocrystalline diamond (NCD) particles with increasing B{sub 2}O{sub 3} concentration. The Raman spectra confirm the formation of NCD films. The field emission properties of NCD films were observed to improve upon increasing boron concentration. The values of the onset field and threshold field are observed to be as low as 0.36 and 0.08 V/{mu}m, respectively. The field emission current stability investigated at the preset value of {approx}1 {mu}A is observed to be good, in each case. The enhanced field emission properties are attributed to the better electrical conductivity coupled with the nanometric features of the diamond films.

  9. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  10. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  11. Study on stability of a-SiCOF films deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Ding Shijin; Zhang Qingquan; Wang Pengfei; Zhang Wei; Wang Jitao

    2001-01-01

    Low-dielectric-constant a-SiCOF films have been prepared from TEOS, C 4 F 8 and Ar by using plasma enhanced chemical vapor deposition method. With the aid of X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), the chemical bonding configuration, thermal stability and resistance to water of the films are explored

  12. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  13. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  14. A comparative study of nitrogen plasma effect on field emission characteristics of single wall carbon nanotubes synthesized by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Avshish; Parveen, Shama; Husain, Samina; Ali, Javid [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Zulfequar, Mohammad [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Harsh [Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Husain, Mushahid, E-mail: mush_reslab@rediffmail.com [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia (A Central University), New Delhi 110025 (India)

    2014-12-15

    Highlights: • Vertically aligned single wall carbon nanotubes (SWCNTs) have been successfully grown on nickel (Ni) deposited silicon substrate. • The diameter distribution of the grown (SWCNTs) is in the range 1–2 nm. • A current density of 25.0 mA/cm{sup 2} at 1.9 V/μm of the grown SWCNTs is observed with a high turn-on field (E{sub to}) of 1.3 V/μm. • After N{sub 2} nitrogen plasma treatment, huge current density of 81.5 mA/cm{sup 2} at 2.0 V/μm was recorded with low E{sub to} of 1.2 V/μm. • The comparison of these two typical results indicates a drastic enhancement in the field emission properties after plasma treatments. - Abstract: Vertically aligned single wall carbon nanotubes (SWCNTs) with large scale control of diameter, length and alignment have successfully been grown by plasma enhanced chemical vapor deposition (PECVD) system. The nickel (Ni) as catalyst deposited on silicon (Si) substrate was used to grow the SWCNTs. Field emission (FE) characteristics of the as grown SWCNTs were measured using indigenously designed setup in which a diode is configured in such a way that by applying negative voltage on the copper plate (cathode) with respect to stainless steel anode plate, current density can be recorded. To measure the FE characteristics, SWCNTs film pasted on the copper plate with silver epoxy was used as electron emitter source. The effective area of anode was ∼78.5 mm{sup 2} for field emission measurements. The emission measurements were carried out under high vacuum pressure of the order of 10{sup −6} Torr to minimize the electron scattering and degradation of the emitters. The distance between anode and cathode was kept 500 μm (constant) during entire field emission studies. The grown SWCNTs are excellent field emitters, having emission current density higher than 25 mA/cm{sup 2} at turn-on field 1.3 V/μm. In order to enhance the field emission characteristics, the as grown SWCNTs have been treated under nitrogen (N{sub 2

  15. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  16. Structural and optical characterization of self-assembled Ge nanocrystal layers grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Saeed, S.; Buters, F.; Dohnalova, K.; Wosinski, L.; Gregorkiewicz, T.

    2014-01-01

    We present a structural and optical study of solid-state dispersions of Ge nanocrystals prepared by plasma-enhanced chemical vapor deposition. Structural analysis shows the presence of nanocrystalline germanium inclusions embedded in an amorphous matrix of Si-rich SiO2. Optical characterization

  17. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  18. Substrate Effect on Plasma Clean Efficiency in Plasma Enhanced Chemical Vapor Deposition System

    Directory of Open Access Journals (Sweden)

    Shiu-Ko JangJian

    2007-01-01

    Full Text Available The plasma clean in a plasma-enhanced chemical vapor deposition (PECVD system plays an important role to ensure the same chamber condition after numerous film depositions. The periodic and applicable plasma clean in deposition chamber also increases wafer yield due to less defect produced during the deposition process. In this study, the plasma clean rate (PCR of silicon oxide is investigated after the silicon nitride deposited on Cu and silicon oxide substrates by remote plasma system (RPS, respectively. The experimental results show that the PCR drastically decreases with Cu substrate compared to that with silicon oxide substrate after numerous silicon nitride depositions. To understand the substrate effect on PCR, the surface element analysis and bonding configuration are executed by X-ray photoelectron spectroscopy (XPS. The high resolution inductively coupled plasma mass spectrometer (HR-ICP-MS is used to analyze microelement of metal ions on the surface of shower head in the PECVD chamber. According to Cu substrate, the results show that micro Cu ion and the CuOx bonding can be detected on the surface of shower head. The Cu ion contamination might grab the fluorine radicals produced by NF3 ddissociation in the RPS and that induces the drastic decrease on PCR.

  19. High Current Emission from Patterned Aligned Carbon Nanotubes Fabricated by Plasma-Enhanced Chemical Vapor Deposition

    Science.gov (United States)

    Cui, Linfan; Chen, Jiangtao; Yang, Bingjun; Jiao, Tifeng

    2015-12-01

    Vertically, carbon nanotube (CNT) arrays were successfully fabricated on hexagon patterned Si substrates through radio frequency plasma-enhanced chemical vapor deposition using gas mixtures of acetylene (C2H2) and hydrogen (H2) with Fe/Al2O3 catalysts. The CNTs were found to be graphitized with multi-walled structures. Different H2/C2H2 gas flow rate ratio was used to investigate the effect on CNT growth, and the field emission properties were optimized. The CNT emitters exhibited excellent field emission performance (the turn-on and threshold fields were 2.1 and 2.4 V/μm, respectively). The largest emission current could reach 70 mA/cm2. The emission current was stable, and no obvious deterioration was observed during the long-term stability test of 50 h. The results were relevant for practical applications based on CNTs.

  20. Plasma-enhanced chemical vapor deposited silicon oxynitride films for optical waveguide bridges for use in mechanical sensors

    DEFF Research Database (Denmark)

    Storgaard-Larsen, Torben; Leistiko, Otto

    1997-01-01

    In this paper the influence of RF power, ammonia flow, annealing temperature, and annealing time on the optical and mechanical properties of plasma-enhanced chemically vapor deposited silicon oxynitride films, is presented. A low refractive index (1.47 to 1.48) film having tensile stress has been...

  1. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    Science.gov (United States)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  2. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  3. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  4. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  5. Growth of highly oriented carbon nanotubes by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Z.P.; Xu, J.W.; Ren, Z.F.; Wang, J.H. [Materials Synthesis Laboratory, Departments of Physics and Chemistry, and Center for Advanced Photonic and Electronic Materials (CAPEM), State University of New York at Buffalo, Buffalo, New York 14260 (United States); Siegal, M.P.; Provencio, P.N. [Sandia National Laboratories, Albuquerque, New Mexico 87185-1421 (United States)

    1998-12-01

    Highly oriented, multiwalled carbon nanotubes were grown on polished polycrystalline and single crystal nickel substrates by plasma enhanced hot filament chemical vapor deposition at temperatures below 666 {degree}C. The carbon nanotubes range from 10 to 500 nm in diameter and 0.1 to 50 {mu}m in length depending on growth conditions. Acetylene is used as the carbon source for the growth of the carbon nanotubes and ammonia is used for dilution gas and catalysis. The plasma intensity, acetylene to ammonia gas ratio, and their flow rates, etc. affect the diameters and uniformity of the carbon nanotubes. {copyright} {ital 1998 American Institute of Physics.}

  6. The Performance Improvement of N2 Plasma Treatment on ZrO2 Gate Dielectric Thin-Film Transistors with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition IGZO Channel.

    Science.gov (United States)

    Wu, Chien-Hung; Huang, Bo-Wen; Chang, Kow-Ming; Wang, Shui-Jinn; Lin, Jian-Hong; Hsu, Jui-Mei

    2016-06-01

    The aim of this paper is to illustrate the N2 plasma treatment for high-κ ZrO2 gate dielectric stack (30 nm) with indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs). Experimental results reveal that a suitable incorporation of nitrogen atoms could enhance the device performance by eliminating the oxygen vacancies and provide an amorphous surface with better surface roughness. With N2 plasma treated ZrO2 gate, IGZO channel is fabricated by atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique. The best performance of the AP-PECVD IGZO TFTs are obtained with 20 W-90 sec N2 plasma treatment with field-effect mobility (μ(FET)) of 22.5 cm2/V-s, subthreshold swing (SS) of 155 mV/dec, and on/off current ratio (I(on)/I(off)) of 1.49 x 10(7).

  7. Synthesis and analysis of silicon nanowire below Si-Au eutectic temperatures using very high frequency plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Hamidinezhad, Habib; Wahab, Yussof; Othaman, Zulkafli; Ismail, Abd Khamim

    2011-01-01

    Silicon nanowires (SiNWs) were synthesized from pure silane precursor gas and Au nanoparticles catalyst at below Au-Si eutectic temperature. The SiNWs were grown onto Si (1 1 1) substrates using very high frequency plasma enhanced chemical vapor deposition via a vapor-solid-solid mechanism at temperatures ranging from 363 to 230 deg. C. The morphology of the synthesized SiNWs was characterized by means of field emission scanning electron microscope equipped with energy dispersive X-ray, high resolution transmission electron microscopy, X-ray diffraction technique and Raman spectroscope. Results demonstrated that the SiNWs can be grown at the temperature as low as 250 deg. C. In addition, it was revealed that the grown wires were silicon-crystallized.

  8. Carbon nanosheets by microwave plasma enhanced chemical vapor deposition in CH4-Ar system

    International Nuclear Information System (INIS)

    Wang Zhipeng; Shoji, Mao; Ogata, Hironori

    2011-01-01

    We employ a new gas mixture of CH 4 -Ar to fabricate carbon nanosheets by microwave plasma enhanced chemical vapor deposition at the growth temperature of less than 500 deg. C. The catalyst-free nanosheets possess flower-like structures with a large amount of sharp edges, which consist of a few layers of graphene sheets according to the observation by transmission electron microscopy. These high-quality carbon nanosheets demonstrated a faster electron transfer between the electrolyte and the nanosheet surface, due to their edge defects and graphene structures.

  9. Atmospheric pressure plasma enhanced chemical vapor deposition of zinc oxide and aluminum zinc oxide

    International Nuclear Information System (INIS)

    Johnson, Kyle W.; Guruvenket, Srinivasan; Sailer, Robert A.; Ahrenkiel, S. Phillip; Schulz, Douglas L.

    2013-01-01

    Zinc oxide (ZnO) and aluminum-doped zinc oxide (AZO) thin films were deposited via atmospheric pressure plasma enhanced chemical vapor deposition. A second-generation precursor, bis(1,1,1,5,5,5-hexafluoro-2,4-pentanedionato)(N,N′-diethylethylenediamine) zinc, exhibited significant vapor pressure and good stability at one atmosphere where a vaporization temperature of 110 °C gave flux ∼ 7 μmol/min. Auger electron spectroscopy confirmed that addition of H 2 O to the carrier gas stream mitigated F contamination giving nearly 1:1 metal:oxide stoichiometries for both ZnO and AZO with little precursor-derived C contamination. ZnO and AZO thin film resistivities ranged from 14 to 28 Ω·cm for the former and 1.1 to 2.7 Ω·cm for the latter. - Highlights: • A second generation precursor was utilized for atmospheric pressure film growth. • Addition of water vapor to the carrier gas stream led to a marked reduction of ZnF 2 . • Carbonaceous contamination from the precursor was minimal

  10. Sensing performance of plasma-enhanced chemical vapor deposition SiC-SiO2-SiC horizontal slot waveguides

    NARCIS (Netherlands)

    Pandraud, G.; Margallo-Balbas, E.; Sarro, P.M.

    2012-01-01

    We have studied, for the first time, the sensing capabilities of plasma-enhanced chemical vapor deposition (PECVD) SiC-SiO2-SiC horizontal slot waveguides. Optical propagation losses were measured to be 23.9 dB?cm for the quasi-transverse magnetic mode. To assess the potential of this device as a

  11. One-step synthesis of chlorinated graphene by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fan, Liwei; Zhang, Hui; Zhang, Pingping; Sun, Xuhui, E-mail: xhsun@suda.edu.cn

    2015-08-30

    Highlights: • We developed a simple approach to synthesize the single layer chlorinated graphene. • CuCl{sub 2} on Cu surface is used as Cl source under the plasma treatment. • The formation of covalent C−Cl bond has been investigated by Raman and XPS. • Raman results indicate the p-type doping effect of chlorination. - Abstract: We developed an approach to synthesize the chlorinated single layer graphene (Cl-G) by one-step plasma enhanced chemical vapor deposition. Copper foil was simply treated with hydrochloric acid and then CuCl{sub 2} formed on the surface was used as Cl source under the assistance of plasma treatment. Compared with other two-step methods by post plasma/photochemical treatment of CVD-grown single layer graphene (SLG), one-step Cl-G synthesis approach is quite straightforward and effective. X-ray photoelectron spectroscopy (XPS) revealed that ∼2.45 atom% Cl remained in SLG. Compared with the pristine SLG, the obvious blue shifts of G band and 2D band along with the appearance of D’ band and D + G band in the Raman spectra indicate p-type doping of Cl-G.

  12. Polycrystalline AlN films with preferential orientation by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Sanchez, G.; Wu, A.; Tristant, P.; Tixier, C.; Soulestin, B.; Desmaison, J.; Bologna Alles, A.

    2008-01-01

    AlN thin films for acoustic wave devices were prepared by Microwave Plasma Enhanced Chemical Vapor Deposition under different process conditions, employing Si (100) and Pt (111)/SiO 2 /Si (100) substrates. The films were characterized by X-ray diffraction, Fourier transform infrared transmission spectroscopy, atomic force microscopy, scanning electron microscopy, and transmission electron microscopy. The values of the distance between the plasma and the tri-methyl-aluminum precursor injector, the radiofrequency bias potential, and the substrate temperature were central in the development of polycrystalline films. The choice of the chamber total pressure during deposition allowed for the development of two different crystallographic orientations, i.e., or . The film microstructures exhibited in general a column-like growth with rounded tops, an average grain size of about 40 nm, and a surface roughness lower than 20 nm under the best conditions

  13. Room temperature synthesis of porous SiO2 thin films by plasma enhanced chemical vapor deposition

    OpenAIRE

    Barranco Quero, Ángel; Cotrino Bautista, José; Yubero Valencia, Francisco; Espinós, J. P.; Rodríguez González-Elipe, Agustín

    2004-01-01

    Synthesis of porous SiO2 thin films in room temperature was carried out using plasma enhanced chemical vapor deposition (CVD) in an electron cyclotron resonance microwave reactor with a downstream configuration.The gas adsorption properties and the type of porosity of the SiO2 thin films were assessed by adsorption isotherms of toluene at room temperature.The method could also permit the tailoring synthesis of thin films when both composition and porosity can be simultaneously and independent...

  14. Surface plasma source with saddle antenna radio frequency plasma generator.

    Science.gov (United States)

    Dudnikov, V; Johnson, R P; Murray, S; Pennisi, T; Piller, C; Santana, M; Stockli, M; Welton, R

    2012-02-01

    A prototype RF H(-) surface plasma source (SPS) with saddle (SA) RF antenna is developed which will provide better power efficiency for high pulsed and average current, higher brightness with longer lifetime and higher reliability. Several versions of new plasma generators with small AlN discharge chambers and different antennas and magnetic field configurations were tested in the plasma source test stand. A prototype SA SPS was installed in the Spallation Neutron Source (SNS) ion source test stand with a larger, normal-sized SNS AlN chamber that achieved unanalyzed peak currents of up to 67 mA with an apparent efficiency up to 1.6 mA∕kW. Control experiments with H(-) beam produced by SNS SPS with internal and external antennas were conducted. A new version of the RF triggering plasma gun has been designed. A saddle antenna SPS with water cooling is fabricated for high duty factor testing.

  15. Reduced chemical warfare agent sorption in polyurethane-painted surfaces via plasma-enhanced chemical vapor deposition of perfluoroalkanes.

    Science.gov (United States)

    Gordon, Wesley O; Peterson, Gregory W; Durke, Erin M

    2015-04-01

    Perfluoralkalation via plasma chemical vapor deposition has been used to improve hydrophobicity of surfaces. We have investigated this technique to improve the resistance of commercial polyurethane coatings to chemicals, such as chemical warfare agents. The reported results indicate the surface treatment minimizes the spread of agent droplets and the sorption of agent into the coating. The improvement in resistance is likely due to reduction of the coating's surface free energy via fluorine incorporation, but may also have contributing effects from surface morphology changes. The data indicates that plasma-based surface modifications may have utility in improving chemical resistance of commercial coatings.

  16. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  17. Plasma-enhanced chemical vapor deposition of graphene on copper substrates

    Directory of Open Access Journals (Sweden)

    Nicolas Woehrl

    2014-04-01

    Full Text Available A plasma enhanced vapor deposition process is used to synthesize graphene from a hydrogen/methane gas mixture on copper samples. The graphene samples were transferred onto SiO2 substrates and characterized by Raman spectroscopic mapping and atomic force microscope topographical mapping. Analysis of the Raman bands shows that the deposited graphene is clearly SLG and that the sheets are deposited on large areas of several mm2. The defect density in the graphene sheets is calculated using Raman measurements and the influence of the process pressure on the defect density is measured. Furthermore the origin of these defects is discussed with respect to the process parameters and hence the plasma environment.

  18. A solid-state nuclear magnetic resonance study of post-plasma reactions in organosilicone microwave plasma-enhanced chemical vapor deposition (PECVD) coatings.

    Science.gov (United States)

    Hall, Colin J; Ponnusamy, Thirunavukkarasu; Murphy, Peter J; Lindberg, Mats; Antzutkin, Oleg N; Griesser, Hans J

    2014-06-11

    Plasma-polymerized organosilicone coatings can be used to impart abrasion resistance and barrier properties to plastic substrates such as polycarbonate. Coating rates suitable for industrial-scale deposition, up to 100 nm/s, can be achieved through the use of microwave plasma-enhanced chemical vapor deposition (PECVD), with optimal process vapors such as tetramethyldisiloxane (TMDSO) and oxygen. However, it has been found that under certain deposition conditions, such coatings are subject to post-plasma changes; crazing or cracking can occur anytime from days to months after deposition. To understand the cause of the crazing and its dependence on processing plasma parameters, the effects of post-plasma reactions on the chemical bonding structure of coatings deposited with varying TMDSO-to-O2 ratios was studied with (29)Si and (13)C solid-state magic angle spinning nuclear magnetic resonance (MAS NMR) using both single-pulse and cross-polarization techniques. The coatings showed complex chemical compositions significantly altered from the parent monomer. (29)Si MAS NMR spectra revealed four main groups of resonance lines, which correspond to four siloxane moieties (i.e., mono (M), di (D), tri (T), and quaternary (Q)) and how they are bound to oxygen. Quantitative measurements showed that the ratio of TMDSO to oxygen could shift the chemical structure of the coating from 39% to 55% in Q-type bonds and from 28% to 16% for D-type bonds. Post-plasma reactions were found to produce changes in relative intensities of (29)Si resonance lines. The NMR data were complemented by Fourier transform infrared (FTIR) spectroscopy. Together, these techniques have shown that the bonding environment of Si is drastically altered by varying the TMDSO-to-O2 ratio during PECVD, and that post-plasma reactions increase the cross-link density of the silicon-oxygen network. It appears that Si-H and Si-OH chemical groups are the most susceptible to post-plasma reactions. Coatings produced at a

  19. Raman enhancement on ultra-clean graphene quantum dots produced by quasi-equilibrium plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Liu, Donghua; Chen, Xiaosong; Hu, Yibin; Sun, Tai; Song, Zhibo; Zheng, Yujie; Cao, Yongbin; Cai, Zhi; Cao, Min; Peng, Lan; Huang, Yuli; Du, Lei; Yang, Wuli; Chen, Gang; Wei, Dapeng; Wee, Andrew Thye Shen; Wei, Dacheng

    2018-01-15

    Graphene is regarded as a potential surface-enhanced Raman spectroscopy (SERS) substrate. However, the application of graphene quantum dots (GQDs) has had limited success due to material quality. Here, we develop a quasi-equilibrium plasma-enhanced chemical vapor deposition method to produce high-quality ultra-clean GQDs with sizes down to 2 nm directly on SiO 2 /Si, which are used as SERS substrates. The enhancement factor, which depends on the GQD size, is higher than conventional graphene sheets with sensitivity down to 1 × 10 -9  mol L -1 rhodamine. This is attributed to the high-quality GQDs with atomically clean surfaces and large number of edges, as well as the enhanced charge transfer between molecules and GQDs with appropriate diameters due to the existence of Van Hove singularities in the electronic density of states. This work demonstrates a sensitive SERS substrate, and is valuable for applications of GQDs in graphene-based photonics and optoelectronics.

  20. Method of plasma enhanced chemical vapor deposition of diamond using methanol-based solutions

    Science.gov (United States)

    Tzeng, Yonhua (Inventor)

    2009-01-01

    Briefly described, methods of forming diamond are described. A representative method, among others, includes: providing a substrate in a reaction chamber in a non-magnetic-field microwave plasma system; introducing, in the absence of a gas stream, a liquid precursor substantially free of water and containing methanol and at least one carbon and oxygen containing compound having a carbon to oxygen ratio greater than one, into an inlet of the reaction chamber; vaporizing the liquid precursor; and subjecting the vaporized precursor, in the absence of a carrier gas and in the absence in a reactive gas, to a plasma under conditions effective to disassociate the vaporized precursor and promote diamond growth on the substrate in a pressure range from about 70 to 130 Torr.

  1. The growth of axially modulated p–n GaN nanowires by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Tung-Hsien; Hong, Franklin Chau-Nan

    2013-01-01

    Due to the n-type characteristics of intrinsic gallium nitride, p-type gallium nitride (GaN) is more difficult to synthesize than n-type gallium nitride in forming the p–n junctions for optoelectronic applications. For the growth of the p-type gallium nitride, magnesium is used as the dopant. The Mg-doped GaN nanowires (NWs) have been synthesized on (111)-oriented n + -silicon substrates by plasma-enhanced chemical vapor deposition. The scanning electron microscope images showed that the GaN NWs were bent at high Mg doping levels, and the transmission electron microscope characterization indicated that single-crystalline GaN NWs grew along < 0001 > orientation. As shown by energy dispersive spectroscopy, the Mg doping levels in GaN NWs increased with increasing partial pressure of magnesium nitride, which was employed as the dopant precursor for p-GaN NW growth. Photoluminescence measurements suggested the presence of both p- and n‐type GaN NWs. Furthermore, the GaN NWs with axial p–n junctions were aligned between either two-Ni or two-Al electrodes by applying alternating current voltages. The current–voltage characteristics have confirmed the formation of axial p–n junctions in GaN nanowires. - Highlights: ► Grow axially modulated GaN nanowires by plasma-enhanced chemical vapor deposition ► Control the Mg concentration of GaN nanowires by tuning Mg 3 N 2 temperature ► Align the GaN nanowires by applying alternating current voltages between electrodes

  2. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    International Nuclear Information System (INIS)

    Sulyaeva, Veronica S.; Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A.; Kesler, Valerii G.; Kirienko, Viktor V.

    2014-01-01

    Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC x N y films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC x N y films were found to be high optical transparent layers (93%). • BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9

  3. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  4. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  5. Structured nanocarbon on various metal foils by microwave plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Rius, G; Yoshimura, M

    2013-01-01

    We present a versatile process for the engineering of nanostructures made of crystalline carbon on metal foils. The single step process by microwave plasma-enhance chemical vapor deposition is demonstrated for various substrate materials, such as Ni or Cu. Either carbon nanotubes (CNT) or carbon nanowalls (CNW) are obtained under same growth conditions and without the need of additional catalyst. The use of spacer and insulator implies a certain control over the kind of allotropes that are obtained. High density and large surface area are morphological characteristics of the thus obtained C products. The possibility of application on many metals, and in the alloy composition, on as-delivered commercially available foils indicates that this strategy can be adapted to a bunch of specific applications, while the production of C nanostructures is of remarkable simplicity.

  6. Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Gates, S. M.; Neumayer, D. A.; Sherwood, M. H.; Grill, A.; Wang, X.; Sankarapandian, M.

    2007-01-01

    The preparation of ultralow dielectric constant porous silicon, carbon, oxygen, hydrogen alloy dielectrics, called 'pSiCOH', using a production 200 mm plasma enhanced chemical vapor deposition tool and a thermal treatment is reported here. The effect of deposition temperature on the pSiCOH film is examined using Fourier transform infrared (FTIR) spectroscopy, dielectric constant (k), and film shrinkage measurements. For all deposition temperatures, carbon in the final porous film is shown to be predominantly Si-CH 3 species, and lower k is shown to correlate with increased concentration of Si-CH 3 . NMR and FTIR spectroscopies clearly detect the loss of a removable, unstable, hydrocarbon (CH x ) phase during the thermal treatment. Also detected are increased cross-linking of the Si-O skeleton, and concentration changes for three distinct structures of carbon. In the as deposited films, deposition temperature also affects the hydrocarbon (CH x ) content and the presence of C=O and C=C functional groups

  7. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    Energy Technology Data Exchange (ETDEWEB)

    Sulyaeva, Veronica S., E-mail: veronica@niic.nsc.ru [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A. [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kesler, Valerii G. [Laboratory of Physical Principles for Integrated Microelectronics, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation); Kirienko, Viktor V. [Laboratory of Nonequilibrium Semiconductors Systems, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation)

    2014-05-02

    Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers (93%). • BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9.

  8. Stress hysteresis and mechanical properties of plasma-enhanced chemical vapor deposited dielectric films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.; Kamarajugadda, Mallika; Bozeman, Steven P.; Stearns, Laura C.

    2004-02-01

    A comprehensive survey is described of the responses of three plasma-enhanced chemical vapor deposited dielectric film systems to thermal cycling and indentation contact. All three films—silicon oxide, silicon nitride, and silicon oxy-nitride—exhibited significant nonequilibrium permanent changes in film stress on thermal cycling or annealing. The linear relationship between stress and temperature changed after the films were annealed at 300 °C, representing a structural alteration in the film reflecting a change in coefficient of thermal expansion or biaxial modulus. A double-substrate method was used to deduce both thermoelastic properties before and after the anneal of selected films and the results were compared with the modulus deconvoluted from small-scale depth-sensing indentation experiments (nanoindentation). Rutherford backscattering spectrometry and hydrogen forward scattering were used to deduce the composition of the films and it was found that all the films contained significant amounts of hydrogen.

  9. Thermal plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Heberlein, J.; Pfender, E.

    1993-01-01

    Thermal plasmas, with temperatures up to and even exceeding 10 4 K, are capable of producing high density vapor phase precursors for the deposition of relatively thick films. Although this technology is still in its infancy, it will fill the void between the relatively slow deposition processes such as physical vapor deposition and the high rate thermal spray deposition processes. In this chapter, the present state-of-the-art of this field is reviewed with emphasis on the various types of reactors proposed for this emerging technology. Only applications which attracted particular attention, namely diamond and high T c superconducting film deposition, are discussed in greater detail. (orig.)

  10. Modeling of Sheath Ion-Molecule Reactions in Plasma Enhanced Chemical Vapor Deposition of Carbon Nanotubes

    Science.gov (United States)

    Hash, David B.; Govindan, T. R.; Meyyappan, M.

    2004-01-01

    In many plasma simulations, ion-molecule reactions are modeled using ion energy independent reaction rate coefficients that are taken from low temperature selected-ion flow tube experiments. Only exothermic or nearly thermoneutral reactions are considered. This is appropriate for plasma applications such as high-density plasma sources in which sheaths are collisionless and ion temperatures 111 the bulk p!asma do not deviate significantly from the gas temperature. However, for applications at high pressure and large sheath voltages, this assumption does not hold as the sheaths are collisional and ions gain significant energy in the sheaths from Joule heating. Ion temperatures and thus reaction rates vary significantly across the discharge, and endothermic reactions become important in the sheaths. One such application is plasma enhanced chemical vapor deposition of carbon nanotubes in which dc discharges are struck at pressures between 1-20 Torr with applied voltages in the range of 500-700 V. The present work investigates The importance of the inclusion of ion energy dependent ion-molecule reaction rates and the role of collision induced dissociation in generating radicals from the feedstock used in carbon nanotube growth.

  11. Role of chlorine in the nanocrystalline silicon film formation by rf plasma-enhanced chemical vapor deposition of chlorinated materials

    International Nuclear Information System (INIS)

    Shirai, Hajime

    2004-01-01

    We demonstrate the disorder-induced low-temperature crystallization in the nanocrystalline silicon film growth by rf plasma-enhanced chemical vapor deposition of H 2 -diluted SiH 2 Cl 2 and SiCl 4 . The combination of the chemical reactivity of SiCld (d: dangling bond) and SiHCl complexes and the release of the disorder-induced stress near the growing surface tightly correlate with the phase transitionity of SiCld and SiHCl complexes near the growing surface with the aid of atomic hydrogen, which induce higher degree of disorder in the a-Si network. These features are most prominent in the SiCl 4 compared with those of SiH 2 Cl 2 and SiH 4 , which preferentially enhance the nanocrystalline Si formation

  12. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jiang Hao [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States)]. E-mail: hao.jiang@wpafb.af.mil; Hong Lianggou [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States); Venkatasubramanian, N. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Grant, John T. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Eyink, Kurt [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Wiacek, Kevin [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Fries-Carr, Sandra [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Enlow, Jesse [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Bunning, Timothy J. [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States)

    2007-02-26

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant ({epsilon} {sub r}) and dielectric loss (tan {delta}) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F {sub b}) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F{sub b} of 610 V/{mu}m, an {epsilon} {sub r} of 3.07, and a tan {delta} of 7.0 x 10{sup -3} at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss.

  13. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    International Nuclear Information System (INIS)

    Jiang Hao; Hong Lianggou; Venkatasubramanian, N.; Grant, John T.; Eyink, Kurt; Wiacek, Kevin; Fries-Carr, Sandra; Enlow, Jesse; Bunning, Timothy J.

    2007-01-01

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant (ε r ) and dielectric loss (tan δ) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F b ) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F b of 610 V/μm, an ε r of 3.07, and a tan δ of 7.0 x 10 -3 at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss

  14. Structural and photoluminescence investigation on the hot-wire assisted plasma enhanced chemical vapor deposition growth silicon nanowires

    International Nuclear Information System (INIS)

    Chong, Su Kong; Goh, Boon Tong; Wong, Yuen-Yee; Nguyen, Hong-Quan; Do, Hien; Ahmad, Ishaq; Aspanut, Zarina; Muhamad, Muhamad Rasat; Dee, Chang Fu; Rahman, Saadah Abdul

    2012-01-01

    High density of silicon nanowires (SiNWs) were synthesized by a hot-wire assisted plasma enhanced chemical vapor deposition technique. The structural and optical properties of the as-grown SiNWs prepared at different rf power of 40 and 80 W were analyzed in this study. The SiNWs prepared at rf power of 40 W exhibited highly crystalline structure with a high crystal volume fraction, X C of ∼82% and are surrounded by a thin layer of SiO x . The NWs show high absorption in the high energy region (E>1.8 eV) and strong photoluminescence at 1.73 to 2.05 eV (red–orange region) with a weak shoulder at 1.65 to 1.73 eV (near IR region). An increase in rf power to 80 W reduced the X C to ∼65% and led to the formation of nanocrystalline Si structures with a crystallite size of <4 nm within the SiNWs. These NWs are covered by a mixture of uncatalyzed amorphous Si layer. The SiNWs prepared at 80 W exhibited a high optical absorption ability above 99% in the broadband range between 220 and ∼1500 nm and red emission between 1.65 and 1.95 eV. The interesting light absorption and photoluminescence properties from both SiNWs are discussed in the text. - Highlights: ► Growth of random oriented silicon nanowires using hot-wire assisted plasma enhanced chemical vapor deposition. ► Increase in rf power reduces the crystallinity of silicon nanowires. ► High density and nanocrystalline structure in silicon nanowires significant enhance the near IR light absorption. ► Oxide defects and silicon nanocrystallites in silicon nanowires reveal photoluminescence in red–orange and red regions.

  15. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  16. Experimental setup for producing tungsten coated graphite tiles using plasma enhanced chemical vapor deposition technique for fusion plasma applications

    International Nuclear Information System (INIS)

    Chauhan, Sachin Singh; Sharma, Uttam; Choudhary, K.K.; Sanyasi, A.K.; Ghosh, J.; Sharma, Jayshree

    2013-01-01

    Plasma wall interaction (PWI) in fusion grade machines puts stringent demands on the choice of materials in terms of high heat load handling capabilities and low sputtering yields. Choice of suitable material still remains a challenge and open topic of research for the PWI community. Carbon fibre composites (CFC), Beryllium (Be), and Tungsten (W) are now being considered as first runners for the first wall components of future fusion machines. Tungsten is considered to be one of the suitable materials for the job because of its superior properties than carbon like low physical sputtering yield and high sputter energy threshold, high melting point, fairly high re-crystallization temperature, low fuel retention capabilities, low chemical sputtering with hydrogen and its isotopes and most importantly the reparability with various plasma techniques both ex-situ and in-situ. Plasma assisted chemical vapour deposition is considered among various techniques as the most preferable technique for fabricating tungsten coated graphite tiles to be used as tokamak first wall and target components. These coated tiles are more favourable compared to pure tungsten due to their light weight and easier machining. A system has been designed, fabricated and installed at SVITS, Indore for producing tungsten coated graphite tiles using Plasma Enhanced Chemical Vapor Deposition (PE-CVD) technique for Fusion plasma applications. The system contains a vacuum chamber, a turbo-molecular pump, two electrodes, vacuum gauges, mass analyzer, mass flow controllers and a RF power supply for producing the plasma using hydrogen gas. The graphite tiles will be put on one of the electrodes and WF6 gas will be inserted in a controlled manner in the hydrogen plasma to achieve the tungsten-coating with WF6 dissociation. The system is integrated at SVITS, Indore and a vacuum of the order of 3*10 -6 is achieved and glow discharge plasma has been created to test all the sub-systems. The system design with

  17. Nanostructure and optical properties of CeO{sub 2} thin films obtained by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Barreca, D.; Bruno, G.; Gasparotto, A.; Losurdo, M.; Tondello, E

    2003-12-15

    In the present study, Spectroscopic Ellipsometry (SE) is used to investigate the interrelations between nanostructure and optical properties of CeO{sub 2} thin films deposited by Plasma-Enhanced Chemical Vapor Deposition (PE-CVD). The layers were synthesized in Ar and Ar-O{sub 2} plasmas on Si(100) substrates at temperatures lower than 300 deg. C. Both the real and imaginary parts of the complex dielectric functions and, subsequently, the optical constants of the films are derived up to 6.0 eV photon energy. Particular attention is devoted to the influence of synthesis conditions and sample properties on the optical response, taking into account the effects of surface roughness and SiO{sub 2} interface layer on Si.

  18. Synthesis and growth mechanism of Fe-catalyzed carbon nanotubes by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Jiang Jun; Feng Tao; Cheng Xinhong; Dai Lijuan; Cao Gongbai; Jiang Bingyao; Wang Xi; Liu Xianghuai; Zou Shichang

    2006-01-01

    Plasma-enhanced chemical vapor deposition (PECVD) was used to grow Fe-catalyzed carbon nanotubes (CNTs). The nanotubes had a uniform diameter in the range of about 10-20 nm. A base growth mode was responsible for the CNTs growth using a mixture of H 2 (60 sccm) and C 2 H 2 (15 sccm). For a mixture of H 2 (100 sccm) and C 2 H 2 (25 sccm), a complicated growth mechanism took place involving both the base growth and the tip growth. X-ray photoelectron spectroscopy measurements revealed that the grown CNTs contained C-H covalent bonds and Fe-C bonds located at the interface between them and the substrates. The factors determining the growth mechanism of CNTs are discussed and their growth mechanisms with the different gas ratios are suggested

  19. Controlled surface diffusion in plasma-enhanced chemical vapor deposition of GaN nanowires

    International Nuclear Information System (INIS)

    Hou, W C; Hong, Franklin Chau-Nan

    2009-01-01

    This study investigates the growth of GaN nanowires by controlling the surface diffusion of Ga species on sapphire in a plasma-enhanced chemical vapor deposition (CVD) system. Under nitrogen-rich growth conditions, Ga has a tendency to adsorb on the substrate surface diffusing to nanowires to contribute to their growth. The significance of surface diffusion on the growth of nanowires is dependent on the environment of the nanowire on the substrate surface as well as the gas phase species and compositions. Under nitrogen-rich growth conditions, the growth rate is strongly dependent on the surface diffusion of gallium, but the addition of 5% hydrogen in nitrogen plasma instantly diminishes the surface diffusion effect. Gallium desorbs easily from the surface by reaction with hydrogen. On the other hand, under gallium-rich growth conditions, nanowire growth is shown to be dominated by the gas phase deposition, with negligible contribution from surface diffusion. This is the first study reporting the inhibition of surface diffusion effects by hydrogen addition, which can be useful in tailoring the growth and characteristics of nanowires. Without any evidence of direct deposition on the nanowire surface, gallium and nitrogen are shown to dissolve into the catalyst for growing the nanowires at 900 deg. C.

  20. Low-temperature synthesis of diamond films by photoemission-assisted plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kawata, Mayuri, E-mail: kawata@mail.tagen.tohoku.ac.jp; Ojiro, Yoshihiro; Ogawa, Shuichi; Takakuwa, Yuji [Institute of Multidisciplinary Research for Advanced Materials, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan); Masuzawa, Tomoaki; Okano, Ken [International Christian University, 3-10-2 Osawa, Mitaka 181-8585 (Japan)

    2014-03-15

    Photoemission-assisted plasma-enhanced chemical vapor deposition (PA-PECVD), a process in which photoelectrons emitted from a substrate irradiated with ultraviolet light are utilized as a trigger for DC discharge, was investigated in this study; specifically, the DC discharge characteristics of PA-PECVD were examined for an Si substrate deposited in advance through hot-filament chemical vapor deposition with a nitrogen-doped diamond layer of thickness ∼1 μm. Using a commercially available Xe excimer lamp (hν = 7.2 eV) to illuminate the diamond surface with and without hydrogen termination, the photocurrents were found to be 3.17 × 10{sup 12} and 2.11 × 10{sup 11} electrons/cm{sup 2}/s, respectively. The 15-fold increase in photocurrent was ascribed to negative electron affinity (NEA) caused by hydrogen termination on the diamond surfaces. The DC discharge characteristics revealed that a transition bias voltage from a Townsend-to-glow discharge was considerably decreased because of NEA (from 490 to 373 V for H{sub 2} gas and from 330 to 200 V for Ar gas), enabling a reduction in electric power consumption needed to synthesize diamond films through PA-PECVD. In fact, the authors have succeeded in growing high-quality diamond films of area 2.0 cm{sup 2} at 540 °C with a discharge power of only 1.8 W, plasma voltage of 156.4 V, and discharge current of 11.7 mA under the glow discharge of CH{sub 4}/H{sub 2}/Ar mixed gases. In addition to having only negligible amounts of graphite and amorphous carbon, the diamond films exhibit a relatively high diamond growth rate of 0.5 μm/h at temperatures as low as 540 °C, which is attributed to Ar{sup +} ions impinging on the diamond surface, and causing the removal of hydrogen atoms from the surface through sputtering. This process leads to enhanced CH{sub x} radical adsorption, because the sample was applied with a negative potential to accelerate photoelectrons in PA-PECVD.

  1. Spectroscopic ellipsometry characterization of nano-crystalline diamondfilms prepared at various substrate temperatures and pulsed plasma frequencies using microwave plasma enhanced chemical vapor deposition apparatus with linear antenna delivery

    Czech Academy of Sciences Publication Activity Database

    Mistrík, J.; Janíček, P.; Taylor, Andrew; Fendrych, František; Fekete, Ladislav; Jäger, Aleš; Nesládek, M.

    2014-01-01

    Roč. 571, č. 1 (2014), s. 230-237 ISSN 0040-6090 R&D Projects: GA ČR GA13-31783S; GA MŠk(CZ) LM2011026 Grant - others: COST Nano TP(XE) MP0901; OP VK(XE) CZ.1.07/2.3.00/20.0306 Institutional support: RVO:68378271 Keywords : nanocrystalline diamond * thin films * microwave plasma-enhanced chemical vapor deposition * pulsed plasma * low deposition temperature Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.759, year: 2014

  2. Long-term stable water vapor permeation barrier properties of SiN/SiCN/SiN nanolaminated multilayers grown by plasma-enhanced chemical vapor deposition at extremely low pressures

    International Nuclear Information System (INIS)

    Choi, Bum Ho; Lee, Jong Ho

    2014-01-01

    We investigated the water vapor permeation barrier properties of 30-nm-thick SiN/SiCN/SiN nanolaminated multilayer structures grown by plasma enhanced chemical vapor deposition at 7 mTorr. The derived water vapor transmission rate was 1.12 × 10 −6 g/(m 2 day) at 85 °C and 85% relative humidity, and this value was maintained up to 15 000 h of aging time. The X-ray diffraction patterns revealed that the nanolaminated film was composed of an amorphous phase. A mixed phase was observed upon performing high resolution transmission electron microscope analysis, which indicated that a thermodynamically stable structure was formed. It was revealed amorphous SiN/SiCN/SiN multilayer structures that are free from intermixed interface defects effectively block water vapor permeation into active layer

  3. Structural and optical characterization of self-assembled Ge nanocrystal layers grown by plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Saeed, Saba; Buters, Frank; Dohnalova, Katerina; Wosinski, Lech; Gregorkiewicz, Tom

    2014-10-10

    We present a structural and optical study of solid-state dispersions of Ge nanocrystals prepared by plasma-enhanced chemical vapor deposition. Structural analysis shows the presence of nanocrystalline germanium inclusions embedded in an amorphous matrix of Si-rich SiO(2).Optical characterization reveals two prominent emission bands centered around 2.6 eV and 3.4 eV, and tunable by excitation energy. In addition, the lower energy band shows an excitation power-dependent blue shift of up to 0.3 eV. Decay dynamics of the observed emission contains fast (nanosecond) and slow (microseconds) components, indicating contributions of several relaxation channels. Based on these material characteristics, a possible microscopic origin of the individual emission bands is discussed.

  4. Optimization of silicon oxynitrides by plasma-enhanced chemical vapor deposition for an interferometric biosensor

    Science.gov (United States)

    Choo, Sung Joong; Lee, Byung-Chul; Lee, Sang-Myung; Park, Jung Ho; Shin, Hyun-Joon

    2009-09-01

    In this paper, silicon oxynitride layers deposited with different plasma-enhanced chemical vapor deposition (PECVD) conditions were fabricated and optimized, in order to make an interferometric sensor for detecting biochemical reactions. For the optimization of PECVD silicon oxynitride layers, the influence of the N2O/SiH4 gas flow ratio was investigated. RF power in the PEVCD process was also adjusted under the optimized N2O/SiH4 gas flow ratio. The optimized silicon oxynitride layer was deposited with 15 W in chamber under 25/150 sccm of N2O/SiH4 gas flow rates. The clad layer was deposited with 20 W in chamber under 400/150 sccm of N2O/SiH4 gas flow condition. An integrated Mach-Zehnder interferometric biosensor based on optical waveguide technology was fabricated under the optimized PECVD conditions. The adsorption reaction between bovine serum albumin (BSA) and the silicon oxynitride surface was performed and verified with this device.

  5. Heteroepitaxial Growth of Germanium-on-Silicon Using Ultrahigh-Vacuum Chemical Vapor Deposition with RF Plasma Enhancement

    Science.gov (United States)

    Alharthi, Bader; Grant, Joshua M.; Dou, Wei; Grant, Perry C.; Mosleh, Aboozar; Du, Wei; Mortazavi, Mansour; Li, Baohua; Naseem, Hameed; Yu, Shui-Qing

    2018-05-01

    Germanium (Ge) films have been grown on silicon (Si) substrate by ultrahigh-vacuum chemical vapor deposition with plasma enhancement (PE). Argon plasma was generated using high-power radiofrequency (50 W) to assist in germane decomposition at low temperature. The growth temperature was varied in the low range of 250°C to 450°C to make this growth process compatible with complementary metal-oxide-semiconductor technology. The material and optical properties of the grown Ge films were investigated. The material quality was determined by Raman and x-ray diffraction techniques, revealing growth of crystalline films in the temperature range of 350°C to 450°C. Photoluminescence spectra revealed improved optical quality at growth temperatures of 400°C and 450°C. Furthermore, material quality study using transmission electron microscopy revealed existence of defects in the Ge layer grown at 400°C. Based on the etch pit density, the average threading dislocation density in the Ge layer obtained at this growth temperature was measured to be 4.5 × 108 cm-2. This result was achieved without any material improvement steps such as use of graded buffer or thermal annealing. Comparison between PE and non-plasma-enhanced growth, in the same machine at otherwise the same growth conditions, indicated increased growth rate and improved material and optical qualities for PE growth.

  6. Synthesis of few-layer graphene on a Ni substrate by using DC plasma enhanced chemical vapor deposition (PE-CVD)

    International Nuclear Information System (INIS)

    Kim, Jeong Hyuk; Castro, Edward Joseph; Hwang, Yong Gyoo; Lee, Choong Hun

    2011-01-01

    In this work, few-layer graphene (FLG) was successfully grown on polycrystalline Ni a large scale by using DC plasma enhanced chemical vapor deposition (DC PE-CVD), which may serve as an alternative route in large-scale graphene synthesis. The synthesis time had an effect on the quality of the graphene produced. The applied DC voltage, on the other hand, influenced the minimization of the defect densities in the graphene grown. We also present a method of producing a free-standing polymethyl methacrylate (PMMA)/graphene membrane on a FeCl 3(aq) solution, which could then be transferred to the desired substrate.

  7. Controlled growth of carbon nanofibers using plasma enhanced chemical vapor deposition: Effect of catalyst thickness and gas ratio

    International Nuclear Information System (INIS)

    Saidin, M.A.R.; Ismail, A.F.; Sanip, S.M.; Goh, P.S.; Aziz, M.; Tanemura, M.

    2012-01-01

    The characteristics of carbon nanofibers (CNFs) grown, using direct current plasma enhanced chemical vapor deposition system reactor under various acetylene to ammonia gas ratios and different catalyst thicknesses were studied. Nickel/Chromium-glass (Ni/Cr-glass) thin film catalyst was employed for the growth of CNF. The grown CNFs were then characterized using Raman spectroscopy, field emission scanning electron microscopy and transmission electron microscopy (TEM). Raman spectroscopy showed that the Ni/Cr-glass with thickness of 15 nm and gas ratio acetylene to ammonia of 1:3 produced CNFs with the lowest I D /I G value (the relative intensity of D-band to G-band). This indicated that this catalyst thickness and gas ratio value is the optimum combination for the synthesis of CNFs under the conditions studied. TEM observation pointed out that the CNFs produced have 104 concentric walls and the residual catalyst particles were located inside the tubes of CNFs. It was also observed that structural morphology of the grown CNFs was influenced by acetylene to ammonia gas ratio and catalyst thickness.

  8. Controlled growth of carbon nanofibers using plasma enhanced chemical vapor deposition: Effect of catalyst thickness and gas ratio

    Energy Technology Data Exchange (ETDEWEB)

    Saidin, M.A.R. [Advanced Membrane Technology Research Centre (AMTEC), Universiti Teknologi Malaysia, 81310 Skudai, Johor Bahru (Malaysia); Ismail, A.F., E-mail: afauzi@utm.my [Advanced Membrane Technology Research Centre (AMTEC), Universiti Teknologi Malaysia, 81310 Skudai, Johor Bahru (Malaysia); Sanip, S.M.; Goh, P.S.; Aziz, M. [Advanced Membrane Technology Research Centre (AMTEC), Universiti Teknologi Malaysia, 81310 Skudai, Johor Bahru (Malaysia); Tanemura, M. [Department of Frontier Material, Graduate School of Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan)

    2012-01-31

    The characteristics of carbon nanofibers (CNFs) grown, using direct current plasma enhanced chemical vapor deposition system reactor under various acetylene to ammonia gas ratios and different catalyst thicknesses were studied. Nickel/Chromium-glass (Ni/Cr-glass) thin film catalyst was employed for the growth of CNF. The grown CNFs were then characterized using Raman spectroscopy, field emission scanning electron microscopy and transmission electron microscopy (TEM). Raman spectroscopy showed that the Ni/Cr-glass with thickness of 15 nm and gas ratio acetylene to ammonia of 1:3 produced CNFs with the lowest I{sub D}/I{sub G} value (the relative intensity of D-band to G-band). This indicated that this catalyst thickness and gas ratio value is the optimum combination for the synthesis of CNFs under the conditions studied. TEM observation pointed out that the CNFs produced have 104 concentric walls and the residual catalyst particles were located inside the tubes of CNFs. It was also observed that structural morphology of the grown CNFs was influenced by acetylene to ammonia gas ratio and catalyst thickness.

  9. Resolving the nanostructure of plasma-enhanced chemical vapor deposited nanocrystalline SiOx layers for application in solar cells

    Science.gov (United States)

    Klingsporn, M.; Kirner, S.; Villringer, C.; Abou-Ras, D.; Costina, I.; Lehmann, M.; Stannowski, B.

    2016-06-01

    Nanocrystalline silicon suboxides (nc-SiOx) have attracted attention during the past years for the use in thin-film silicon solar cells. We investigated the relationships between the nanostructure as well as the chemical, electrical, and optical properties of phosphorous, doped, nc-SiO0.8:H fabricated by plasma-enhanced chemical vapor deposition. The nanostructure was varied through the sample series by changing the deposition pressure from 533 to 1067 Pa. The samples were then characterized by X-ray photoelectron spectroscopy, spectroscopic ellipsometry, Raman spectroscopy, aberration-corrected high-resolution transmission electron microscopy, selected-area electron diffraction, and a specialized plasmon imaging method. We found that the material changed with increasing pressure from predominantly amorphous silicon monoxide to silicon dioxide containing nanocrystalline silicon. The nanostructure changed from amorphous silicon filaments to nanocrystalline silicon filaments, which were found to cause anisotropic electron transport.

  10. An economic analysis of the deposition of electrochromic WO3 via sputtering or plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Garg, D.; Henderson, P.B.; Hollingsworth, R.E.; Jensen, D.G.

    2005-01-01

    The costs of manufacturing electrochromic WO 3 thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO 3 for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF 6 , sputtering cost is dominated by labor and depreciation

  11. Coating of diamond-like carbon nanofilm on alumina by microwave plasma enhanced chemical vapor deposition process.

    Science.gov (United States)

    Rattanasatien, Chotiwan; Tonanon, Nattaporn; Bhanthumnavin, Worawan; Paosawatyanyong, Boonchoat

    2012-01-01

    Diamond-like carbon (DLC) nanofilms with thickness varied from under one hundred to a few hundred nanometers have been successfully deposited on alumina substrates by microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. To obtain dense continuous DLC nanofilm coating over the entire sample surface, alumina substrates were pre-treated to enhance the nucleation density. Raman spectra of DLC films on samples showed distinct diamond peak at around 1332 cm(-1), and the broad band of amorphous carbon phase at around 1550 cm(-1). Full width at half maximum height (FWHM) values indicated good formation of diamond phase in all films. The result of nano-indentation test show that the hardness of alumina samples increase from 7.3 +/- 2.0 GPa in uncoated samples to 15.8 +/- 4.5-52.2 +/- 2.1 GPa in samples coated with DLC depending on the process conditions. It is observed that the hardness values are still in good range although the thickness of the films is less than a hundred nanometer.

  12. Si Nano wires Produced by Very High Frequency Plasma Enhanced Chemical Vapor Deposition (PECVD) via VLS Mechanism

    International Nuclear Information System (INIS)

    Yussof Wahab; Yussof Wahab; Habib Hamidinezhad; Habib Hamidinezhad

    2013-01-01

    Silicon nano wires (SiNWs) with diameter of about a few nanometers and length of 3 μm on silicon wafers were synthesized by very high frequency plasma enhanced chemical vapor deposition. Scanning electron microscopy (SEM) observations showed that the silicon nano wires were grown randomly and energy-dispersive X-ray spectroscopy analysis indicates that the nano wires have the composition of Si, Au and O elements. The SiNWs were characterized by high resolution transmission electron microscopy (HRTEM) and Raman spectroscopy. SEM micrographs displayed SiNWs that are needle-like with a diameter ranged from 30 nm at the top to 100 nm at the bottom of the wire and have length a few of micrometers. In addition, HRTEM showed that SiNWs consist of crystalline silicon core and amorphous silica layer. (author)

  13. Growth and characterization of stoichiometric BCN films on highly oriented pyrolytic graphite by radiofrequency plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mannan, Md. Abdul, E-mail: amannan75@yahoo.co [Department of Chemistry and Applied Chemistry, Faculty of Science and Engineering, Saga University, 1 Honjo, Saga 840-8502 (Japan); Synchrotron Radiation Research Unit, Quantum Beam Science Directorate, Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki 319-1195 (Japan); Noguchi, Hideyuki; Kida, Tetsuya; Nagano, Masamitsu [Department of Chemistry and Applied Chemistry, Faculty of Science and Engineering, Saga University, 1 Honjo, Saga 840-8502 (Japan); Hirao, Norie; Baba, Yuji [Synchrotron Radiation Research Unit, Quantum Beam Science Directorate, Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki 319-1195 (Japan)

    2010-05-31

    Hexagonal boron carbonitride (h-BCN) hybrid films have been synthesized on highly oriented pyrolytic graphite by radiofrequency plasma enhanced chemical vapor deposition using tris-(dimethylamino)borane as a single-source molecular precursor. The films were characterized by X-ray photoelectron spectroscopy (XPS), near-edge X-ray absorption fine structure (NEXAFS) and Raman spectroscopic measurements. XPS measurement showed that the B atoms were bonded to C and N atoms to form the sp{sup 2}-B-C-N atomic hybrid chemical environment. The atomic composition estimated from the XPS of the typical sample was found to be almost B{sub 1}C{sub 1}N{sub 1}. NEXAFS spectra of the B K-edge and the N K-edge had the peaks due to the {pi}* and {sigma}* resonances of sp{sup 2} hybrid orbitals implying the existence of the sp{sup 2} hybrid configurations of h-BCN around the B atoms. The G band at 1592 and D band at 1352 cm{sup -1} in the Raman spectra also suggested the presence of the graphite-like sp{sup 2}-B-C-N atomic hybrid bonds. The films consisted of micrometer scale crystalline structure of around 10 {mu}m thick has been confirmed by the field emission scanning electron microscopy.

  14. Chaotic saddles in nonlinear modulational interactions in a plasma

    International Nuclear Information System (INIS)

    Miranda, Rodrigo A.; Rempel, Erico L.; Chian, Abraham C.-L.

    2012-01-01

    A nonlinear model of modulational processes in the subsonic regime involving a linearly unstable wave and two linearly damped waves with different damping rates in a plasma is studied numerically. We compute the maximum Lyapunov exponent as a function of the damping rates in a two-parameter space, and identify shrimp-shaped self-similar structures in the parameter space. By varying the damping rate of the low-frequency wave, we construct bifurcation diagrams and focus on a saddle-node bifurcation and an interior crisis associated with a periodic window. We detect chaotic saddles and their stable and unstable manifolds, and demonstrate how the connection between two chaotic saddles via coupling unstable periodic orbits can result in a crisis-induced intermittency. The relevance of this work for the understanding of modulational processes observed in plasmas and fluids is discussed.

  15. Chaotic saddles in nonlinear modulational interactions in a plasma

    Energy Technology Data Exchange (ETDEWEB)

    Miranda, Rodrigo A. [Institute of Aeronautical Technology (ITA) and World Institute for Space Environment Research (WISER), Sao Jose dos Campos, SP 12228-900 (Brazil); National Institute for Space Research (INPE) and World Institute for Space Environment Research (WISER), P.O. Box 515, Sao Jose dos Campos, SP 12227-010 (Brazil); University of Brasilia (UnB), Gama Campus, and Plasma Physics Laboratory, Institute of Physics, Brasilia, DF 70910-900 (Brazil); Rempel, Erico L. [Institute of Aeronautical Technology (ITA) and World Institute for Space Environment Research (WISER), Sao Jose dos Campos, SP 12228-900 (Brazil); National Institute for Space Research (INPE) and World Institute for Space Environment Research (WISER), P.O. Box 515, Sao Jose dos Campos, SP 12227-010 (Brazil); Chian, Abraham C.-L. [Institute of Aeronautical Technology (ITA) and World Institute for Space Environment Research (WISER), Sao Jose dos Campos, SP 12228-900 (Brazil); National Institute for Space Research (INPE) and World Institute for Space Environment Research (WISER), P.O. Box 515, Sao Jose dos Campos, SP 12227-010 (Brazil); Observatoire de Paris, LESIA, CNRS, 92195 Meudon (France)

    2012-11-15

    A nonlinear model of modulational processes in the subsonic regime involving a linearly unstable wave and two linearly damped waves with different damping rates in a plasma is studied numerically. We compute the maximum Lyapunov exponent as a function of the damping rates in a two-parameter space, and identify shrimp-shaped self-similar structures in the parameter space. By varying the damping rate of the low-frequency wave, we construct bifurcation diagrams and focus on a saddle-node bifurcation and an interior crisis associated with a periodic window. We detect chaotic saddles and their stable and unstable manifolds, and demonstrate how the connection between two chaotic saddles via coupling unstable periodic orbits can result in a crisis-induced intermittency. The relevance of this work for the understanding of modulational processes observed in plasmas and fluids is discussed.

  16. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  17. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  18. The structure and growth mechanism of Si nanoneedles prepared by plasma-enhanced chemical vapor deposition

    Czech Academy of Sciences Publication Activity Database

    Červenka, Jiří; Ledinský, Martin; Stuchlík, Jiří; Stuchlíková, The-Ha; Bakardjieva, Snejana; Hruška, Karel; Fejfar, Antonín; Kočka, Jan

    2010-01-01

    Roč. 21, č. 41 (2010), 415604/1-415604/7 ISSN 0957-4484 R&D Projects: GA MŠk(CZ) LC06040; GA AV ČR KAN400100701; GA MŠk LC510 EU Projects: European Commission(XE) 240826 - PolySiMode Institutional research plan: CEZ:AV0Z10100521; CEZ:AV0Z40320502 Keywords : nanoneedles * nanowires * silicon * plasma * chemical vapor deposition * crystal structure * growth * phonon * SEM * Raman Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.644, year: 2010

  19. Enhancement of electron field emission of vertically aligned carbon nanotubes by nitrogen plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Wang, B.B. [College of Chemistry and Chemical Engineering, Chongqing University of Technology, 69 Hongguang Rd, Lijiatuo, Banan District, Chongqing 400054 (China); Plasma Nanoscience Centre Australia (PNCA), CSIRO Materials Science and Engineering, P.O. Box 218, Lindfield, NSW 2070 (Australia); Cheng, Q.J. [Plasma Nanoscience Centre Australia (PNCA), CSIRO Materials Science and Engineering, P.O. Box 218, Lindfield, NSW 2070 (Australia); Plasma Nanoscience, School of Physics, University of Sydney, Sydney, NSW 2006 (Australia); Chen, X. [College of Materials Science and Engineering, Chongqing University, Chongqing 400044 (China); Ostrikov, K., E-mail: kostya.ostrikov@csiro.au [Plasma Nanoscience Centre Australia (PNCA), CSIRO Materials Science and Engineering, P.O. Box 218, Lindfield, NSW 2070 (Australia); Plasma Nanoscience, School of Physics, University of Sydney, Sydney, NSW 2006 (Australia)

    2011-09-22

    Highlights: > A new and custom-designed bias-enhanced hot-filament chemical vapor deposition system is developed to synthesize vertically aligned carbon nanotubes. > The carbon nanotubes are later treated with nitrogen plasmas. > The electron field emission characteristics of the carbon nanotubes are significantly improved after the nitrogen plasma treatment. > A new physical mechanism is proposed to interpret the improvement of the field emission characteristics. - Abstract: The electron field emission (EFE) characteristics from vertically aligned carbon nanotubes (VACNTs) without and with treatment by the nitrogen plasma are investigated. The VACNTs with the plasma treatment showed a significant improvement in the EFE property compared to the untreated VACNTs. The morphological, structural, and compositional properties of the VACNTs are extensively examined by scanning electron microscopy, transmission electron microscopy, Raman spectroscopy, and energy dispersive X-ray spectroscopy. It is shown that the significant EFE improvement of the VACNTs after the nitrogen plasma treatment is closely related to the variation of the morphological and structural properties of the VACNTs. The high current density (299.6 {mu}A/cm{sup 2}) achieved at a low applied field (3.50 V/{mu}m) suggests that the VACNTs after nitrogen plasma treatment can serve as effective electron field emission sources for numerous applications.

  20. Enhancement of electron field emission of vertically aligned carbon nanotubes by nitrogen plasma treatment

    International Nuclear Information System (INIS)

    Wang, B.B.; Cheng, Q.J.; Chen, X.; Ostrikov, K.

    2011-01-01

    Highlights: → A new and custom-designed bias-enhanced hot-filament chemical vapor deposition system is developed to synthesize vertically aligned carbon nanotubes. → The carbon nanotubes are later treated with nitrogen plasmas. → The electron field emission characteristics of the carbon nanotubes are significantly improved after the nitrogen plasma treatment. → A new physical mechanism is proposed to interpret the improvement of the field emission characteristics. - Abstract: The electron field emission (EFE) characteristics from vertically aligned carbon nanotubes (VACNTs) without and with treatment by the nitrogen plasma are investigated. The VACNTs with the plasma treatment showed a significant improvement in the EFE property compared to the untreated VACNTs. The morphological, structural, and compositional properties of the VACNTs are extensively examined by scanning electron microscopy, transmission electron microscopy, Raman spectroscopy, and energy dispersive X-ray spectroscopy. It is shown that the significant EFE improvement of the VACNTs after the nitrogen plasma treatment is closely related to the variation of the morphological and structural properties of the VACNTs. The high current density (299.6 μA/cm 2 ) achieved at a low applied field (3.50 V/μm) suggests that the VACNTs after nitrogen plasma treatment can serve as effective electron field emission sources for numerous applications.

  1. A simple method to deposit palladium doped SnO2 thin films using plasma enhanced chemical vapor deposition technique

    International Nuclear Information System (INIS)

    Kim, Young Soon; Wahab, Rizwan; Shin, Hyung-Shik; Ansari, S. G.; Ansari, Z. A.

    2010-01-01

    This work presents a simple method to deposit palladium doped tin oxide (SnO 2 ) thin films using modified plasma enhanced chemical vapor deposition as a function of deposition temperature at a radio frequency plasma power of 150 W. Stannic chloride (SnCl 4 ) was used as precursor and oxygen (O 2 , 100 SCCM) (SCCM denotes cubic centimeter per minute at STP) as reactant gas. Palladium hexafluroacetyleacetonate (Pd(C 5 HF 6 O 2 ) 2 ) was used as a precursor for palladium. Fine granular morphology was observed with tetragonal rutile structure. A peak related to Pd 2 Sn is observed, whose intensity increases slightly with deposition temperature. Electrical resistivity value decreased from 8.6 to 0.9 mΩ cm as a function of deposition temperature from 400 to 600 deg. C. Photoelectron peaks related to Sn 3d, Sn 3p3, Sn 4d, O 1s, and C 1s were detected with varying intensities as a function of deposition temperature.

  2. An economic analysis of the deposition of electrochromic WO{sub 3} via sputtering or plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Garg, D. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States); Henderson, P.B. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States)]. E-mail: henderpb@airproducts.co; Hollingsworth, R.E. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States); Jensen, D.G. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States)

    2005-06-15

    The costs of manufacturing electrochromic WO{sub 3} thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO{sub 3} for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF{sub 6}, sputtering cost is dominated by labor and depreciation.

  3. Formation of apatite on hydrogenated amorphous silicon (a-Si:H) film deposited by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu Xuanyong; Chu, Paul K.; Ding Chuanxian

    2007-01-01

    Hydrogenated amorphous silicon films were fabricated on p-type, 100 mm diameter silicon wafers by plasma-enhanced chemical vapor deposition (PECVD) using silane and hydrogen. The structure and composition of the hydrogenated amorphous silicon films were investigated using micro-Raman spectroscopy and cross-sectional transmission electron microscopy (XTEM). The hydrogenated amorphous silicon films were subsequently soaked in simulated body fluids to evaluate apatite formation. Carbonate-containing hydroxyapatite (bone-like apatite) was formed on the surface suggesting good bone conductivity. The amorphous structure and presence of surface Si-H bonds are believed to induce apatite formation on the surface of the hydrogenated amorphous silicon film. A good understanding of the surface bioactivity of silicon-based materials and means to produce a bioactive surface is important to the development of silicon-based biosensors and micro-devices that are implanted inside humans

  4. Formation of apatite on hydrogenated amorphous silicon (a-Si:H) film deposited by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liu Xuanyong [Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China) and Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)]. E-mail: xyliu@mail.sic.ac.cn; Chu, Paul K. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)]. E-mail: paul.chu@cityu.edu.hk; Ding Chuanxian [Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China)

    2007-01-15

    Hydrogenated amorphous silicon films were fabricated on p-type, 100 mm diameter <1 0 0> silicon wafers by plasma-enhanced chemical vapor deposition (PECVD) using silane and hydrogen. The structure and composition of the hydrogenated amorphous silicon films were investigated using micro-Raman spectroscopy and cross-sectional transmission electron microscopy (XTEM). The hydrogenated amorphous silicon films were subsequently soaked in simulated body fluids to evaluate apatite formation. Carbonate-containing hydroxyapatite (bone-like apatite) was formed on the surface suggesting good bone conductivity. The amorphous structure and presence of surface Si-H bonds are believed to induce apatite formation on the surface of the hydrogenated amorphous silicon film. A good understanding of the surface bioactivity of silicon-based materials and means to produce a bioactive surface is important to the development of silicon-based biosensors and micro-devices that are implanted inside humans.

  5. New fabrication technique using side-wall-type plasma-enhanced chemical-vapor deposition for a floating gate memory with a Si nanodot

    Energy Technology Data Exchange (ETDEWEB)

    Ichikawa, Kazunori; Punchaipetch, Prakaipetch; Yano, Hiroshi; Hatayama, Tomoaki; Uraoka, Yukiharu; Fuyuki, Takashi [Nara Institute of Science and Techonology, Ikoma, Nara (Japan); Tomyo, Atsushi; Takahashi, Eiji; Hayashi, Tsukasa; Ogata, Kiyoshi [Nissin Electric Co., Ltd., Kyoto (Japan)

    2006-08-15

    We have used side-wall-type plasma-enhanced chemical-vapor deposition (PECVD)to fabricate a floating gate memory using a Si nano-crystal dot on thermal SiO{sub 2} at a low temperature of 430 .deg. C. Atomic and radical hydrogen plays an important role in the low-temperature formation of the dot. Transmission electron microscopy (TEM) and atomic force microscopy (AFM) analyses revealed that the average dot size and density were approximately 5 nm and 8.5 X 10{sup 11} cm{sup -2}, respectively. The electronic properties were investigated with metal-oxide-semiconductor-field-effect transistors (MOSFETs) by embedding the nanocrystal dots into SiO{sub 2} fabricated using CVD. Electron charging and discharging were clearly confirmed at room temperature by the transient behavior of the capacitance and the transfer curve. The number of electrons confined in a single dot was approximately one. Furthermore, we evaluated the electronic behavior by varying the bias condition or the operating temperature. The critical charge density could be confirmed to be independent of the injection condition.

  6. Effect of nickel oxide seed layers on annealed-amorphous titanium oxide thin films prepared using plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Cheng-Yang; Hong, Shao-Chyang; Hwang, Fu-Tsai; Lai, Li-Wen; Lin, Tan-Wei; Liu, Day-Shan

    2011-01-01

    The effect of a nickel oxide (NiO x ) seed layer on the crystallization and photocatalytic activity of the sequentially plasma-enhanced chemical vapor deposited amorphous titanium oxide (TiO x ) thin film processed by a post-annealing process was investigated. The evolution of the crystalline structures, chemical bond configurations, and surface/cross-sectional morphologies of the annealed TiO x films, with and without a NiO x seed layer, was examined using X-ray diffractometer, Fourier transform infrared spectrometry, X-ray photoelectron spectroscopy, atomic force microscopy, and field emission scanning electron microscope measurements. Thermo- and photo-induced hydrophilicity was determined by measuring the contact angle of water droplet. Photocatalytic activity after UV light irradiation was evaluated from the decolorization of a methylene blue solution. The crystallization temperature of the TiO x film, deposited on a NiO x seed layer, was found to be lower than that of a pure TiO x film, further improving the thermo- and photo-induced surface super-hydrophilicity. The TiO x film deposited onto the NiO x seed layer, resulting in significant cluster boundaries, showed a rough surface morphology and proved to alleviate the anatase crystal growth by increasing the post-annealing temperature, which yielded a more active surface area and prohibited the recombination of photogenerated electrons and holes. The photocatalytic activity of the NiO x /TiO x system with such a textured surface therefore was enhanced and optimized through an adequate post-annealing process.

  7. Effect of nickel oxide seed layers on annealed-amorphous titanium oxide thin films prepared using plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Cheng-Yang; Hong, Shao-Chyang [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China); Hwang, Fu-Tsai [Department of Electro-Optical Engineering, National United University, Miao-Li, 36003, Taiwan (China); Lai, Li-Wen [ITRI South, Industrial Technology Research Institute, Liujia, Tainan, 73445, Taiwan (China); Lin, Tan-Wei [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China); Liu, Day-Shan, E-mail: dsliu@sunws.nfu.edu.tw [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China)

    2011-10-31

    The effect of a nickel oxide (NiO{sub x}) seed layer on the crystallization and photocatalytic activity of the sequentially plasma-enhanced chemical vapor deposited amorphous titanium oxide (TiO{sub x}) thin film processed by a post-annealing process was investigated. The evolution of the crystalline structures, chemical bond configurations, and surface/cross-sectional morphologies of the annealed TiO{sub x} films, with and without a NiO{sub x} seed layer, was examined using X-ray diffractometer, Fourier transform infrared spectrometry, X-ray photoelectron spectroscopy, atomic force microscopy, and field emission scanning electron microscope measurements. Thermo- and photo-induced hydrophilicity was determined by measuring the contact angle of water droplet. Photocatalytic activity after UV light irradiation was evaluated from the decolorization of a methylene blue solution. The crystallization temperature of the TiO{sub x} film, deposited on a NiO{sub x} seed layer, was found to be lower than that of a pure TiO{sub x} film, further improving the thermo- and photo-induced surface super-hydrophilicity. The TiO{sub x} film deposited onto the NiO{sub x} seed layer, resulting in significant cluster boundaries, showed a rough surface morphology and proved to alleviate the anatase crystal growth by increasing the post-annealing temperature, which yielded a more active surface area and prohibited the recombination of photogenerated electrons and holes. The photocatalytic activity of the NiO{sub x}/TiO{sub x} system with such a textured surface therefore was enhanced and optimized through an adequate post-annealing process.

  8. Characterization of diamond-like nanocomposite thin films grown by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Santra, T. S.; Liu, C. H.; Bhattacharyya, T. K.; Patel, P.; Barik, T. K.

    2010-01-01

    Diamond-like nanocomposite (DLN) thin films, comprising the networks of a-C:H and a-Si:O were deposited on pyrex glass or silicon substrate using gas precursors (e.g., hexamethyldisilane, hexamethyldisiloxane, hexamethyldisilazane, or their different combinations) mixed with argon gas, by plasma enhanced chemical vapor deposition technique. Surface morphology of DLN films was analyzed by atomic force microscopy. High-resolution transmission electron microscopic result shows that the films contain nanoparticles within the amorphous structure. Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, and x-ray photoelectron spectroscopy (XPS) were used to determine the structural change within the DLN films. The hardness and friction coefficient of the films were measured by nanoindentation and scratch test techniques, respectively. FTIR and XPS studies show the presence of C-C, C-H, Si-C, and Si-H bonds in the a-C:H and a-Si:O networks. Using Raman spectroscopy, we also found that the hardness of the DLN films varies with the intensity ratio I D /I G . Finally, we observed that the DLN films has a better performance compared to DLC, when it comes to properties like high hardness, high modulus of elasticity, low surface roughness and low friction coefficient. These characteristics are the critical components in microelectromechanical systems (MEMS) and emerging nanoelectromechanical systems (NEMS).

  9. Electrical transport properties of graphene nanowalls grown at low temperature using plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Zhao, Rong; Ahktar, Meysam; Alruqi, Adel; Dharmasena, Ruchira; Jasinski, Jacek B.; Thantirige, Rukshan M.; Sumanasekera, Gamini U.

    2017-05-01

    In this work, we report the electrical transport properties of uniform and vertically oriented graphene (graphene nanowalls) directly synthesized on multiple substrates including glass, Si/SiO2 wafers, and copper foils using radio-frequency plasma enhanced chemical vapor deposition (PECVD) with methane (CH4) as the precursor at relatively low temperatures. The temperature for optimum growth was established with the aid of transmission electron microscopy, scanning electron microscopy, and Raman spectroscopy. This approach offers means for low-cost graphene nanowalls growth on an arbitrary substrate with the added advantage of transfer-free device fabrication. The temperature dependence of the electrical transport properties (resistivity and thermopower) were studied in the temperature range, 30-300 K and analyzed with a combination of 2D-variable range hopping (VRH) and thermally activated (TA) conduction mechanisms. An anomalous temperature dependence of the thermopower was observed for all the samples and explained with a combination of a diffusion term having a linear temperature dependence plus a term with an inverse temperature dependence.

  10. Preparation of Hydrophobic Metal-Organic Frameworks via Plasma Enhanced Chemical Vapor Deposition of Perfluoroalkanes for the Removal of Ammonia

    Science.gov (United States)

    DeCoste, Jared B.; Peterson, Gregory W.

    2013-01-01

    Plasma enhanced chemical vapor deposition (PECVD) of perfluoroalkanes has long been studied for tuning the wetting properties of surfaces. For high surface area microporous materials, such as metal-organic frameworks (MOFs), unique challenges present themselves for PECVD treatments. Herein the protocol for development of a MOF that was previously unstable to humid conditions is presented. The protocol describes the synthesis of Cu-BTC (also known as HKUST-1), the treatment of Cu-BTC with PECVD of perfluoroalkanes, the aging of materials under humid conditions, and the subsequent ammonia microbreakthrough experiments on milligram quantities of microporous materials. Cu-BTC has an extremely high surface area (~1,800 m2/g) when compared to most materials or surfaces that have been previously treated by PECVD methods. Parameters such as chamber pressure and treatment time are extremely important to ensure the perfluoroalkane plasma penetrates to and reacts with the inner MOF surfaces. Furthermore, the protocol for ammonia microbreakthrough experiments set forth here can be utilized for a variety of test gases and microporous materials. PMID:24145623

  11. Effects of the gate dielectric on the subthreshold transport of carbon nanotube network transistors grown by using plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Jeong, Seung Geun; Park, Wan Jun

    2010-01-01

    In this study, we investigated the subthreshold slope of random network carbon nanotube transistors with different geometries and passivations. Single-wall carbon nanotubes with lengths of 1-2 m were grown by using plasma-enhanced chemical vapor deposition to form the transistor channels. A critical channel length, where the subthreshold slope was saturated, of 7 μm was obtained. This was due to the percolational behavior of the nanotube random networks. With the dielectric passivation, the subthreshold slope was dramatically reduced from 9 V/decade to 0.9 V/decade by reducing interfacial trap sites, which then reduced the interface capacitance between the nanotube network and the gate dielectric.

  12. Controlled density of vertically aligned carbon nanotubes in a triode plasma chemical vapor deposition system

    International Nuclear Information System (INIS)

    Lim, Sung Hoon; Park, Kyu Chang; Moon, Jong Hyun; Yoon, Hyun Sik; Pribat, Didier; Bonnassieux, Yvan; Jang, Jin

    2006-01-01

    We report on the growth mechanism and density control of vertically aligned carbon nanotubes using a triode plasma enhanced chemical vapor deposition system. The deposition reactor was designed in order to allow the intermediate mesh electrode to be biased independently from the ground and power electrodes. The CNTs grown with a mesh bias of + 300 V show a density of ∼ 1.5 μm -2 and a height of ∼ 5 μm. However, CNTs do not grow when the mesh electrode is biased to - 300 V. The growth of CNTs can be controlled by the mesh electrode bias which in turn controls the plasma density and ion flux on the sample

  13. Effect of oxygen plasma on field emission characteristics of single-wall carbon nanotubes grown by plasma enhanced chemical vapour deposition system

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Avshish; Parveen, Shama; Husain, Samina; Ali, Javid; Zulfequar, Mohammad [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Harsh [Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia, New Delhi 110025 (India); Husain, Mushahid, E-mail: mush-reslab@rediffmail.com [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia, New Delhi 110025 (India)

    2014-02-28

    Field emission properties of single wall carbon nanotubes (SWCNTs) grown on iron catalyst film by plasma enhanced chemical vapour deposition system were studied in diode configuration. The results were analysed in the framework of Fowler-Nordheim theory. The grown SWCNTs were found to be excellent field emitters, having emission current density higher than 20 mA/cm{sup 2} at a turn-on field of 1.3 V/μm. The as grown SWCNTs were further treated with Oxygen (O{sub 2}) plasma for 5 min and again field emission characteristics were measured. The O{sub 2} plasma treated SWCNTs have shown dramatic improvement in their field emission properties with emission current density of 111 mA/cm{sup 2} at a much lower turn on field of 0.8 V/μm. The as grown as well as plasma treated SWCNTs were also characterized by various techniques, such as scanning electron microscopy, high resolution transmission electron microscopy, Raman spectroscopy, and Fourier transform infrared spectroscopy before and after O{sub 2} plasma treatment and the findings are being reported in this paper.

  14. Developments of saddle field ion sources and their applications

    International Nuclear Information System (INIS)

    Abdelrahman, M.M.; Helal, A.G.

    2009-01-01

    Ion sources should have different performance parameters according to the various applications for which they are used, ranging from ion beam production to high energy ion implanters. There are many kinds of ion sources, which produce different ion beams with different characteristics. This paper deals with the developments and applications of some saddle field ion sources which were designed and constructed in our lab. Theory of operation and types of saddle field ion sources are discussed in details. Some experimental results are given. The saddle field ion sources operate at low gas pressure and require neither magnetic field nor filament. This type of ion sources is used for many different applications as ion beam machining, sputtering, cleaning and profiling for surface analysis etc

  15. Industrialization of hot wire chemical vapor deposition for thin film applications

    NARCIS (Netherlands)

    Schropp, Ruud

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical

  16. Characterization of diamond-like nanocomposite thin films grown by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Santra, T S; Liu, C H [Institute of Nanoengineering and Microsystems (NEMS), National Tsing Hua University, Hsinchu, Taiwan 30043 (China); Bhattacharyya, T K [Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721302, West Bengal (India); Patel, P [Department of Electrical and Computer Engineering, University of Illinois at Urbana Champaign, Urbana, Illinois 61801 (United States); Barik, T K [School of Applied Sciences, Haldia Institute of Technology, Haldia 721657, Purba Medinipur, West Bengal (India)

    2010-06-15

    Diamond-like nanocomposite (DLN) thin films, comprising the networks of a-C:H and a-Si:O were deposited on pyrex glass or silicon substrate using gas precursors (e.g., hexamethyldisilane, hexamethyldisiloxane, hexamethyldisilazane, or their different combinations) mixed with argon gas, by plasma enhanced chemical vapor deposition technique. Surface morphology of DLN films was analyzed by atomic force microscopy. High-resolution transmission electron microscopic result shows that the films contain nanoparticles within the amorphous structure. Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, and x-ray photoelectron spectroscopy (XPS) were used to determine the structural change within the DLN films. The hardness and friction coefficient of the films were measured by nanoindentation and scratch test techniques, respectively. FTIR and XPS studies show the presence of C-C, C-H, Si-C, and Si-H bonds in the a-C:H and a-Si:O networks. Using Raman spectroscopy, we also found that the hardness of the DLN films varies with the intensity ratio I{sub D}/I{sub G}. Finally, we observed that the DLN films has a better performance compared to DLC, when it comes to properties like high hardness, high modulus of elasticity, low surface roughness and low friction coefficient. These characteristics are the critical components in microelectromechanical systems (MEMS) and emerging nanoelectromechanical systems (NEMS).

  17. Patterned growth of carbon nanotubes obtained by high density plasma chemical vapor deposition

    Science.gov (United States)

    Mousinho, A. P.; Mansano, R. D.

    2015-03-01

    Patterned growth of carbon nanotubes by chemical vapor deposition represents an assembly approach to place and orient nanotubes at a stage as early as when they are synthesized. In this work, the carbon nanotubes were obtained at room temperature by High Density Plasmas Chemical Vapor Deposition (HDPCVD) system. This CVD system uses a new concept of plasma generation, where a planar coil coupled to an RF system for plasma generation was used with an electrostatic shield for plasma densification. In this mode, high density plasmas are obtained. We also report the patterned growth of carbon nanotubes on full 4-in Si wafers, using pure methane plasmas and iron as precursor material (seed). Photolithography processes were used to pattern the regions on the silicon wafers. The carbon nanotubes were characterized by micro-Raman spectroscopy, the spectra showed very single-walled carbon nanotubes axial vibration modes around 1590 cm-1 and radial breathing modes (RBM) around 120-400 cm-1, confirming that high quality of the carbon nanotubes obtained in this work. The carbon nanotubes were analyzed by atomic force microscopy and scanning electron microscopy too. The results showed that is possible obtain high-aligned carbon nanotubes with patterned growth on a silicon wafer with high reproducibility and control.

  18. Formation and characterization of the MgO protecting layer deposited by plasma-enhanced metal-organic chemical-vapor deposition

    CERN Document Server

    Kang, M S; Byun, J C; Kim, D S; Choi, C K; Lee, J Y; Kim, K H

    1999-01-01

    MgO films were prepared on Si(100) and soda-lime glass substrates by using plasma-enhanced metal-organic chemical-vapor deposition. Various ratios of the O sub 2 /CH sub 3 MgO sup t Bu gas mixture and various gas flow rates were tested for the film fabrications. Highly (100)-oriented MgO films with good crystallinity were obtained with a 10 sccm CH sub 3 MgO sup t Bu flow without an O sub 2 gas flow. About 5 % carbon was contained in all the MgO films. The refractive index and the secondary electron emission coefficient for the best quality film were 1.43 and 0.45, respectively. The sputtering rate was about 0.2 nm/min for 10 sup 1 sup 1 cm sup - sup 3 Ar sup + ion density. Annealing at 500 .deg. C in an Ar ambient promoted the grain size without inducing a phase transition.

  19. Electrochemical properties of N-doped hydrogenated amorphous carbon films fabricated by plasma-enhanced chemical vapor deposition methods

    Energy Technology Data Exchange (ETDEWEB)

    Tanaka, Yoriko; Furuta, Masahiro; Kuriyama, Koichi; Kuwabara, Ryosuke; Katsuki, Yukiko [Division of Environmental Science and Engineering, Graduate School of Science and Engineering, Yamaguchi University, 1677-1 Yoshida, Yamaguchi-shi, Yamaguchi 753-8512 (Japan); Kondo, Takeshi [Department of Pure and Applied Chemistry, Faculty of Science and Technology, Tokyo University of Science, 2641 Yamazaki, Noda 278-8510 (Japan); Fujishima, Akira [Kanagawa Advanced Science and Technology (KAST), 3-2-1, Sakato, Takatsu-ku, Kawasaki-shi, Kanagawa 213-0012 (Japan); Honda, Kensuke, E-mail: khonda@yamaguchi-u.ac.j [Division of Environmental Science and Engineering, Graduate School of Science and Engineering, Yamaguchi University, 1677-1 Yoshida, Yamaguchi-shi, Yamaguchi 753-8512 (Japan)

    2011-01-01

    Nitrogen-doped hydrogenated amorphous carbon thin films (a-C:N:H, N-doped DLC) were synthesized with microwave-assisted plasma-enhanced chemical vapor deposition widely used for DLC coating such as the inner surface of PET bottles. The electrochemical properties of N-doped DLC surfaces that can be useful in the application as an electrochemical sensor were investigated. N-doped DLC was easily fabricated using the vapor of nitrogen contained hydrocarbon as carbon and nitrogen source. A N/C ratio of resulting N-doped DLC films was 0.08 and atomic ratio of sp{sup 3}/sp{sup 2}-bonded carbons was 25/75. The electrical resistivity and optical gap were 0.695 {Omega} cm and 0.38 eV, respectively. N-doped DLC thin film was found to be an ideal polarizable electrode material with physical stability and chemical inertness. The film has a wide working potential range over 3 V, low double-layer capacitance, and high resistance to electrochemically induced corrosion in strong acid media, which were the same level as those for boron-doped diamond (BDD). The charge transfer rates for the inorganic redox species, Fe{sup 2+/3+} and Fe(CN){sub 6}{sup 4-/3-} at N-doped DLC were sufficiently high. The redox reaction of Ce{sup 2+/3+} with standard potential higher than H{sub 2}O/O{sub 2} were observed due to the wider potential window. At N-doped DLC, the change of the kinetics of Fe(CN){sub 6}{sup 3-/4-} by surface oxidation is different from that at BDD. The rate of Fe(CN){sub 6}{sup 3-/4-} was not varied before and after oxidative treatment on N-doped DLC includes sp{sup 2} carbons, which indicates high durability of the electrochemical activity against surface oxidation.

  20. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    Energy Technology Data Exchange (ETDEWEB)

    Ghrib, M., E-mail: mondherghrib@yahoo.fr [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Gaidi, M.; Ghrib, T.; Khedher, N. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Ben Salam, M. [L3M, Department of Physics, Faculty of Sciences of Bizerte, 7021 Zarzouna (Tunisia); Ezzaouia, H. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia)

    2011-08-15

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  1. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    International Nuclear Information System (INIS)

    Ghrib, M.; Gaidi, M.; Ghrib, T.; Khedher, N.; Ben Salam, M.; Ezzaouia, H.

    2011-01-01

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  2. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J. [Geophysical Laboratory, Carnegie Institution of Washington, 5251 Broad Branch Rd., NW, Washington, DC 20015 (United States)

    2015-11-02

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH{sub 4}/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H{sub 2} into the deposition gas chemistry. Electronically excited species of CN, C{sub 2}, Ar, N{sub 2}, CH, H{sub β}, and H{sub α} were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T{sub 2g} phonon at 1333 cm{sup −1} peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  3. Tensile test of a silicon microstructure fully coated with submicrometer-thick diamond like carbon film using plasma enhanced chemical vapor deposition method

    Science.gov (United States)

    Zhang, Wenlei; Uesugi, Akio; Hirai, Yoshikazu; Tsuchiya, Toshiyuki; Tabata, Osamu

    2017-06-01

    This paper reports the tensile properties of single-crystal silicon (SCS) microstructures fully coated with sub-micrometer thick diamond like carbon (DLC) film using plasma enhanced chemical vapor deposition (PECVD). To minimize the deformations or damages caused by non-uniform coating of DLC, which has high compression residual stress, released SCS specimens with the dimensions of 120 µm long, 4 µm wide, and 5 µm thick were coated from the top and bottom side simultaneously. The thickness of DLC coating is around 150 nm and three different bias voltages were used for deposition. The tensile strength improved from 13.4 to 53.5% with the increasing of negative bias voltage. In addition, the deviation in strength also reduced significantly compared to bare SCS sample.

  4. Characteristics of SiOx-containing hard film prepared by low temperature plasma enhanced chemical vapor deposition using hexamethyldisilazane or vinyltrimethylsilane and post oxygen plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Wei, Yi-Syuan; Liu, Wan-Yu; Wu, Hsin-Ming [Department of Materials Engineering, Tatung University, Taipei, 104, Taiwan (China); Chen, Ko-Shao, E-mail: kschen@ttu.edu.tw [Department of Materials Engineering, Tatung University, Taipei, 104, Taiwan (China); Cech, Vladimir [Institute of Materials Chemistry, Brno University of Technology (Czech Republic)

    2017-03-01

    This study, monomers of hexamethyldisilazane (HMDSZ) and vinyltrimethylsilane (VTMS) were respectively used to deposit on the surface of polyethylene terephthalate (PET) substrate by plasma enhanced chemical vapor deposition. Oxygen plasma treatment follows the HMDSZ and VTMS deposition to produce a hydrophilic surface film on the deposited surface. Time for HMDSZ and VTMS plasma deposition was changed to investigate its influences on water contact angle, deposited film thickness, refractive index, and friction coefficient properties. The surface morphologies of the processed samples were observed by scanning electron microscope and their chemical compositions were measured by X-ray photoelectron spectroscopy. At 550 nm wavelength, the optical transmittance of PET after the HMDSZ treatment decreases from 89% to 83%, but increases from 89% to 95% for the VTMS treatment. With increase in HMDSZ and VTMS deposition times, the film thickness increases and the refractive index decreases. Result revealed by XPS, SiO{sub 2} film is formed on the sample surface after the O{sub 2} plasma treatment. The film adhesion capability by the HMDSZ+O{sub 2} and VTMS+O{sub 2} treatment was stronger than that by the HMDSZ and VTMS treatment only. The SiOx films produced by HMDSZ+O{sub 2} and VTMS+O{sub 2} treatment can increase the film hardness and improve light transmittance. - Highlights: • With increase in HMDSZ and VTMS deposition times, the film thickness increases and the refractive index decreases. • The optical transmittance of PET after the VTMS treatment increases from 89% to 95%. • The SiO{sub 2} films deposited by HMDSZ+O{sub 2} and VTMS+O{sub 2} plasma can increase the film hardness and improve light transmittance. • It is expected that they can be applied to the optical transmittance protective film on plastic substrate in the future.

  5. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Oliveira, M. H.; Viana, G. A.; de Lima, M. M.; Cros, A.; Cantarero, A.; Marques, F. C.

    2010-12-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH4) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  6. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Oliveira, M. H. Jr.; Viana, G. A.; Marques, F. C.; Lima, M. M. Jr. de; Cros, A.; Cantarero, A.

    2010-01-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH 4 ) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  7. Effects of Pretreatment on the Electronic Properties of Plasma Enhanced Chemical Vapor Deposition Hetero-Epitaxial Graphene Devices

    Science.gov (United States)

    Zhang, Lian-Chang; Shi, Zhi-Wen; Yang, Rong; Huang, Jian

    2014-09-01

    Quasi-monolayer graphene is successfully grown by the plasma enhanced chemical vapor deposition heteroepitaxial method we reported previously. To measure its electrical properties, the prepared graphene is fabricated into Hall ball shaped devices by the routine micro-fabrication method. However, impurity molecules adsorbed onto the graphene surface will impose considerable doping effects on the one-atom-thick film material. Our experiment demonstrates that pretreatment of the device by heat radiation baking and electrical annealing can dramatically influence the doping state of the graphene and consequently modify the electrical properties. While graphene in the as-fabricated device is highly p-doped, as confirmed by the position of the Dirac point at far more than +60 V, baking treatment at temperatures around 180°C can significantly lower the doping level and reduce the conductivity. The following electrical annealing is much more efficient to desorb the extrinsic molecules, as confirmed by the in situ measurement, and as a result, further modify the doping state and electrical properties of the graphene, causing a considerable drop of the conductivity and a shifting of Dirac point from beyond +60 V to 0 V.

  8. Capillary-discharge-based portable detector for chemical vapor monitoring

    International Nuclear Information System (INIS)

    Duan Yixiang; Su Yongxuan; Jin Zhe

    2003-01-01

    Conventional portable instruments for sensing chemical vapors have certain limitations for on-site use. In this article, we develop a genuinely portable detector that is sensitive, powerful, rugged, of simple design, and with very low power needs. Such a detector is based on a dry-cell battery-powered, capillary-discharge-based, microplasma source with optical emission detection. The microscale plasma source has very special features such as low thermal temperature and very low power needs. These features make it possible for the plasma source to be powered with a small dry-cell battery. A specially designed discharge chamber with minielectrodes can be configured to enhance the plasma stability and the system performance. A very small amount of inert gas can be used as sample carrier and plasma supporting gas. Inert gases possess high excitation potentials and produce high-energy metastable particles in the plasma. These particles provide sufficient energy to excite chemical species through Penning ionization and/or energy transfer from metastable species. A molecular emission spectrum can be collected with a palm-sized spectrometer through a collimated optical fiber. The spectrum can be displayed on a notebook computer. With this design and arrangement, the new detector provides high sensitivity for organic chemical species. The advantages and features of the newly developed detector include high sensitivity, simple structure, low cost, universal response, very low power consumption, compact volume with field portable capability, and ease of operation

  9. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  10. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  11. Metal-boride phase formation on tungsten carbide (WC-Co) during microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Johnston, Jamin M.; Catledge, Shane A., E-mail: catledge@uab.edu

    2016-02-28

    Graphical abstract: - Highlights: • A detailed phase analysis after PECVD boriding shows WCoB, CoB and/or W{sub 2}CoB{sub 2}. • EDS of PECVD borides shows boron diffusion into the carbide grain structure. • Nanoindentation hardness and modulus of borides is 23–27 GPa and 600–780 GPa. • Scratch testing shows hard coating with cracking at 40N and spallation at 70N. - Abstract: Strengthening of cemented tungsten carbide by boriding is used to improve the wear resistance and lifetime of carbide tools; however, many conventional boriding techniques render the bulk carbide too brittle for extreme conditions, such as hard rock drilling. This research explored the variation in metal-boride phase formation during the microwave plasma enhanced chemical vapor deposition process at surface temperatures from 700 to 1100 °C. We showed several well-adhered metal-boride surface layers consisting of WCoB, CoB and/or W{sub 2}CoB{sub 2} with average hardness from 23 to 27 GPa and average elastic modulus of 600–730 GPa. The metal-boride interlayer was shown to be an effective diffusion barrier against elemental cobalt; migration of elemental cobalt to the surface of the interlayer was significantly reduced. A combination of glancing angle X-ray diffraction, electron dispersive spectroscopy, nanoindentation and scratch testing was used to evaluate the surface composition and material properties. An evaluation of the material properties shows that plasma enhanced chemical vapor deposited borides formed at substrate temperatures of 800 °C, 850 °C, 900 °C and 1000 °C strengthen the material by increasing the hardness and elastic modulus of cemented tungsten carbide. Additionally, these boride surface layers may offer potential for adhesion of ultra-hard carbon coatings.

  12. Metal-boride phase formation on tungsten carbide (WC-Co) during microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Johnston, Jamin M.; Catledge, Shane A.

    2016-01-01

    Graphical abstract: - Highlights: • A detailed phase analysis after PECVD boriding shows WCoB, CoB and/or W_2CoB_2. • EDS of PECVD borides shows boron diffusion into the carbide grain structure. • Nanoindentation hardness and modulus of borides is 23–27 GPa and 600–780 GPa. • Scratch testing shows hard coating with cracking at 40N and spallation at 70N. - Abstract: Strengthening of cemented tungsten carbide by boriding is used to improve the wear resistance and lifetime of carbide tools; however, many conventional boriding techniques render the bulk carbide too brittle for extreme conditions, such as hard rock drilling. This research explored the variation in metal-boride phase formation during the microwave plasma enhanced chemical vapor deposition process at surface temperatures from 700 to 1100 °C. We showed several well-adhered metal-boride surface layers consisting of WCoB, CoB and/or W_2CoB_2 with average hardness from 23 to 27 GPa and average elastic modulus of 600–730 GPa. The metal-boride interlayer was shown to be an effective diffusion barrier against elemental cobalt; migration of elemental cobalt to the surface of the interlayer was significantly reduced. A combination of glancing angle X-ray diffraction, electron dispersive spectroscopy, nanoindentation and scratch testing was used to evaluate the surface composition and material properties. An evaluation of the material properties shows that plasma enhanced chemical vapor deposited borides formed at substrate temperatures of 800 °C, 850 °C, 900 °C and 1000 °C strengthen the material by increasing the hardness and elastic modulus of cemented tungsten carbide. Additionally, these boride surface layers may offer potential for adhesion of ultra-hard carbon coatings.

  13. Microwave plasma enhanced chemical vapor deposition growth of few-walled carbon nanotubes using catalyst derived from an iron-containing block copolymer precursor

    International Nuclear Information System (INIS)

    Wang Peng; Lu, Jennifer; Zhou, Otto

    2008-01-01

    The microwave plasma enhanced chemical vapor deposition (MPECVD) method is now commonly used for directional and conformal growth of carbon nanotubes (CNTs) on supporting substrates. One of the shortcomings of the current process is the lack of control of the diameter and diameter distribution of the CNTs due to difficulties in synthesizing well-dispersed catalysts. Recently, block copolymer derived catalysts have been developed which offer the potential of fine control of both the size of and the spacing between the metal clusters. In this paper we report the successful growth of CNTs with narrow diameter distribution using polystyrene-block-polyferrocenylethylmethylsilane (PS-b-PFEMS) as the catalyst precursor. The study shows that higher growth pressure leads to better CNT growth. Besides the pressure, the effects on the growth of CNTs of the growth parameters, such as temperature and precursor gas ratio, are also studied

  14. Performance Improvement of Microcrystalline p-SiC/i-Si/n-Si Thin Film Solar Cells by Using Laser-Assisted Plasma Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Hsin-Ying Lee

    2014-01-01

    Full Text Available The microcrystalline p-SiC/i-Si/n-Si thin film solar cells treated with hydrogen plasma were fabricated at low temperature using a CO2 laser-assisted plasma enhanced chemical vapor deposition (LAPECVD system. According to the micro-Raman results, the i-Si films shifted from 482 cm−1 to 512 cm−1 as the assisting laser power increased from 0 W to 80 W, which indicated a gradual transformation from amorphous to crystalline Si. From X-ray diffraction (XRD results, the microcrystalline i-Si films with (111, (220, and (311 diffraction were obtained. Compared with the Si-based thin film solar cells deposited without laser assistance, the short-circuit current density and the power conversion efficiency of the solar cells with assisting laser power of 80 W were improved from 14.38 mA/cm2 to 18.16 mA/cm2 and from 6.89% to 8.58%, respectively.

  15. Metal/Carbon Hybrid Nanostructures Produced from Plasma-Enhanced Chemical Vapor Deposition over Nafion-Supported Electrochemically Deposited Cobalt Nanoparticles

    Directory of Open Access Journals (Sweden)

    Mohammad Islam

    2018-04-01

    Full Text Available In this work, we report development of hybrid nanostructures of metal nanoparticles (NP and carbon nanostructures with strong potential for catalysis, sensing, and energy applications. First, the etched silicon wafer substrates were passivated for subsequent electrochemical (EC processing through grafting of nitro phenyl groups using para-nitrobenzene diazonium (PNBT. The X-ray photoelectron spectroscope (XPS and atomic force microscope (AFM studies confirmed presence of few layers. Cobalt-based nanoparticles were produced over dip or spin coated Nafion films under different EC reduction conditions, namely CoSO4 salt concentration (0.1 M, 1 mM, reduction time (5, 20 s, and indirect or direct EC reduction route. Extensive AFM examination revealed NP formation with different attributes (size, distribution depending on electrochemistry conditions. While relatively large NP with >100 nm size and bimodal distribution were obtained after 20 s EC reduction in H3BO3 following Co2+ ion uptake, ultrafine NP (<10 nm could be produced from EC reduction in CoSO4 and H3BO3 mixed solution with some tendency to form oxides. Different carbon nanostructures including few-walled or multiwalled carbon nanotubes (CNT and carbon nanosheets were grown in a C2H2/NH3 plasma using the plasma-enhanced chemical vapor deposition technique. The devised processing routes enable size controlled synthesis of cobalt nanoparticles and metal/carbon hybrid nanostructures with unique microstructural features.

  16. Chemically enhanced mixed region vapor stripping of TCE-contaminated saturated peat and silty clay soils

    International Nuclear Information System (INIS)

    West, O.R.; Cameron, P.A.; Lucero, A.J.; Koran, L.J. Jr.

    1996-01-01

    The objective of this study was to conduct further testing of MRVS, chemically enhanced with calcium oxide conditioning, on field- contaminated soils collected from beneath the NASA Michoud Rinsewater Impoundment. In this study, residual soil VOC levels as a function of vapor stripping time were measured to quantify VOC removal rates. Physical and chemical soil parameters expected to affect MRVS efficiency were measures. The effects of varying the calcium oxide loadings as well as varying the vapor stripping flow rates on VOC removal were also evaluated. The results of this study will be used to determine whether acceptable removals can be achieved within reasonable treatment times, remediation costs being directly proportional to the latter. The purpose of this report is to document the experimental results of this study, as well as to address issues that were raised after completion of the previous Michoud treatability work

  17. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kumar, A.; Voevodin, A.A.; Paul, R.; Altfeder, I.; Zemlyanov, D.; Zakharov, D.N.; Fisher, T.S.

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface

  18. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, A., E-mail: kumar50@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Voevodin, A.A. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Paul, R. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Altfeder, I. [Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Zemlyanov, D.; Zakharov, D.N. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Fisher, T.S., E-mail: tsfisher@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States)

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface.

  19. Plasma-Enhanced Chemical Vapor Deposition (PE-CVD) yields better Hydrolytical Stability of Biocompatible SiOx Thin Films on Implant Alumina Ceramics compared to Rapid Thermal Evaporation Physical Vapor Deposition (PVD).

    Science.gov (United States)

    Böke, Frederik; Giner, Ignacio; Keller, Adrian; Grundmeier, Guido; Fischer, Horst

    2016-07-20

    Densely sintered aluminum oxide (α-Al2O3) is chemically and biologically inert. To improve the interaction with biomolecules and cells, its surface has to be modified prior to use in biomedical applications. In this study, we compared two deposition techniques for adhesion promoting SiOx films to facilitate the coupling of stable organosilane monolayers on monolithic α-alumina; physical vapor deposition (PVD) by thermal evaporation and plasma enhanced chemical vapor deposition (PE-CVD). We also investigated the influence of etching on the formation of silanol surface groups using hydrogen peroxide and sulfuric acid solutions. The film characteristics, that is, surface morphology and surface chemistry, as well as the film stability and its adhesion properties under accelerated aging conditions were characterized by means of X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), scanning electron microscopy (SEM), inductively coupled plasma-optical emission spectroscopy (ICP-OES), and tensile strength tests. Differences in surface functionalization were investigated via two model organosilanes as well as the cell-cytotoxicity and viability on murine fibroblasts and human mesenchymal stromal cells (hMSC). We found that both SiOx interfaces did not affect the cell viability of both cell types. No significant differences between both films with regard to their interfacial tensile strength were detected, although failure mode analyses revealed a higher interfacial stability of the PE-CVD films compared to the PVD films. Twenty-eight day exposure to simulated body fluid (SBF) at 37 °C revealed a partial delamination of the thermally deposited PVD films whereas the PE-CVD films stayed largely intact. SiOx layers deposited by both PVD and PE-CVD may thus serve as viable adhesion-promoters for subsequent organosilane coupling agent binding to α-alumina. However, PE-CVD appears to be favorable for long-term direct film exposure to aqueous

  20. Chemical modeling of a high-density inductively-coupled plasma reactor containing silane

    NARCIS (Netherlands)

    Kovalgin, Alexeij Y.; Boogaard, A.; Brunets, I.; Holleman, J.; Schmitz, Jurriaan

    We carried out the modeling of chemical reactions in a silane-containing remote Inductively Coupled Plasma Enhanced Chemical Vapor Deposition (ICPECVD) system, intended for deposition of silicon, silicon oxide, and silicon nitride layers. The required electron densities and Electron Energy

  1. Direct Fabrication of Carbon Nanotubes STM Tips by Liquid Catalyst-Assisted Microwave Plasma-Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Fa-Kuei Tung

    2009-01-01

    Full Text Available Direct and facile method to make carbon nanotube (CNT tips for scanning tunneling microscopy (STM is presented. Cobalt (Co particles, as catalysts, are electrochemically deposited on the apex of tungsten (W STM tip for CNT growth. It is found that the quantity of Co particles is well controlled by applied DC voltage, concentration of catalyst solution, and deposition time. Using optimum growth condition, CNTs are successfully synthesized on the tip apex by catalyst-assisted microwave-enhanced chemical vapor deposition (CA-MPECVD. A HOPG surface is clearly observed at an atomic scale using the present CNT-STM tip.

  2. Comparative Study of Furnace and Flash Lamp Annealed Silicon Thin Films Grown by Plasma Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Maheshwar Shrestha

    2018-03-01

    Full Text Available Low-temperature growth of microcrystalline silicon (mc-Si is attractive for many optoelectronic device applications. This paper reports a detailed comparison of optical properties, microstructure, and morphology of amorphous silicon (a-Si thin films crystallized by furnace annealing and flash lamp annealing (FLA at temperatures below the softening point of glass substrate. The initial a-Si films were grown by plasma enhanced chemical vapor deposition (PECVD. Reflectance measurement indicated characteristic peak in the UV region ~280 nm for the furnace annealed (>550 °C and flash lamp annealed films, which provided evidence of crystallization. The film surface roughness increased with increasing the annealing temperature as well as after the flash lamp annealing. X-ray diffraction (XRD measurement indicated that the as-deposited samples were purely amorphous and after furnace crystallization, the crystallites tended to align in one single direction (202 with uniform size that increased with the annealing temperature. On the other hand, the flash lamp crystalized films had randomly oriented crystallites with different sizes. Raman spectroscopy showed the crystalline volume fraction of 23.5%, 47.3%, and 61.3% for the samples annealed at 550 °C, 650 °C, and with flash lamp, respectively. The flash lamp annealed film was better crystallized with rougher surface compared to furnace annealed ones.

  3. Organo-Functionalization of Silicon Nanocrystals Synthesized by Inductively Coupled Plasma Chemical Vapor Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Don-Sung; Choe, Dong-Hoe; Jeong, Hyun-Dam [Chonnam National University, Gwangju (Korea, Republic of); Yoo, Seung-Wan; Kim, Jung-Hyung [Korea Research Institute of Standards and Science, Daejeon (Korea, Republic of)

    2016-05-15

    Octadecyl-terminated silicon nanocrystals (ODE-Si NCs) are obtained via a surface-initiated thermal hydrosilylation reaction on hydride-terminated Si NCs (H-Si NCs). Pristine Si NCs were synthesized at the gram scale by using inductively coupled plasma chemical vapor deposition (ICP-CVD) . The H-Si NCs were produced through a chemical etching process with hydrofluoric acid (HF), ethanol (EtOH), and distilled water (d-H{sub 2}O). The results obtained from X-ray diffraction (XRD) and field emission scanning electron microscopy (FE-SEM) indicate that the synthesized Si NCs obtained via ICP-CVD have diamond cubic-structured silicon with a grain size of 10 nm and a densely packed Si NC array consisting of individual NCs. Organo-functionalized Si NCs, i.e., ODE-Si NCs, are well soluble in organic solvent whereas pristine Si NCs synthesized through ICP-CVD are not. The surface chemistry of the ODE-Si NCs was confirmed via Fourier transform infrared spectroscopy (FT-IR), proton nuclear magnetic resonance spectroscopy ({sup 1}H-NMR), and field emission transmission electron microscopy (FE-TEM). Thereby, these newly synthesized and scalable organo-functionalized Si NCs are applicable as raw materials for practical use in devices by tuning the surface chemistry with various capping molecules.

  4. Controlling the resistivity gradient in chemical vapor deposition-deposited aluminum-doped zinc oxide

    NARCIS (Netherlands)

    Ponomarev, M. V.; Verheijen, M. A.; Keuning, W.; M. C. M. van de Sanden,; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO: Al layers by focusing on the control

  5. Microwave plasma-assisted chemical vapor deposition of porous carbon film as supercapacitive electrodes

    Science.gov (United States)

    Wu, Ai-Min; Feng, Chen-Chen; Huang, Hao; Paredes Camacho, Ramon Alberto; Gao, Song; Lei, Ming-Kai; Cao, Guo-Zhong

    2017-07-01

    Highly porous carbon film (PCF) coated on nickel foam was prepared successfully by microwave plasma-assisted chemical vapor deposition (MPCVD) with C2H2 as carbon source and Ar as discharge gas. The PCF is uniform and dense with 3D-crosslinked nanoscale network structure possessing high degree of graphitization. When used as the electrode material in an electrochemical supercapacitor, the PCF samples verify their advantageous electrical conductivity, ion contact and electrochemical stability. The test results show that the sample prepared under 1000 W microwave power has good electrochemical performance. It displays the specific capacitance of 62.75 F/g at the current density of 2.0 A/g and retains 95% of its capacitance after 10,000 cycles at the current density of 2.0 A/g. Besides, its near-rectangular shape of the cyclic voltammograms (CV) curves exhibits typical character of an electric double-layer capacitor, which owns an enhanced ionic diffusion that can fit the requirements for energy storage applications.

  6. Silicon nitride films fabricated by a plasma-enhanced chemical vapor deposition method for coatings of the laser interferometer gravitational wave detector

    Science.gov (United States)

    Pan, Huang-Wei; Kuo, Ling-Chi; Huang, Shu-Yu; Wu, Meng-Yun; Juang, Yu-Hang; Lee, Chia-Wei; Chen, Hsin-Chieh; Wen, Ting Ting; Chao, Shiuh

    2018-01-01

    Silicon is a potential substrate material for the large-areal-size mirrors of the next-generation laser interferometer gravitational wave detector operated in cryogenics. Silicon nitride thin films uniformly deposited by a chemical vapor deposition method on large-size silicon wafers is a common practice in the silicon integrated circuit industry. We used plasma-enhanced chemical vapor deposition to deposit silicon nitride films on silicon and studied the physical properties of the films that are pertinent to application of mirror coatings for laser interferometer gravitational wave detectors. We measured and analyzed the structure, optical properties, stress, Young's modulus, and mechanical loss of the films, at both room and cryogenic temperatures. Optical extinction coefficients of the films were in the 10-5 range at 1550-nm wavelength. Room-temperature mechanical loss of the films varied in the range from low 10-4 to low 10-5 within the frequency range of interest. The existence of a cryogenic mechanical loss peak depended on the composition of the films. We measured the bond concentrations of N - H , Si - H , Si - N , and Si - Si bonds in the films and analyzed the correlations between bond concentrations and cryogenic mechanical losses. We proposed three possible two-level systems associated with the N - H , Si - H , and Si - N bonds in the film. We inferred that the dominant source of the cryogenic mechanical loss for the silicon nitride films is the two-level system of exchanging position between a H+ and electron lone pair associated with the N - H bond. Under our deposition conditions, superior properties in terms of high refractive index with a large adjustable range, low optical absorption, and low mechanical loss were achieved for films with lower nitrogen content and lower N - H bond concentration. Possible pairing of the silicon nitride films with other materials in the quarter-wave stack is discussed.

  7. Lipon thin films grown by plasma-enhanced metalorganic chemical vapor deposition in a N{sub 2}-H{sub 2}-Ar gas mixture

    Energy Technology Data Exchange (ETDEWEB)

    Meda, Lamartine, E-mail: LMeda@xula.edu [Department of Chemistry, Xavier University of Louisiana, 1 Drexel Drive, New Orleans, LA, 70125 (United States); Maxie, Eleston E. [Excellatron Solid State LLC, 263 Decatur Street, Atlanta, GA 30312 (United States)

    2012-01-01

    Lithium phosphorus oxynitride (Lipon) thin films have been deposited by a plasma-enhanced metalorganic chemical vapor deposition method. Lipon thin films were deposited on approximately 0.2 {mu}m thick Au-coated alumina substrates in a N{sub 2}-H{sub 2}-Ar plasma at 13.56 MHz, a power of 150 W, and at 180 Degree-Sign C using triethyl phosphate [(CH{sub 2}CH{sub 3}){sub 3}PO{sub 4}] and lithium tert-butoxide [(LiOC(CH{sub 3}){sub 3}] precursors. Lipon growth rates ranged from 10 to 42 nm/min and thicknesses varied from 1 to 2.5 {mu}m. X-ray powder diffraction showed that the films were amorphous, and X-ray photoelectron spectroscopy (XPS) revealed approximately 4 at.% N in the films. The ionic conductivity of Lipon was measured by electrochemical impedance spectroscopy to be approximately 1.02 {mu}S/cm, which is consistent with the ionic conductivity of Lipon deposited by radio frequency magnetron sputtering of Li{sub 3}PO{sub 4} targets in either mixed Ar-N{sub 2} or pure N{sub 2} atmosphere. Attempts to deposit Lipon in a N{sub 2}-O{sub 2}-Ar plasma resulted in the growth of Li{sub 3}PO{sub 4} thin films. The XPS analysis shows no C and N atom peaks. Due to the high impedance of these films, reliable conductivity measurements could not be obtained for films grown in N{sub 2}-O{sub 2}-Ar plasma.

  8. The Surface Interface Characteristics of Vertically Aligned Carbon Nanotube and Graphitic Carbon Fiber Arrays Grown by Thermal and Plasma Enhanced Chemical Vapor Deposition

    Science.gov (United States)

    Delzeit, Lance; Nguyen, Cattien; Li, Jun; Han, Jie; Meyyappan, M.

    2002-01-01

    The development of nano-arrays for sensors and devices requires the growth of arrays with the proper characteristics. One such application is the growth of vertically aligned carbon nanotubes (CNTs) and graphitic carbon fibers (GCFs) for the chemical attachment of probe molecules. The effectiveness of such an array is dependent not only upon the effectiveness of the probe and the interface between that probe and the array, but also the array and the underlaying substrate. If that array is a growth of vertically aligned CNTs or GCFs then the attachment of that array to the surface is of the utmost importance. This attachment provides the mechanical stability and durability of the array, as well as, the electrical properties of that array. If the detection is to be acquired through an electrical measurement, then the appropriate resistance between the array and the surface need to be fabricated into the device. I will present data on CNTs and GCFs grown from both thermal and plasma enhanced chemical vapor deposition. The focus will be on the characteristics of the metal film from which the CNTs and GCFs are grown and the changes that occur due to changes within the growth process.

  9. Thermal expansion coefficient and thermomechanical properties of SiN(x) thin films prepared by plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Tien, Chuen-Lin; Lin, Tsai-Wei

    2012-10-20

    We present a new method based on fast Fourier transform (FFT) for evaluating the thermal expansion coefficient and thermomechanical properties of thin films. The silicon nitride thin films deposited on Corning glass and Si wafers were prepared by plasma-enhanced chemical vapor deposition in this study. The anisotropic residual stress and thermomechanical properties of silicon nitride thin films were studied. Residual stresses in thin films were measured by a modified Michelson interferometer associated with the FFT method under different heating temperatures. We found that the average residual-stress value increases when the temperature increases from room temperature to 100°C. Increased substrate temperature causes the residual stress in SiN(x) film deposited on Si wafers to be more compressive, but the residual stress in SiN(x) film on Corning glass becomes more tensile. The residual-stress versus substrate-temperature relation is a linear correlation after heating. A double substrate technique is used to determine the thermal expansion coefficients of the thin films. The experimental results show that the thermal expansion coefficient of the silicon nitride thin films is 3.27×10(-6)°C(-1). The biaxial modulus is 1125 GPa for SiN(x) film.

  10. Obtention of selective membranes for water and hydrophobic liquids by plasma enhanced chemical vapor deposition on porous substrates

    International Nuclear Information System (INIS)

    Bankovic, P.; Demarquette, N.R.; Silva, M.L.P. da

    2004-01-01

    In this work, the possibility of obtaining selective membranes for water and hydrophobic liquids by plasma enhanced chemical vapor deposition (PECVD) of hexamethyldisilazane (HMDS) or double layers of HMDS and n-hexane on porous substrates using a capacitive plasma reactor was investigated. The porous substrates used were paper filter, diatomite and polyester textiles. The films were characterized by X-ray photoelectron spectroscopy (XPS), attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR) and contact angle measurements. The membranes obtained were characterized by the Cobb test. Their efficiency to separate hydrocarbon compounds from water was evaluated through filtration experiments and Karl-Fischer titration tests. The reagents used in the filtration experiments were: chloroform, n-hexane, n-heptane, ethyl ether, benzene and diesel. XPS analysis showed that Si, N, C and O were present at the surface of the film. C peak was dominant in the double layer film spectra. C-H n , CH 2 , Si-H, Si-CH 3 , N-H, Si-CH 2 -Si, Si-N-Si and Si-C bonds were identified in both types of the films by ATR-FTIR. The relative intensities of the corresponding peaks in the two spectra were different. The XPS and FTIR results indicated that C was most likely present in a CH n form at the surface of double layer film. The average contact angles formed by drops of water on the film surface ranged from 135 deg. to 155 deg. . Water adsorption measured by Cobb test decreased from average values ranging from 300 to 9000 g m -2 (for nonmodified surfaces) to values ranging from 0 to 20 g m -2 (for treated surfaces). The Karl-Fischer titration indicated that between 90 and 1000 ppm (depending on the reagent used) of water remained in the hydrocarbon compound after filtration

  11. Plasma Enhanced Chemical Vapour Deposition of Horizontally Aligned Carbon Nanotubes

    Directory of Open Access Journals (Sweden)

    Matthew T. Cole

    2013-05-01

    Full Text Available A plasma-enhanced chemical vapour deposition reactor has been developed to synthesis horizontally aligned carbon nanotubes. The width of the aligning sheath was modelled based on a collisionless, quasi-neutral, Child’s law ion sheath where these estimates were empirically validated by direct Langmuir probe measurements, thereby confirming the proposed reactors ability to extend the existing sheath fields by up to 7 mm. A 7 mbar growth atmosphere combined with a 25 W plasma permitted the concurrent growth and alignment of carbon nanotubes with electric fields of the order of 0.04 V μm−1 with linear packing densities of up to ~5 × 104 cm−1. These results open up the potential for multi-directional in situ alignment of carbon nanotubes providing one viable route to the fabrication of many novel optoelectronic devices.

  12. Effects of gas residence time of CH4/H2 on sp2 fraction of amorphous carbon films and dissociated methyl density during radical-injection plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Sugiura, Hirotsugu; Jia, Lingyun; Kondo, Hiroki; Ishikawa, Kenji; Tsutsumi, Takayoshi; Hayashi, Toshio; Takeda, Keigo; Sekine, Makoto; Hori, Masaru

    2018-06-01

    Quadruple mass spectrometric measurements of CH3 density during radical-injection plasma-enhanced chemical vapor deposition to consider the sp2 fraction of amorphous carbon (a-C) films were performed. The sp2 fraction of the a-C films reached a minimum of 46%, where the CH3 density was maximum for a residence time of 6 ms. The sp2 fraction of the a-C films was tailored with the gaseous phase CH3 density during the deposition. This knowledge is useful for understanding the formation mechanism of bonding structures in the a-C films, which enables the precise control of their electronic properties.

  13. Using KrF ELA to Improve Gate-Stacked LaAlO₃/ZrO₂ Indium Gallium Zinc Oxide Thin-Film Transistors with Novel Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition Technique.

    Science.gov (United States)

    Wu, Chien-Hung; Chang, Kow-Ming; Chen, Yi-Ming; Huang, Bo-Wen; Zhang, Yu-Xin; Wang, Shui-Jinn

    2018-03-01

    Atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique and KrF excimer laser annealing (ELA) were employed for the fabrication of indium gallium zinc oxide thin-film transistors (IGZO-TFTs). Device with a 150 mJ/cm2 laser annealing densities demonstrated excellent electrical characteristics with improved on/off current ratio of 4.7×107, high channel mobility of 10 cm2/V-s, and low subthreshold swing of 0.15 V/dec. The improvements are attributed to the adjustment of oxygen vacancies in the IGZO channel to an appropriate range of around 28.3% and the reduction of traps at the high-k/IGZO interface.

  14. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  15. Effect of Radio-Frequency and Low-Frequency Bias Voltage on the Formation of Amorphous Carbon Films Deposited by Plasma Enhanced Chemical Vapor Deposition

    International Nuclear Information System (INIS)

    Manis-Levy, Hadar; Mintz, Moshe H.; Livneh, Tsachi; Zukerman Ido; Raveh, Avi

    2014-01-01

    The effect of radio-frequency (RF) or low-frequency (LF) bias voltage on the formation of amorphous hydrogenated carbon (a-C:H) films was studied on silicon substrates with a low methane (CH 4 ) concentration (2–10 vol.%) in CH 4 +Ar mixtures. The bias substrate was applied either by RF (13.56 MHz) or by LF (150 kHz) power supply. The highest hardness values (∼18–22 GPa) with lower hydrogen content in the films (∼20 at.%) deposited at 10 vol.% CH 4 , was achieved by using the RF bias. However, the films deposited using the LF bias, under similar RF plasma generation power and CH 4 concentration (50 W and 10 vol.%, respectively), displayed lower hardness (∼6–12 GPa) with high hydrogen content (∼40 at.%). The structures analyzed by Fourier Transform Infrared (FTIR) and Raman scattering measurements provide an indication of trans-polyacetylene structure formation. However, its excessive formation in the films deposited by the LF bias method is consistent with its higher bonded hydrogen concentration and low level of hardness, as compared to the film prepared by the RF bias method. It was found that the effect of RF bias on the film structure and properties is stronger than the effect of the low-frequency (LF) bias under identical radio-frequency (RF) powered electrode and identical PECVD (plasma enhanced chemical vapor deposition) system configuration. (plasma technology)

  16. Optical, mechanical and surface properties of amorphous carbonaceous thin films obtained by plasma enhanced chemical vapor deposition and plasma immersion ion implantation and deposition

    Science.gov (United States)

    Turri, Rafael G.; Santos, Ricardo M.; Rangel, Elidiane C.; da Cruz, Nilson C.; Bortoleto, José R. R.; Dias da Silva, José H.; Antonio, César Augusto; Durrant, Steven F.

    2013-09-01

    Diverse amorphous hydrogenated carbon-based films (a-C:H, a-C:H:F, a-C:H:N, a-C:H:Cl and a-C:H:Si:O) were obtained by radiofrequency plasma enhanced chemical vapor deposition (PECVD) and plasma immersion ion implantation and deposition (PIIID). The same precursors were used in the production of each pair of each type of film, such as a-C:H, using both PECVD and PIIID. Optical properties, namely the refractive index, n, absorption coefficient, α, and optical gap, ETauc, of these films were obtained via transmission spectra in the ultraviolet-visible near-infrared range (wavelengths from 300 to 3300 nm). Film hardness, elastic modulus and stiffness were obtained as a function of depth using nano-indentation. Surface energy values were calculated from liquid drop contact angle data. Film roughness and morphology were assessed using atomic force microscopy (AFM). The PIIID films were usually thinner and possessed higher refractive indices than the PECVD films. Determined refractive indices are consistent with literature values for similar types of films. Values of ETauc were increased in the PIIID films compared to the PECVD films. An exception was the a-C:H:Si:O films, for which that obtained by PIIID was thicker and exhibited a decreased ETauc. The mechanical properties - hardness, elastic modulus and stiffness - of films produced by PECVD and PIIID generally present small differences. An interesting effect is the increase in the hardness of a-C:H:Cl films from 1.0 to 3.0 GPa when ion implantation is employed. Surface energy correlates well with surface roughness. The implanted films are usually smoother than those obtained by PECVD.

  17. Optical, mechanical and surface properties of amorphous carbonaceous thin films obtained by plasma enhanced chemical vapor deposition and plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Turri, Rafael G.; Santos, Ricardo M.; Rangel, Elidiane C.; Cruz, Nilson C. da; Bortoleto, José R.R.; Dias da Silva, José H.; Antonio, César Augusto; Durrant, Steven F.

    2013-01-01

    Diverse amorphous hydrogenated carbon-based films (a-C:H, a-C:H:F, a-C:H:N, a-C:H:Cl and a-C:H:Si:O) were obtained by radiofrequency plasma enhanced chemical vapor deposition (PECVD) and plasma immersion ion implantation and deposition (PIIID). The same precursors were used in the production of each pair of each type of film, such as a-C:H, using both PECVD and PIIID. Optical properties, namely the refractive index, n, absorption coefficient, α, and optical gap, E Tauc , of these films were obtained via transmission spectra in the ultraviolet–visible near-infrared range (wavelengths from 300 to 3300 nm). Film hardness, elastic modulus and stiffness were obtained as a function of depth using nano-indentation. Surface energy values were calculated from liquid drop contact angle data. Film roughness and morphology were assessed using atomic force microscopy (AFM). The PIIID films were usually thinner and possessed higher refractive indices than the PECVD films. Determined refractive indices are consistent with literature values for similar types of films. Values of E Tauc were increased in the PIIID films compared to the PECVD films. An exception was the a-C:H:Si:O films, for which that obtained by PIIID was thicker and exhibited a decreased E Tauc . The mechanical properties – hardness, elastic modulus and stiffness – of films produced by PECVD and PIIID generally present small differences. An interesting effect is the increase in the hardness of a-C:H:Cl films from 1.0 to 3.0 GPa when ion implantation is employed. Surface energy correlates well with surface roughness. The implanted films are usually smoother than those obtained by PECVD.

  18. Vaporized wall material/plasma interaction during plasma disruption

    International Nuclear Information System (INIS)

    Merrill, B.J.; Carroll, M.C.; Jardin, S.C.

    1983-01-01

    The purpose of this paper is to discuss a new plasma disruption model that has been developed for analyzing the consequences to the limiter/first wall structures. This model accounts for: nonequilibrium surface vaporization for the ablating structure, nonequilibrium ionization of and radiation emitted from the ablated material in the plasma, plasma particle and energy transport, and plasma electromagnetic field evolution during the disruption event. Calculations were performed for a 5 ms disruption on a stainless steel flat limiter as part of a D-shaped first wall. These results indicated that the effectiveness of the ablated wall material to shield the exposed structure is greater than predicted by earlier models, and that the rate of redeposition of the ablated wall material ions is very dramatic. Impurity transport along magnetic field lines, global plasma motion, and radiation transport in an optically thick plasma are important factors that require additional modeling. Experimental measurements are needed to verify these models

  19. Gas diffusion ultrabarriers on polymer substrates using Al2O3 atomic layer deposition and SiN plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Carcia, P. F.; McLean, R. S.; Groner, M. D.; Dameron, A. A.; George, S. M.

    2009-01-01

    Thin films grown by Al 2 O 3 atomic layer deposition (ALD) and SiN plasma-enhanced chemical vapor deposition (PECVD) have been tested as gas diffusion barriers either individually or as bilayers on polymer substrates. Single films of Al 2 O 3 ALD with thicknesses of ≥10 nm had a water vapor transmission rate (WVTR) of ≤5x10 -5 g/m 2 day at 38 deg. C/85% relative humidity (RH), as measured by the Ca test. This WVTR value was limited by H 2 O permeability through the epoxy seal, as determined by the Ca test for the glass lid control. In comparison, SiN PECVD films with a thickness of 100 nm had a WVTR of ∼7x10 -3 g/m 2 day at 38 deg. C/85% RH. Significant improvements resulted when the SiN PECVD film was coated with an Al 2 O 3 ALD film. An Al 2 O 3 ALD film with a thickness of only 5 nm on a SiN PECVD film with a thickness of 100 nm reduced the WVTR from ∼7x10 -3 to ≤5x10 -5 g/m 2 day at 38 deg. C/85% RH. The reduction in the permeability for Al 2 O 3 ALD on the SiN PECVD films was attributed to either Al 2 O 3 ALD sealing defects in the SiN PECVD film or improved nucleation of Al 2 O 3 ALD on SiN.

  20. Effects of potassium hydroxide post-treatments on the field-emission properties of thermal chemical vapor deposited carbon nanotubes.

    Science.gov (United States)

    Lee, Li-Ying; Lee, Shih-Fong; Chang, Yung-Ping; Hsiao, Wei-Shao

    2011-12-01

    In this study, a simple potassium hydroxide treatment was applied to functionalize the surface and to modify the structure of multi-walled carbon nanotubes grown on silicon substrates by thermal chemical vapor deposition. Scanning electron microscopy, transmission electron microscopy, Raman spectroscopy, and energy dispersive spectrometry were employed to investigate the mechanism causing the modified field-emission properties of carbon nanotubes. From our experimental data, the emitted currents of carbon nanotubes after potassium hydroxide treatment are enhanced by more than one order of magnitude compared with those of untreated carbon nanotubes. The emitted current density of carbon nanotubes increases from 0.44 mA/cm2 to 7.92 mA/cm2 after 30 minutes KOH treatment. This technique provides a simple, economical, and effective way to enhance the field-emission properties of carbon nanotubes.

  1. Spectroscopic properties of nitrogen doped hydrogenated amorphous carbon films grown by radio frequency plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Hayashi, Y.; Yu, G.; Rahman, M. M.; Krishna, K. M.; Soga, T.; Jimbo, T.; Umeno, M.

    2001-01-01

    Nitrogen doped hydrogenated amorphous carbon thin films have been deposited by rf plasma-enhanced chemical vapor deposition using CH 4 as the source of carbon and with different nitrogen flow rates (N 2 /CH 4 gas ratios between 0 and 3), at 300 K. The dependence modifications of the optical and the structural properties on nitrogen incorporation were investigated using different spectroscopic techniques, such as, Raman spectroscopy, Fourier transform infrared spectroscopy, x-ray photoelectron spectroscopy, ultraviolet-visible (UV-VIS) spectroscopy, electron spin resonance (ESR), photoluminescence (PL) and spectroscopic ellipsometry (SE). Raman spectroscopy and IR absorption reveal an increase in sp 2 -bonded carbon or a change in sp 2 domain size with increasing nitrogen flow rate. It is found that the configuration of nitrogen atoms incorporated into an amorphous carbon network gradually changes from nitrogen atoms surrounded by three (σ bonded) to two (π bonded) neighboring carbons with increasing nitrogen flow rate. Tauc optical gap is reduced from 2.6 to 2.0 eV, and the ESR spin density and the peak-to-peak linewidth increase sharply with increasing nitrogen flow rate. Excellent agreement has been found between the measured SE data and modeled spectra, in which an empirical dielectric function of amorphous materials and a linear void distribution along the thickness have been assumed. The influence of nitrogen on the electronic density of states is explained based on the optical properties measured by UV-VIS and PL including nitrogen lone pair band. [copyright] 2001 American Institute of Physics

  2. Enhanced and uniform in-field performance in long (Gd, Y)-Ba-Cu-O tapes with zirconium doping fabricated by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Selvamanickam, V; Guevara, A; Zhang, Y; Kesgin, I; Xie, Y; Carota, G; Chen, Y; Dackow, J; Zhang, Y; Zuev, Y; Cantoni, C; Goyal, A; Coulter, J; Civale, L

    2010-01-01

    The influence of Zr doping in (Gd, Y)-Ba-Cu-O ((Gd, Y)BCO) tapes made by metal-organic chemical vapor deposition has been studied with a specific objective of uniform and reproducible enhancement in in-field critical current (I c ) over long lengths. 50 m long tapes with 7.5 and 10 at.% Zr doping in 1 μm thick (Gd, Y)BCO films have been found to exhibit a sharply enhanced peak in I c in the orientation of field parallel to the c-axis and retain 28% of their self-field I c value at 77 K and 1 T. BaZrO 3 (BZO) nanocolumn density in the cross-sectional microstructure was found to increase with increasing Zr addition. The end segments of the 50 m long tapes were found to display nearly identical angular dependence of critical current at 77 K and 1 T, indicative of the uniformity in in-field performance over this length. A 610 m long tape was fabricated with 10% Zr doping and a 130 m segment showed a 3.2% uniformity in critical current measured every meter in the orientation of B || c-axis. A retention factor of 36% of the zero-field I c value measured at 0.52 T over the 130 m is consistent with that obtained in short samples.

  3. Enhanced and uniform in-field performance in long (Gd, Y)-Ba-Cu-O tapes with zirconium doping fabricated by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, V; Guevara, A; Zhang, Y; Kesgin, I [Texas Center for Superconductivity and Department of Mechanical Engineering, University of Houston, Houston, TX 77059 (United States); Xie, Y; Carota, G; Chen, Y; Dackow, J [SuperPower Incorporated, 450 Duane Avenue Schenectady, NY 12304 (United States); Zhang, Y; Zuev, Y; Cantoni, C; Goyal, A [Oak Ridge National Laboratory, Oak Ridge, TN 37831 (United States); Coulter, J; Civale, L [Los Alamos National Laboratory, Los Alamos, NM 87545 (United States)

    2010-01-15

    The influence of Zr doping in (Gd, Y)-Ba-Cu-O ((Gd, Y)BCO) tapes made by metal-organic chemical vapor deposition has been studied with a specific objective of uniform and reproducible enhancement in in-field critical current (I{sub c}) over long lengths. 50 m long tapes with 7.5 and 10 at.% Zr doping in 1 {mu}m thick (Gd, Y)BCO films have been found to exhibit a sharply enhanced peak in I{sub c} in the orientation of field parallel to the c-axis and retain 28% of their self-field I{sub c} value at 77 K and 1 T. BaZrO{sub 3} (BZO) nanocolumn density in the cross-sectional microstructure was found to increase with increasing Zr addition. The end segments of the 50 m long tapes were found to display nearly identical angular dependence of critical current at 77 K and 1 T, indicative of the uniformity in in-field performance over this length. A 610 m long tape was fabricated with 10% Zr doping and a 130 m segment showed a 3.2% uniformity in critical current measured every meter in the orientation of B || c-axis. A retention factor of 36% of the zero-field I{sub c} value measured at 0.52 T over the 130 m is consistent with that obtained in short samples.

  4. Enhanced and Uniform in-Field Performance in Long (Gd,Y)-Ba-Cu-O Tapes with Zirconium Doping Fabricated by Metal Organic Chemical Vapor Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, V. [SuperPower Incorporated, Schenectady, New York; Guevara, A. [University of Houston, Houston; Zhang, Y. [University of Houston, Houston; Kesign, I. [University of Houston, Houston; Xie, Y. Y. [SuperPower Incorporated, Schenectady, New York; Carota, G. [SuperPower Incorporated, Schenectady, New York; Chen, Y. [SuperPower Incorporated, Schenectady, New York; Dackow, J. [SuperPower Incorporated, Schenectady, New York; Zhang, Yifei [ORNL; Zuev, Yuri L [ORNL; Cantoni, Claudia [ORNL; Goyal, Amit [ORNL; Coulter, J. [Los Alamos National Laboratory (LANL); Civale, L. [Los Alamos National Laboratory (LANL)

    2010-01-01

    The influence of Zr doping in (Gd, Y)-Ba-Cu-O ((Gd, Y)BCO) tapes made by metal-organic chemical vapor deposition has been studied with a specific objective of uniform and reproducible enhancement in in-field critical current (I{sub c}) over long lengths. 50 m long tapes with 7.5 and 10 at.% Zr doping in 1 {mu}m thick (Gd, Y)BCO films have been found to exhibit a sharply enhanced peak in I{sub c} in the orientation of field parallel to the c-axis and retain 28% of their self-field I{sub c} value at 77 K and 1 T. BaZrO{sub 3} (BZO) nanocolumn density in the cross-sectional microstructure was found to increase with increasing Zr addition. The end segments of the 50 m long tapes were found to display nearly identical angular dependence of critical current at 77 K and 1 T, indicative of the uniformity in in-field performance over this length. A 610 m long tape was fabricated with 10% Zr doping and a 130 m segment showed a 3.2% uniformity in critical current measured every meter in the orientation of {beta} {parallel} c-axis. A retention factor of 36% of the zero-field I{sub c} value measured at 0.52 T over the 130 m is consistent with that obtained in short samples.

  5. Characterization of Pb(Zr, Ti)O3 thin films fabricated by plasma enhanced chemical vapor deposition on Ir-based electrodes

    International Nuclear Information System (INIS)

    Lee, Hee-Chul; Lee, Won-Jong

    2002-01-01

    Structural and electrical characteristics of Pb(Zr, Ti)O 3 (PZT) ferroelectric thin films deposited on various Ir-based electrodes (Ir, IrO 2 , and Pt/IrO 2 ) using electron cyclotron resonance plasma enhanced chemical vapor deposition were investigated. On the Ir electrode, stoichiometric PZT films with pure perovskite phase could be obtained over a very wide range of processing conditions. However, PZT films prepared on the IrO 2 electrode contain a large amount of PbO x phases and exhibited high Pb-excess composition. The deposition characteristics were dependent on the behavior of PbO molecules on the electrode surface. The PZT thin film capacitors prepared on the Ir bottom electrode showed different electrical properties depending on top electrode materials. The PZT capacitors with Ir, IrO 2 , and Pt top electrodes showed good leakage current characteristics, whereas those with the Ru top electrode showed a very high leakage current density. The PZT capacitor exhibited the best fatigue endurance with an IrO 2 top electrode. An Ir top electrode provided better fatigue endurance than a Pt top electrode. The PZT capacitor with an Ir-based electrode is thought to be attractive for the application to ferroelectric random access memory devices because of its wide processing window for a high-quality ferroelectric film and good polarization, fatigue, and leakage current characteristics

  6. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Science.gov (United States)

    Chou, Chia-Man; Lai, Chih-Chang; Chang, Chih-Wei; Wen, Kai-Shin; Hsiao, Vincent K. S.

    2017-07-01

    We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO)-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD) incorporated with radio-frequency (r.f.)-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD)-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC) structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr). High oxygen vapor pressure (150 mTorr) and low r.f. power (10 W) are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  7. Plasma production via field ionization

    Directory of Open Access Journals (Sweden)

    C. L. O’Connell

    2006-10-01

    Full Text Available Plasma production via field ionization occurs when an incoming particle beam is sufficiently dense that the electric field associated with the beam ionizes a neutral vapor or gas. Experiments conducted at the Stanford Linear Accelerator Center explore the threshold conditions necessary to induce field ionization by an electron beam in a neutral lithium vapor. By independently varying the transverse beam size, number of electrons per bunch, or bunch length, the radial component of the electric field is controlled to be above or below the threshold for field ionization. Additional experiments ionized neutral xenon and neutral nitric oxide by varying the incoming beam’s bunch length. A self-ionized plasma is an essential step for the viability of plasma-based accelerators for future high-energy experiments.

  8. Ultralow k films by using a plasma-enhanced chemical vapor deposition porogen approach: Study of the precursor reaction mechanisms

    International Nuclear Information System (INIS)

    Castex, A.; Jousseaume, V.; Deval, J.; Bruat, J.; Favennec, L.; Passemard, G.

    2008-01-01

    As interconnects are scaled down, much effort is made to achieve ultralow k material with a dielectric constant lower than 2.5. Thus, many new precursors are investigated in plasma-enhanced chemical vapor deposition. This is particularly true with the porogen approach where two molecules are used: an organosilicon to create the silicon matrix and an organic molecule ''porogen'' that creates material porosity during a post-treatment such as annealing. In this article, the influence of the organosilicon molecular structure is investigated. Two ''matrix precursors'' with different structures are therefore compared. The first one, referred to as D5, has a ring structure (decamethyl pentacyclosiloxane); the second one, referred to as DEOMS, has a star structure (diethoxymethyl silane). The porogen organic molecule, referred to as CHO, is cyclohexen oxide. The fragmentation paths of the precursor molecules in the plasma are investigated by quadrupole mass spectroscopy and the film structure is studied by Fourier transform infrared spectroscopy. The mass spectroscopy analysis shows that the fragmentation in plasma is highest for DEOMS, intermediate for CHO, and lowest for D5 in comparable process conditions. At the maximum plasma power setting, the loss rate, which yields molecule consumption, is 43%-81% for the D5-CHO mixture, respectively, and 73%-37% for the DEOMS-CHO mixture, respectively. This is related to higher bond-dissociation energy for the siloxane (Si-O-Si) link in D5 than silane (Si-H), silylethoxyde (Si-OC 2 H 5 ) in DEOMS, or C-C and epoxy cycle in CHO. Indeed, a higher electron-energy relative threshold for dissociation under electron impact is measured for D5 (around 7 eV) than for DEOMS and CHO (around 4 eV). Moreover, the fragment structures differ from one precursor to another. Methyl groups are abstracted from D5 and a few polysiloxane chains are produced from pentacycle opening and fragmentation. In the case of DEOMS, many single silicon

  9. Growth, microstructure, and field-emission properties of synthesized diamond film on adamantane-coated silicon substrate by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Tiwari, Rajanish N.; Chang Li

    2010-01-01

    Diamond nucleation on unscratched Si surface is great importance for its growth, and detailed understanding of this process is therefore desired for many applications. The pretreatment of the substrate surface may influence the initial growth period. In this study, diamond films have been synthesized on adamantane-coated crystalline silicon {100} substrate by microwave plasma chemical vapor deposition from a gaseous mixture of methane and hydrogen gases without the application of a bias voltage to the substrates. Prior to adamantane coating, the Si substrates were not pretreated such as abraded/scratched. The substrate temperature was ∼530 deg. C during diamond deposition. The deposited films are characterized by scanning electron microscopy, Raman spectrometry, x-ray diffraction, and x-ray photoelectron spectroscopy. These measurements provide definitive evidence for high-crystalline quality diamond film, which is synthesized on a SiC rather than clean Si substrate. Characterization through atomic force microscope allows establishing fine quality criteria of the film according to the grain size of nanodiamond along with SiC. The diamond films exhibit a low-threshold (55 V/μm) and high current-density (1.6 mA/cm 2 ) field-emission (FE) display. The possible mechanism of formation of diamond films and their FE properties have been demonstrated.

  10. Effect of the hydrogen flow rate on the structural and optical properties of hydrogenated amorphous silicon thin films prepared by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ben Amor, Sana; Dimassi, Wissem; Ali Tebai, Mohamed; Ezzaouia, Hatem [Photovoltaic Laboratory Research and Technology Centre of Energy, Borj-Cedria Science and Technology Park, BP 95, 2050 Hammam-Lif (Tunisia)

    2012-10-15

    Hydrogenated amorphous silicon (a-Si:H) thin films were deposited from pure silane (SiH{sub 4}) and hydrogen (H{sub 2}) gas mixture by plasma enhanced chemical vapor deposition (PECVD) method at low temperature (400 C) using high rf power (60 W). The structural and optical properties of these films are systematically investigated as a function of the flow rate of hydrogen (F{sub H2}).The surface morphology is analyzed by atomic force microscopy (AFM). The characterization of these films with low angle X-ray diffraction revealed that the crystallite size in the films tends to decrease with increase in (F{sub H2}). The Fourier transform infrared (FTIR) spectroscopic analysis showed that at low values of (F{sub H2}),the hydrogen bonding in Si:H films shifts from di-hydrogen (Si-H{sub 2}) and (Si-H{sub 2})n complexes to the mono-hydrogen (Si-H) bonding configuration. Finally, for these optimized conditions, the deposition rate decreases with increasing (F{sub H2}). (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Response of the ionosphere to the injection of chemically reactive vapors

    International Nuclear Information System (INIS)

    Bernhardt, P.A.

    1976-05-01

    As a gas released in the ionosphere expands, it is rapidly cooled. When the vapor becomes sufficiently tenuous, it is reheated by collisions with the ambient atmosphere, and its flow is then governed by diffusive expansion. As the injected gas becomes well mixed with the plasma, a hole is created by chemical processes. In the case of diatomic hydrogen release, depression of the electron concentrations is governed by the charge exchange reaction between oxygen ions and hydrogen, producing positive hydroxyl ions. Hydroxyl ions rapidly react with the electron gas to produce excited oxygen and hydrogen atoms. Enhanced airglow emissions result from the transition of the excited atoms to lower energy states. The electron temperature in the depleted region rises sharply and this rise causes a thermal expansion of the plasma and a further reduction in the local plasma concentration

  12. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Directory of Open Access Journals (Sweden)

    Chia-Man Chou

    2017-07-01

    Full Text Available We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD incorporated with radio-frequency (r.f.-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr. High oxygen vapor pressure (150 mTorr and low r.f. power (10 W are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  13. Microspectroscopic imaging of solution plasma: How do its physical properties and chemical species evolve in atmospheric-pressure water vapor bubbles?

    Science.gov (United States)

    Yui, Hiroharu; Banno, Motohiro

    2018-01-01

    In this article, we review the development of scientific instruments for obtaining information on the evolution of physical properties and chemical species of solution plasma (SP). When a pulsed high voltage is applied between electrodes immersed in an aqueous solution, SP is formed in water vapor bubbles transiently generated in the solution under atmospheric pressure. To clarify how SP emerges in water vapor bubbles and is sustained in solutions, an instrument with micrometer spatial resolution and nanosecond temporal resolution is required. To meet these requirements, a microscopic system with a custom-made optical discharge cell was newly developed, where the working distance between the SP and the microscopic objective lens was minimized. A hollow electrode equipped in the discharge cell also enabled us to control the chemical composition in water vapor bubbles. To study the spatial and temporal evolutions of chemical species in micrometer and nano- to microsecond regions, a streak camera with a spectrometer and a CCD detector with a time-gated electronic device were combined with the microscope system. The developed instrument is expected to contribute to providing a new means of developing new schemes for chemical reactions and material syntheses.

  14. Core-shell SrTiO3/graphene structure by chemical vapor deposition for enhanced photocatalytic performance

    Science.gov (United States)

    He, Chenye; Bu, Xiuming; Yang, Siwei; He, Peng; Ding, Guqiao; Xie, Xiaoming

    2018-04-01

    Direct growth of high quality graphene on the surface of SrTiO3 (STO) was realized through chemical vapor deposition (CVD), to construct few-layer 'graphene shell' on every STO nanoparticle. The STO/graphene composite shows significantly enhanced UV light photocatalytic activity compared with the STO/rGO reference. Mechanism analysis confirms the role of special core-shell structure and chemical bond (Tisbnd C) for rapid interfacial electron transfer and effective electron-hole separation.

  15. Controlling the quality of nanocrystalline silicon made by hot-wire chemical vapor deposition by using a reverse H2 profiling technique

    NARCIS (Netherlands)

    Li, H. B. T.; Franken, R.H.; Stolk, R.L.; van der Werf, C.H.M.; Rath, J.K.; Schropp, R.E.I.

    2008-01-01

    Hydrogen profiling, i.e., decreasing the H2 dilution during deposition, is a well-known technique to maintain a proper crystalline ratio of the nanocrystalline (nc-Si:H) absorber layers of plasma-enhanced chemical vapor-deposited (PECVD) thin film solar cells. With this technique a large increase in

  16. Transport properties of field effect transistors with randomly networked single walled carbon nanotubes grown by plasma enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Kim, Un Jeong; Park, Wanjun

    2009-01-01

    The transport properties of randomly networked single walled carbon nanotube (SWNT) transistors with different channel lengths of L c = 2-10 μm were investigated. Randomly networked SWNTs were directly grown for the two different densities of ρ ∼ 25 μm -2 and ρ ∼ 50 μm -2 by water plasma enhanced chemical vapour deposition. The field effect transport is governed mainly by formation of the current paths that is related to the nanotube density. On the other hand, the off-state conductivity deviates from linear dependence for both nanotube density and channel length. The field effect mobility of holes is estimated as 4-13 cm 2 V -1 s -1 for the nanotube transistors based on the simple MOS theory. The mobility is increased for the higher density without meaningful dependence on the channel lengths.

  17. Time-Resolved Quantum Cascade Laser Absorption Spectroscopy of Pulsed Plasma Assisted Chemical Vapor Deposition Processes Containing BCl3

    Science.gov (United States)

    Lang, Norbert; Hempel, Frank; Strämke, Siegfried; Röpcke, Jürgen

    2011-08-01

    In situ measurements are reported giving insight into the plasma chemical conversion of the precursor BCl3 in industrial applications of boriding plasmas. For the online monitoring of its ground state concentration, quantum cascade laser absorption spectroscopy (QCLAS) in the mid-infrared spectral range was applied in a plasma assisted chemical vapor deposition (PACVD) reactor. A compact quantum cascade laser measurement and control system (Q-MACS) was developed to allow a flexible and completely dust-sealed optical coupling to the reactor chamber of an industrial plasma surface modification system. The process under the study was a pulsed DC plasma with periodically injected BCl3 at 200 Pa. A synchronization of the Q-MACS with the process control unit enabled an insight into individual process cycles with a sensitivity of 10-6 cm-1·Hz-1/2. Different fragmentation rates of the precursor were found during an individual process cycle. The detected BCl3 concentrations were in the order of 1014 molecules·cm-3. The reported results of in situ monitoring with QCLAS demonstrate the potential for effective optimization procedures in industrial PACVD processes.

  18. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Y.; Song, W.; Lee, S. Y.; Jeon, C.; Jung, W.; Kim, M.; Park, C.-Y.

    2011-01-01

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 deg. C down to 450 deg. C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω/sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  19. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Kim, Y.; Song, W.; Lee, S. Y.; Jeon, C.; Jung, W.; Kim, M.; Park, C.-Y.

    2011-06-01

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 °C down to 450 °C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω/sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  20. Vertically aligned Si nanocrystals embedded in amorphous Si matrix prepared by inductively coupled plasma chemical vapor deposition (ICP-CVD)

    Energy Technology Data Exchange (ETDEWEB)

    Nogay, G. [Department of Physics, Middle East Technical University (METU), Ankara 06800 (Turkey); Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey); Saleh, Z.M., E-mail: zaki.saleh@aauj.edu [Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey); Department of Physics, Arab American University–Jenin (AAUJ), Jenin, Palestine (Country Unknown); Özkol, E. [Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey); Department of Chemical Engineering, Middle East Technical University (METU), Ankara 06800 (Turkey); Turan, R. [Department of Physics, Middle East Technical University (METU), Ankara 06800 (Turkey); Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey)

    2015-06-15

    Highlights: • Inductively-coupled plasma is used for nanostructured silicon at room temperature. • Low temperature deposition allows device processing on various substrates. • Deposition pressure is the most effective parameter in controlling nanostructure. • Films consist of quantum dots in a-Si matrix and exhibit columnar vertical growth. • Films are porous to oxygen infusion along columnar grain boundaries. - Abstract: Vertically-aligned nanostructured silicon films are deposited at room temperature on p-type silicon wafers and glass substrates by inductively-coupled, plasma-enhanced chemical vapor deposition (ICPCVD). The nanocrystalline phase is achieved by reducing pressure and increasing RF power. The crystalline volume fraction (X{sub c}) and the size of the nanocrystals increase with decreasing pressure at constant power. Columnar growth of nc-Si:H films is observed by high resolution transmission electron microscopy (HRTEM) and scanning electron microscopy (SEM). The films exhibit cauliflower-like structures with high porosity that leads to slow but uniform oxidation after exposure to air at room temperature. Films deposited at low pressures exhibit photoluminescence (PL) signals that may be deconvoluted into three distinct Gaussian components: 760–810, 920–935, and 990–1000 nm attributable to the quantum confinement and interface defect states. Hydrogen dilution is manifested in significant enhancement of the PL, but it has little effect on the nanocrystal size and X{sub c}.

  1. Ultrasharp Si nanowires produced by plasma-enhanced chemical vapor deposition

    Czech Academy of Sciences Publication Activity Database

    Červenka, Jiří; Ledinský, Martin; Stuchlíková, The-Ha; Stuchlík, Jiří; Výborný, Zdeněk; Holovský, Jakub; Hruška, Karel; Fejfar, Antonín; Kočka, Jan

    2010-01-01

    Roč. 4, 1-2 (2010), s. 37-39 ISSN 1862-6254 R&D Projects: GA MŠk(CZ) LC06040; GA AV ČR KAN400100701; GA MŠk LC510 Institutional research plan: CEZ:AV0Z10100521 Keywords : nanowires * silicon * scanning electron microscopy * hemical vapor deposition * Raman spectroscopy Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.660, year: 2010 http://www3.interscience.wiley.com/ cgi -bin/fulltext/123213957/HTMLSTART

  2. Growth graphene on silver-copper nanoparticles by chemical vapor deposition for high-performance surface-enhanced Raman scattering

    Science.gov (United States)

    Zhang, Xiumei; Xu, Shicai; Jiang, Shouzhen; Wang, Jihua; Wei, Jie; Xu, Shida; Gao, Shoubao; Liu, Hanping; Qiu, Hengwei; Li, Zhen; Liu, Huilan; Li, Zhenhua; Li, Hongsheng

    2015-10-01

    We present a graphene/silver-copper nanoparticle hybrid system (G/SCNPs) to be used as a high-performance surface-enhanced Raman scattering (SERS) substrate. The silver-copper nanoparticles wrapped by a monolayer graphene layer are directly synthesized on SiO2/Si substrate by chemical vapor deposition in a mixture of methane and hydrogen. The G/SCNPs shows excellent SERS enhancement activity and high reproducibility. The minimum detected concentration of R6G is as low as 10-10 M and the calibration curve shows a good linear response from 10-6 to 10-10 M. The date fluctuations from 20 positions of one SERS substrate are less than 8% and from 20 different substrates are less than 10%. The high reproducibility of the enhanced Raman signals could be due to the presence of an ultrathin graphene layer and uniform morphology of silver-copper nanoparticles. The use of G/SCNPs for detection of nucleosides extracted from human urine demonstrates great potential for the practical applications on a variety of detection in medicine and biotechnology field.

  3. The Influences of H2Plasma Pretreatment on the Growth of Vertically Aligned Carbon Nanotubes by Microwave Plasma Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Wen Hua-Chiang

    2008-01-01

    Full Text Available AbstractThe effects of H2flow rate during plasma pretreatment on synthesizing the multiwalled carbon nanotubes (MWCNTs by using the microwave plasma chemical vapor deposition are investigated in this study. A H2and CH4gas mixture with a 9:1 ratio was used as a precursor for the synthesis of MWCNT on Ni-coated TaN/Si(100 substrates. The structure and composition of Ni catalyst nanoparticles were investigated using scanning electron microscopy (SEM and transmission electron microscopy (TEM. The present findings showed that denser Ni catalyst nanoparticles and more vertically aligned MWCNTs could be effectively achieved at higher flow rates. From Raman results, we found that the intensity ratio of G and D bands (ID/IG decreases with an increasing flow rate. In addition, TEM results suggest that H2plasma pretreatment can effectively reduce the amorphous carbon and carbonaceous particles. As a result, the pretreatment plays a crucial role in modifying the obtained MWCNTs structures.

  4. Effects of thermal annealing on the structural, mechanical, and tribological properties of hard fluorinated carbon films deposited by plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Maia da Costa, M. E. H.; Baumvol, I. J. R.; Radke, C.; Jacobsohn, L. G.; Zamora, R. R. M.; Freire, F. L.

    2004-11-01

    Hard amorphous fluorinated carbon films (a-C:F) deposited by plasma enhanced chemical vapor deposition were annealed in vacuum for 30 min in the temperature range of 200-600 °C. The structural and compositional modifications were followed by several analytical techniques: Rutherford backscattering spectrometry (RBS), elastic recoil detection analysis (ERDA), x-ray photoelectron spectroscopy (XPS) and Raman spectroscopy. Nanoidentation measurements and lateral force microscopy experiments were carried out in order to provide the film hardness and the friction coefficient, respectively. The internal stress and contact angle were also measured. RBS, ERDA, and XPS results indicate that both fluorine and hydrogen losses occur for annealing temperatures higher than 300 °C. Raman spectroscopy shows a progressive graphitization upon annealing, while the surface became slightly more hydrophobic as revealed by the increase of the contact angle. Following the surface wettability reduction, a decrease of the friction coefficient was observed. These results highlight the influence of the capillary condensation on the nanoscale friction. The film hardness and the internal stress are constant up to 300 °C and decrease for higher annealing temperatures, showing a direct correlation with the atomic density of the films. Since the thickness variation is negligible, the mass loss upon thermal treatment results in amorphous structures with a lower degree of cross-linking, explaining the deterioration of the mechanical properties of the a-C:F films.

  5. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    International Nuclear Information System (INIS)

    Schropp, R.E.I.

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  6. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    Energy Technology Data Exchange (ETDEWEB)

    Schropp, R.E.I., E-mail: r.e.i.schropp@tue.nl

    2015-11-30

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  7. Liquid assisted plasma enhanced chemical vapour deposition with a non-thermal plasma jet at atmospheric pressure

    Czech Academy of Sciences Publication Activity Database

    Schäfer, J.; Fricke, K.; Mika, Filip; Pokorná, Zuzana; Zajíčková, L.; Foest, R.

    2017-01-01

    Roč. 630, MAY 30 (2017), s. 71-78 ISSN 0040-6090 R&D Projects: GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01 Institutional support: RVO:68081731 Keywords : plasma jet * liquid assisted plasma enhanced chemical * vapour deposition * silicon oxide Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering OBOR OECD: Coating and films Impact factor: 1.879, year: 2016

  8. Epitaxial growth of GaN by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) in the downflow of a very high frequency (VHF) N2/H2 excited plasma - effect of TMG flow rate and VHF power

    Science.gov (United States)

    Lu, Yi; Kondo, Hiroki; Ishikawa, Kenji; Oda, Osamu; Takeda, Keigo; Sekine, Makoto; Amano, Hiroshi; Hori, Masaru

    2014-04-01

    Gallium nitride (GaN) films have been grown by using our newly developed Radical-Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD) system. This system has three features: (1) application of very high frequency (60 MHz) power in order to increase the plasma density, (2) introduction of H2 gas together with N2 gas in the plasma discharge region to generate not only nitrogen radicals but also active NHx molecules, and (3) radical supply under remote plasma arrangement with suppression of charged ions and photons by employing a Faraday cage. Using this new system, we have studied the effect of the trimethylgallium (TMG) source flow rate and of the plasma generation power on the GaN crystal quality by using scanning electron microscopy (SEM) and double crystal X-ray diffraction (XRD). We found that this REMOCVD allowed the growth of epitaxial GaN films of the wurtzite structure of (0001) orientation on sapphire substrates with a high growth rate of 0.42 μm/h at a low temperature of 800 °C. The present REMOCVD is a promising method for GaN growth at relatively low temperature and without using costly ammonia gas.

  9. Simulation and Experimental Study of Photogeneration and Recombination in Amorphous-Like Silicon Thin Films Deposited by 27.12 MHz Plasma-Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Chia-Hsun Hsu

    2013-01-01

    Full Text Available Amorphous-like silicon (a-Si:H-like thin films are prepared by 27.12 MHz plasma-enhanced chemical vapor deposition technique. The films are applied to p-i-n single junction thin film solar cells with varying i-layer thickness to observe the effects on the short-circuit current density, as well as the open-circuit voltage, fill factor, and conversion efficiency. The most significant experimental result is that Jsc has two different behaviors with increasing the i-layer thickness, which can be related to carrier collection efficiency in the long wavelength region. Furthermore, technology computer-aided design simulation software is used to gain better insight into carrier generation and recombination of the solar cells, showing that for the i-layer thickness of 200 to 300 nm the generation dominates the carrier density and thus Jsc, whereas for the i-layer thickness of 300 to 400 nm the recombination becomes the leading factor. The simulation results of cell performances are in good agreement with experimental data, indicating that our simulation has great reliability. In addition, the a-Si:H-like solar cells have low light-induced degradation, which in turn can have a great potential to be used for stable and high-efficiency solar cells.

  10. Enhanced field emission from carbon nanotubes by hydrogen plasma treatment

    International Nuclear Information System (INIS)

    Zhi, C.Y.; Bai, X.D.; Wang, E.G.

    2002-01-01

    The field emission capability of the carbon nanotubes (CNTs) has been improved by hydrogen plasma treatment, and the enhanced emission mechanism has been studied systematically using Fourier-transform infrared spectroscopy, Raman, and transmission electron microscopy. The hydrogen concentration in the samples increases with increasing plasma treatment duration. A C δ- -H δ+ dipole layer may form on CNTs' surface and a high density of defects results from the plasma treatment, which is likely to make the external surface of CNTs more active to emit electrons after treatment. In addition, the sharp edge of CNTs' top, after removal of the catalyst particles, may increase the local electronic field more effectively. The present study suggests that hydrogen plasma treatment is a useful method for improving the field electron emission property of CNTs

  11. Heteroepitaxial growth of Ba1 - xSrxTiO3/YBa2Cu3O7 - x by plasma-enhanced metalorganic chemical vapor deposition

    Science.gov (United States)

    Chern, C. S.; Liang, S.; Shi, Z. Q.; Yoon, S.; Safari, A.; Lu, P.; Kear, B. H.; Goodreau, B. H.; Marks, T. J.; Hou, S. Y.

    1994-06-01

    Epitaxial Ba1-xSrxTiO3(BST)/YBa2Cu3O7-x heterostructures with superior electrical and dielectric properties have been fabricated by plasma-enhanced metalorganic chemical vapor deposition (PE-MOCVD). Data of x-ray diffraction and high resolution transmission electron microscopy showed that oriented Ba1-xSrxTiO3 layers were epitaxially deposited on epitaxial (001) YBa2Cu3O7-x layers. The leakage current density through the Ba1-xSrxTiO3 films was about 10-7 A/cm2 at 2 V (about 2×105 V/cm) operation. Moreover, the results of capacitance-temperature measurements showed that the PE-MOCVD Ba1-xSrxTiO3 films had Curie temperatures of about 30 °C and a peak dielectric constant of 600 at zero bias voltage. The Rutherford backscattering spectrometry and x-ray diffraction results showed that the BST film composition was controlled between Ba0.75Sr0.25TiO3 and Ba0.8Sr0.2TiO3. The structural and electrical properties of the Ba1-xSrxTiO3/YBa2Cu3O7-x heterostructure indicated that conductive oxide materials with close lattice to Ba1-xSrxTiO3 can be good candidates for the bottom electrode.

  12. Effect of ECRH and resonant magnetic fields on formation of magnetic islands in the T-10 tokamak plasma

    Science.gov (United States)

    Shestakov, E. A.; Savrukhin, P. V.

    2017-10-01

    Experiments in the T-10 tokamak demonstrated possibility of controlling the plasma current during disruption instability using the electron cyclotron resonance heating (ECRH) and the controlled operation of the ohmic current-holding system. Quasistable plasma discharge with repeating sawtooth oscillations can be restored after energy quench using auxiliary ECRH power when PEC / POH > 2-5. The external magnetic field generation system consisted of eight saddle coils that were arranged symmetrically relative to the equatorial plane of the torus outside of the vacuum vessel of the T-10 tokamak to study the possible resonant magnetic field effects on the rotation frequency of magnetic islands. The saddle coils power supply system is based on four thyristor converters with a total power of 300 kW. The power supply control system is based on Siemens S7 controllers. As shown by preliminary experiments, the interaction efficiency of external magnetic fields with plasma depends on the plasma magnetic configuration. Optimal conditions for slowing the rotation of magnetic islands were determined. Additionally, the direction of the error magnetic field in the T-10 tokamak was determined, and the threshold value of the external magnetic field was determined.

  13. Observation and particle simulation of vaporized W, Mo, and Be in PISCES-B plasma for vapor-shielding studies

    Directory of Open Access Journals (Sweden)

    K. Ibano

    2017-08-01

    Full Text Available Interactions of Tungsten (W, Molybdenum (Mo, and Beryllium (Be vapors with a steady-state plasma were studied by the PISCES-B liner plasma experiments as well as Particle-In-Cell (PIC simulations for the understanding of vapor-shielding phenomena. Effective cooling of the plasma by laser-generated Be vapor was observed in PISCES-B. On the other hand, no apparent cooling was observed for W and Mo vapors. The PIC simulation explains these experimental observations of the difference between low-Z and high-Z vapors. Decrease of electron temperature due to the vapor ejection was observed in case of a simulation of the Be vapor. As for the W vapor, it was found that the plasma cooling is localized only near the wall at a higher electron density plasma (∼1019m−3. On the other hand, the appreciable plasma cooling can be observed in a lower density plasma (∼1018m−3 for the W vapor.

  14. THz-waves channeling in a monolithic saddle-coil for Dynamic Nuclear Polarization enhanced NMR

    Science.gov (United States)

    Macor, A.; de Rijk, E.; Annino, G.; Alberti, S.; Ansermet, J.-Ph.

    2011-10-01

    A saddle coil manufactured by electric discharge machining (EDM) from a solid piece of copper has recently been realized at EPFL for Dynamic Nuclear Polarization enhanced Nuclear Magnetic Resonance experiments (DNP-NMR) at 9.4 T. The corresponding electromagnetic behavior of radio-frequency (400 MHz) and THz (263 GHz) waves were studied by numerical simulation in various measurement configurations. Moreover, we present an experimental method by which the results of the THz-wave numerical modeling are validated. On the basis of the good agreement between numerical and experimental results, we conducted by numerical simulation a systematic analysis on the influence of the coil geometry and of the sample properties on the THz-wave field, which is crucial in view of the optimization of DNP-NMR in solids.

  15. Epitaxial growth of SrTiO3/YBa2Cu3O7 - x heterostructures by plasma-enhanced metalorganic chemical vapor deposition

    Science.gov (United States)

    Liang, S.; Chern, C. S.; Shi, Z. Q.; Lu, P.; Safari, A.; Lu, Y.; Kear, B. H.; Hou, S. Y.

    1994-06-01

    We report heteroepitaxial growth of SrTiO3 on YBa2Cu3O7-x/LaAlO3 substrates by plasma-enhanced metalorganic chemical vapor deposition. X-ray diffraction results indicated that SrTiO3 films were epitaxially grown on a (001) YBa2Cu3O7-x surface with [100] orientation perpendicular to the surface. The film composition, with Sr/Ti molar ratio in the range of 0.9 to 1.1, was determined by Rutherford backscattering spectrometry and energy dispersive spectroscopy. The thickness of the SrTiO3 films is 0.1-0.2 μm. The epitaxial growth was further evidenced by high-resolution transmission electron microscopy and selected area diffraction. Atomically abrupt SrTiO3/YBa2Cu3O7-x interface and epitaxial growth with [100]SrTiO3∥[001]YBa2Cu3O7-x were observed in this study. The superconducting transition temperature of the bottom YBa2Cu3O7-x layer, as measured by ac susceptometer, did not significantly degrade after the growth of overlayer SrTiO3. The capacitance-voltage measurements showed that the dielectric constant of the SrTiO3 films was as high as 315 at a signal frequency of 100 KHz. The leakage current density through the SrTiO3 films is about 1×10-6 A/cm2 at 2-V operation. Data analysis on the current-voltage characteristic indicated that the conduction process is related to bulk-limited Poole-Frenkel emission.

  16. The current of a particle along a microtubule in microscopic plasma

    International Nuclear Information System (INIS)

    Li Wei; Chen Junfang; Wang Teng; Lai Xiuqiong

    2008-01-01

    Transport of a particle along the axis of a microtubule in a plasma-enhanced chemical vapor deposition (PECVD) system is investigated. The current, respectively, as a function of the temperature, the magnetic field and the external force is obtained. The value and direction of the current may be controlled by changing the above parameters

  17. SiC nanofibers grown by high power microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Honda, Shin-ichi; Baek, Yang-Gyu; Ikuno, Takashi; Kohara, Hidekazu; Katayama, Mitsuhiro; Oura, Kenjiro; Hirao, Takashi

    2003-01-01

    Silicon carbide (SiC) nanofibers have been synthesized on Si substrates covered by Ni thin films using high power microwave chemical vapor deposition (CVD). Characterization using transmission electron microscopy (TEM) combined with electron energy-dispersive X-ray spectroscopy (EDX) revealed that the resultant fibrous nanostructures were assigned to β-SiC with high crystallinity. The formation of SiC nanofibers can be explained by the vapor liquid solid (VLS) mechanism in which precipitation of SiC occurs from the supersaturated Ni nanoparticle containing Si and C

  18. Morphology and structure of Ti-doped diamond films prepared by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Liu, Xuejie; Lu, Pengfei; Wang, Hongchao; Ren, Yuan; Tan, Xin; Sun, Shiyang; Jia, Huiling

    2018-06-01

    Ti-doped diamond films were deposited through a microwave plasma chemical vapor deposition (MPCVD) system for the first time. The effects of the addition of Ti on the morphology, microstructure and quality of diamond films were systematically investigated. Secondary ion mass spectrometry results show that Ti can be added to diamond films through the MPCVD system using tetra n-butyl titanate as precursor. The spectra from X-ray diffraction, Raman spectroscopy, and X-ray photoelectron spectroscopy and the images from scanning electron microscopy of the deposited films indicate that the diamond phase clearly exists and dominates in Ti-doped diamond films. The amount of Ti added obviously influences film morphology and the preferred orientation of the crystals. Ti doping is beneficial to the second nucleation and the growth of the (1 1 0) faceted grains.

  19. Novel Prospects for Plasma Spray-Physical Vapor Deposition of Columnar Thermal Barrier Coatings

    Science.gov (United States)

    Anwaar, Aleem; Wei, Lianglinag; Guo, Qian; Zhang, Baopeng; Guo, Hongbo

    2017-12-01

    Plasma spray-physical vapor deposition (PS-PVD) is an emerging coating technique that can produce columnar thermal barrier coatings from vapor phase. Feedstock treatment at the start of its trajectory in the plasma torch nozzle is important for such vapor-phase deposition. This study describes the effects of the plasma composition (Ar/He) on the plasma characteristics, plasma-particle interaction, and particle dynamics at different points spatially distributed inside the plasma torch nozzle. The results of calculations show that increasing the fraction of argon in the plasma gas mixture enhances the momentum and heat flow between the plasma and injected feedstock. For the plasma gas combination of 45Ar/45He, the total enthalpy transferred to a representative powder particle inside the plasma torch nozzle is highest ( 9828 kJ/kg). Moreover, due to the properties of the plasma, the contribution of the cylindrical throat, i.e., from the feed injection point (FIP) to the start of divergence (SOD), to the total transferred energy is 69%. The carrier gas flow for different plasma gas mixtures was also investigated by optical emission spectroscopy (OES) measurements of zirconium emissions. Yttria-stabilized zirconia (YSZ) coating microstructures were produced when using selected plasma gas compositions and corresponding carrier gas flows; structural morphologies were found to be in good agreement with OES and theoretical predictions. Quasicolumnar microstructure was obtained with porosity of 15% when applying the plasma composition of 45Ar/45He.

  20. Chemical and physical reactions under thermal plasmas conditions

    International Nuclear Information System (INIS)

    Fauchais, P.; Vardelle, A.; Vardelle, M.; Coudert, J.F.

    1987-01-01

    Basic understanding of the involved phenomena lags far behind industrial development that requires now a better knowledge of the phenomena to achieve a better control of the process allowing to improve the quality of the products. Thus the authors try to precise what is their actual knowledge in the fields of: plasma generators design; plasma flow models with the following key points: laminar or turbulent flow, heat transfer to walls, 2D or 3D models, non equilibrium effects, mixing problems when chemical reactions are to be taken into account with very fast kinetics, electrode regions, data for transport properties and kinetic rates; nucleation problems; plasma flow characteristics measurements: temperature or temperatures and population of excited states (automatized emission spectroscopy, LIF, CARS) as well as flow velocity (LDA with small particles, Doppler effects...); plasma and particles momentum and heat transfer either with models taking into account particles size and injection velocity distributions, heat propagation, vaporization, Kundsen effect, turbulences ... or with measurements: particles velocity and flux distributions (Laser Anemometry) as well as surface temperature distributions (two colour pyrometry in flight statistical or not)

  1. Properties of Erbium Doped Hydrogenated Amorphous Carbon Layers Fabricated by Sputtering and Plasma Assisted Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    V. Prajzler

    2008-01-01

    Full Text Available We report about properties of carbon layers doped with Er3+ ions fabricated by Plasma Assisted Chemical Vapor Deposition (PACVD and by sputtering on silicon or glass substrates. The structure of the samples was characterized by X-ray diffraction and their composition was determined by Rutherford Backscattering Spectroscopy and Elastic Recoil Detection Analysis. The Absorbance spectrum was taken in the spectral range from 400 nm to 600 nm. Photoluminescence spectra were obtained using two types of Ar laser (λex=514.5 nm, lex=488 nm and also using a semiconductor laser (λex=980 nm. Samples fabricated by magnetron sputtering exhibited typical emission at 1530 nm when pumped at 514.5 nm. 

  2. Role of hydrogen in Sb film deposition and characterization of Sb and GexSby films deposited by cyclic plasma enhanced chemical vapor deposition using metal-organic precursors

    International Nuclear Information System (INIS)

    Kim, Hyung Keun; Jung, Jin Hwan; Choi, Doo Jin

    2012-01-01

    To meet increasing demands for chemical vapor deposition methods for high performance phase-change memory, cyclic plasma enhanced chemical vapor deposition of Sb and Ge x Sb y phase-change films and characterization of their properties were performed. Two cycle sequences were designed to investigate the role of hydrogen gas as a reduction gas during Sb film deposition. Hydrogen gas was not introduced into the reaction chamber during the purge step in cycle sequence A and was introduced during the purge step for cycle sequence B. The role of hydrogen gas was investigated by comparing the results obtained from these two cycle sequences and was concluded to exert an effect by a combination of precursor decomposition, surface maintenance as a hydrogen termination agent, and surface etching. These roles of hydrogen gas are discussed through consideration of changes in deposition rates, the oxygen concentration on the surface of the Sb film, and observations of film surface morphology. Based on these results, Ge x Sb y phase-change films were deposited with an adequate flow rate of hydrogen gas. The Ge and Sb composition of the film was controlled with the designed cycle sequences. A strong oxygen affinity for Ge was observed during the X-ray photoelectron spectroscopy analysis of Sb 3d, Sb 4d, and Ge 3d orbitals. Based on the XPS results, the ratios of Ge to Sb were calculated to be Ge 0.32 Sb 0.68 , Ge 0.38 Sb 0.62 , Ge 0.44 Sb 0.56 , Ge 0.51 Sb 0.49 and Ge 0.67 Sb 0.33 for the G1S7, G1S3, G1S2, G1S1, and G2S1 cycles, respectively. Crystal structures of Sb, Ge, and the GeSb metastable phase were observed with various Ge x Sb y film compositions. Sb crystallinity decreased with respect to Ge crystallinity by increasing the Ge fraction. A current–voltage curve was introduced, and an electro-switching phenomenon was clearly generated at a typical voltage, V th . V th values increased in conjunction with an increased proportion of Ge. The Sb crystallinity decrease and V

  3. Microwave Plasma Chemical Vapor Deposition of Nano-Structured Sn/C Composite Thin-Film Anodes for Li-ion Batteries

    Energy Technology Data Exchange (ETDEWEB)

    Stevenson, Cynthia; Marcinek, M.; Hardwick, L.J.; Richardson, T.J.; Song, X.; Kostecki, R.

    2008-02-01

    In this paper we report results of a novel synthesis method of thin-film composite Sn/C anodes for lithium batteries. Thin layers of graphitic carbon decorated with uniformly distributed Sn nanoparticles were synthesized from a solid organic precursor Sn(IV) tert-butoxide by a one step microwave plasma chemical vapor deposition (MPCVD). The thin-film Sn/C electrodes were electrochemically tested in lithium half cells and produced a reversible capacity of 440 and 297 mAhg{sup -1} at C/25 and 5C discharge rates, respectively. A long term cycling of the Sn/C nanocomposite anodes showed 40% capacity loss after 500 cycles at 1C rate.

  4. Enhanced Field Emission from Argon Plasma-Treated Ultra-sharp α-Fe2O3Nanoflakes

    Directory of Open Access Journals (Sweden)

    Zhang JX

    2009-01-01

    Full Text Available Abstract Hematite nanoflakes have been synthesized by a simple heat oxide method and further treated by Argon plasmas. The effects of Argon plasma on the morphology and crystal structures of nanoflakes were investigated. Significant enhancement of field-induced electron emission from the plasma-treated nanoflakes was observed. The transmission electron microscopy investigation shows that the plasma treatment effectively removes amorphous coating and creates plenty of sub-tips at the surface of the nanoflakes, which are believed to contribute the enhancement of emission. This work suggests that plasma treatment technique could be a direct means to improve field-emission properties of nanostructures.

  5. Diameter control and emission properties of carbon nanotubes grown using chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kaatz, F.H.; Siegal, M.P.; Overmyer, D.L.; Provencio, P.P.; Jackson, J.L

    2003-01-15

    We grow multiwalled carbon nanotubes (CNTs) via thermal chemical vapor deposition from a sputtered 4-nm-thick nickel catalyst film on a tungsten-coated silicon substrate. CNTs grow from a mixture of nitrogen and acetylene gases at temperatures ranging from 630 to 790 deg. C, resulting in CNT outer diameters of 5-350 nm. CNT diameters increase exponentially with temperature. These results define regimes for template growth fabricated in catalytically active anodized aluminum oxide (AAO) with controlled pinhole sizes ranging from 10 to 50 nm. We measure a threshold electron emission field of 3 V/{mu}m and a field enhancement factor {beta}=5230 on randomly oriented 10-nm diameter CNTs.

  6. Diameter control and emission properties of carbon nanotubes grown using chemical vapor deposition

    International Nuclear Information System (INIS)

    Kaatz, F.H.; Siegal, M.P.; Overmyer, D.L.; Provencio, P.P.; Jackson, J.L.

    2003-01-01

    We grow multiwalled carbon nanotubes (CNTs) via thermal chemical vapor deposition from a sputtered 4-nm-thick nickel catalyst film on a tungsten-coated silicon substrate. CNTs grow from a mixture of nitrogen and acetylene gases at temperatures ranging from 630 to 790 deg. C, resulting in CNT outer diameters of 5-350 nm. CNT diameters increase exponentially with temperature. These results define regimes for template growth fabricated in catalytically active anodized aluminum oxide (AAO) with controlled pinhole sizes ranging from 10 to 50 nm. We measure a threshold electron emission field of 3 V/μm and a field enhancement factor β=5230 on randomly oriented 10-nm diameter CNTs

  7. Gaseous material capacity of open plasma jet in plasma spray-physical vapor deposition process

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2018-01-01

    Plasma spray-physical vapor deposition (PS-PVD) process, emerging as a highly efficient hybrid approach, is based on two powerful technologies of both plasma spray and physical vapor deposition. The maximum production rate is affected by the material feed rate apparently, but it is determined by the material vapor capacity of transporting plasma actually and essentially. In order to realize high production rate, the gaseous material capacity of plasma jet must be fundamentally understood. In this study, the thermal characteristics of plasma were measured by optical emission spectrometry. The results show that the open plasma jet is in the local thermal equilibrium due to a typical electron number density from 2.1 × 1015 to 3.1 × 1015 cm-3. In this condition, the temperature of gaseous zirconia can be equal to the plasma temperature. A model was developed to obtain the vapor pressure of gaseous ZrO2 molecules as a two dimensional map of jet axis and radial position corresponding to different average plasma temperatures. The overall gaseous material capacity of open plasma jet, take zirconia for example, was further established. This approach on evaluating material capacity in plasma jet would shed light on the process optimization towards both depositing columnar coating and a high production rate of PS-PVD.

  8. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.; Sevilla, Galo T.; Rader, Kelly; Hussain, Muhammad Mustafa

    2013-01-01

    electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace

  9. Improved field emission properties of thiolated multi-wall carbon nanotubes on a flexible carbon cloth substrate

    International Nuclear Information System (INIS)

    Chuang, F T; Chen, P Y; Cheng, T C; Chien, C H; Li, B J

    2007-01-01

    In this paper we report the observation of enhanced field emission properties from thiolated multi-wall carbon nanotubes (MWCNTs) produced by a simple and effective two-step chemical surface modification technique. This technique implements carboxylation and thiolation on the MWCNTs synthesized by microwave plasma chemical vapor deposition (MPCVD) on the flexible carbon cloth substrate. The resulting thiolated MWCNTs were found to have a very low threshold field value of 1.25 V μm -1 and a rather high field enhancement factor of 1.93 x 10 4 , which are crucial for applications in versatile vacuum microelectronics

  10. HANFORD CHEMICAL VAPORS WORKER CONCERNS and EXPOSURE EVALUATION

    International Nuclear Information System (INIS)

    ANDERSON, T.J.

    2006-01-01

    Chemical vapor emissions from underground hazardous waste storage tanks on the Hanford site in eastern Washington State are a potential concern because workers enter the tank farms on a regular basis for waste retrievals, equipment maintenance, and surveillance. Tank farm contractors are in the process of retrieving all remaining waste from aging single-shell tanks, some of which date to World War II, and transferring it to newer double-shell tanks. During the waste retrieval process, tank farm workers are potentially exposed to fugitive chemical vapors that can escape from tank headspaces and other emission points. The tanks are known to hold more than 1,500 different species of chemicals, in addition to radionuclides. Exposure assessments have fully characterized the hazards from chemical vapors in half of the tank farms. Extensive sampling and analysis has been done to characterize the chemical properties of hazardous waste and to evaluate potential health hazards of vapors at the ground surface, where workers perform maintenance and waste transfer activities. Worker concerns. risk communication, and exposure assessment are discussed, including evaluation of the potential hazards of complex mixtures of chemical vapors. Concentrations of vapors above occupational exposure limits-(OEL) were detected only at exhaust stacks and passive breather filter outlets. Beyond five feet from the sources, vapors disperse rapidly. No vapors have been measured above 50% of their OELs more than five feet from the source. Vapor controls are focused on limited hazard zones around sources. Further evaluations of vapors include analysis of routes of exposure and thorough analysis of nuisance odors

  11. Analysis of a Stochastic Chemical System Close to a SNIPER Bifurcation of Its Mean-Field Model

    KAUST Repository

    Erban, Radek; Chapman, S. Jonathan; Kevrekidis, Ioannis G.; Vejchodský , Tomá š

    2009-01-01

    A framework for the analysis of stochastic models of chemical systems for which the deterministic mean-field description is undergoing a saddle-node infinite period (SNIPER) bifurcation is presented. Such a bifurcation occurs, for example

  12. Effect of Anode Magnetic Shield on Magnetic Field and Ion Beam in Cylindrical Hall Thruster

    International Nuclear Information System (INIS)

    Zhao Jie; Wang Shiqing; Liu Jian; Xu Li; Tang Deli; Geng Shaofei

    2010-01-01

    Numerical simulation of the effect of the anode magnetic shielding on the magnetic field and ion beam in a cylindrical Hall thruster is presented. The results show that after the anode is shielded by the magnetic shield, the magnetic field lines near the anode surface are obviously convex curved, the ratio of the magnetic mirror is enhanced, the width of the positive magnetic field gradient becomes larger than that without the anode magnetic shielding, the radial magnetic field component is enhanced, and the discharge plasma turbulence is reduced as a result of keeping the original saddle field profile and the important role the other two saddle field profiles play in restricting electrons. The results of the particle in cell (PIC) numerical simulation show that both the ion number and the energy of the ion beam increase after the anode is shielded by the magnetic shield. In other words, the specific impulse of the cylindrical Hall thruster is enhanced.

  13. Regression Methods for Virtual Metrology of Layer Thickness in Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Purwins, Hendrik; Barak, Bernd; Nagi, Ahmed

    2014-01-01

    The quality of wafer production in semiconductor manufacturing cannot always be monitored by a costly physical measurement. Instead of measuring a quantity directly, it can be predicted by a regression method (Virtual Metrology). In this paper, a survey on regression methods is given to predict...... average Silicon Nitride cap layer thickness for the Plasma Enhanced Chemical Vapor Deposition (PECVD) dual-layer metal passivation stack process. Process and production equipment Fault Detection and Classification (FDC) data are used as predictor variables. Various variable sets are compared: one most...... algorithm, and Support Vector Regression (SVR). On a test set, SVR outperforms the other methods by a large margin, being more robust towards changes in the production conditions. The method performs better on high-dimensional multivariate input data than on the most predictive variables alone. Process...

  14. Regularization of the Boundary-Saddle-Node Bifurcation

    Directory of Open Access Journals (Sweden)

    Xia Liu

    2018-01-01

    Full Text Available In this paper we treat a particular class of planar Filippov systems which consist of two smooth systems that are separated by a discontinuity boundary. In such systems one vector field undergoes a saddle-node bifurcation while the other vector field is transversal to the boundary. The boundary-saddle-node (BSN bifurcation occurs at a critical value when the saddle-node point is located on the discontinuity boundary. We derive a local topological normal form for the BSN bifurcation and study its local dynamics by applying the classical Filippov’s convex method and a novel regularization approach. In fact, by the regularization approach a given Filippov system is approximated by a piecewise-smooth continuous system. Moreover, the regularization process produces a singular perturbation problem where the original discontinuous set becomes a center manifold. Thus, the regularization enables us to make use of the established theories for continuous systems and slow-fast systems to study the local behavior around the BSN bifurcation.

  15. Evaporation and vapor shielding of CFC targets exposed to plasma heat fluxes relevant to ITER ELMs

    International Nuclear Information System (INIS)

    Safronov, V.M.; Arkhipov, N.I.; Landman, I.S.; Pestchanyi, S.E.; Toporkov, D.A.; Zhitlukhin, A.M.

    2009-01-01

    Carbon fibre composite NB31 was tested at plasma gun facility MK-200UG by plasma heat fluxes relevant to Edge Localised Modes in ITER. The paper reports the results obtained on the evaporation threshold of carbon fibre composite, the velocity of carbon vapor motion along and across the magnetic field lines, and the parameters of carbon plasma such as temperature, density and ionization state. First experimental results on investigation of the vapor shield onset conditions are presented also. The obtained experimental data are compared with the results of numerical modeling.

  16. Properties of plasma assisted chemical vapor deposited coatings of titanium boride on Ti--6Al--4V alloy substrates

    International Nuclear Information System (INIS)

    Otter, F.A.; Amisola, G.B.; Roman, W.C.; Hay, S.O.

    1992-01-01

    Coatings prepared in a radio-frequency-plasma (plasma assisted chemical vapor deposition) reactor employing in situ laser diagnostics have been tested and characterized. Detailed characterization studies are important to relate gas phase laser diagnostic studies and concurrent heterogeneous modeling efforts to coating characteristics. Establishing how deposition conditions are correlated with coating properties is expected to provide needed methodology for scale up of applications in the hard face protective coating area. After a brief discussion of preparation conditions and mechanical test results, we present results of chemical and physical measurements on these coatings. Measurement techniques include x-ray diffraction, Dektak surface roughness, scanning tunneling microscopy, scanning electron microscopy, and SEI, Auger electron spectroscopy, x-ray photoelectron spectroscopy, and Rutherford backscattering spectroscopy. The coatings (∼20 μm thick) are very hard (40 GPa at depths over 100 nm), adherent (60 N on scratch test), and sand erosion resistant (>40x as durable as Ti-6Al-4V). They are highly oriented with the c axis (hexagonal-close-packed) normal to the coating surface, rough (∼1 μm), and off-stoichiometry (TiB 2.2 )

  17. Vaporization studies of plasma interactive materials in simulated plasma disruption events

    International Nuclear Information System (INIS)

    Stone, C.A. IV; Croessmann, C.D.; Whitley, J.B.

    1988-03-01

    The melting and vaporization that occur when plasma facing materials are subjected to a plasma disruption will severely limit component lifetime and plasma performance. A series of high heat flux experiments was performed on a group of fusion reactor candidate materials to model material erosion which occurs during plasma disruption events. The Electron Beam Test System was used to simulate single disruption and multiple disruption phenomena. Samples of aluminum, nickel, copper, molybdenum, and 304 stainless steel were subjected to a variety of heat loads, ranging from 100 to 400 msec pulses of 8 to 18 kWcm 2 . It was found that the initial surface temperature of a material strongly influences the vaporization process and that multiple disruptions do not scale linearly with respect to single disruption events. 2 refs., 9 figs., 5 tabs

  18. Using advanced oxidation treatment for biofilm inactivation by varying water vapor content in air plasma

    Science.gov (United States)

    Ryota, Suganuma; Koichi, Yasuoka

    2015-09-01

    Biofilms are caused by environmental degradation in food factories and medical facilities. The inactivation of biofilms involves making them react with chemicals including chlorine, hydrogen peroxide, and ozone, although inactivation using chemicals has a potential problem because of the hazardous properties of the residual substance and hydrogen peroxide, which have slow reaction velocity. We successfully performed an advanced oxidation process (AOP) using air plasma. Hydrogen peroxide and ozone, which were used for the formation of OH radicals in our experiment, were generated by varying the amount of water vapor supplied to the plasma. By varying the content of the water included in the air, the main product was changed from air plasma. When we increased the water content in the air, hydrogen peroxide was produced, while ozone peroxide was produced when we decreased the water content in the air. By varying the amount of water vapor, we realized a 99.9% reduction in the amount of bacteria in the biofilm when we discharged humidified air only. This work was supported by JSPS KAKENHI Grant Number 25630104.

  19. Oxidation Kinetics of Chemically Vapor-Deposited Silicon Carbide in Wet Oxygen

    Science.gov (United States)

    Opila, Elizabeth J.

    1994-01-01

    The oxidation kinetics of chemically vapor-deposited SiC in dry oxygen and wet oxygen (P(sub H2O) = 0.1 atm) at temperatures between 1200 C and 1400 C were monitored using thermogravimetric analysis. It was found that in a clean environment, 10% water vapor enhanced the oxidation kinetics of SiC only very slightly compared to rates found in dry oxygen. Oxidation kinetics were examined in terms of the Deal and Grove model for oxidation of silicon. It was found that in an environment containing even small amounts of impurities, such as high-purity Al2O3 reaction tubes containing 200 ppm Na, water vapor enhanced the transport of these impurities to the oxidation sample. Oxidation rates increased under these conditions presumably because of the formation of less protective sodium alumino-silicate scales.

  20. Optimization of Gas Composition Used in Plasma Chemical Vaporization Machining for Figuring of Reaction-Sintered Silicon Carbide with Low Surface Roughness.

    Science.gov (United States)

    Sun, Rongyan; Yang, Xu; Ohkubo, Yuji; Endo, Katsuyoshi; Yamamura, Kazuya

    2018-02-05

    In recent years, reaction-sintered silicon carbide (RS-SiC) has been of interest in many engineering fields because of its excellent properties, such as its light weight, high rigidity, high heat conductance and low coefficient of thermal expansion. However, RS-SiC is difficult to machine owing to its high hardness and chemical inertness and because it contains multiple components. To overcome the problem of the poor machinability of RS-SiC in conventional machining, the application of atmospheric-pressure plasma chemical vaporization machining (AP-PCVM) to RS-SiC was proposed. As a highly efficient and damage-free figuring technique, AP-PCVM has been widely applied for the figuring of single-component materials, such as Si, SiC, quartz crystal wafers, and so forth. However, it has not been applied to RS-SiC since it is composed of multiple components. In this study, we investigated the AP-PCVM etching characteristics for RS-SiC by optimizing the gas composition. It was found that the different etching rates of the different components led to a large surface roughness. A smooth surface was obtained by applying the optimum gas composition, for which the etching rate of the Si component was equal to that of the SiC component.

  1. Non-catalytic direct synthesis of graphene on Si (111) wafers by using inductively-coupled plasma chemical vapor deposition

    Science.gov (United States)

    Hwang, Sung Won; Shin, Hyunho; Lee, Bongsoo; Choi, Suk-Ho

    2016-08-01

    We employ inductively-coupled plasma chemical vapor deposition for non-catalytic growth of graphene on a Si (111) wafer or glass substrate, which is useful for practical device applications of graphene without transfer processes. At a RF power (P) of 500 W under C2H2 flow, defect-free 3 ˜ 5-layer graphene is grown on Si (111) wafers, but on glass substrate, the layer is thicker and defective, as characterized by Raman spectroscopy and electron microscopy. The graphene is produced on Si (111) for P down to 190 W whereas it is almost not formed on glass for P < 250 W, possibly resulting from the weak catalytic-reaction-like effect on glass. These results are discussed based on possible growth mechanisms.

  2. Effects of water vapor on flue gas conditioning in the electric fields with corona discharge

    Energy Technology Data Exchange (ETDEWEB)

    Liqiang, QI, E-mail: qi_liqiang@163.com; Yajuan, Zhang

    2013-07-15

    Highlights: • The influence mechanism of water vapor humidification on SO{sub 2} oxidation was analyzed. •The effects of water vapor on the specific resistance in fly ash in ESPs were reported. • The effects of water vapor on the size distribution and specific surface area of fly ash were discussed. • The adhesive characteristic of fly ash in different water vapor was experimented. -- Abstract: Sulfur dioxide (SO{sub 2}) removal via pulsed discharge nonthermal plasma in the absence of ammonia was investigated to determine how electrostatic precipitators (ESPs) can effectively collect particulate matter less than 2.5 μm in diameter from flue gas. SO{sub 2} removal increased as water vapor concentration increased. In a wet-type plasma reactor, directing a gas-phase discharge plasma toward the water film surface significantly enhanced the liquid-phase oxidation of HSO{sub 3}{sup −} to SO{sub 4}{sup 2−}. Comparisons of various absorbents revealed that the hydroxyl radical is a key factor in plasma-induced liquid-phase reactions. The resistivity, size distribution, and cohesive force of fly ash at different water vapor contents were measured using a Bahco centrifuge, which is a dust electrical resistivity test instrument, as well as a cohesive force test apparatus developed by the researchers. When water vapor content increased by 5%, fly ash resistivity in flue gas decreased by approximately two orders of magnitude, adhesive force and size increased, and specific surface area decreased. Therefore, ESP efficiency increased.

  3. Low temperature metal free growth of graphene on insulating substrates by plasma assisted chemical vapor deposition

    Science.gov (United States)

    Muñoz, R.; Munuera, C.; Martínez, J. I.; Azpeitia, J.; Gómez-Aleixandre, C.; García-Hernández, M.

    2017-03-01

    Direct growth of graphene films on dielectric substrates (quartz and silica) is reported, by means of remote electron cyclotron resonance plasma assisted chemical vapor deposition r-(ECR-CVD) at low temperature (650 °C). Using a two step deposition process- nucleation and growth- by changing the partial pressure of the gas precursors at constant temperature, mostly monolayer continuous films, with grain sizes up to 500 nm are grown, exhibiting transmittance larger than 92% and sheet resistance as low as 900 Ω sq-1. The grain size and nucleation density of the resulting graphene sheets can be controlled varying the deposition time and pressure. In additon, first-principles DFT-based calculations have been carried out in order to rationalize the oxygen reduction in the quartz surface experimentally observed. This method is easily scalable and avoids damaging and expensive transfer steps of graphene films, improving compatibility with current fabrication technologies.

  4. PWFA plasma source - interferometric diagnostics for Li vapor density measurements

    International Nuclear Information System (INIS)

    Sivakumaran, V.; Mohandas, K.K.; Singh, Sneha; Ravi Kumar, A.V.

    2015-01-01

    A prototype (40 cm long) plasma source based on Li heat pipe oven has been developed for the Plasma Wakefield Acceleration (PWFA) experiments at IPR (IPR), Gujarat as a part of the ongoing Accelerator Programme. Li vapor in the oven is produced by heating solid Li in helium buffer gas. A uniform column of Li plasma is generated by UV photo ionization (193 nm) of the Li vapor in the heat pipe oven. In these experiments, an accurate measurement of Li vapor density is important as it has got a direct consequence on the plasma electron density. In the present experiment, the vapor density is measured optically by using Hook method (spectrally resolved white light interferometry). The hook like structure formed near the vicinity of the Li 670.8 nm resonance line was recorded with a white light Mach Zehnder interferometer crossed with an imaging spectrograph to estimate the Li vapor density. The vapor density measurements have been carried out as a function of external oven temperature and the He buffer gas pressure. This technique has the advantage of being insensitive to line broadening and line shape, and its high dynamic range even with optically thick absorption line. Here, we present the line integrated Lithium vapor density measurement using Hook method and also compare the same with other optical diagnostic techniques (White light absorption and UV absorption) for Li vapor density measurements. (author)

  5. DuPont Chemical Vapor Technical Report

    International Nuclear Information System (INIS)

    MOORE, T.L.

    2003-01-01

    DuPont Safety Resources was tasked with reviewing the current chemical vapor control practices and providing preventive recommendations on best commercial techniques to control worker exposures. The increased focus of the tank closure project to meet the 2024 Tri-Party Agreement (TPA) milestones has surfaced concerns among some CH2MHill employees and other interested parties. CH2MHill is committed to providing a safe working environment for employees and desires to safely manage the tank farm operations using appropriate control measures. To address worker concerns, CH2MHill has chartered a ''Chemical Vapors Project'' to integrate the activities of multiple CH2MHill project teams, and solicit the expertise of external resources, including an independent Industrial Hygiene expert panel, a communications consultant, and DuPont Safety Resources. Over a three-month time period, DuPont worked with CH2MHill ESH and Q, Industrial Hygiene, Engineering, and the independent expert panel to perform the assessment. The process included overview presentations, formal interviews, informal discussions, documentation review, and literature review. DuPont Safety Resources concluded that it is highly unlikely that workers in the tank farms are exposed to chemicals above established standards. Additionally, the conventional and radiological chemistry is understood, the inherent chemical hazards are known, and the risk associated with chemical vapor exposure is properly managed. The assessment highlighted management's commitment to addressing chemical vapor hazards and controlling the associated risks. Additionally, we found the Industrial Hygiene staff to be technically competent and well motivated. The tank characterization data resides in a comprehensive database containing the tank chemical compositions and relevant airborne concentrations

  6. Lithium-ions diffusion kinetic in LiFePO4/carbon nanoparticles synthesized by microwave plasma chemical vapor deposition for lithium-ion batteries

    Science.gov (United States)

    Gao, Chao; Zhou, Jian; Liu, Guizhen; Wang, Lin

    2018-03-01

    Olivine structure LiFePO4/carbon nanoparticles are synthesized successfully using a microwave plasma chemical vapor deposition (MPCVD) method. Microwave is an effective method to synthesize nanomaterials, the LiFePO4/carbon nanoparticles with high crystallinity can shorten diffusion routes for ionic transfer and electron tunneling. Meanwhile, a high quality, complete and homogenous carbon layer with appropriate thickness coating on the surface of LiFePO4 particles during in situ chemical vapor deposition process, which can ensure that electrons are able to transfer fast enough from all sides. Electrochemical impedance spectroscopy (EIS) is carried out to collect information about the kinetic behavior of lithium diffusion in LiFePO4/carbon nanoparticles during the charging and discharging processes. The chemical diffusion coefficients of lithium ions, DLi, are calculated in the range of 10-15-10-9 cm2s-1. Nanoscale LiFePO4/carbon particles show the longer regions of the faster solid-solution diffusion, and corresponding to the narrower region of the slower two-phase diffusion during the insertion/exaction of lithium ions. The CV and galvanostatic charge-discharge measurements show that the LiFePO4/carbon nanoparticles perform an excellent electrochemical performance, especially the high rate capacity and cycle life.

  7. Photoinitiated chemical vapor deposition of cytocompatible poly(2-hydroxyethyl methacrylate) films.

    Science.gov (United States)

    McMahon, Brian J; Pfluger, Courtney A; Sun, Bing; Ziemer, Katherine S; Burkey, Daniel D; Carrier, Rebecca L

    2014-07-01

    Poly(2-hydroxyethyl methacrylate) (pHEMA) is a widely utilized biomaterial due to lack of toxicity and suitable mechanical properties; conformal thin pHEMA films produced via chemical vapor deposition (CVD) would thus have broad biomedical applications. Thin films of pHEMA were deposited using photoinitiated CVD (piCVD). Incorporation of ethylene glycol diacrylate (EGDA) into the pHEMA polymer film as a crosslinker, confirmed via Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy, resulted in varied swelling and degradation behavior. 2-Hydroxyethyl methacrylate-only films showed significant thickness loss (up to 40%), possibly due to extraction of low-molecular-weight species or erosion, after 24 h in aqueous solution, whereas films crosslinked with EGDA (9.25-12.4%) were stable for up to 21 days. These results differ significantly from those obtained with plasma-polymerized pHEMA, which degraded steadily over a 21-day period, even with crosslinking. This suggests that the piCVD films differ structurally from those fabricated via plasma polymerization (plasma-enhanced CVD). piCVD pHEMA coatings proved to be good cell culture materials, with Caco-2 cell attachment and viability comparable to results obtained on tissue-culture polystyrene. Thus, thin film CVD pHEMA offers the advantage of enabling conformal coating of a cell culture substrate with tunable properties depending on method of preparation and incorporation of crosslinking agents. © 2013 Wiley Periodicals, Inc.

  8. Nitrogen plasma-treated multilayer graphene-based field effect transistor fabrication and electronic characteristics

    Science.gov (United States)

    Su, Wei-Jhih; Chang, Hsuan-Chen; Honda, Shin-ichi; Lin, Pao-Hung; Huang, Ying-Sheng; Lee, Kuei-Yi

    2017-08-01

    Chemical doping with hetero-atoms is an effective method used to change the characteristics of materials. Nitrogen doping technology plays a critical role in regulating the electronic properties of graphene. Nitrogen plasma treatment was used in this work to dope nitrogen atoms to modulate multilayer graphene electrical properties. The measured I-V multilayer graphene-base field-effect transistor characteristics (GFETs) showed a V-shaped transfer curve with the hole and electron region separated from the measured current-voltage (I-V) minimum. GFETs fabricated with multilayer graphene from chemical vapor deposition (CVD) exhibited p-type behavior because of oxygen adsorption. After using different nitrogen plasma treatment times, the minimum in I-V characteristic shifted into the negative gate voltage region with increased nitrogen concentration and the GFET channel became an n-type semiconductor. GFETs could be easily fabricated using this method with potential for various applications. The GFET transfer characteristics could be tuned precisely by adjusting the nitrogen plasma treatment time.

  9. Generalized saddle point condition for ignition in a tokamak reactor with temperature and density profiles

    International Nuclear Information System (INIS)

    Mitari, O.; Hirose, A.; Skarsgard, H.M.

    1989-01-01

    In this paper, the concept of a generalized ignition contour map, is extended to the realistic case of a plasma with temperature and density profiles in order to study access to ignition in a tokamak reactor. The generalized saddle point is found to lie between the Lawson and ignition conditions. If the height of the operation path with Goldston L-mode scaling is higher than the generalized saddle point, a reactor can reach ignition with this scaling for the case with no confinement degradation effect due to alpha-particle heating. In this sense, the saddle point given in a general form is a new criterion for reaching ignition. Peaking the profiles for the plasma temperature and density can lower the height of the generalized saddle point and help a reactor to reach ignition. With this in mind, the authors can judge whether next-generation tokamaks, such as Compact Ignition Tokamak, Tokamak Ignition/Burn Experimental Reactor, Next European Torus, Fusion Experimental Reactor, International Tokamak Reactor, and AC Tokamak Reactor, can reach ignition with realistic profile parameters and an L-mode scaling law

  10. Chemical vapor deposited fiber coatings and chemical vapor infiltrated ceramic matrix composites

    Energy Technology Data Exchange (ETDEWEB)

    Kmetz, M.A.

    1992-01-01

    Conventional Chemical Vapor Deposition (CVD) and Organometallic Chemical Vapor Deposition (MOCVD) were employed to deposit a series of interfacial coatings on SiC and carbon yarn. Molybdenum, tungsten and chromium hexacarbonyls were utilized as precursors in a low temperature (350[degrees]C) MOCVD process to coat SiC yarn with Mo, W and Cr oxycarbides. Annealing studies performed on the MoOC and WOC coated SiC yarns in N[sub 2] to 1,000[degrees]C establish that further decomposition of the oxycarbides occurred, culminating in the formation of the metals. These metals were then found to react with Si to form Mo and W disilicide coatings. In the Cr system, heating in N[sub 2] above 800[degrees]C resulted in the formation of a mixture of carbides and oxides. Convention CVD was also employed to coat SiC and carbon yarn with C, Bn and a new interface designated BC (a carbon-boron alloy). The coated tows were then infiltrated with SiC, TiO[sub 2], SiO[sub 2] and B[sub 4]C by a chemical vapor infiltration process. The B-C coatings were found to provide advantageous interfacial properties over carbon and BN coatings in several different composite systems. The effectiveness of these different coatings to act as a chemically inert barrier layer and their relationship to the degree of interfacial debonding on the mechanical properties of the composites were examined. The effects of thermal stability and strength of the coated fibers and composites were also determined for several difference atmospheres. In addition, a new method for determining the tensile strength of the as-received and coated yarns was also developed. The coated fibers and composites were further characterized by AES, SEM, XPS, IR and X-ray diffraction analysis.

  11. Raman Enhancement and Photo-Bleaching of Organic Dyes in the Presence of Chemical Vapor Deposition-Grown Graphene

    Directory of Open Access Journals (Sweden)

    Jiaxin Weng

    2017-10-01

    Full Text Available Fluorescent organic dyes photobleach under intense light. Graphene has been shown to improve the photo-stability of organic dyes. In this paper, we investigated the Raman spectroscopy and photo-bleaching kinetics of dyes in the absence/presence of chemical vapor deposition (CVD-grown graphene. We show that graphene enhances the Raman signal of a wide range of dyes. The photo-bleaching of the dyes was reduced when the dyes were in contact with graphene. In contrast, monolayer hexagonal boron nitride (h-BN was much less effective in reducing the photo-bleaching rate of the dyes. We attribute the suppression of photo-bleaching to the energy or electron transfer from dye to graphene. The results highlight the potential of CVD graphene as a substrate for protecting and enhancing Raman response of organic dyes.

  12. Enhanced self-magnetic field by atomic polarization in partially stripped plasma produced by a short and intense laser pulse

    International Nuclear Information System (INIS)

    Hu Qianglin; Liu Shibing; Jiang, Y.J.; Zhang Jie

    2005-01-01

    The enhancement and redistribution of a self-generated quasistatic magnetic field, due to the presence of the polarization field induced by partially ionized atoms, are analytically revealed when a linearly polarized intense and short pulse laser propagates in a partially stripped plasma with higher density. In particular, the shorter wavelength of the laser pulse can evidently intensify the amplitude of the magnetic field. These enhancement and redistribution of the magnetic field are considered physically as a result of the competition of the electrostatic field (electron-ion separation) associated with the plasma wave, the atomic polarization field, and the pondoromotive potential associated with the laser field. This competition leads to the generation of a positive, large amplitude magnetic field in the zone of the pulse center, which forms a significant difference in partially and fully stripped plasmas. The numerical result shows further that the magnetic field is resonantly modulated by the plasma wave when the pulse length is the integer times the plasma wavelength. This apparently implies that the further enhancement and restructure of the large amplitude self-magnetic field can evidently impede the acceleration and stable transfer of the hot-electron beam

  13. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    Science.gov (United States)

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  14. Complex saddle points in QCD at finite temperature and density

    Science.gov (United States)

    Nishimura, Hiromichi; Ogilvie, Michael C.; Pangeni, Kamal

    2014-08-01

    The sign problem in QCD at finite temperature and density leads naturally to the consideration of complex saddle points of the action or effective action. The global symmetry CK of the finite-density action, where C is charge conjugation and K is complex conjugation, constrains the eigenvalues of the Polyakov loop operator P at a saddle point in such a way that the action is real at a saddle point, and net color charge is zero. The values of TrFP and TrFP† at the saddle point are real but not identical, indicating the different free energy cost associated with inserting a heavy quark versus an antiquark into the system. At such complex saddle points, the mass matrix associated with Polyakov loops may have complex eigenvalues, reflecting oscillatory behavior in color-charge densities. We illustrate these properties with a simple model which includes the one-loop contribution of gluons and two flavors of massless quarks moving in a constant Polyakov loop background. Confinement-deconfinement effects are modeled phenomenologically via an added potential term depending on the Polyakov loop eigenvalues. For sufficiently large temperature T and quark chemical potential μ, the results obtained reduce to those of perturbation theory at the complex saddle point. These results may be experimentally relevant for the compressed baryonic matter experiment at FAIR.

  15. Electrical design of the BUSSARD inverter system for ASDEX upgrade saddle coils

    Energy Technology Data Exchange (ETDEWEB)

    Teschke, Markus, E-mail: teschke@ipp.mpg.de; Arden, Nils; Eixenberger, Horst; Rott, Michael; Suttrop, Wolfgang

    2015-10-15

    Highlights: • A cost effective inverter topology for AUG's 16 in-vessel saddle coils has been found. • Use of commercially available power modules is possible. • A NPC-like topology of the power stage is realized in a modular way. • The high-speed controllers and PWM engines are realized on Linux-based systems. • First experimental results of AUG plasma shots are presented. - Abstract: A set of 16 in-vessel saddle coils is installed in the ASDEX Upgrade (AUG) nuclear fusion experiment for mitigation of edge localized modes (ELM) and feedback control of resistive wall modes (RWM). The coils were driven by DC current only during previous campaigns. Now, a new inverter system “BUSSARD” (German abbr. for “Bayerischer Umrichter, schnell schaltend für AUGs rasche Drehfelder”, translated: “bavarian fast switching inverter for AUG's fast rotating fields”) is built for the experiment. A four-phase system has been assembled to simultaneously operate up to 4 groups of coils consisting of up to 4 serial-connected coils each. The maximum current is 1.3 kA with a ripple in the range of 7% and the frequency is variable between DC and approx. 100 Hz. The switching frequency is variable between approximately 3 and 10 kHz. As a first application, rotating fields are generated. The system can be enhanced in two stages to 16-phase operation with a bandwidth of 500 Hz and a 24 phase system with a bandwidth of up to 3 kHz.

  16. Effects of water vapor on flue gas conditioning in the electric fields with corona discharge.

    Science.gov (United States)

    Liqiang, Qi; Yajuan, Zhang

    2013-07-15

    Sulfur dioxide (SO2) removal via pulsed discharge nonthermal plasma in the absence of ammonia was investigated to determine how electrostatic precipitators (ESPs) can effectively collect particulate matter less than 2.5μm in diameter from flue gas. SO2 removal increased as water vapor concentration increased. In a wet-type plasma reactor, directing a gas-phase discharge plasma toward the water film surface significantly enhanced the liquid-phase oxidation of HSO3(-) to SO4(2-). Comparisons of various absorbents revealed that the hydroxyl radical is a key factor in plasma-induced liquid-phase reactions. The resistivity, size distribution, and cohesive force of fly ash at different water vapor contents were measured using a Bahco centrifuge, which is a dust electrical resistivity test instrument, as well as a cohesive force test apparatus developed by the researchers. When water vapor content increased by 5%, fly ash resistivity in flue gas decreased by approximately two orders of magnitude, adhesive force and size increased, and specific surface area decreased. Therefore, ESP efficiency increased. Copyright © 2013 Elsevier B.V. All rights reserved.

  17. Determination of the characteristics of an electric arc plasma contaminated by vapors from insulators

    International Nuclear Information System (INIS)

    Abbaoui, M.; Cheminat, B.

    1991-01-01

    An experimental study at atmospheric pressure carried out on plasma penetrated by vapors from different industrial insulators allowed the showing of the influence of the nature of the insulator upon the characteristics of the electric arc plasma; i.e., an increase of the temperature, electron density, electric field, and extinction velocity of the arc. Measurements have been made spectrometrically and by means of probes

  18. Optimization of saddle coils for magnetic resonance imaging

    International Nuclear Information System (INIS)

    Salmon, Carlos Ernesto Garrido; Vidoto, Edson Luiz Gea; Martins, Mateus Jose; Tannus, Alberto

    2006-01-01

    In Nuclear Magnetic Resonance (NMR) experiments, besides the apparatus designed to acquire the NMR signal, it is necessary to generate a radio frequency electromagnetic field using a device capable to transduce electromagnetic power into a transverse magnetic field. We must generate this transverse homogeneous magnetic field inside the region of interest with minimum power consumption. Many configurations have been proposed for this task, from coils to resonators. For low field intensity (<0.5 T) and small sample dimensions (<30 cm), the saddle coil configuration has been widely used. In this work we present a simplified method for calculating the magnetic field distribution in these coils considering the current density profile. We propose an optimized saddle configuration as a function of the dimensions of the region of interest, taking into account the uniformity and the sensitivity. In order to evaluate the magnetic field uniformity three quantities have been analyzed: Non-uniformity, peak-to-peak homogeneity and relative uniformity. Some experimental results are presented to validate our calculation. (author)

  19. Measuring the distance from saddle points and driving to locate them over quantum control landscapes

    International Nuclear Information System (INIS)

    Sun, Qiuyang; Riviello, Gregory; Rabitz, Herschel; Wu, Re-Bing

    2015-01-01

    Optimal control of quantum phenomena involves the introduction of a cost functional J to characterize the degree of achieving a physical objective by a chosen shaped electromagnetic field. The cost functional dependence upon the control forms a control landscape. Two theoretically important canonical cases are the landscapes associated with seeking to achieve either a physical observable or a unitary transformation. Upon satisfaction of particular assumptions, both landscapes are analytically known to be trap-free, yet possess saddle points at precise suboptimal J values. The presence of saddles on the landscapes can influence the effort needed to find an optimal field. As a foundation to future algorithm development and analyzes, we define metrics that identify the ‘distance’ from a given saddle based on the sufficient and necessary conditions for the existence of the saddles. Algorithms are introduced utilizing the metrics to find a control such that the dynamics arrive at a targeted saddle. The saddle distance metric and saddle-seeking methodology is tested numerically in several model systems. (paper)

  20. Enhancement of C/C-LAS joint using aligned carbon nanotubes prepared by injection chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Feng-Ling; Fu, Qian-Gang, E-mail: fuqiangang@nwpu.edu.cn; Feng, Lei; Shen, Qing-Liang

    2016-01-05

    Carbon nanotubes (CNTs) enhanced carbon/carbon-lithium aluminum silicate (C/C-LAS) joint was prepared by a three-step technique of pack cementation, injection chemical vapor deposition (ICVD) and hot-pressing. A layer of aligned CNTs was grown on the surface of SiC coated C/C composites by ICVD method, and the joint was obtained by hot-pressing with magnesium aluminum silicate (MAS) as the interlayer. SEM observation reveals that the introduced CNTs result in the formation of a dense and crack-free CNT/MAS nanocomposite interface between SiC and MAS. Compared with the joints without CNTs, the average shear strength of the joints reinforced by CNTs was improved by 48% accompanied by an obvious change in failure mode from brittle fracture without CNTs to plastic fracture with CNTs. The pulling-out and bridging of CNTs on the fracture surfaces had a positive effect on the strength enhancement of the C/C-LAS joint.

  1. A description of a wide beam saddle field ion source used for nuclear target applications

    International Nuclear Information System (INIS)

    Greene, J.P.; Schiel, S.L.; Thomas, G.E.

    1997-01-01

    A description is given of a new, wide beam saddle field sputter source used for the preparation of targets applied in nuclear physics experiments. The ion source characteristics are presented and compared with published results obtained with other sources. Deposition rates acquired utilizing this source are given for a variety of target materials encountered in nuclear target production. New applications involving target thinning and ion milling are discussed

  2. Handbook of chemical vapor deposition principles, technology and applications

    CERN Document Server

    Pierson, Hugh O

    1999-01-01

    Turn to this new second edition for an understanding of the latest advances in the chemical vapor deposition (CVD) process. CVD technology has recently grown at a rapid rate, and the number and scope of its applications and their impact on the market have increased considerably. The market is now estimated to be at least double that of a mere seven years ago when the first edition of this book was published. The second edition is an update with a considerably expanded and revised scope. Plasma CVD and metallo-organic CVD are two major factors in this rapid growth. Readers will find the latest

  3. Evaporation and Vapor Shielding of CFC Targets Exposed to Plasma Heat Fluxes Relevant to ITER ELMs

    International Nuclear Information System (INIS)

    Safronov, V.; Arkhipov, N.I.; Toporkov, D.A.; Zhitlukhin, A.M.; Landman, I.

    2007-01-01

    Full text of publication follows: Carbon-fibre composite (CFC) is foreseen presently as armour material for the divertor target in ITER. During the transient processes such as instabilities of Edge Localized Modes (ELMs) the target as anticipated will be exposed to the plasma heat loads of a few MJ/m 2 on the time scale of a fraction of ms, which causes an intense evaporation at the target surface and contaminates tokamak plasma by evaporated carbon. The ITER transient loads are not achievable at existing tokamaks therefore for testing divertor armour materials other facilities, in particular plasma guns are employed. In the present work the CFC targets have been tested for ITER at the plasma gun facility MK- 200 UG in Troitsk by ELM relevant heat fluxes. The targets in the applied magnetic field up to 2 T were irradiated by hydrogen plasma streams of diameter 6 - 8 cm, impact ion energy 2 - 3 keV, pulse duration 0.05 ms and energy density varying in the range 0.05 - 1 MJ/m 2 . Primary attention has been focused on the measurement of evaporation threshold and investigation of carbon vapor properties. Fast infrared pyrometer, optical and VUV spectrometers, framing cameras and plasma calorimeters were applied as diagnostics. The paper reports the results obtained on the evaporation threshold of CFC, the evaporation rate of the carbon fibers oriented parallel and perpendicular to the exposed target surface, the velocity of carbon vapor motion along and across the magnetic field lines, and the parameters of carbon plasma such as temperature, density and ionization state measured up to the distance 15 cm at varying plasma load. First experimental results on investigation of the vapor shield onset conditions are presented also. (authors)

  4. Reduced-Pressure Chemical Vapor Deposition Growth of Isolated Ge Crystals and Suspended Layers on Micrometric Si Pillars.

    Science.gov (United States)

    Skibitzki, Oliver; Capellini, Giovanni; Yamamoto, Yuji; Zaumseil, Peter; Schubert, Markus Andreas; Schroeder, Thomas; Ballabio, Andrea; Bergamaschini, Roberto; Salvalaglio, Marco; Miglio, Leo; Montalenti, Francesco

    2016-10-05

    In this work, we demonstrate the growth of Ge crystals and suspended continuous layers on Si(001) substrates deeply patterned in high aspect-ratio pillars. The material deposition was carried out in a commercial reduced-pressure chemical vapor deposition reactor, thus extending the "vertical-heteroepitaxy" technique developed by using the peculiar low-energy plasma-enhanced chemical vapor deposition reactor, to widely available epitaxial tools. The growth process was thoroughly analyzed, from the formation of small initial seeds to the final coalescence into a continuous suspended layer, by means of scanning and transmission electron microscopy, X-ray diffraction, and μ-Raman spectroscopy. The preoxidation of the Si pillar sidewalls and the addition of hydrochloric gas in the reactants proved to be key to achieve highly selective Ge growth on the pillars top only, which, in turn, is needed to promote the formation of a continuous Ge layer. Thanks to continuum growth models, we were able to single out the different roles played by thermodynamics and kinetics in the deposition dynamics. We believe that our findings will open the way to the low-cost realization of tens of micrometers thick heteroepitaxial layer (e.g., Ge, SiC, and GaAs) on Si having high crystal quality.

  5. LiF enhanced nucleation of the low temperature microcrystalline silicon prepared by plasma enhanced chemical vapour deposition

    Czech Academy of Sciences Publication Activity Database

    Stuchlík, Jiří; Ledinský, Martin; Honda, Shinya; Drbohlav, Ivo; Mates, Tomáš; Fejfar, Antonín; Hruška, Karel; Stuchlíková, The-Ha; Kočka, Jan

    2009-01-01

    Roč. 517, č. 24 (2009), s. 6829-6832 ISSN 0040-6090 R&D Projects: GA AV ČR KAN400100701; GA ČR(CZ) GD202/05/H003; GA MŠk LC510; GA AV ČR IAA1010413 Institutional research plan: CEZ:AV0Z10100521 Keywords : amorphous hydrogenated silicon * atomic force microscopy * plasma-enhanced chemical vapour deposition, * nucleation * Raman scattering * lithium fluoride Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.727, year: 2009

  6. Effects of argon and oxygen flow rate on water vapor barrier properties of silicon oxide coatings deposited on polyethylene terephthalate by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Sung-Ryong; Choudhury, Moinul Haque; Kim, Won-Ho; Kim, Gon-Ho

    2010-01-01

    Plasma polymer coatings were deposited from hexamethyldisiloxane on polyethylene terephthalate (PET) substrates while varying the operating conditions, such as the Ar and O 2 flow rates, at a fixed radio frequency power of 300 W. The water vapor transmission rate (WVTR) of the untreated PET was 54.56 g/m 2 /day and was decreased after depositing the silicon oxide (SiO x ) coatings. The minimum WVTR, 0.47 g/m 2 /day, was observed at Ar and O 2 flow rates of 4 and 20 sccm, respectively, with a coating thickness of 415.44 nm. The intensity of the peaks for the Si-O-Si bending at 800-820 cm -1 and Si-O-Si stretching at 1000-1150 cm -1 varied depending on the Ar and O 2 flow rates. The contact angle of the SiO x coated PET increased as the Ar flow rate was increased from 2 to 8 sccm at a fixed O 2 flow rate of 20 sccm. It decreased gradually as the oxygen flow rate increased from 12 to 28 sccm at a fixed Ar carrier gas flow rate. The examination by atomic force microscopy revealed a correlation of the SiO x morphology and the water vapor barrier performance with the Ar and O 2 flow rates. The roughness of the deposited coatings increased when either the O 2 or Ar flow rate was increased.

  7. Oxygen plasma assisted end-opening and field emission enhancement in vertically aligned multiwall carbon nanotubes

    International Nuclear Information System (INIS)

    Mathur, A.; Roy, S.S.; Hazra, K.S.; Wadhwa, S.; Ray, S.C.; Mitra, S.K.; Misra, D.S.; McLaughlin, J.A.

    2012-01-01

    Highlights: ► We showed Ar/O 2 plasma can be effective for the end opening of aligned CNTs. ► The field emission property was dramatically enhanced after plasma modification. ► Microstructures were clearly understood by Raman and SEM analysis. ► Surface wet-ability at various functionalised conditions was studied. - Abstract: This paper highlights the changes in micro-structural and field emission properties of vertically aligned carbon nanotubes (VACNTs) via oxygen plasma treatment. We find that exposure of very low power oxygen plasma (6 W) at 13.56 MHz for 15–20 min, opens the tip of vertically aligned CNTs. Scanning electron microscopy and transmission electron microscopy images were used to identify the quality and micro-structural changes of the nanotube morphology and surfaces. Raman spectra showed that the numbers of defects were increased throughout the oxygen plasma treatment process. In addition, the hydrophobic nature of the VACNTs is altered significantly and the contact angle decreases drastically from 110° to 40°. It was observed that the electron field emission (EFE) characteristics are significantly enhanced. The turn-on electric field (ETOE) of CNTs decreased from ∼0.80 V μm −1 (untreated) to ∼0.60 V μm −1 (oxygen treated). We believe that the open ended VACNTs would be immensely valuable for applications such as micro/nanofluidic based filtering elements and display devices.

  8. Oxygen plasma assisted end-opening and field emission enhancement in vertically aligned multiwall carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Mathur, A. [NIBEC, School of Engineering, University of Ulster, Jordanstown, BT37 0QB (United Kingdom); Roy, S.S., E-mail: sinharoy@ualberta.ca [Department of Mechanical Engineering, University of Alberta, Edmonton, T6T 2G8 (Canada); Hazra, K.S. [Department of Physics, IIT Bombay, Powai, Mumbai-400076 (India); Wadhwa, S. [NIBEC, School of Engineering, University of Ulster, Jordanstown, BT37 0QB (United Kingdom); Ray, S.C. [School of Physics, University of the Witwatersrand, WITS 2050, Johannesburg (South Africa); Mitra, S.K. [Department of Mechanical Engineering, University of Alberta, Edmonton, T6T 2G8 (Canada); Misra, D.S. [Department of Physics, IIT Bombay, Powai, Mumbai-400076 (India); McLaughlin, J.A. [NIBEC, School of Engineering, University of Ulster, Jordanstown, BT37 0QB (United Kingdom)

    2012-05-15

    Highlights: Black-Right-Pointing-Pointer We showed Ar/O{sub 2} plasma can be effective for the end opening of aligned CNTs. Black-Right-Pointing-Pointer The field emission property was dramatically enhanced after plasma modification. Black-Right-Pointing-Pointer Microstructures were clearly understood by Raman and SEM analysis. Black-Right-Pointing-Pointer Surface wet-ability at various functionalised conditions was studied. - Abstract: This paper highlights the changes in micro-structural and field emission properties of vertically aligned carbon nanotubes (VACNTs) via oxygen plasma treatment. We find that exposure of very low power oxygen plasma (6 W) at 13.56 MHz for 15-20 min, opens the tip of vertically aligned CNTs. Scanning electron microscopy and transmission electron microscopy images were used to identify the quality and micro-structural changes of the nanotube morphology and surfaces. Raman spectra showed that the numbers of defects were increased throughout the oxygen plasma treatment process. In addition, the hydrophobic nature of the VACNTs is altered significantly and the contact angle decreases drastically from 110 Degree-Sign to 40 Degree-Sign . It was observed that the electron field emission (EFE) characteristics are significantly enhanced. The turn-on electric field (ETOE) of CNTs decreased from {approx}0.80 V {mu}m{sup -1} (untreated) to {approx}0.60 V {mu}m{sup -1} (oxygen treated). We believe that the open ended VACNTs would be immensely valuable for applications such as micro/nanofluidic based filtering elements and display devices.

  9. Water liquid-vapor interface subjected to various electric fields: A molecular dynamics study

    Science.gov (United States)

    Nikzad, Mohammadreza; Azimian, Ahmad Reza; Rezaei, Majid; Nikzad, Safoora

    2017-11-01

    Investigation of the effects of E-fields on the liquid-vapor interface is essential for the study of floating water bridge and wetting phenomena. The present study employs the molecular dynamics method to investigate the effects of parallel and perpendicular E-fields on the water liquid-vapor interface. For this purpose, density distribution, number of hydrogen bonds, molecular orientation, and surface tension are examined to gain a better understanding of the interface structure. Results indicate enhancements in parallel E-field decrease the interface width and number of hydrogen bonds, while the opposite holds true in the case of perpendicular E-fields. Moreover, perpendicular fields disturb the water structure at the interface. Given that water molecules tend to be parallel to the interface plane, it is observed that perpendicular E-fields fail to realign water molecules in the field direction while the parallel ones easily do so. It is also shown that surface tension rises with increasing strength of parallel E-fields, while it reduces in the case of perpendicular E-fields. Enhancement of surface tension in the parallel field direction demonstrates how the floating water bridge forms between the beakers. Finally, it is found that application of external E-fields to the liquid-vapor interface does not lead to uniform changes in surface tension and that the liquid-vapor interfacial tension term in Young's equation should be calculated near the triple-line of the droplet. This is attributed to the multi-directional nature of the droplet surface, indicating that no constant value can be assigned to a droplet's surface tension in the presence of large electric fields.

  10. Plasma-enhanced growth, composition, and refractive index of silicon oxy-nitride films

    DEFF Research Database (Denmark)

    Mattsson, Kent Erik

    1995-01-01

    Secondary ion mass spectrometry and refractive index measurements have been carried out on silicon oxy-nitride produced by plasma-enhanced chemical vapor deposition (PECVD). Nitrous oxide and ammonia were added to a constant flow of 2% silane in nitrogen, to produce oxy-nitride films with atomic...... nitrogen concentrations between 2 and 10 at. %. A simple atomic valence model is found to describe both the measured atomic concentrations and published material compositions for silicon oxy-nitride produced by PECVD. A relation between the Si–N bond concentration and the refractive index is found......-product. A model, that combine the chemical net reaction and the stoichiometric rules, is found to agree with measured deposition rates for given material compositions. Effects of annealing in a nitrogen atmosphere has been investigated for the 400 °C– 1100 °C temperature range. It is observed that PECVD oxy...

  11. Investigation of deposition characteristics and properties of high-rate deposited silicon nitride films prepared by atmospheric pressure plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kakiuchi, H.; Nakahama, Y.; Ohmi, H.; Yasutake, K.; Yoshii, K.; Mori, Y.

    2005-01-01

    Silicon nitride (SiN x ) films have been prepared at extremely high deposition rates by the atmospheric pressure plasma chemical vapor deposition (AP-PCVD) technique on Si(001) wafers from gas mixtures containing He, H 2 , SiH 4 and N 2 or NH 3 . A 150 MHz very high frequency (VHF) power supply was used to generate high-density radicals in the atmospheric pressure plasma. Deposition rate, composition and morphology of the SiN x films prepared with various deposition parameters were studied by scanning electron microscopy and Auger electron spectroscopy. Fourier transformation infrared (FTIR) absorption spectroscopy was also used to characterize the structure and the chemical bonding configurations of the films. Furthermore, etching rate with buffered hydrofluoric acid (BHF) solution, refractive index and capacitance-voltage (C-V) characteristics were measured to evaluate the dielectric properties of the films. It was found that effective passivation of dangling bonds and elimination of excessive hydrogen atoms at the film-growing surface seemed to be the most important factor to form SiN x film with a dense Si-N network. The C-V curve of the optimized film showed good interface properties, although further improvement was necessary for use in the industrial metal-insulator-semiconductor (MIS) applications

  12. Vastly enhancing the chemical stability of phosphorene by employing an electric field.

    Science.gov (United States)

    Gao, Junfeng; Zhang, Gang; Zhang, Yong-Wei

    2017-03-23

    Currently, a major hurdle preventing phosphorene from various electronic applications is its rapid oxidation under ambient conditions. Thus how to enhance its chemical stability by suppressing oxidation becomes an urgent task. Here, we reveal a highly effective procedure to suppress the oxidation of phosphorene by employing a suitable van der Waals (vdW) substrate and a vertical electric field. Our first-principles study shows that the phosphorene-MoSe 2 vdW heterostructure is able to reverse the stability of physisorption and chemisorption of molecular O 2 on phosphorene. With further application of a vertical electric field of -0.6 V Å -1 , the energy barrier for oxidation is able to further increase to 0.91 eV, leading to a 10 5 times enhancement in its lifetime compared with that without using the procedure at room temperature. Our work presents a viable strategy to vastly enhance the chemical stability of phosphorene in air.

  13. 27 CFR 9.203 - Saddle Rock-Malibu.

    Science.gov (United States)

    2010-04-01

    ... 27 Alcohol, Tobacco Products and Firearms 1 2010-04-01 2010-04-01 false Saddle Rock-Malibu. 9.203... Saddle Rock-Malibu. (a) Name. The name of the viticultural area described in this section is “Saddle Rock-Malibu”. For purposes of part 4 of this chapter, “Saddle Rock-Malibu” is a term of viticultural...

  14. Fiber-reinforced ceramic matrix composites processed by a hybrid technique based on chemical vapor infiltration, slurry impregnation and spark plasma sintering

    International Nuclear Information System (INIS)

    Magnant, J.; Pailler, R.; Le Petitcorps, Y.; Maille, L.; Guette, A.; Marthe, J.

    2013-01-01

    Fabrication of multidirectional continuous carbon and silicon carbide fiber reinforced ceramic matrix composites (CMC) by a new short time hybrid process was studied. This process is based, first, on the deposition of fiber interphase and coating by chemical vapor infiltration, next, on the introduction of silicon nitride powders into the fibrous preform by slurry impregnation and, finally, on the densification of the composite by liquid phase spark plasma sintering (LP-SPS). The homogeneous introduction of the ceramic charges into the multidirectional fiber pre-forms was realized by slurry impregnation from highly concentrated and well-dispersed aqueous colloid suspensions. The chemical degradation of the carbon fibers during the fabrication was prevented by adapting the sintering pressure cycle. The composites manufactured are dense. Microstructural analyses were conducted to explain the mechanical properties achieved. One main important result of this study is that LP-SPS can be used in some hybrid processes to densify fiber reinforced CMC. (authors)

  15. Cold plasmas

    International Nuclear Information System (INIS)

    Franz, G.

    1990-01-01

    This textbook discusses the following topics: Phenomenological description of a direct current glow discharge; the plasma (temperature distribution and measurement, potential variation, electron energy distribution function, charge neutralization, wall potentials, plasma oscillations); Production of charge carriers (ions, electrons, ionization in the cathode zone, negative glowing zone, Faraday dark space, positive column, anode zone, hollow cathode discharges); RF-discharges (charge carrier production, RF-Shields, scattering mechanisms); Sputtering (ion-surface interaction, kinetics, sputtering yield and energy distribution, systems and conditions, film formation and stresses, contamination, bias techniques, multicomponent film deposition, cohesion, magnetrons, triode systems, plasma enhanced chemical vapor deposition); Dry etching (sputter etching, reactive etching, topography, process control, quantitative investigations); Etching mechanisms (etching of Si and SiO 2 with CF 4 , of III/V-compound-semiconductors, combination of isotrope and anisotrope etching methods, surface cleaning); ion beam systems (applications, etching); Dyclotron-resonance-systems (electron cyclotron resonance systems, whistler-sources and 'resonant inductive plasma etching'); Appendix (electron energy distribution functions, Bohm's transition zone, plasma oscillations, scattering cross sections and mean free path, metastable states, Child-Langmuir-Schottky equation, loss mechanisms, charge carrier distribution in the positive column, breakdown at high frequencies, motion in a magnetic field, skin depth of an electric field for a HF-discharge, whistler waves, dispersion relations for plane wave propagation). (orig.) With 138 figs

  16. Quasar Microlensing at High Magnification and the Role of Dark Matter: Enhanced Fluctuations and Suppressed Saddle Points

    Science.gov (United States)

    Schechter, Paul L.; Wambsganss, Joachim

    2002-12-01

    Contrary to naive expectation, diluting the stellar component of the lensing galaxy in a highly magnified system with smoothly distributed ``dark'' matter increases rather than decreases the microlensing fluctuations caused by the remaining stars. For a bright pair of images straddling a critical curve, the saddle point (of the arrival time surface) is much more strongly affected than the associated minimum. With a mass ratio of smooth matter to microlensing matter of 4:1, a saddle point with a macromagnification of μ=9.5 will spend half of its time more than a magnitude fainter than predicted. The anomalous flux ratio observed for the close pair of images in MG 0414+0534 is a factor of 5 more likely than computed by Witt, Mao, & Schechter, if the smooth matter fraction is as high as 93%. The magnification probability histograms for macroimages exhibit a distinctly different structure that varies with the smooth matter content, providing a handle on the smooth matter fraction. Enhanced fluctuations can manifest themselves either in the temporal variations of a light curve or as flux ratio anomalies in a single epoch snapshot of a multiply imaged system. While the millilensing simulations of Metcalf & Madau also give larger anomalies for saddle points than for minima, the effect appears to be less dramatic for extended subhalos than for point masses. Moreover, microlensing is distinguishable from millilensing because it will produce noticeable changes in the magnification on a timescale of a decade or less.

  17. RF-plasma vapor deposition of siloxane on paper. Part 2: Chemical evolution of paper surface

    International Nuclear Information System (INIS)

    Sahin, Halil Turgut

    2013-01-01

    Highlights: ► Investigate the detailed RF-cold plasma surface modified paper by XPS and ATR-FTIR. ► Some chemical analysis of RF-cold plasma surface modified paper after RF plasma treatment. ► Identify the connection between RF plasma treatment and the surface chemistry of paper surface. - Abstract: Survey and high-resolution (HR) XPS studies indicate that OMCTSO plasma treatment created a new silicon containing functional groups and changed the hydroxyl content on the surface of paper. Four intense survey XPS spectrum peaks were observed for the OMCTSO plasma treated paper. They were the Si 2p at 100 eV, Si 2s at 160 eV, C 1s at 285 eV, and O 1s at 525 eV for the plasma modified surface. It was realized that the macromolecular chain-breaking mechanisms and plasma-induced etching processes control the number and the availability of OH-functionalities during OMCTSO plasma exposure on paper. The reaction, initiated by these species, depends mainly on the nature of chemicals in the plasma as well as on the energy level of the plasma and the nature of the surface effects in the modification of the paper. The ATR-FTIR spectrum of paper treated with OMCTSO plasma has characteristic absorption bands attributed to the Si-O and Si-O-Si formations on the surface.

  18. Preparation of hydrogenated amorphous carbon films using a microsecond-pulsed DC capacitive-coupled plasma chemical vapor deposition system operated at high frequency up to 400 kHz

    Science.gov (United States)

    Mamun, Md Abdullah Al; Furuta, Hiroshi; Hatta, Akimitsu

    2018-06-01

    Hydrogenated amorphous carbon (a-C:H) films are deposited on silicon (Si) substrates using a high-repetition microsecond-pulsed DC plasma chemical vapor deposition (CVD) system from acetylene (C2H2) at a gas pressure of 15 Pa inside a custom-made vacuum chamber. The plasma discharge characteristics, hydrocarbon species, and the microstructure of the resulting films are examined at various pulse repetition rates from 50 to 400 kHz and a fixed duty cycle of 50%. The optical emission spectra confirmed the increase in electron excitation energy from 1.09 to 1.82 eV and the decrease in the intensity ratio of CH/C2 from 1.04 to 0.75 with increasing pulse frequency, indicating the enhanced electron impact dissociation of C2H2 gas. With increasing pulse frequency, the deposition rate gradually increased, reaching a maximum rate of 60 nm/min at 200 kHz, after which a progressive decrease was noted, whereas the deposition area was almost uniform for all the prepared films. Clear trends of increasing sp3 content (amorphization) and decreasing hydrogen (H) content in the films were observed as the pulse repetition rate increased, while most of the hydrogen atoms bonded to carbon atoms by sp3 hybridization rather than by sp2 hybridization.

  19. Influence of atmospheric plasma on physicochemical properties of vapor-grown graphite nanofibers.

    Science.gov (United States)

    Seo, Min-Kang; Park, Soo-Jin; Lee, Sang-Kwan

    2005-05-01

    Vapor-grown graphite nanofibers (GNFs) were modified by plasma treatments using low-pressure plasmas with different gases (Ar gas only and/or Ar/O2 gases), flow rates, pressures, and powers. Surface characterizations and morphologies of the GNFs after plasma treatment were investigated by X-ray photoelectron spectroscopy (XPS), contact angle, titration, and transmission electron microscopy (TEM) measurements. Also, the investigation of thermomechanical behavior and impact strengths of the GNFs/epoxy composites was performed by dynamic-mechanical thermal analysis (DMTA) and Izod impact testing, respectively. The plasma treatment of the fibers changed the surface morphologies by forming a layer with a thickness on the order of 1 nm, mainly consisting of oxygen functional groups such as hydroxyl, carbonyl, and carboxyl groups. After functionalization of the complete surfaces, further plasma treatment did not enhance the superficial oxygen content but slightly changed the portions of the functional groups. Also, the composites with plasma-treated GNFs showed an increase in T(g) and impact strength compared to the composites containing the same amount of plasma-untreated GNFs.

  20. Application of molecular beam mass spectrometry to chemical vapor deposition studies

    International Nuclear Information System (INIS)

    Hsu, W.L.; Tung, D.M.

    1992-01-01

    A molecular beam mass spectrometer system has been designed and constructed for the specific purpose of measuring the gaseous composition of the vapor environment during chemical vapor deposition of diamond. By the intrinsic nature of mass analysis, this type of design is adaptable to a broad range of other applications that rely either on thermal- or plasma-induced chemical kinetics. When gas is sampled at a relatively high process pressure (∼2700 Pa for our case), supersonic gas expansion at the sampling orifice can cause the detected signals to have a complicated dependence on the operating conditions. A comprehensive discussion is given on the effect of gas expansion on mass discrimination and signal scaling with sampling pressure and temperature, and how these obstacles can be overcome. This paper demonstrates that radical species can be detected with a sensitivity better than 10 ppm by the use of threshold ionization. A detailed procedure is described whereby one can achieve quantitative analysis of the detected species with an accuracy of ±20%. This paper ends with an example on the detection of H, H 2 , CH 3 , CH 4 , and C 2 H 2 during diamond growth

  1. Enhancement of plasma generation in catalyst pores with different shapes

    Science.gov (United States)

    Zhang, Yu-Ru; Neyts, Erik C.; Bogaerts, Annemie

    2018-05-01

    Plasma generation inside catalyst pores is of utmost importance for plasma catalysis, as the existence of plasma species inside the pores affects the active surface area of the catalyst available to the plasma species for catalytic reactions. In this paper, the electric field enhancement, and thus the plasma production inside catalyst pores with different pore shapes is studied with a two-dimensional fluid model. The results indicate that the electric field will be significantly enhanced near tip-like structures. In a conical pore with small opening, the strongest electric field appears at the opening and bottom corners of the pore, giving rise to a prominent ionization rate throughout the pore. For a cylindrical pore, the electric field is only enhanced at the bottom corners of the pore, with lower absolute value, and thus the ionization rate inside the pore is only slightly enhanced. Finally, in a conical pore with large opening, the electric field is characterized by a maximum at the bottom of the pore, yielding a similar behavior for the ionization rate. These results demonstrate that the shape of the pore has a significantly influence on the electric field enhancement, and thus modifies the plasma properties.

  2. Copper-vapor-catalyzed chemical vapor deposition of graphene on dielectric substrates

    Science.gov (United States)

    Yang, Chao; Wu, Tianru; Wang, Haomin; Zhang, Xuefu; Shi, Zhiyuan; Xie, Xiaoming

    2017-07-01

    Direct synthesis of high-quality graphene on dielectric substrates is important for its application in electronics. In this work, we report the process of copper-vapor-catalyzed chemical vapor deposition of high-quality and large graphene domains on various dielectric substrates. The copper vapor plays a vital role on the growth of transfer-free graphene. Both single-crystal domains that are much larger than previous reports and high-coverage graphene films can be obtained by adjusting the growth duration. The quality of the obtained graphene was verified to be comparable with that of graphene grown on Cu foil. The progress reported in this work will aid the development of the application of transfer-free graphene in the future.

  3. Fabrication of Vertically Aligned CNT Composite for Membrane Applications Using Chemical Vapor Deposition through In Situ Polymerization

    Directory of Open Access Journals (Sweden)

    Munir Mohammad

    2013-01-01

    Full Text Available We report the fabrication of vertically aligned carbon nanotubes (CNT composite using thermal chemical vapor deposition (CVD. A forest of vertically aligned CNTs was grown using catalytic CVD. Fluorocarbon polymer, films were deposited in the spaces between vertically aligned MWCNTs using thermal CVD apparatus developed in-house. The excessive polymer top layer was etched by exposing the sample to water plasma. Infrared spectroscopy confirmed the attachment of functional groups to CNTs. Alignment of CNTs, deposition of polymer and postetched specimens were analyzed by field emission scanning electron microscope (FE-SEM. Uniform distribution of monomodel vertically aligned CNTs embedded in the deposited polymer matrix was observed in the micrograph. Observed uniform distribution otherwise is not possible using conventional techniques such as spin coating.

  4. Terahertz radiation in alkali vapor plasmas

    International Nuclear Information System (INIS)

    Sun, Xuan; Zhang, X.-C.

    2014-01-01

    By taking advantage of low ionization potentials of alkali atoms, we demonstrate terahertz wave generation from cesium and rubidium vapor plasmas with an amplitude nearly one order of magnitude larger than that from nitrogen gas at low pressure (0.02–0.5 Torr). The observed phenomena are explained by the numerical modeling based upon electron tunneling ionization

  5. Controlling the diameters and field emission properties of vertically aligned carbon nanotubes synthesized by thermal chemical vapor deposition

    International Nuclear Information System (INIS)

    Choi, Sung Yool; Kang, Young Il; Cho, Kyoung Ik; Choi, Kyu Seok; Kim, Do Jin

    2001-01-01

    We report here the synthesis of vertically well-aligned carbon nanotubes and the effect of catalytic metal layer on the diameter of grown carbon nanotubes and the field emission characteristics of them, The carbon nanotubes were grown by thermal chemical vapor deposition at temperatures below 900 .deg. C on Fe metal catalytic layer, deposited by sputtering process on a Si substrate and pretreated by heat and NH 3 gas. We found that the thickness of metal layers could be an important parameter in controlling the diameters of carbon nanotubes. With varying the thickness of the metal layers the grain sizes of them also vary so that the diameters of the nanotubes could be controlled. Field emission measurement has been made on the carbon nanotube field emitters at room temperature in a vacuum chamber below 10 -6 Torr. Our vertically aligned carbon nanotube field emitter of the smallest diameter emits a current density about 10 mA/cm 2 at 7.2 V/μm. The field emission property of the carbon nanotubes shows strong dependence on the nanotube diameters as expected

  6. Enhanced surface functionality via plasma modification and plasma deposition techniques to create more biologically relevant materials

    Science.gov (United States)

    Shearer, Jeffrey C.

    Functionalizing nanoparticles and other unusually shaped substrates to create more biologically relevant materials has become central to a wide range of research programs. One of the primary challenges in this field is creating highly functionalized surfaces without modifying the underlying bulk material. Traditional wet chemistry techniques utilize thin film depositions to functionalize nanomaterials with oxygen and nitrogen containing functional groups, such as --OH and --NHx. These functional groups can serve to create surfaces that are amenable to cell adhesion or can act as reactive groups for further attachment of larger structures, such as macromolecules or antiviral agents. Additional layers, such as SiO2, are often added between the nanomaterial and the functionalized coating to act as a barrier films, adhesion layers, and to increase overall hydrophilicity. However, some wet chemistry techniques can damage the bulk material during processing. This dissertation examines the use of plasma processing as an alternative method for producing these highly functionalized surfaces on nanoparticles and polymeric scaffolds through the use of plasma modification and plasma enhanced chemical vapor deposition techniques. Specifically, this dissertation will focus on (1) plasma deposition of SiO2 barrier films on nanoparticle substrates; (2) surface functionalization of amine and alcohol groups through (a) plasma co-polymerization and (b) plasma modification; and (3) the design and construction of plasma hardware to facilitate plasma processing of nanoparticles and polymeric scaffolds. The body of work presented herein first examines the fabrication of composite nanoparticles by plasma processing. SiOxC y and hexylamine films were coated onto TiO2 nanoparticles to demonstrate enhanced water dispersion properties. Continuous wave and pulsed allyl alcohol plasmas were used to produce highly functionalized Fe2 O3 supported nanoparticles. Specifically, film composition was

  7. Tungsten Deposition on Graphite using Plasma Enhanced Chemical Vapour Deposition

    International Nuclear Information System (INIS)

    Sharma, Uttam; Chauhan, Sachin S; Sharma, Jayshree; Sanyasi, A K; Ghosh, J; Choudhary, K K; Ghosh, S K

    2016-01-01

    The tokamak concept is the frontrunner for achieving controlled thermonuclear reaction on earth, an environment friendly way to solve future energy crisis. Although much progress has been made in controlling the heated fusion plasmas (temperature ∼ 150 million degrees) in tokamaks, technological issues related to plasma wall interaction topic still need focused attention. In future, reactor grade tokamak operational scenarios, the reactor wall and target plates are expected to experience a heat load of 10 MW/m 2 and even more during the unfortunate events of ELM's and disruptions. Tungsten remains a suitable choice for the wall and target plates. It can withstand high temperatures, its ductile to brittle temperature is fairly low and it has low sputtering yield and low fuel retention capabilities. However, it is difficult to machine tungsten and hence usages of tungsten coated surfaces are mostly desirable. To produce tungsten coated graphite tiles for the above-mentioned purpose, a coating reactor has been designed, developed and made operational at the SVITS, Indore. Tungsten coating on graphite has been attempted and successfully carried out by using radio frequency induced plasma enhanced chemical vapour deposition (rf -PECVD) for the first time in India. Tungsten hexa-fluoride has been used as a pre-cursor gas. Energy Dispersive X-ray spectroscopy (EDS) clearly showed the presence of tungsten coating on the graphite samples. This paper presents the details of successful operation and achievement of tungsten coating in the reactor at SVITS. (paper)

  8. Observation of enhanced electric field in an RF-plugged sheet plasma in the RFC-XX-M open-ended machine

    International Nuclear Information System (INIS)

    Oda, T.; Takiyama, K.; Kadota, K.

    1987-12-01

    We report nonperturbing observation of the electric field in the sheet plasma for RF end-plugging on the RFC XX-M open-ended machine by using the Stark effect with a combined technique of beam-probe and laser-induced fluorescence. Under the optimum condition for the RF plugging, enhanced electric field is found in the sheet plasma by about 2.5 times with respect to the electric field when no plasma is produced. The field spatial profile is also measured, which is discussed in connection with the electrostatic eigenmode. (author)

  9. Review of enhanced vapor diffusion in porous media

    International Nuclear Information System (INIS)

    Webb, S.W.; Ho, C.K.

    1998-01-01

    Vapor diffusion in porous media in the presence of its own liquid has often been treated similar to gas diffusion. The gas diffusion rate in porous media is much lower than in free space due to the presence of the porous medium and any liquid present. However, enhanced vapor diffusion has also been postulated such that the diffusion rate may approach free-space values. Existing data and models for enhanced vapor diffusion, including those in TOUGH2, are reviewed in this paper

  10. Plasma-enhanced atomic layer deposition of silicon dioxide films using plasma-activated triisopropylsilane as a precursor

    International Nuclear Information System (INIS)

    Jeon, Ki-Moon; Shin, Jae-Su; Yun, Ju-Young; Jun Lee, Sang; Kang, Sang-Woo

    2014-01-01

    The plasma-enhanced atomic layer deposition (PEALD) process was developed as a growth technique of SiO 2 thin films using a plasma-activated triisopropylsilane [TIPS, ((iPr) 3 SiH)] precursor. TIPS was activated by an argon plasma at the precursor injection stage of the process. Using the activated TIPS, it was possible to control the growth rate per cycle of the deposited films by adjusting the plasma ignition time. The PEALD technique allowed deposition of SiO 2 films at temperatures as low as 50 °C without carbon impurities. In addition, films obtained with plasma ignition times of 3 s and 10 s had similar values of root-mean-square surface roughness. In order to evaluate the suitability of TIPS as a precursor for low-temperature deposition of SiO 2 films, the vapor pressure of TIPS was measured. The thermal stability and the reactivity of the gas-phase TIPS with respect to water vapor were also investigated by analyzing the intensity changes of the C–H and Si–H peaks in the Fourier-transform infrared spectrum of TIPS

  11. Surface-modified polymeric pads for enhanced performance during chemical mechanical planarization

    International Nuclear Information System (INIS)

    Deshpande, S.; Dakshinamurthy, S.; Kuiry, S.C.; Vaidyanathan, R.; Obeng, Y.S.; Seal, S.

    2005-01-01

    The chemical mechanical planarization (CMP) process occurs at an atomic level at the slurry/wafer interface and hence slurries and polishing pads play a critical role in their successful implementation. Polyurethane is a commonly used polymer in the manufacturing of CMP pads. These pads are incompatible with some chemicals present in the CMP slurries, such as hydrogen peroxide. To overcome these problems, Psiloquest has developed new Application Specific Pads (ASP). Surface of such pads has been modified by depositing a thin film of tetraethyl orthosilicate using plasma-enhanced chemical vapor deposition (PECVD) process. In the present study, mechanical properties of such coated pads have been investigated using nanoindentation. The surface morphology and the chemistry of the ASP were studied using scanning electron microcopy, X-ray photoelectron spectroscopy, and Fourier transform infrared spectroscopy techniques. It was observed that mechanical and chemical properties of the pad top surface are a function of the PECVD coating time. Such PECVD-treated pads are found to be hydrophilic and do not require storage in aqueous media during the not-in-use period. The metal removal rate using such surface-modified polishing pads was found to increase linearly with the PECVD coating time

  12. Microwave plasma-enhanced chemical vapour deposition growth of carbon nanostructures

    Directory of Open Access Journals (Sweden)

    Shivan R. Singh

    2010-05-01

    Full Text Available The effect of various input parameters on the production of carbon nanostructures using a simple microwave plasma-enhanced chemical vapour deposition technique has been investigated. The technique utilises a conventional microwave oven as the microwave energy source. The developed apparatus is inexpensive and easy to install and is suitable for use as a carbon nanostructure source for potential laboratory-based research of the bulk properties of carbon nanostructures. A result of this investigation is the reproducibility of specific nanostructures with the variation of input parameters, such as carbon-containing precursor and support gas flow rate. It was shown that the yield and quality of the carbon products is directly controlled by input parameters. Transmission electron microscopy and scanning electron microscopy were used to analyse the carbon products; these were found to be amorphous, nanotubes and onion-like nanostructures.

  13. Approximating chaotic saddles for delay differential equations.

    Science.gov (United States)

    Taylor, S Richard; Campbell, Sue Ann

    2007-04-01

    Chaotic saddles are unstable invariant sets in the phase space of dynamical systems that exhibit transient chaos. They play a key role in mediating transport processes involving scattering and chaotic transients. Here we present evidence (long chaotic transients and fractal basins of attraction) of transient chaos in a "logistic" delay differential equation. We adapt an existing method (stagger-and-step) to numerically construct the chaotic saddle for this system. This is the first such analysis of transient chaos in an infinite-dimensional dynamical system, and in delay differential equations in particular. Using Poincaré section techniques we illustrate approaches to visualizing the saddle set, and confirm that the saddle has the Cantor-like fractal structure consistent with a chaotic saddle generated by horseshoe-type dynamics.

  14. Approximating chaotic saddles for delay differential equations

    Science.gov (United States)

    Taylor, S. Richard; Campbell, Sue Ann

    2007-04-01

    Chaotic saddles are unstable invariant sets in the phase space of dynamical systems that exhibit transient chaos. They play a key role in mediating transport processes involving scattering and chaotic transients. Here we present evidence (long chaotic transients and fractal basins of attraction) of transient chaos in a “logistic” delay differential equation. We adapt an existing method (stagger-and-step) to numerically construct the chaotic saddle for this system. This is the first such analysis of transient chaos in an infinite-dimensional dynamical system, and in delay differential equations in particular. Using Poincaré section techniques we illustrate approaches to visualizing the saddle set, and confirm that the saddle has the Cantor-like fractal structure consistent with a chaotic saddle generated by horseshoe-type dynamics.

  15. Electron field emission for ultrananocrystalline diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Krauss, A. R.; Auciello, O.; Ding, M. Q.; Gruen, D. M.; Huang, Y.; Zhirnov, V. V.; Givargizov, E. I.; Breskin, A.; Chechen, R.; Shefer, E. (and others)

    2001-03-01

    Ultrananocrystalline diamond (UNCD) films 0.1--2.4 {mu}m thick were conformally deposited on sharp single Si microtip emitters, using microwave CH{sub 4}--Ar plasma-enhanced chemical vapor deposition in combination with a dielectrophoretic seeding process. Field-emission studies exhibited stable, extremely high (60--100 {mu}A/tip) emission current, with little variation in threshold fields as a function of film thickness or Si tip radius. The electron emission properties of high aspect ratio Si microtips, coated with diamond using the hot filament chemical vapor deposition (HFCVD) process were found to be very different from those of the UNCD-coated tips. For the HFCVD process, there is a strong dependence of the emission threshold on both the diamond coating thickness and Si tip radius. Quantum photoyield measurements of the UNCD films revealed that these films have an enhanced density of states within the bulk diamond band gap that is correlated with a reduction in the threshold field for electron emission. In addition, scanning tunneling microscopy studies indicate that the emission sites from UNCD films are related to minima or inflection points in the surface topography, and not to surface asperities. These data, in conjunction with tight binding pseudopotential calculations, indicate that grain boundaries play a critical role in the electron emission properties of UNCD films, such that these boundaries: (a) provide a conducting path from the substrate to the diamond--vacuum interface, (b) produce a geometric enhancement in the local electric field via internal structures, rather than surface topography, and (c) produce an enhancement in the local density of states within the bulk diamond band gap.

  16. Effect of plasma composition on nanocrystalline diamond layers deposited by a microwave linear antenna plasma-enhanced chemical vapour deposition system

    Czech Academy of Sciences Publication Activity Database

    Taylor, Andrew; Ashcheulov, Petr; Čada, Martin; Fekete, Ladislav; Hubík, Pavel; Klimša, Ladislav; Olejníček, Jiří; Remeš, Zdeněk; Jirka, Ivan; Janíček, P.; Bedel-Pereira, E.; Kopeček, Jaromír; Mistrík, J.; Mortet, Vincent

    2015-01-01

    Roč. 212, č. 11 (2015), s. 2418-2423 ISSN 1862-6300 R&D Projects: GA ČR GA13-31783S; GA MŠk LO1409 Grant - others:FUNBIO(XE) CZ.2.16/3.1.00/21568 Institutional support: RVO:68378271 ; RVO:61388955 Keywords : diamond * electrical conductivity * nanocrystalline materials * optical emission spectroscopy * plasma enhanced chemical vapour deposition * SiC Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.648, year: 2015

  17. MICHIGAN SOIL VAPOR EXTRACTION REMEDIATION (MISER) MODEL: A COMPUTER PROGRAM TO MODEL SOIL VAPOR EXTRACTION AND BIOVENTING OF ORGANIC CHEMICALS IN UNSATURATED GEOLOGICAL MATERIAL

    Science.gov (United States)

    Soil vapor extraction (SVE) and bioventing (BV) are proven strategies for remediation of unsaturated zone soils. Mathematical models are powerful tools that can be used to integrate and quantify the interaction of physical, chemical, and biological processes occurring in field sc...

  18. Nanopattern formation using localized plasma for growth of single-standing carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Javadi, Mohammad; Abdi, Yaser, E-mail: y.abdi@ut.ac.ir [University of Tehran, Nanophysics Research Laboratory, Department of Physics (Iran, Islamic Republic of)

    2017-01-15

    We report a novel method for formation of self-organized single-standing carbon nanotubes by customizing a plasma-based process. The growth of carbon nanotubes by plasma-enhanced chemical vapor deposition provides suitable grounds to utilize plasma–solid interactions for nanopatterning. The bulk plasma is utilized to fabricate carbon nanotubes on the prepatterned Ni catalyst which in turn can confine the plasma to the growth region. The plasma localization leads to a dielectrophoretic force exerted on Ni atoms and can be engineered in order to grow a specific pattern of self-organized single-standing carbon nanotubes. Numerical simulations based on the plasma localization and dielectrophoretic force confirmed the experimental results. This method provides a simple and cost-effective approach to obtain nanopatterned arrays of carbon nanotubes which can be used for fabrication of photonic and phononic crystals, self-gated field emission-based transistors and displays.

  19. Polyethylene Oxide Films Polymerized by Radio Frequency Plasma-Enhanced Chemical Vapour Phase Deposition and Its Adsorption Behaviour of Platelet-Rich Plasma

    International Nuclear Information System (INIS)

    Wen-Juan, Hu; Fen-Yan, Xie; Qiang, Chen; Jing, Weng

    2008-01-01

    We present polyethylene oxide (PEO) functional films polymerized by rf plasma-enhanced vapour chemical deposition (rf-PECVD) on p-Si (100) surface with precursor ethylene glycol dimethyl ether (EGDME) and diluted Ar in pulsed plasma mode. The influences of discharge parameters on the film properties and compounds are investigated. The film structure is analysed by Fourier transform infrared (FTIR) spectroscopy. The water contact angle measurement and atomic force microscope (AFM) are employed to examine the surface polarity and to detect surface morphology, respectively. It is concluded that the smaller duty cycle in pulsed plasma mode contributes to the rich C-O-C (EO) group on the surfaces. As an application, the adsorption behaviour of platelet-rich plasma on plasma polymerization films performed in-vitro is explored. The shapes of attached cells are studied in detail by an optic invert microscope, which clarifies that high-density C-O-C groups on surfaces are responsible for non-fouling adsorption behaviour of the PEO films

  20. Polyethylene Oxide Films Polymerized by Radio Frequency Plasma-Enhanced Chemical Vapour Phase Deposition and Its Adsorption Behaviour of Platelet-Rich Plasma

    Science.gov (United States)

    Hu, Wen-Juan; Xie, Fen-Yan; Chen, Qiang; Weng, Jing

    2008-10-01

    We present polyethylene oxide (PEO) functional films polymerized by rf plasma-enhanced vapour chemical deposition (rf-PECVD) on p-Si (100) surface with precursor ethylene glycol dimethyl ether (EGDME) and diluted Ar in pulsed plasma mode. The influences of discharge parameters on the film properties and compounds are investigated. The film structure is analysed by Fourier transform infrared (FTIR) spectroscopy. The water contact angle measurement and atomic force microscope (AFM) are employed to examine the surface polarity and to detect surface morphology, respectively. It is concluded that the smaller duty cycle in pulsed plasma mode contributes to the rich C-O-C (EO) group on the surfaces. As an application, the adsorption behaviour of platelet-rich plasma on plasma polymerization films performed in-vitro is explored. The shapes of attached cells are studied in detail by an optic invert microscope, which clarifies that high-density C-O-C groups on surfaces are responsible for non-fouling adsorption behaviour of the PEO films.

  1. Gravimetrical and chemical characterization of SiOx structures deposited on fine powders by short plasma exposure in a plasma down stream reactor

    International Nuclear Information System (INIS)

    Spillmann, Adrian; Sonnenfeld, Axel; Rohr, Philipp Rudolf von

    2008-01-01

    The surface of lactose particles was modified by a plasma-enhanced chemical vapor deposition process to improve the flow behavior of the powder. For this, the particulates were treated in a plasma down stream reactor which provides a short (50 ms) and homogeneous exposure to the capacitively coupled RF discharge. The organosilicon monomer hexamethyldisiloxane (HMDSO) was used as a precursor for the formation of SiO x which is deposited on the substrate particle surface. For varying process gas mixtures (O 2 /Ar/HMDSO) and RF power applied, the amount of the deposited material was determined gravimetrically after dissolution of the lactose substrate particles and the chemical composition of the accumulated deposition material was investigated by means of attenuated total reflection Fourier transform infrared (ATR-FTIR) spectroscopy. The concentration of the deposited SiO x relating to the substrate material was found to be in the range of 0.1 wt.%. Based on the ATR-FTIR analysis, the inorganic, i.e. oxidic SiO x fraction of the obtained deposits was shown to be controllable by varying the process parameters, whilst a relatively large amount of organic structures must be considered.

  2. Novel Cyclosilazane-Type Silicon Precursor and Two-Step Plasma for Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride.

    Science.gov (United States)

    Park, Jae-Min; Jang, Se Jin; Lee, Sang-Ick; Lee, Won-Jun

    2018-03-14

    We designed cyclosilazane-type silicon precursors and proposed a three-step plasma-enhanced atomic layer deposition (PEALD) process to prepare silicon nitride films with high quality and excellent step coverage. The cyclosilazane-type precursor, 1,3-di-isopropylamino-2,4-dimethylcyclosilazane (CSN-2), has a closed ring structure for good thermal stability and high reactivity. CSN-2 showed thermal stability up to 450 °C and a sufficient vapor pressure of 4 Torr at 60 °C. The energy for the chemisorption of CSN-2 on the undercoordinated silicon nitride surface as calculated by density functional theory method was -7.38 eV. The PEALD process window was between 200 and 500 °C, with a growth rate of 0.43 Å/cycle. The best film quality was obtained at 500 °C, with hydrogen impurity of ∼7 atom %, oxygen impurity less than 2 atom %, low wet etching rate, and excellent step coverage of ∼95%. At 300 °C and lower temperatures, the wet etching rate was high especially at the lower sidewall of the trench pattern. We introduced the three-step PEALD process to improve the film quality and the step coverage on the lower sidewall. The sequence of the three-step PEALD process consists of the CSN-2 feeding step, the NH 3 /N 2 plasma step, and the N 2 plasma step. The H radicals in NH 3 /N 2 plasma efficiently remove the ligands from the precursor, and the N 2 plasma after the NH 3 plasma removes the surface hydrogen atoms to activate the adsorption of the precursor. The films deposited at 300 °C using the novel precursor and the three-step PEALD process showed a significantly improved step coverage of ∼95% and an excellent wet etching resistance at the lower sidewall, which is only twice as high as that of the blanket film prepared by low-pressure chemical vapor deposition.

  3. Method and apparatus for suppressing electron generation in a vapor source for isotope separation

    International Nuclear Information System (INIS)

    Janes, G.S.

    1979-01-01

    A system for applying accelerating forces to ionized particles of a vapor in a manner to suppress the flow of electron current from the vapor source. The accelerating forces are applied as an electric field in a configuration orthogonal to a magnetic field. The electric field is applied between one or more anodes in the plasma and one or more cathodes operated as electron emitting surfaces. The circuit for applying the electric field floats the cathodes with respect to the vapor source, thereby removing the vapor source from the circuit of electron flow through the plasma and suppressing the flow of electrons from the vapor source. The potential of other conducting structures contacting the plasma is controlled at or permitted to seek a level which further suppresses the flow of electron currents from the vapor source. Reducing the flow of electrons from the vapor source is particularly useful where the vapor is ionized with isotopic selectivity because it avoids superenergization of the vapor by the electron current

  4. A low aspect ratio electrothermal gun for metal plasma vapor discharge and ceramic nanopowder production

    International Nuclear Information System (INIS)

    Kim, Kyoung Jin; Peterson, Dennis R.

    2008-01-01

    Traditionally, the electrothermal gun design has the bore of a large aspect ratio: however, a low aspect ratio design with a shorter bore length has been employed for efficient production of metal plasma vapors and synthesis of nanomaterials. In a comparison of the arc resistance-current relationship, a low aspect ratio design is found to exhibit distinctively different characteristics compared to a high aspect ratio design, and this trend is explained by the scaling law of plasma properties including theory of plasma electrical conductivity. A one-dimensional isothermal model has been applied to the present experiments to confirm the scaling laws, and it was found that the present modification of the electrothermal gun is able to produce fully ionized metal plasma vapor, while the plasma vapor produced in a conventional design is partially ionized. Also, by reacting metal plasma vapors with the controlled gases in the reaction chamber, nanoscale materials such as aluminum oxide, aluminum nitride, and titanium oxide were synthesized successfully

  5. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yu Shengwang, E-mail: bkdysw@yahoo.cn; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-11-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH{sub 3}){sub 4}) diluted in H{sub 2} as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co{sub 2}Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  6. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    International Nuclear Information System (INIS)

    Yu Shengwang; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-01-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH 3 ) 4 ) diluted in H 2 as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co 2 Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  7. Effect of vapor plasma on the coupling of laser radiation with aluminum targets

    Energy Technology Data Exchange (ETDEWEB)

    Shui, V H; Kivel, B; Weyl, G M

    1978-12-01

    The effect of vapor plasma on thermal and impulse coupling of laser radiation with aluminum targets is studied to understand and explain experimental data showing anomalously high coupling to 10.6-micron laser radiation. Heating of vapor by inverse bremsstrahlung absorption of laser radiation, subsequent reradiation in the uv and deep uv by ionized species, and vapor layer growth are modeled. A computer code has been developed to solve the governing equations. Major conclusions include the following: (1) vapor plasma radiative transport can be an important mechanism for laser/target coupling, (2) aluminum vapor (density times thickness) approximately equal to 10 to the 17th power/sq cm (corresponding to about 0.01 micron of target material) can result in thermal coupling coefficients of 20% or more, and (3) too much vapor reduces the net flux at the target.

  8. Wave propagation in a quasi-chemical equilibrium plasma

    Science.gov (United States)

    Fang, T.-M.; Baum, H. R.

    1975-01-01

    Wave propagation in a quasi-chemical equilibrium plasma is studied. The plasma is infinite and without external fields. The chemical reactions are assumed to result from the ionization and recombination processes. When the gas is near equilibrium, the dominant role describing the evolution of a reacting plasma is played by the global conservation equations. These equations are first derived and then used to study the small amplitude wave motion for a near-equilibrium situation. Nontrivial damping effects have been obtained by including the conduction current terms.

  9. Structural and chemical analysis of annealed plasma-enhanced atomic layer deposition aluminum nitride films

    Energy Technology Data Exchange (ETDEWEB)

    Broas, Mikael, E-mail: mikael.broas@aalto.fi; Vuorinen, Vesa [Department of Electrical Engineering and Automation, Aalto University, P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland); Sippola, Perttu; Pyymaki Perros, Alexander; Lipsanen, Harri [Department of Micro- and Nanosciences, Aalto University, P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland); Sajavaara, Timo [Department of Physics, University of Jyväskylä, P.O. Box 35, FIN-40014 Jyväskylä (Finland); Paulasto-Kröckel, Mervi [Department of Electrical Engineering and Automation, Aalto University. P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland)

    2016-07-15

    Plasma-enhanced atomic layer deposition was utilized to grow aluminum nitride (AlN) films on Si from trimethylaluminum and N{sub 2}:H{sub 2} plasma at 200 °C. Thermal treatments were then applied on the films which caused changes in their chemical composition and nanostructure. These changes were observed to manifest in the refractive indices and densities of the films. The AlN films were identified to contain light element impurities, namely, H, C, and excess N due to nonideal precursor reactions. Oxygen contamination was also identified in the films. Many of the embedded impurities became volatile in the elevated annealing temperatures. Most notably, high amounts of H were observed to desorb from the AlN films. Furthermore, dinitrogen triple bonds were identified with infrared spectroscopy in the films. The triple bonds broke after annealing at 1000 °C for 1 h which likely caused enhanced hydrolysis of the films. The nanostructure of the films was identified to be amorphous in the as-deposited state and to become nanocrystalline after 1 h of annealing at 1000 °C.

  10. Interfacial Dynamics of Condensing Vapor Bubbles in an Ultrasonic Acoustic Field

    Science.gov (United States)

    Boziuk, Thomas; Smith, Marc; Glezer, Ari

    2016-11-01

    Enhancement of vapor condensation in quiescent subcooled liquid using ultrasonic actuation is investigated experimentally. The vapor bubbles are formed by direct injection from a pressurized steam reservoir through nozzles of varying characteristic diameters, and are advected within an acoustic field of programmable intensity. While kHz-range acoustic actuation typically couples to capillary instability of the vapor-liquid interface, ultrasonic (MHz-range) actuation leads to the formation of a liquid spout that penetrates into the vapor bubble and significantly increases its surface area and therefore condensation rate. Focusing of the ultrasonic beam along the spout leads to ejection of small-scale droplets from that are propelled towards the vapor liquid interface and result in localized acceleration of the condensation. High-speed video of Schlieren images is used to investigate the effects of the ultrasonic actuation on the thermal boundary layer on the liquid side of the vapor-liquid interface and its effect on the condensation rate, and the liquid motion during condensation is investigated using high-magnification PIV measurements. High-speed image processing is used to assess the effect of the actuation on the dynamics and temporal variation in characteristic scale (and condensation rate) of the vapor bubbles.

  11. Plasma Spray-Physical Vapor Deposition (PS-PVD) of Ceramics for Protective Coatings

    Science.gov (United States)

    Harder, Bryan J.; Zhu, Dongming

    2011-01-01

    In order to generate advanced multilayer thermal and environmental protection systems, a new deposition process is needed to bridge the gap between conventional plasma spray, which produces relatively thick coatings on the order of 125-250 microns, and conventional vapor phase processes such as electron beam physical vapor deposition (EB-PVD) which are limited by relatively slow deposition rates, high investment costs, and coating material vapor pressure requirements. The use of Plasma Spray - Physical Vapor Deposition (PS-PVD) processing fills this gap and allows thin (deposited and multilayer coatings of less than 100 microns to be generated with the flexibility to tailor microstructures by changing processing conditions. Coatings of yttria-stabilized zirconia (YSZ) were applied to NiCrAlY bond coated superalloy substrates using the PS-PVD coater at NASA Glenn Research Center. A design-of-experiments was used to examine the effects of process variables (Ar/He plasma gas ratio, the total plasma gas flow, and the torch current) on chamber pressure and torch power. Coating thickness, phase and microstructure were evaluated for each set of deposition conditions. Low chamber pressures and high power were shown to increase coating thickness and create columnar-like structures. Likewise, high chamber pressures and low power had lower growth rates, but resulted in flatter, more homogeneous layers

  12. New luminescence lines in nanodiamonds obtained by chemical vapor deposition

    Science.gov (United States)

    Golubev, V. G.; Grudinkin, S. A.; Davydov, V. Yu.; Smirnov, A. N.; Feoktistov, N. A.

    2017-12-01

    The spectral characteristics of the photoluminescence lines detected for nanodiamonds obtained by the reactive ion etching of diamond particles in oxygen plasma, deposited by chemical vapor deposition on a silicon substrate, are studied. At room temperature, narrow lines are observed in the visible and infrared spectral regions, with a full width at half-maximum in the range of 1-2 nm at an almost complete absence of a broadband photoluminescence background signal. At decreasing temperature, the lines narrowed to 0.2-0.6 nm at T = 79 K, and the minimum line width was 0.055 nm at T = 10 K. With increasing temperature, the narrow lines shifted to the long-wavelength region of the spectrum, and their intensity decreased.

  13. MgB2 thin films by hybrid physical-chemical vapor deposition

    International Nuclear Information System (INIS)

    Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.

    2007-01-01

    Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions

  14. Numerical modeling and experimental simulation of vapor shield formation and divertor material erosion for ITER typical plasma disruptions

    International Nuclear Information System (INIS)

    Wuerz, H.; Arkhipov, N.I.; Bakhin, V.P.; Goel, B.; Hoebel, W.; Konkashbaev, I.; Landman, I.; Piazza, G.; Safronov, V.M.; Sherbakov, A.R.; Toporkov, D.A.; Zhitlukhin, A.M.

    1994-01-01

    The high divertor heat load during a tokamak plasma disruption results in sudden evaporation of a thin layer of divertor plate material, which acts as vapor shield and protects the target from further excessive evaporation. Formation and effectiveness of the vapor shield are theoretically modeled and experimentally investigated at the 2MK-200 facility under conditions simulating the thermal quench phase of ITER tokamak plasma disruptions. In the optical wavelength range C II, C III, C IV emission lines for graphite, Cu I, Cu II lines for copper and continuum radiation for tungsten samples are observed in the target plasma. The plasma expands along the magnetic field lines with velocities of (4±1)x10 6 cm/s for graphite and 10 5 cm/s for copper. Modeling was done with a radiation hydrodynamics code in one-dimensional planar geometry. The multifrequency radiation transport is treated in flux limited diffusion and in forward reverse transport approximation. In these first modeling studies the overall shielding efficiency for carbon and tungsten defined as ratio of the incident energy and the vaporization energy for power densities of 10 MW/cm 2 exceeds a factor of 30. The vapor shield is established within 2 μs, the power fraction to the target after 10 μs is below 3% and reaches in the stationary state after about 20 μs a value of around 1.5%. ((orig.))

  15. 36 CFR 34.10 - Saddle and pack animals.

    Science.gov (United States)

    2010-07-01

    ... 36 Parks, Forests, and Public Property 1 2010-07-01 2010-07-01 false Saddle and pack animals. 34... INTERIOR EL PORTAL ADMINISTRATIVE SITE REGULATIONS § 34.10 Saddle and pack animals. The use of saddle and pack animals is prohibited without a permit from the Superintendent. ...

  16. Feature-Based Retinal Image Registration Using D-Saddle Feature

    Directory of Open Access Journals (Sweden)

    Roziana Ramli

    2017-01-01

    Full Text Available Retinal image registration is important to assist diagnosis and monitor retinal diseases, such as diabetic retinopathy and glaucoma. However, registering retinal images for various registration applications requires the detection and distribution of feature points on the low-quality region that consists of vessels of varying contrast and sizes. A recent feature detector known as Saddle detects feature points on vessels that are poorly distributed and densely positioned on strong contrast vessels. Therefore, we propose a multiresolution difference of Gaussian pyramid with Saddle detector (D-Saddle to detect feature points on the low-quality region that consists of vessels with varying contrast and sizes. D-Saddle is tested on Fundus Image Registration (FIRE Dataset that consists of 134 retinal image pairs. Experimental results show that D-Saddle successfully registered 43% of retinal image pairs with average registration accuracy of 2.329 pixels while a lower success rate is observed in other four state-of-the-art retinal image registration methods GDB-ICP (28%, Harris-PIIFD (4%, H-M (16%, and Saddle (16%. Furthermore, the registration accuracy of D-Saddle has the weakest correlation (Spearman with the intensity uniformity metric among all methods. Finally, the paired t-test shows that D-Saddle significantly improved the overall registration accuracy of the original Saddle.

  17. 'Saddle-point' ionization

    International Nuclear Information System (INIS)

    Gay, T.J.; Hale, E.B.; Irby, V.D.; Olson, R.E.; Missouri Univ., Rolla; Berry, H.G.

    1988-01-01

    We have studied the ionization of rare gases by protons at intermediate energies, i.e., energies at which the velocities of the proton and the target-gas valence electrons are comparable. A significant channel for electron production in the forward direction is shown to be 'saddle-point' ionization, in which electrons are stranded on or near the saddle-point of electric potential between the receding projectile and the ionized target. Such electrons yield characteristic energy spectra, and contribute significantly to forward-electron-production cross sections. Classical trajectory Monte Carlo calculations are found to provide qualitative agreement with our measurements and the earlier measurements of Rudd and coworkers, and reproduce, in detail, the features of the general ionization spectra. (orig.)

  18. Epitaxial growth of Si1−xGex alloys and Ge on Si(100) by electron-cyclotron-resonance Ar plasma chemical vapor deposition without substrate heating

    International Nuclear Information System (INIS)

    Ueno, Naofumi; Sakuraba, Masao; Murota, Junichi; Sato, Shigeo

    2014-01-01

    By using electron-cyclotron-resonance (ECR) Ar-plasma chemical vapor deposition (CVD) without substrate heating, the epitaxial growth process of Si 1−x Ge x alloy and Ge films deposited directly on dilute-HF-treated Si(100) was investigated. From the reflection high energy electron diffraction patterns of the deposited Si 1−x Ge x alloy (x = 0.50, 0.75) and Ge films on Si(100), it is confirmed that epitaxial growth can be realized without substrate heating, and that crystallinity degradation at larger film thickness is observed. The X-ray diffraction peak of the epitaxial films reveals the existence of large compressive strain, which is induced by lattice matching with the Si(100) substrate at smaller film thicknesses, as well as strain relaxation behavior at larger film thicknesses. The Ge fraction of Si 1−x Ge x thin film is in good agreement with the normalized GeH 4 partial pressure. The Si 1−x Ge x deposition rate increases with an increase of GeH 4 partial pressure. The GeH 4 partial pressure dependence of partial deposition rates [(Si or Ge fraction) × (Si 1−x Ge x thickness) / (deposition time)] shows that the Si partial deposition rate is slightly enhanced by the existence of Ge. From these results, it is proposed that the ECR-plasma CVD process can be utilized for Ge fraction control in highly-strained heterostructure formation of group IV semiconductors. - Highlights: • Si 1−x Ge x alloy and Ge were epitaxially grown on Si(100) without substrate heating. • Large strain and its relaxation behavior can be observed by X-ray diffraction. • Ge fraction of Si 1−x Ge x is equal to normalized GeH 4 partial pressure. • Si partial deposition rate is slightly enhanced by existence of Ge

  19. Properties of amorphous silicon thin films synthesized by reactive particle beam assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Choi, Sun Gyu; Wang, Seok-Joo; Park, Hyeong-Ho; Jang, Jin-Nyoung; Hong, MunPyo; Kwon, Kwang-Ho; Park, Hyung-Ho

    2010-01-01

    Amorphous silicon thin films were formed by chemical vapor deposition of reactive particle beam assisted inductively coupled plasma type with various reflector bias voltages. During the deposition, the substrate was heated at 150 o C. The effects of reflector bias voltage on the physical and chemical properties of the films were systematically studied. X-ray diffraction and Raman spectroscopy results showed that the deposited films were amorphous and the films under higher reflector voltage had higher internal energy to be easily crystallized. The chemical state of amorphous silicon films was revealed as metallic bonding of Si atoms by using X-ray photoelectron spectroscopy. An increase in reflector voltage induced an increase of surface morphology of films and optical bandgap and a decrease of photoconductivity.

  20. Determination of trace cadmium in rice by liquid spray dielectric barrier discharge induced plasma - chemical vapor generation coupled with atomic fluorescence spectrometry

    Science.gov (United States)

    Liu, Xing; Zhu, Zhenli; Bao, Zhengyu; Zheng, Hongtao; Hu, Shenghong

    2018-03-01

    Cadmium contamination in rice has become an increasing concern in many countries including China. A simple, cost-effective, and highly sensitive method was developed for the determination of trace cadmium in rice samples based on a new high-efficient liquid spray dielectric barrier discharge induced plasma (LSDBD) vapor generation coupled with atomic fluorescence spectrometry (AFS). The analytical procedure involves the efficient formation of Cd volatile species by LSDBD plasma induced chemical processes without the use of any reducing reagents (Na/KBH4 in conventional hydride generation). The effects of the addition of organic substances, different discharge parameters such as discharge voltage and discharge gap, as well as the foreign ion interferences were investigated. Under optimized conditions, a detection limit of 0.01 μg L- 1 and a precision of 0.8% (RSD, n = 5, 1 μg L- 1 Cd) was readily achieved. The calibration curve was linear in the range between 0.1 and 10 μg L- 1, with a correlation coefficient of R2 = 0.9995. Compared with the conventional acid-BH4- vapor generation, the proposed method not only eliminates the use of unstable and expensive reagents, but also offers high tolerance for coexisting ions, which is well suited to the direct analysis of environmental samples. The validation of the proposed method was demonstrated by the analysis of Cd in reference material of rice (GBW080684). It was also successfully applied to the determination of trace cadmium in locally collected 11 rice samples, and the obtained Cd concentrations are ranged from 7.2 to 517.7 μg kg- 1.

  1. Field emission current from a junction field-effect transistor

    International Nuclear Information System (INIS)

    Monshipouri, Mahta; Abdi, Yaser

    2015-01-01

    Fabrication of a titanium dioxide/carbon nanotube (TiO 2 /CNT)-based transistor is reported. The transistor can be considered as a combination of a field emission transistor and a junction field-effect transistor. Using direct current plasma-enhanced chemical vapor deposition (DC-PECVD) technique, CNTs were grown on a p-typed (100)-oriented silicon substrate. The CNTs were then covered by TiO 2 nanoparticles 2–5 nm in size, using an atmospheric pressure CVD technique. In this device, TiO 2 /CNT junction is responsible for controlling the emission current. High on/off-current ratio and proper gate control are the most important advantages of device. A model based on Fowler–Nordheim equation is utilized for calculation of the emission current and the results are compared with experimental data. The effect of TiO 2 /CNT hetero-structure is also investigated, and well modeled

  2. Microwave plasma induced surface modification of diamond-like carbon films

    Science.gov (United States)

    Rao Polaki, Shyamala; Kumar, Niranjan; Gopala Krishna, Nanda; Madapu, Kishore; Kamruddin, Mohamed; Dash, Sitaram; Tyagi, Ashok Kumar

    2017-12-01

    Tailoring the surface of diamond-like carbon (DLC) film is technically relevant for altering the physical and chemical properties, desirable for useful applications. A physically smooth and sp3 dominated DLC film with tetrahedral coordination was prepared by plasma-enhanced chemical vapor deposition technique. The surface of the DLC film was exposed to hydrogen, oxygen and nitrogen plasma for physical and chemical modifications. The surface modification was based on the concept of adsorption-desorption of plasma species and surface entities of films. Energetic chemical species of microwave plasma are adsorbed, leading to desorbtion of the surface carbon atoms due to energy and momentum exchange. The interaction of such reactive species with DLC films enhanced the roughness, surface defects and dangling bonds of carbon atoms. Adsorbed hydrogen, oxygen and nitrogen formed a covalent network while saturating the dangling carbon bonds around the tetrahedral sp3 valency. The modified surface chemical affinity depends upon the charge carriers and electron covalency of the adsorbed atoms. The contact angle of chemically reconstructed surface increases when a water droplet interacts either through hydrogen or van dear Waals bonding. These weak interactions influenced the wetting property of the DLC surface to a great extent.

  3. Plasma diagnostics and device properties of AlGaN/GaN HEMT passivated with SiN deposited by plasma-enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Romero, M F; Sanz, M M; Munoz, E [ISOM-Universidad Politecnica de Madrid (UPM). ETSIT, Madrid (Spain); Tanarro, I [Instituto de Estructura de la Materia, CSIC, Madrid (Spain); Jimenez, A, E-mail: itanarro@iem.cfmac.csic.e [Departamento Electronica, Escuela Politecnica Superior, Universidad de Alcala, Alcala de Henares, Madrid (Spain)

    2010-12-15

    In this work, silicon nitride thin films have been deposited by plasma enhanced chemical vapour deposition on both silicon samples and AlGaN/GaN high electron mobility transistors (HEMT) grown on sapphire substrates. Commercial parallel-plate RF plasma equipment has been used. During depositions, the dissociation rates of SiH{sub 4} and NH{sub 3} precursors and the formation of H{sub 2} and N{sub 2} have been analysed by mass spectrometry as a function of the NH{sub 3}/SiH{sub 4} flow ratio and the RF power applied to the plasma reactor. Afterwards, the properties of the films and the HEMT electrical characteristics have been studied. Plasma composition has been correlated with the SiN deposition rate, refractive index, H content and the final electric characteristics of the passivated transistors.

  4. Nitrogen doped germania glasses with enhanced optical and mechanical properties

    DEFF Research Database (Denmark)

    Storgaard-Larsen, Torben; Poulsen, Christian; Leistiko, Otto

    1997-01-01

    A new type of ultraviolet photosensitive germanium doped glass has been developed for use in the fabrication of optical waveguide structures. By adding ammonia to the source gases during a plasma enhanced chemical vapor deposition of these glasses, ultraviolet induced refractive index changes of ...

  5. Modelling chemical reactions in dc plasma inside oxygen bubbles in water

    International Nuclear Information System (INIS)

    Takeuchi, N; Ishii, Y; Yasuoka, K

    2012-01-01

    Plasmas generated inside oxygen bubbles in water have been developed for water purification. Zero-dimensional numerical simulations were used to investigate the chemical reactions in plasmas driven by dc voltage. The numerical and experimental results of the concentrations of hydrogen peroxide and ozone in the solution were compared with a discharge current between 1 and 7 mA. Upon increasing the water vapour concentration inside bubbles, we saw from the numerical results that the concentration of hydrogen peroxide increased with discharge current, whereas the concentration of ozone decreased. This finding agreed with the experimental results. With an increase in the discharge current, the heat flux from the plasma to the solution increased, and a large amount of water was probably vaporized into the bubbles.

  6. Modelling chemical reactions in dc plasma inside oxygen bubbles in water

    Science.gov (United States)

    Takeuchi, N.; Ishii, Y.; Yasuoka, K.

    2012-02-01

    Plasmas generated inside oxygen bubbles in water have been developed for water purification. Zero-dimensional numerical simulations were used to investigate the chemical reactions in plasmas driven by dc voltage. The numerical and experimental results of the concentrations of hydrogen peroxide and ozone in the solution were compared with a discharge current between 1 and 7 mA. Upon increasing the water vapour concentration inside bubbles, we saw from the numerical results that the concentration of hydrogen peroxide increased with discharge current, whereas the concentration of ozone decreased. This finding agreed with the experimental results. With an increase in the discharge current, the heat flux from the plasma to the solution increased, and a large amount of water was probably vaporized into the bubbles.

  7. Graphene Synthesis by Plasma-Enhanced CVD Growth with Ethanol

    OpenAIRE

    Campo, T.; Cotto, M.; Márquez, F.; Elizalde, E.; Morant, C.

    2016-01-01

    A modified route to synthesize graphene flakes is proposed using the Chemical Vapor Deposition (CVD) technique, by using copper substrates as supports. The carbon source used was ethanol, the synthesis temperature was 950°C and the pressure was controlled along the whole process. In this CVD synthesis process the incorporation of the carbon source was produced at low pressure and 950°C inducing the appearance of a plasma blue flash inside the quartz tube. Apparently, the presence of this plas...

  8. Fabrication of enhancement-mode AlGaN/GaN high electron mobility transistors using double plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Lim, Jong-Won, E-mail: jwlim@etri.re.kr [Photonic/Wireless Convergence Components Dept., IT Materials and Components Lab., Electronics and Telecommunications Research Institute, Daejeon 305-700 (Korea, Republic of); Ahn, Ho-Kyun; Kim, Seong-il; Kang, Dong-Min; Lee, Jong-Min; Min, Byoung-Gue; Lee, Sang-Heung; Yoon, Hyung-Sup; Ju, Chull-Won; Kim, Haecheon; Mun, Jae-Kyoung; Nam, Eun-Soo [Photonic/Wireless Convergence Components Dept., IT Materials and Components Lab., Electronics and Telecommunications Research Institute, Daejeon 305-700 (Korea, Republic of); Park, Hyung-Moo [Photonic/Wireless Convergence Components Dept., IT Materials and Components Lab., Electronics and Telecommunications Research Institute, Daejeon 305-700 (Korea, Republic of); Division of Electronics and Electrical Engineering, Dongguk University, Seoul (Korea, Republic of)

    2013-11-29

    We report the fabrication and DC and microwave characteristics of 0.5 μm AlGaN/GaN high electron mobility transistors using double plasma treatment process. Silicon nitride layers 700 and 150 Å thick were deposited by plasma-enhanced chemical vapor deposition at 260 °C to protect the device and to define the gate footprint. The double plasma process was carried out by two different etching techniques to obtain enhancement-mode AlGaN/GaN high electron mobility transistors with 0.5 μm gate lengths. The enhancement-mode AlGaN/GaN high electron mobility transistor was prepared in parallel to the depletion-mode AlGaN/GaN high electron mobility transistor device on one wafer. Completed double plasma treated 0.5 μm AlGaN/GaN high electron mobility transistor devices fabricated by dry etching exhibited a peak transconductance, gm, of 330 mS/mm, a breakdown voltage of 115 V, a current-gain cutoff frequency (f{sub T}) of 18 GHz, and a maximum oscillation frequency (f{sub max}) of 66 GHz. - Highlights: • The double plasma process was carried out by two different etching techniques. • Double plasma treated device exhibited a transconductance of 330 mS/mm. • Completed 0.5 μm gate device exhibited a current-gain cutoff frequency of 18 GHz. • The off-state breakdown voltage of 115 V for 0.5 μm gate device was obtained. • Continuous-wave output power density of 4.3 W/mm was obtained at 2.4 GHz.

  9. Fabrication of enhancement-mode AlGaN/GaN high electron mobility transistors using double plasma treatment

    International Nuclear Information System (INIS)

    Lim, Jong-Won; Ahn, Ho-Kyun; Kim, Seong-il; Kang, Dong-Min; Lee, Jong-Min; Min, Byoung-Gue; Lee, Sang-Heung; Yoon, Hyung-Sup; Ju, Chull-Won; Kim, Haecheon; Mun, Jae-Kyoung; Nam, Eun-Soo; Park, Hyung-Moo

    2013-01-01

    We report the fabrication and DC and microwave characteristics of 0.5 μm AlGaN/GaN high electron mobility transistors using double plasma treatment process. Silicon nitride layers 700 and 150 Å thick were deposited by plasma-enhanced chemical vapor deposition at 260 °C to protect the device and to define the gate footprint. The double plasma process was carried out by two different etching techniques to obtain enhancement-mode AlGaN/GaN high electron mobility transistors with 0.5 μm gate lengths. The enhancement-mode AlGaN/GaN high electron mobility transistor was prepared in parallel to the depletion-mode AlGaN/GaN high electron mobility transistor device on one wafer. Completed double plasma treated 0.5 μm AlGaN/GaN high electron mobility transistor devices fabricated by dry etching exhibited a peak transconductance, gm, of 330 mS/mm, a breakdown voltage of 115 V, a current-gain cutoff frequency (f T ) of 18 GHz, and a maximum oscillation frequency (f max ) of 66 GHz. - Highlights: • The double plasma process was carried out by two different etching techniques. • Double plasma treated device exhibited a transconductance of 330 mS/mm. • Completed 0.5 μm gate device exhibited a current-gain cutoff frequency of 18 GHz. • The off-state breakdown voltage of 115 V for 0.5 μm gate device was obtained. • Continuous-wave output power density of 4.3 W/mm was obtained at 2.4 GHz

  10. Graphene-Based Chemical Vapor Sensors for Electronic Nose Applications

    Science.gov (United States)

    Nallon, Eric C.

    An electronic nose (e-nose) is a biologically inspired device designed to mimic the operation of the olfactory system. The e-nose utilizes a chemical sensor array consisting of broadly responsive vapor sensors, whose combined response produces a unique pattern for a given compound or mixture. The sensor array is inspired by the biological function of the receptor neurons found in the human olfactory system, which are inherently cross-reactive and respond to many different compounds. The use of an e-nose is an attractive approach to predict unknown odors and is used in many fields for quantitative and qualitative analysis. If properly designed, an e-nose has the potential to adapt to new odors it was not originally designed for through laboratory training and algorithm updates. This would eliminate the lengthy and costly R&D costs associated with materiel and product development. Although e-nose technology has been around for over two decades, much research is still being undertaken in order to find new and more diverse types of sensors. Graphene is a single-layer, 2D material comprised of carbon atoms arranged in a hexagonal lattice, with extraordinary electrical, mechanical, thermal and optical properties due to its 2D, sp2-bonded structure. Graphene has much potential as a chemical sensing material due to its 2D structure, which provides a surface entirely exposed to its surrounding environment. In this configuration, every carbon atom in graphene is a surface atom, providing the greatest possible surface area per unit volume, so that electron transport is highly sensitive to adsorbed molecular species. Graphene has gained much attention since its discovery in 2004, but has not been realized in many commercial electronics. It has the potential to be a revolutionary material for use in chemical sensors due to its excellent conductivity, large surface area, low noise, and versatile surface for functionalization. In this work, graphene is incorporated into a

  11. Apparatus and method for enhanced chemical processing in high pressure and atmospheric plasmas produced by high frequency electromagnetic waves

    Science.gov (United States)

    Efthimion, Philip C.; Helfritch, Dennis J.

    1989-11-28

    An apparatus and method for creating high temperature plasmas for enhanced chemical processing of gaseous fluids, toxic chemicals, and the like, at a wide range of pressures, especially at atmospheric and high pressures includes an electro-magnetic resonator cavity, preferably a reentrant cavity, and a wave guiding structure which connects an electro-magnetic source to the cavity. The cavity includes an intake port and an exhaust port, each having apertures in the conductive walls of the cavity sufficient for the intake of the gaseous fluids and for the discharge of the processed gaseous fluids. The apertures are sufficiently small to prevent the leakage of the electro-magnetic radiation from the cavity. Gaseous fluid flowing from the direction of the electro-magnetic source through the guiding wave structure and into the cavity acts on the plasma to push it away from the guiding wave structure and the electro-magnetic source. The gaseous fluid flow confines the high temperature plasma inside the cavity and allows complete chemical processing of the gaseous fluids at a wide range of pressures.

  12. On the intrinsic moisture permeation rate of remote microwave plasma-deposited silicon nitride layers

    NARCIS (Netherlands)

    van Assche, F. J. H.; Unnikrishnan, S.; Michels, J. J.; van Mol, A. M. B.; van de Weijer, P.; M. C. M. van de Sanden,; Creatore, M.

    2014-01-01

    We report on a low substrate temperature (110 °C) remote microwave plasma-enhanced chemical vapor deposition (PECVD) process of silicon nitride barrier layers against moisture permeation for organic light emitting diodes (OLEDs) and other moisture sensitive devices such as organic

  13. Carbon Nanotube Field Emitters Synthesized on Metal Alloy Substrate by PECVD for Customized Compact Field Emission Devices to Be Used in X-Ray Source Applications

    Directory of Open Access Journals (Sweden)

    Sangjun Park

    2018-05-01

    Full Text Available In this study, a simple, efficient, and economical process is reported for the direct synthesis of carbon nanotube (CNT field emitters on metal alloy. Given that CNT field emitters can be customized with ease for compact and cold field emission devices, they are promising replacements for thermionic emitters in widely accessible X-ray source electron guns. High performance CNT emitter samples were prepared in optimized plasma conditions through the plasma-enhanced chemical vapor deposition (PECVD process and subsequently characterized by using a scanning electron microscope, tunneling electron microscope, and Raman spectroscopy. For the cathode current, field emission (FE characteristics with respective turn on (1 μA/cm2 and threshold (1 mA/cm2 field of 2.84 and 4.05 V/μm were obtained. For a field of 5.24 V/μm, maximum current density of 7 mA/cm2 was achieved and a field enhancement factor β of 2838 was calculated. In addition, the CNT emitters sustained a current density of 6.7 mA/cm2 for 420 min under a field of 5.2 V/μm, confirming good operational stability. Finally, an X-ray generated image of an integrated circuit was taken using the compact field emission device developed herein.

  14. Low temperature carrier transport study of monolayer MoS{sub 2} field effect transistors prepared by chemical vapor deposition under an atmospheric pressure

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Xinke, E-mail: xkliu@szu.edu.cn, E-mail: wujing026@gmail.com; He, Jiazhu; Tang, Dan; Lu, Youming; Zhu, Deliang; Liu, Wenjun; Cao, Peijiang; Han, Sun [College of Materials Science and Engineering, Shenzhen Engineering Laboratory for Advanced Technology of Ceramics, Nanshan District Key Lab for Biopolymer and Safety Evaluation, Shenzhen University, 3688 Nanhai Ave, Shenzhen 518060 (China); Liu, Qiang; Wen, Jiao; Yu, Wenjie [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, CAS, 865 Chang Ning Road, Shanghai 200050 (China); Liu, Wenjun [State Key Laboratory of ASIC and System, Department of Microelectronics, Fudan University, 220 Handan Road, Shanghai 200433 (China); Wu, Jing, E-mail: xkliu@szu.edu.cn, E-mail: wujing026@gmail.com [Department of Physics, National University of Singapore, 21 Lower Kent Ridge Road, 117576 Singapore (Singapore); He, Zhubing [Department of Materials Science and Engineering, South University of Science and Technology of China, 1088 Xueyuan Road, Shenzhen 518055 (China); Ang, Kah-Wee [Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, 117583 Singapore (Singapore)

    2015-09-28

    Large size monolayer Molybdenum disulphide (MoS{sub 2}) was successfully grown by chemical vapor deposition method under an atmospheric pressure. The electrical transport properties of the fabricated back-gate monolayer MoS{sub 2} field effect transistors (FETs) were investigated under low temperatures; a peak field effect mobility of 59 cm{sup 2}V{sup −1}s{sup −1} was achieved. With the assist of Raman measurement under low temperature, this work identified the mobility limiting factor for the monolayer MoS{sub 2} FETs: homopolar phonon scattering under low temperature and electron-polar optical phonon scattering at room temperature.

  15. Complex economic dynamics: Chaotic saddle, crisis and intermittency

    International Nuclear Information System (INIS)

    Chian, Abraham C.-L.; Rempel, Erico L.; Rogers, Colin

    2006-01-01

    Complex economic dynamics is studied by a forced oscillator model of business cycles. The technique of numerical modeling is applied to characterize the fundamental properties of complex economic systems which exhibit multiscale and multistability behaviors, as well as coexistence of order and chaos. In particular, we focus on the dynamics and structure of unstable periodic orbits and chaotic saddles within a periodic window of the bifurcation diagram, at the onset of a saddle-node bifurcation and of an attractor merging crisis, and in the chaotic regions associated with type-I intermittency and crisis-induced intermittency, in non-linear economic cycles. Inside a periodic window, chaotic saddles are responsible for the transient motion preceding convergence to a periodic or a chaotic attractor. The links between chaotic saddles, crisis and intermittency in complex economic dynamics are discussed. We show that a chaotic attractor is composed of chaotic saddles and unstable periodic orbits located in the gap regions of chaotic saddles. Non-linear modeling of economic chaotic saddle, crisis and intermittency can improve our understanding of the dynamics of financial intermittency observed in stock market and foreign exchange market. Characterization of the complex dynamics of economic systems is a powerful tool for pattern recognition and forecasting of business and financial cycles, as well as for optimization of management strategy and decision technology

  16. The Taylor saddle effacement: a new technique for correction of saddle nose deformity.

    Science.gov (United States)

    Taylor, S Mark; Rigby, Matthew H

    2008-02-01

    To describe a novel technique, the Taylor saddle effacement (TSE), for correction of saddle nose deformity using autologous grafts from the lower lateral cartilages. A prospective evaluation of six patients, all of whom had the TSE performed. Photographs were taken in combination with completion of a rhinoplasty outcomes questionnaire preoperatively and at 6 months. The questionnaire included a visual analogue scale (VAS) of nasal breathing and a rhinoplasty outcomes evaluation (ROE) of nasal function and esthetics. All six patients had improvement in both their global nasal airflow on the VAS and on their ROE that was statistically significant. The mean preoperative VAS score was 5.8 compared with our postoperative mean of 8.5 of a possible 10. Mean ROE scores improved from 34.7 to 85.5. At 6 months, all patients felt that their nasal appearance had improved. The TSE is a simple and reliable technique for correction of saddle nose deformity. This prospective study has demonstrated improvement in both nasal function and esthetics when it is employed.

  17. High power light gas helicon plasma source for VASIMR

    International Nuclear Information System (INIS)

    Squire, Jared P.; Chang-Diaz, Franklin R.; Glover, Timothy W.; Jacobson, Verlin T.; McCaskill, Greg E.; Winter, D. Scott; Baity, F. Wally; Carter, Mark D.; Goulding, Richard H.

    2006-01-01

    In the Advanced Space Propulsion Laboratory (ASPL) helicon experiment (VX-10) we have measured a plasma flux to input gas rate ratio near 100% for both helium and deuterium at power levels up to 10 kW. Recent results at Oak Ridge National Laboratory (ORNL) show enhanced efficiency operation with a high power density, over 5 kW in a 5 cm diameter tube. Our helicon is presently 9 cm in diameter and operates up to 10 kW of input power. The data here uses a Boswell double-saddle antenna design with a magnetic cusp just upstream of the antenna. Similar to ORNL, for deuterium at near 10 kW, we find an enhanced performance of operation at magnetic fields above the lower hybrid matching condition

  18. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    Science.gov (United States)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  19. Experimental investigation of vapor shielding effects induced by ELM-like pulsed plasma loads using the double plasma gun device

    Science.gov (United States)

    Sakuma, I.; Kikuchi, Y.; Kitagawa, Y.; Asai, Y.; Onishi, K.; Fukumoto, N.; Nagata, M.

    2015-08-01

    We have developed a unique experimental device of so-called double plasma gun, which consists of two magnetized coaxial plasma gun (MCPG) devices, in order to clarify effects of vapor shielding on material erosion due to transient events in magnetically confined fusion devices. Two ELM-like pulsed plasmas produced by the two MCPG devices were injected into a target chamber with a variable time difference. For generating ablated plasmas in front of a target material, an aluminum foil sample in the target chamber was exposed to a pulsed plasma produced by the 1st MCPG device. The 2nd pulsed plasma was produced with a time delay of 70 μs. It was found that a surface absorbed energy measured by a calorimeter was reduced to ∼66% of that without the Al foil sample. Thus, the reduction of the incoming plasma energy by the vapor shielding effect was successfully demonstrated in the present experiment.

  20. Experimental investigation of vapor shielding effects induced by ELM-like pulsed plasma loads using the double plasma gun device

    Energy Technology Data Exchange (ETDEWEB)

    Sakuma, I., E-mail: eu13z002@steng.u-hyogo.ac.jp; Kikuchi, Y.; Kitagawa, Y.; Asai, Y.; Onishi, K.; Fukumoto, N.; Nagata, M.

    2015-08-15

    We have developed a unique experimental device of so-called double plasma gun, which consists of two magnetized coaxial plasma gun (MCPG) devices, in order to clarify effects of vapor shielding on material erosion due to transient events in magnetically confined fusion devices. Two ELM-like pulsed plasmas produced by the two MCPG devices were injected into a target chamber with a variable time difference. For generating ablated plasmas in front of a target material, an aluminum foil sample in the target chamber was exposed to a pulsed plasma produced by the 1st MCPG device. The 2nd pulsed plasma was produced with a time delay of 70 μs. It was found that a surface absorbed energy measured by a calorimeter was reduced to ∼66% of that without the Al foil sample. Thus, the reduction of the incoming plasma energy by the vapor shielding effect was successfully demonstrated in the present experiment.

  1. Acceleration of saddle-point searches with machine learning

    Energy Technology Data Exchange (ETDEWEB)

    Peterson, Andrew A., E-mail: andrew-peterson@brown.edu [School of Engineering, Brown University, Providence, Rhode Island 02912 (United States)

    2016-08-21

    In atomistic simulations, the location of the saddle point on the potential-energy surface (PES) gives important information on transitions between local minima, for example, via transition-state theory. However, the search for saddle points often involves hundreds or thousands of ab initio force calls, which are typically all done at full accuracy. This results in the vast majority of the computational effort being spent calculating the electronic structure of states not important to the researcher, and very little time performing the calculation of the saddle point state itself. In this work, we describe how machine learning (ML) can reduce the number of intermediate ab initio calculations needed to locate saddle points. Since machine-learning models can learn from, and thus mimic, atomistic simulations, the saddle-point search can be conducted rapidly in the machine-learning representation. The saddle-point prediction can then be verified by an ab initio calculation; if it is incorrect, this strategically has identified regions of the PES where the machine-learning representation has insufficient training data. When these training data are used to improve the machine-learning model, the estimates greatly improve. This approach can be systematized, and in two simple example problems we demonstrate a dramatic reduction in the number of ab initio force calls. We expect that this approach and future refinements will greatly accelerate searches for saddle points, as well as other searches on the potential energy surface, as machine-learning methods see greater adoption by the atomistics community.

  2. Acceleration of saddle-point searches with machine learning

    International Nuclear Information System (INIS)

    Peterson, Andrew A.

    2016-01-01

    In atomistic simulations, the location of the saddle point on the potential-energy surface (PES) gives important information on transitions between local minima, for example, via transition-state theory. However, the search for saddle points often involves hundreds or thousands of ab initio force calls, which are typically all done at full accuracy. This results in the vast majority of the computational effort being spent calculating the electronic structure of states not important to the researcher, and very little time performing the calculation of the saddle point state itself. In this work, we describe how machine learning (ML) can reduce the number of intermediate ab initio calculations needed to locate saddle points. Since machine-learning models can learn from, and thus mimic, atomistic simulations, the saddle-point search can be conducted rapidly in the machine-learning representation. The saddle-point prediction can then be verified by an ab initio calculation; if it is incorrect, this strategically has identified regions of the PES where the machine-learning representation has insufficient training data. When these training data are used to improve the machine-learning model, the estimates greatly improve. This approach can be systematized, and in two simple example problems we demonstrate a dramatic reduction in the number of ab initio force calls. We expect that this approach and future refinements will greatly accelerate searches for saddle points, as well as other searches on the potential energy surface, as machine-learning methods see greater adoption by the atomistics community.

  3. Acceleration of saddle-point searches with machine learning.

    Science.gov (United States)

    Peterson, Andrew A

    2016-08-21

    In atomistic simulations, the location of the saddle point on the potential-energy surface (PES) gives important information on transitions between local minima, for example, via transition-state theory. However, the search for saddle points often involves hundreds or thousands of ab initio force calls, which are typically all done at full accuracy. This results in the vast majority of the computational effort being spent calculating the electronic structure of states not important to the researcher, and very little time performing the calculation of the saddle point state itself. In this work, we describe how machine learning (ML) can reduce the number of intermediate ab initio calculations needed to locate saddle points. Since machine-learning models can learn from, and thus mimic, atomistic simulations, the saddle-point search can be conducted rapidly in the machine-learning representation. The saddle-point prediction can then be verified by an ab initio calculation; if it is incorrect, this strategically has identified regions of the PES where the machine-learning representation has insufficient training data. When these training data are used to improve the machine-learning model, the estimates greatly improve. This approach can be systematized, and in two simple example problems we demonstrate a dramatic reduction in the number of ab initio force calls. We expect that this approach and future refinements will greatly accelerate searches for saddle points, as well as other searches on the potential energy surface, as machine-learning methods see greater adoption by the atomistics community.

  4. Influence of the radio-frequency power on the physical and optical properties of plasma polymerized cyclohexane thin films

    Energy Technology Data Exchange (ETDEWEB)

    Manaa, C., E-mail: chadlia.el.manaa@gmail.com [Laboratoire de Physique de la Matière Condensée, Université de Picardie Jules Verne, UFR des Sciences d' Amiens, 33 rue Saint Leu, 80039 Amiens CEDEX 2 (France); Laboratoire des Matériaux Avancés et Phénomènes Quantiques, Université de Tunis El-Manar, Faculté des Sciences de Tunis, Campus universitaire El-Manar, 1068 Tunis (Tunisia); Lejeune, M. [Laboratoire de Physique de la Matière Condensée, Université de Picardie Jules Verne, UFR des Sciences d' Amiens, 33 rue Saint Leu, 80039 Amiens CEDEX 2 (France); Kouki, F. [Laboratoire des Matériaux Avancés et Phénomènes Quantiques, Université de Tunis El-Manar, Faculté des Sciences de Tunis, Campus universitaire El-Manar, 1068 Tunis (Tunisia); Durand-Drouhin, O. [Laboratoire de Physique de la Matière Condensée, Université de Picardie Jules Verne, UFR des Sciences d' Amiens, 33 rue Saint Leu, 80039 Amiens CEDEX 2 (France); Bouchriha, H. [Laboratoire des Matériaux Avancés et Phénomènes Quantiques, Université de Tunis El-Manar, Faculté des Sciences de Tunis, Campus universitaire El-Manar, 1068 Tunis (Tunisia); and others

    2014-06-02

    We investigate in the present study the effects of the radio-frequency plasma power on the opto-electronical properties of the polymeric amorphous hydrogenated carbon thin films deposited at room temperature and different radio-frequency powers by plasma-enhanced chemical vapor deposition method using cyclohexane as precursor. A combination of U.V.–Visible and infrared transmission measurements is applied to characterize the bonding and electronic properties of these films. Some film properties namely surface roughness, contact angle, surface energy, and optical properties are found to be significantly influenced by the radio-frequency power. The changes in these properties are analyzed within the microstructural modifications occurring during growth. - Highlights: • Effects of the radio-frequency power on the optoelectronic properties of thin films • Elaboration of plasma polymerized thin films using cyclohexane as precursor gas • The use of U.V.–Visible-infrared transmission, and optical gap • Study of the surface topography of the films by using Atomic Force microscopy • The use of a capacitively coupled plasma enhanced chemical vapor deposition method.

  5. Influence of the radio-frequency power on the physical and optical properties of plasma polymerized cyclohexane thin films

    International Nuclear Information System (INIS)

    Manaa, C.; Lejeune, M.; Kouki, F.; Durand-Drouhin, O.; Bouchriha, H.

    2014-01-01

    We investigate in the present study the effects of the radio-frequency plasma power on the opto-electronical properties of the polymeric amorphous hydrogenated carbon thin films deposited at room temperature and different radio-frequency powers by plasma-enhanced chemical vapor deposition method using cyclohexane as precursor. A combination of U.V.–Visible and infrared transmission measurements is applied to characterize the bonding and electronic properties of these films. Some film properties namely surface roughness, contact angle, surface energy, and optical properties are found to be significantly influenced by the radio-frequency power. The changes in these properties are analyzed within the microstructural modifications occurring during growth. - Highlights: • Effects of the radio-frequency power on the optoelectronic properties of thin films • Elaboration of plasma polymerized thin films using cyclohexane as precursor gas • The use of U.V.–Visible-infrared transmission, and optical gap • Study of the surface topography of the films by using Atomic Force microscopy • The use of a capacitively coupled plasma enhanced chemical vapor deposition method

  6. Temporally asymmetric laser pulse for magnetic-field generation in plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Singh, Mamta; Gopal, Krishna; Gupta, Devki Nandan, E-mail: dngupta@physics.du.ac.in

    2016-04-01

    Of particular interest in this article, the case study of an asymmetric laser pulse interaction with a plasma for magnetic field enhancement has been investigated. The strong ponderomotive force due to the short leading edge of the propagating laser pulse drives a large nonlinear current, producing a stronger quasistatic magnetic field. An analytical expression for the magnetic field is derived and the strength of the magnetic field is estimated for the current laser-plasma parameters. The theoretical results are validated through the particle-in-cell (PIC) simulations and are in very close agreement with the simulation based estimations. This kind of magnetic field can be useful in the plasma based accelerators as well as in the laser-fusion based experiments. - Highlights: • We employ an asymmetric laser pulse to enhance the magnetic field strength in a plasma. • Short leading front of the pulse drives a strong ponderomotive force. • An analytical expression for the magnetic field is derived. • The strength of the magnetic field is estimated for the current laser–plasma parameters.

  7. Temporally asymmetric laser pulse for magnetic-field generation in plasmas

    International Nuclear Information System (INIS)

    Singh, Mamta; Gopal, Krishna; Gupta, Devki Nandan

    2016-01-01

    Of particular interest in this article, the case study of an asymmetric laser pulse interaction with a plasma for magnetic field enhancement has been investigated. The strong ponderomotive force due to the short leading edge of the propagating laser pulse drives a large nonlinear current, producing a stronger quasistatic magnetic field. An analytical expression for the magnetic field is derived and the strength of the magnetic field is estimated for the current laser-plasma parameters. The theoretical results are validated through the particle-in-cell (PIC) simulations and are in very close agreement with the simulation based estimations. This kind of magnetic field can be useful in the plasma based accelerators as well as in the laser-fusion based experiments. - Highlights: • We employ an asymmetric laser pulse to enhance the magnetic field strength in a plasma. • Short leading front of the pulse drives a strong ponderomotive force. • An analytical expression for the magnetic field is derived. • The strength of the magnetic field is estimated for the current laser–plasma parameters.

  8. Research on chemical vapor deposition processes for advanced ceramic coatings

    Science.gov (United States)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  9. Controllable growth of nanostructured carbon from coal tar pitch by chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu Xuguang; Yang Yongzhen; Ji Weiyun; Liu Hongyan; Zhang Chunyi; Xu Bingshe

    2007-01-01

    The direct synthesis of vapor grown carbon fibers with different diameters was achieved by the pyrolysis of coal tar pitch by chemical vapor deposition. The products were characterized by field-emission scanning electron microscopy, high resolution transmission electron microscopy, X-ray diffraction and Raman spectroscopy. The experimental results demonstrated that ferrocene content, reaction temperature and Ar flow rate strongly influenced the yield and nature of nanostructured carbon materials, pure carbon microbeads, with diameter distribution ranging from 450 to 650 nm, were also obtained in the absence of catalyst, uniform and straight carbon nanofibers with the outer diameter of about 115 nm were obtained and curl and thick carbon fibers with narrow diameter distribution of 300-350 nm were produced

  10. Effect of vapor-phase oxygen on chemical vapor deposition growth of graphene

    Science.gov (United States)

    Terasawa, Tomo-o.; Saiki, Koichiro

    2015-03-01

    To obtain a large-area single-crystal graphene, chemical vapor deposition (CVD) growth on Cu is considered the most promising. Recently, the surface oxygen on Cu has been found to suppress the nucleation of graphene. However, the effect of oxygen in the vapor phase was not elucidated sufficiently. Here, we investigate the effect of O2 partial pressure (PO2) on the CVD growth of graphene using radiation-mode optical microscopy. The nucleation density of graphene decreases monotonically with PO2, while its growth rate reaches a maximum at a certain pressure. Our results indicate that PO2 is an important parameter to optimize in the CVD growth of graphene.

  11. [Study of enhancement effect of laser-induced crater on plasma radiation].

    Science.gov (United States)

    Chen, Jin-Zhong; Zhang, Xiao-Ping; Guo, Qing-Lin; Su, Hong-Xin; Li, Guang

    2009-02-01

    Single pulses exported from high-energy neodymium glass laser were used to act on the same position of soil sample surface repeatedly, and the plasma emission spectra generated from sequential laser pulse action were collected by spectral recording system. The experimental results show that the laser-induced soil plasma radiation was enhanced continuously under the confinement effect of the crater walls, and the line intensities and signal-to-background ratios both had different improvements along with increasing the number of acting pulses. The photographs of the plasma image and crater appearance were taken to study the plasma shape, laser-induced crater appearance, and the mass of the ablated sample. The internal mechanism behind that laser-induced crater enhanced plasma radiation was researched. Under the sequential laser pulse action, the forming plasma as a result enlarges gradually first, leading to distortion at the trail of plasma plume, and then, its volume diminishes slowly. And also, the color of the plasma changes from buff to white gradually, which implies that the temperature increases constantly. The laser-induced crater had a regular shape, that is, the diameter increased from its bottom to top gradually, thus forming a taper. The mass of the laser-ablated substance descends along with increasing the amount of action pulse. Atomization degree of vaporized substance was improved in virtue of the crater confinement effect, Fresnel absorption produced from the crater walls reflection, and the inverse bremsstrahlung, and the plasma radiation intensity was enhanced as a result.

  12. Field emission current from a junction field-effect transistor

    Energy Technology Data Exchange (ETDEWEB)

    Monshipouri, Mahta; Abdi, Yaser, E-mail: y.abdi@ut.ac.ir [University of Tehran, Nano-Physics Research Laboratory, Department of Physics (Iran, Islamic Republic of)

    2015-04-15

    Fabrication of a titanium dioxide/carbon nanotube (TiO{sub 2}/CNT)-based transistor is reported. The transistor can be considered as a combination of a field emission transistor and a junction field-effect transistor. Using direct current plasma-enhanced chemical vapor deposition (DC-PECVD) technique, CNTs were grown on a p-typed (100)-oriented silicon substrate. The CNTs were then covered by TiO{sub 2} nanoparticles 2–5 nm in size, using an atmospheric pressure CVD technique. In this device, TiO{sub 2}/CNT junction is responsible for controlling the emission current. High on/off-current ratio and proper gate control are the most important advantages of device. A model based on Fowler–Nordheim equation is utilized for calculation of the emission current and the results are compared with experimental data. The effect of TiO{sub 2}/CNT hetero-structure is also investigated, and well modeled.

  13. Post-Decontamination Vapor Sampling and Analytical Test Methods

    Science.gov (United States)

    2015-08-12

    is decontaminated that could pose an exposure hazard to unprotected personnel. The chemical contaminants may include chemical warfare agents (CWAs... decontamination process. Chemical contaminants can include chemical warfare agents (CWAs) or their simulants, nontraditional agents (NTAs), toxic industrial...a range of test articles from coupons, panels, and small fielded equipment items. 15. SUBJECT TERMS Vapor hazard; vapor sampling; chemical warfare

  14. Novel high power impulse magnetron sputtering enhanced by an auxiliary electrical field

    Energy Technology Data Exchange (ETDEWEB)

    Li, Chunwei, E-mail: lcwnefu@126.com, E-mail: xiubotian@163.com [College of Engineering and Technology, Northeast Forestry University, Harbin 150040 (China); State Key Laboratory of Advanced Welding and Joining, Harbin Institute of Technology, Harbin 150001 (China); Tian, Xiubo, E-mail: lcwnefu@126.com, E-mail: xiubotian@163.com [State Key Laboratory of Advanced Welding and Joining, Harbin Institute of Technology, Harbin 150001 (China)

    2016-08-15

    The high power impulse magnetron sputtering (HIPIMS) technique is a novel highly ionized physical vapor deposition method with a high application potential. However, the electron utilization efficiency during sputtering is rather low and the metal particle ionization rate needs to be considerably improved to allow for a large-scale industrial application. Therefore, we enhanced the HIPIMS technique by simultaneously applying an electric field (EF-HIPIMS). The effect of the electric field on the discharge process was studied using a current sensor and an optical emission spectrometer. Furthermore, the spatial distribution of the electric potential and electric field during the EF-HIPIMS process was simulated using the ANSYS software. The results indicate that a higher electron utilization efficiency and a higher particle ionization rate could be achieved. The auxiliary anode obviously changed the distribution of the electric potential and the electric field in the discharge region, which increased the plasma density and enhanced the degree of ionization of the vanadium and argon gas. Vanadium films were deposited to further compare both techniques, and the morphology of the prepared films was investigated by scanning electron microscopy. The films showed a smaller crystal grain size and a denser growth structure when the electric field was applied during the discharge process.

  15. Paraffin/expanded graphite phase change composites with enhanced thermal conductivity prepared by implanted β-SiC nanowires with chemical vapor deposition method

    Science.gov (United States)

    Yin, Zhaoyu; Zhang, Xiaoguang; Huang, Zhaohui; Liu, Silin; Zhang, Weiyi; Liu, Yan'gai; Wu, Xiaowen; Fang, Minghao; Min, Xin

    2018-02-01

    Expanded graphite/β-SiC nanowires composites (ESNC) were prepared through chemical vapor deposition, and paraffin/expanded graphite/β-SiC nanowires composites (PESNC) were made through vacuum impregnation to overcome liquid leakage during phase transition and enhance the thermal conductivity of paraffin. Fourier transform infrared spectroscopy showed no chemical interactions between the paraffin and ESNC. Differential scanning calorimetry estimated the temperature and latent heat of PESNC during melting to 45.73 °C and 124.31 J g-1, respectively. The respective values of these quantities during freezing were recorded as 48.93 °C and 124.14 J g-1. The thermal conductivity of PESNC was estimated to 0.75 W mK-1, which was 3.26-folds that of pure paraffin (0.23 W mK-1). PESNC perfectly maintained its phase transition after 200 melting-freezing cycles. The resulting ideal thermal conductivity, good chemical stability, thermal properties and thermal reliability of PESNC are promising for use in energy efficient buildings and solar energy systems.

  16. Advances in the chemical vapor deposition (CVD) of Tantalum

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Christensen, Erik

    2014-01-01

    The chemical stability of tantalum in hot acidic media has made it a key material in the protection of industrial equipment from corrosion under such conditions. The Chemical Vapor Deposition of tantalum to achieve such thin corrosion resistant coatings is one of the most widely mentioned examples...

  17. Chemistry of plasma-polymerized vinyltriethoxysilane controlled by deposition conditions

    Czech Academy of Sciences Publication Activity Database

    Čech, V.; Zemek, Josef; Peřina, Vratislav

    2008-01-01

    Roč. 5, č. 8 (2008), s. 745-752 ISSN 1612-8850 Grant - others:GAČR(CZ) GA104/06/0437 Institutional research plan: CEZ:AV0Z10100521; CEZ:AV0Z10480505 Keywords : ESCA/XPS * FTIR * plasma-enhanced chemical vapor deposition (PECVD) * Rutherford back-scattering (RBS) * thin films Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.921, year: 2008

  18. Fabrication of Cf/SiC composite by chemical vapor infiltration

    International Nuclear Information System (INIS)

    Park, Ji Yeon; Kim, Weon Ju

    2003-07-01

    This technical report reviewed the fabrication process of fiber reinforced ceramic composites, characteristics of the chemical vapor infiltration process, and applications for C f /SiC composite to develop a carbon fiber reinforced silicon carbide composite. Infiltration process was performed by the chemical vapor infiltration process using methyltrichlorosilane and hydrogen gas as a source and a diluent, respectively. Infiltration behavior, phase analysis, microstructure observation were carried out. Parameter study results of C f /SiC composite fabricated with some variables such as reaction pressure, reaction temperature, input gas ratio and preform thickness were described

  19. Synergy between plasma-assisted ALD and roll-to-roll atmospheric pressure PE-CVD processing of moisture barrier films on polymers

    NARCIS (Netherlands)

    Starostin, S.A.; Keuning, W.; Schalken, J.R.G.; Creatore, M.; Kessels, W.M.M.; Bouwstra, J.B.; Sanden, van de M.C.M.; Vries, de H.W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  20. Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers

    NARCIS (Netherlands)

    Starostin, S. A.; Keuning, W.; Schalken, J.; Creatore, M.; Kessels, W. M. M.; Bouwstra, J. B.; van de Sanden, M. C. M.; de Vries, H. W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  1. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    Science.gov (United States)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  2. Depth-resolved detection and process dependence of traps at ultrathin plasma-oxidized and deposited SiO2/Si interfaces

    International Nuclear Information System (INIS)

    Brillson, L. J.; Young, A. P.; White, B. D.; Schaefer, J.; Niimi, H.; Lee, Y. M.; Lucovsky, G.

    2000-01-01

    Low-energy electron-excited nanoluminescence spectroscopy reveals depth-resolved optical emission associated with traps near the interface between ultrathin SiO 2 deposited by plasma-enhanced chemical vapor deposition on plasma-oxidized crystalline Si. These near-interface states exhibit a strong dependence on local chemical bonding changes introduced by thermal/gas processing, layer-specific nitridation, or depth-dependent radiation exposure. The depth-dependent results provide a means to test chemical and structural bond models used to develop advanced dielectric-semiconductor junctions. (c) 2000 American Vacuum Society

  3. Solar saddle bags. Solar-Fahrradpacktaschen

    Energy Technology Data Exchange (ETDEWEB)

    Willems, M

    1991-09-12

    The invention consists of the arrangement of solar cells on the upper side of saddle bags of every design (handle bar pocket, bicycle saddle bag etc.) which charge the accumulators in the pack pocket. One can drive the alternator of the bicycle, a transistor radio, a cassette tape recorder, or similar, with the power from the accumulators. The lamp and the taillight of the bicycle can still be used. The solar cells can be attached firmly to the pack pocket. However, they can also be assembled detachably, e.g. by push-buttons or zip-fasteners.

  4. SiO2 films deposited on silicon at low temperature by plasma-enhanced decomposition of hexamethyldisilazane: Defect characterization

    International Nuclear Information System (INIS)

    Croci, S.; Pecheur, A.; Autran, J.L.; Vedda, A.; Caccavale, F.; Martini, M.; Spinolo, G.

    2001-01-01

    Silicon dioxide films have been deposited by plasma-enhanced chemical vapor deposition at low substrate temperature (50 deg. C) in a parallel-plate reactor using hexamethyldisilazane (HMDS), diluted in He, and O 2 as Si and O precursors. The effect of the O 2 /(HMDS+He) flow rate ratio on the oxide properties has been investigated in the range of 0.05-1.25 by means of deposition rate, wet etching rate, secondary ion mass spectrometry, thermally stimulated luminescence, and high frequency capacitance-voltage measurements. Both the deposition rate and the etching rate increase by increasing the O 2 /(HMDS+He) flow rate ratio and reach a constant value at flow rate ratios higher than 0.6. The strong increase and saturation in the deposition rate can be attributed to the impinging oxide atoms flux and to the consumption of silyl radicals at the deposition surface, respectively. The Si/SiO 2 interface state density and the positive fixed charge density are in the range 1x10 11 -1x10 12 eV -1 cm -2 and 6x10 11 -1.5x10 12 C cm -2 , respectively. These concentrations are comparable with literature data concerning SiO 2 films obtained by plasma enhanced chemical vapor deposition at temperatures higher than 200 deg. C using other Si precursors. Moreover, the interface state density decreases while the fixed oxide charge increases by increasing the O 2 /(HMDS+He) flow rate ratio. A correlation has been found between defects monitored by thermally stimulated luminescence and fixed oxide charges. From a comparison with secondary ion mass spectrometry results, the fixed oxide charges can be preliminarily attributed to intrinsic defects

  5. Classification Characteristics of Carbon Nanotube Polymer Composite Chemical Vapor Detectors

    National Research Council Canada - National Science Library

    Hinshaw, Huynh A

    2006-01-01

    .... This is accomplished by the detection and identification of chemical agents. The Air Force has several instruments to detect chemical vapors, but is always looking for lighter, faster, and more accurate technology for a better capability...

  6. Investigation of plasma potential and pulsed discharge characteristics in enhanced glow discharge plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Li Liuhe; Lu Qiuyuan; Fu, Ricky K.Y.; Chu, Paul K.

    2009-01-01

    Enhanced glow discharge plasma immersion ion implantation and deposition (EGD-PII and D) does not require external plasma sources. In this technique, the plasma is produced by self-glow discharge when a high negative voltage is applied to the sample. The small-area, pointed-shape hollow anode and large area tabular cathode form an electron-focused electric field. Using a special electric field design, the electrons from either the plasma or target (secondary electrons) are focused to a special hollow anode. As a result of the special electron-focusing field, the self-glow discharge process can be enhanced to achieve effective ion implantation into the substrate. In this work, the plasma potential distribution is investigated in details and the possible pulse discharge mechanism is discussed. The unique characteristics of the pulsed plasma and plasma extinction are studied.

  7. Laser pulse propagation in a meter scale rubidium vapor/plasma cell in AWAKE experiment

    Energy Technology Data Exchange (ETDEWEB)

    Joulaei, A. [Max-Planck Institute for Physics, Munich (Germany); University of Mazandaran (Iran, Islamic Republic of); Moody, J. [Max-Planck Institute for Physics, Munich (Germany); Berti, N.; Kasparian, J. [University of Geneva (Switzerland); Mirzanejhad, S. [University of Mazandaran (Iran, Islamic Republic of); Muggli, P. [Max-Planck Institute for Physics, Munich (Germany)

    2016-09-01

    We present the results of numerical studies of laser pulse propagating in a 3.5 cm Rb vapor cell in the linear dispersion regime by using a 1D model and a 2D code that has been modified for our special case. The 2D simulation finally aimed at finding laser beam parameters suitable to make the Rb vapor fully ionized to obtain a uniform, 10 m-long, at least 1 mm in radius plasma in the next step for the AWAKE experiment. - Highlights: • Discussion the AWAKE plasma source based on photoionization of rubidium vapor with a TW/cm^2 Intensity laser with a spectrum across valence ground state transition resonances. • Examines the propagation of the AWAKE ionization laser through rubidium vapor at design density on a small scale and reduced intensity with a linear numerical model compared to experimental results. • Discusses physics of pulse propagation through the vapor at high intensity regime where strong ionization occurs within the laser pulse.

  8. The Antitriangular Factorization of Saddle Point Matrices

    KAUST Repository

    Pestana, J.

    2014-01-01

    Mastronardi and Van Dooren [SIAM J. Matrix Anal. Appl., 34 (2013), pp. 173-196] recently introduced the block antitriangular ("Batman") decomposition for symmetric indefinite matrices. Here we show the simplification of this factorization for saddle point matrices and demonstrate how it represents the common nullspace method. We show that rank-1 updates to the saddle point matrix can be easily incorporated into the factorization and give bounds on the eigenvalues of matrices important in saddle point theory. We show the relation of this factorization to constraint preconditioning and how it transforms but preserves the structure of block diagonal and block triangular preconditioners. © 2014 Society for Industrial and Applied Mathematics.

  9. Plasma surface interactions in Q-enhanced mirror systems

    International Nuclear Information System (INIS)

    Post, R.F.

    1978-01-01

    Two approaches to enhancement of the Q (energy gain) factor of mirror systems are under study at Livermore. These include the Tandem Mirror and the Field Reversed Mirror. Both of these new ideas preserve features of conventional mirror systems as far as plasma-wall interactions are concerned. Specifically in both approaches field lines exit from the ends of the system and impinge on walls located at a distance from the confinement chamber. It is possible to predict some aspects of the plasma/surface interactions of TM and FRM systems from experience obtained in the Livermore 2XIIB experiment. In particular, as observed in 2XIIB, effective isolation of the plasma from thermal contact with the ends owing to the development of sheath-like regions is to be expected. Studies presently underway directed toward still further enhancing the decoupling of the plasma from the effects of plasma surface interactions at the walls will be discussed, with particular reference to the problem of minimizing the effects of refluxing secondary electrons produced by plasma impact on the end walls

  10. Field emission from vertically aligned few-layer graphene

    International Nuclear Information System (INIS)

    Malesevic, Alexander; Kemps, Raymond; Vanhulsel, Annick; Chowdhury, Manish Pal; Volodin, Alexander; Van Haesendonck, Chris

    2008-01-01

    The electric field emission behavior of vertically aligned few-layer graphene was studied in a parallel plate-type setup. Few-layer graphene was synthesized in the absence of any metallic catalyst by microwave plasma enhanced chemical vapor deposition with gas mixtures of methane and hydrogen. The deposit consists of nanostructures that are several micrometers wide, highly crystalline stacks of four to six atomic layers of graphene, aligned vertically to the substrate surface in a high density network. The few-layer graphene is found to be a good field emitter, characterized by turn-on fields as low as 1 V/μm and field amplification factors up to several thousands. We observe a clear dependence of the few-layer graphene field emission behavior on the synthesis parameters: Hydrogen is identified as an efficient etchant to improve field emission, and samples grown on titanium show lower turn-on field values and higher amplification factors when compared to samples grown on silicon

  11. The development of chemically vapor deposited mullite coatings for the corrosion protection of SiC

    Energy Technology Data Exchange (ETDEWEB)

    Auger, M.; Hou, P.; Sengupta, A.; Basu, S.; Sarin, V. [Boston Univ., MA (United States)

    1998-05-01

    Crystalline mullite coatings have been chemically vapor deposited onto SiC substrates to enhance the corrosion and oxidation resistance of the substrate. Current research has been divided into three distinct areas: (1) Development of the deposition processing conditions for increased control over coating`s growth rate, microstructure, and morphology; (2) Analysis of the coating`s crystal structure and stability; (3) The corrosion resistance of the CVD mullite coating on SiC.

  12. Metallic 1T phase source/drain electrodes for field effect transistors from chemical vapor deposited MoS{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Kappera, Rajesh; Voiry, Damien; Jen, Wesley; Acerce, Muharrem; Torrel, Sol; Chhowalla, Manish, E-mail: manish1@rci.rutgers.edu [Materials Science and Engineering, Rutgers University, 607 Taylor Road, Piscataway, New Jersey 08854 (United States); Yalcin, Sibel Ebru; Branch, Brittany; Gupta, Gautam; Mohite, Aditya D. [MPA-11 Materials Synthesis and Integrated Devices, Los Alamos National Laboratory, Los Alamos, New Mexico 87545 (United States); Lei, Sidong; Chen, Weibing; Najmaei, Sina; Lou, Jun; Ajayan, Pulickel M. [Mechanical Engineering and Materials Science Department, Rice University, Houston, Texas 77005 (United States)

    2014-09-01

    Two dimensional transition metal dichalcogenides (2D TMDs) offer promise as opto-electronic materials due to their direct band gap and reasonably good mobility values. However, most metals form high resistance contacts on semiconducting TMDs such as MoS{sub 2}. The large contact resistance limits the performance of devices. Unlike bulk materials, low contact resistance cannot be stably achieved in 2D materials by doping. Here we build on our previous work in which we demonstrated that it is possible to achieve low contact resistance electrodes by phase transformation. We show that similar to the previously demonstrated mechanically exfoliated samples, it is possible to decrease the contact resistance and enhance the FET performance by locally inducing and patterning the metallic 1T phase of MoS{sub 2} on chemically vapor deposited material. The device properties are substantially improved with 1T phase source/drain electrodes.

  13. Morphologic and Chemical Properties of PMMA/ATH Layers with Enhanced Abrasion Resistance Realised by Cold Plasma Spraying at Atmospheric Pressure

    Directory of Open Access Journals (Sweden)

    L. Wallenhorst

    2018-01-01

    Full Text Available This study investigated the morphologic and chemical properties of coatings based on PMMA/ATH powder and deposited by cold plasma spraying on wood and glass. Since the deposition of pure PMMA/ATH powder with air as process gas yielded coatings with insufficient abrasion resistance, two modifications of the basic process were investigated. Previous studies showed that replacing air as process gas with forming gas did not enhance the abrasion resistance, but the addition of a phenol-formaldehyde resin (PF succeeded in stabilising the particle coatings. In this work, results from morphologic and chemical analysis suggested an encasement of the PMMA/ATH particles by plasma-modified PF and thus a fusion of individual particles, explaining the enhanced bonding. Moreover, adhesion tests confirmed an outstanding bonding between the coating and wood as well as glass, which is assumed to result from interactions between the PF’s hydroxyl groups and functional groups on the substrates’ surfaces. Studies on the wettability revealed a hydrophobic character of such coatings, therefore generally indicating a possible application, for example, to reduce water uptake by wooden materials.

  14. Amorphous hydrogenated carbon films treated by SF{sub 6} plasma

    Energy Technology Data Exchange (ETDEWEB)

    Marins, N M S; Mota, R P; Santos, D C R; Honda, R Y; Kayama, M E; Kostov, K G; Algatti, M A [Laboratorio de Plasma, Faculdade de Engenharia, UNESP, Av. Dr. Ariberto Pereira da Cunha-333, 12516-410, Guaratingueta, SP (Brazil); Cruz, N C; Rangel, E C, E-mail: nazir@feg.unesp.b [Laboratorio de Plasmas Tecnologicos, Unidade Diferenciada Sorocaba/Ipero, UNESP, Av. Tres de Marco-511, 18085-180, Sorocaba, SP (Brazil)

    2009-05-01

    This work was performed to verify the chemical structure, mechanical and hydrophilic properties of amorphous hydrogenated carbon films prepared by plasma enhanced chemical vapor deposition, using acetylene/argon mixture as monomer. Films were prepared in a cylindrical quartz reactor, fed by 13.56 MHz radiofrequency. The films were grown during 5 min, for power varying from 25 to 125 W at a fixed pressure of 9.5 Pa. After deposition, all samples were treated by SF{sub 6} plasma with the aim of changing their hydrophilic character. Film chemical structure investigated by Raman spectroscopy, revealed the increase of sp{sup 3} hybridized carbon bonds as the plasma power increases. Hardness measurements performed by the nanoindentation technique showed an improvement from 5 GPa to 14 GPa following the increase discharge power. The untreated films presented a hydrophilic character, which slightly diminished after SF{sub 6} plasma treatment.

  15. Plasma diffusion due to magnetic field fluctuations

    International Nuclear Information System (INIS)

    Okuda, H.; Lee, W.W.; Lin, A.T.

    1979-01-01

    Plasma diffusion due to magnetic field fluctuations has been studied in two dimensions for a plasma near thermal equilibrium and when the fluctuations are suprathermal. It is found that near thermal equilibrium electron diffusion varies as B -2 when the collisionless skin depth is greater than the thermal electron gyroradius and is generally smaller than the diffusion due to collisions or electrostatic fluctuations for a low-β plasma. When the suprathermal magnetic fluctuation exists because of macroscopic plasma currents, electron diffusion is enhanced due to the coalescence of current filaments and magnetic islands. Magnetic field energy is found to condense to the longest wavelength available in the system and stays there longer than the electron diffusion time scale

  16. Fabrication of aligned carbon nanotubes on Cu catalyst by dc plasma-enhanced catalytic decomposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhang Zhejuan [Department of Physics, Engineering Research Center for Nanophotonics and Advanced Instrument, East China Normal University, North Zhongshan Road 3663, 200062 Shanghai (China); Shakerzadeh, Maziar; Tay, Beng Kang; Li Xiaocheng; Tan Chongwei [School of Electrical and Electronic Engineering, Nanyang Technological University, Nanyang Avenue 50, 639798 Singapore (Singapore); Lin Lifeng; Guo Pingsheng; Feng Tao [Department of Physics, Engineering Research Center for Nanophotonics and Advanced Instrument, East China Normal University, North Zhongshan Road 3663, 200062 Shanghai (China); Sun Zhuo, E-mail: zsun@phy.ecnu.edu.cn [Department of Physics, Engineering Research Center for Nanophotonics and Advanced Instrument, East China Normal University, North Zhongshan Road 3663, 200062 Shanghai (China)

    2009-04-01

    Aligned multi-walled carbon nanotubes (ACNTs) are deposited using copper (Cu) catalyst on Chromium (Cr)-coated substrate by plasma-enhanced chemical vapor deposition at temperature of 700 deg. C. Acetylene gas has been used as the carbon source while ammonia is used for diluting and etching. The thicknesses of Cu films on Cr-coated Si (100) substrates are controlled by deposition time of magnetron sputtering. The growth behaviors and quality of ACNTs are investigated by scanning electron microscopy (SEM) and transmission electron microscopy. The different performance of ACNTs on various Cu films is explained by referring to the graphitic order as detected by Raman spectroscopy. The results indicate that the ACNTs are formed in tip-growth model where Cu is used as a novel catalyst, and the thickness of Cu films is responsible to the diameter and quality of synthesized CNTs.

  17. Towards Enhanced Performance Thin-film Composite Membranes via Surface Plasma Modification

    Science.gov (United States)

    Reis, Rackel; Dumée, Ludovic F.; Tardy, Blaise L.; Dagastine, Raymond; Orbell, John D.; Schutz, Jürg A.; Duke, Mikel C.

    2016-01-01

    Advancing the design of thin-film composite membrane surfaces is one of the most promising pathways to deal with treating varying water qualities and increase their long-term stability and permeability. Although plasma technologies have been explored for surface modification of bulk micro and ultrafiltration membrane materials, the modification of thin film composite membranes is yet to be systematically investigated. Here, the performance of commercial thin-film composite desalination membranes has been significantly enhanced by rapid and facile, low pressure, argon plasma activation. Pressure driven water desalination tests showed that at low power density, flux was improved by 22% without compromising salt rejection. Various plasma durations and excitation powers have been systematically evaluated to assess the impact of plasma glow reactions on the physico-chemical properties of these materials associated with permeability. With increasing power density, plasma treatment enhanced the hydrophilicity of the surfaces, where water contact angles decreasing by 70% were strongly correlated with increased negative charge and smooth uniform surface morphology. These results highlight a versatile chemical modification technique for post-treatment of commercial membrane products that provides uniform morphology and chemically altered surface properties. PMID:27363670

  18. Thermodynamic properties for applications in chemical industry via classical force fields.

    Science.gov (United States)

    Guevara-Carrion, Gabriela; Hasse, Hans; Vrabec, Jadran

    2012-01-01

    Thermodynamic properties of fluids are of key importance for the chemical industry. Presently, the fluid property models used in process design and optimization are mostly equations of state or G (E) models, which are parameterized using experimental data. Molecular modeling and simulation based on classical force fields is a promising alternative route, which in many cases reasonably complements the well established methods. This chapter gives an introduction to the state-of-the-art in this field regarding molecular models, simulation methods, and tools. Attention is given to the way modeling and simulation on the scale of molecular force fields interact with other scales, which is mainly by parameter inheritance. Parameters for molecular force fields are determined both bottom-up from quantum chemistry and top-down from experimental data. Commonly used functional forms for describing the intra- and intermolecular interactions are presented. Several approaches for ab initio to empirical force field parameterization are discussed. Some transferable force field families, which are frequently used in chemical engineering applications, are described. Furthermore, some examples of force fields that were parameterized for specific molecules are given. Molecular dynamics and Monte Carlo methods for the calculation of transport properties and vapor-liquid equilibria are introduced. Two case studies are presented. First, using liquid ammonia as an example, the capabilities of semi-empirical force fields, parameterized on the basis of quantum chemical information and experimental data, are discussed with respect to thermodynamic properties that are relevant for the chemical industry. Second, the ability of molecular simulation methods to describe accurately vapor-liquid equilibrium properties of binary mixtures containing CO(2) is shown.

  19. Organic, inorganic and total mercury determination in fish by chemical vapor generation with collection on a gold gauze and electrothermal atomic absorption spectrometry

    International Nuclear Information System (INIS)

    Duarte, Fabio Andrei; Bizzi, Cezar Augusto; Goldschmidt Antes, Fabiane; Dressler, Valderi Luiz; Flores, Erico Marlon de Moraes

    2009-01-01

    A method for organic, inorganic and total mercury determination in fish tissue has been developed using chemical vapor generation and collection of mercury vapor on a gold gauze inside a graphite tube and further atomization by electrothermal atomic absorption spectrometry. After drying and cryogenic grinding, potassium bromide and hydrochloric acid solution (1 mol L - 1 KBr in 6 mol L - 1 HCl) was added to the samples. After centrifugation, total mercury was determined in the supernatant. Organomercury compounds were selectively extracted from KBr solution using chloroform and the resultant solution was back extracted with 1% m/v L-cysteine. This solution was used for organic Hg determination. Inorganic Hg remaining in KBr solution was directly determined by chemical vapor generation electrothermal atomic absorption spectrometry. Mercury vapor generation from extracts was performed using 1 mol L - 1 HCl and 2.5% m/v NaBH 4 solutions and a batch chemical vapor generation system. Mercury vapor was collected on the gold gauze heated resistively at 80 deg. C and the atomization temperature was set at 650 deg. C. The selectivity of extraction was evaluated using liquid chromatography coupled to chemical vapor generation and determination by inductively coupled plasma mass spectrometry. The proposed method was applied for mercury analysis in shark, croaker and tuna fish tissues. Certified reference materials were used to check accuracy and the agreement was better than 95%. The characteristic mass was 60 pg and method limits of detection were 5, 1 and 1 ng g - 1 for organic, inorganic and total mercury, respectively. With the proposed method it was possible to analyze up to 2, 2 and 6 samples per hour for organic, inorganic and total Hg determination, respectively.

  20. Power inverter design for ASDEX Upgrade saddle coils

    Energy Technology Data Exchange (ETDEWEB)

    Teschke, M., E-mail: teschke@ipp.mpg.de [Max Planck Institute for Plasma Physics, EURATOM Association, Boltzmannstr. 2, 85748 Garching (Germany); Suttrop, W.; Rott, M. [Max Planck Institute for Plasma Physics, EURATOM Association, Boltzmannstr. 2, 85748 Garching (Germany)

    2013-10-15

    Highlights: ► A cost effective inverter topology for AUG's 16 in-vessel saddle coils has been found. ► Use of commercially available power modules is possible. ► Exchange of reactive power between multiple inverters is possible. ► Influence of electromagnetic noise to AUG's diagnostics was measured. ► Gas insulation of electric feed through significantly depends on magnetic fields. It is protected by fast turn-off circuit. -- Abstract: A set of 16 in-vessel saddle coils has been installed in the ASDEX Upgrade (AUG) experiment since the end of 2011 [1]. To achieve full performance, it is necessary to operate them with alternating current (AC) of arbitrary waveforms. To generate spatially resolved magnetic fields, it is required to allocate separate power inverters to every single coil. Therefore, different topologies are analyzed and compared. Studies of the commutation behavior of power stages, different pulse width modulation (PWM) schemes and single-phase-to-earth fault detection are executed. Experiments to evaluate the electromagnetic interference (EMI) of possible inverter topologies on the AUG diagnostics are done as well. A special focus is put on the feasibility of analyzed topologies using industrially available and fully assembled “power modules” to minimize development effort and costs.

  1. Microwave assisted chemical vapor infiltration

    International Nuclear Information System (INIS)

    Devlin, D.J.; Currier, R.P.; Barbero, R.S.; Espinoza, B.F.; Elliott, N.

    1991-01-01

    A microwave assisted process for production of continuous fiber reinforced ceramic matrix composites is described. A simple apparatus combining a chemical vapor infiltration reactor with a conventional 700 W multimode oven is described. Microwave induced inverted thermal gradients are exploited with the ultimate goal of reducing processing times on complex shapes. Thermal gradients in stacks of SiC (Nicalon) cloths have been measured using optical thermometry. Initial results on the ''inside out'' deposition of SiC via decomposition of methyltrichlorosilane in hydrogen are presented. Several key processing issues are identified and discussed. 5 refs

  2. Overview of chemical vapor infiltration

    Energy Technology Data Exchange (ETDEWEB)

    Besmann, T.M.; Stinton, D.P.; Lowden, R.A.

    1993-06-01

    Chemical vapor infiltration (CVI) is developing into a commercially important method for the fabrication of continuous filament ceramic composites. Current efforts are focused on the development of an improved understanding of the various processes in CVI and its modeling. New approaches to CVI are being explored, including pressure pulse infiltration and microwave heating. Material development is also proceeding with emphasis on improving the oxidation resistance of the interfacial layer between the fiber and matrix. This paper briefly reviews these subjects, indicating the current state of the science and technology.

  3. Plasma assisted fabrication of multi-layer graphene/nickel hybrid film as enhanced micro-supercapacitor electrodes

    Science.gov (United States)

    Ding, Q.; Li, W. L.; Zhao, W. L.; Wang, J. Y.; Xing, Y. P.; Li, X.; Xue, T.; Qi, W.; Zhang, K. L.; Yang, Z. C.; Zhao, J. S.

    2017-03-01

    A facile synthesis strategy has been developed for fabricating multi-layer graphene/nickel hybrid film as micro-supercapacitor electrodes by using plasma enhanced chemical vapor deposition. The as-presented method is advantageous for rapid graphene growth at relatively low temperature of 650 °C. In addition, after pre-treating for the as-deposited nickel film by using argon plasma bombardment, the surface-to-volume ratio of graphene film on the treated nickel substrate is effectively increased by the increasing of surface roughness. This is demonstrated by the characterization results from transmission electron microscopy, scanning electron microscope and atomic force microscopy. Moreover, the electrochemical performance of the resultant graphene/nickel hybrid film as micro-supercapacitor working electrode was investigated by cyclic voltammetry and galvanostatic charge/discharge measurements. It was found that the increase of the surface-to-volume ratio of graphene/nickel hybrid film improved the specific capacitance of 10 times as the working electrode of micro-supercapacitor. Finally, by using comb columnar shadow mask pattern, the micro-supercapacitor full cell device was fabricated. The electrochemical performance measurements of the micro-supercapacitor devices indicate that the method presented in this study provides an effective way to fabricate micro-supercapacitor device with enhanced energy storage property.

  4. Enhanced field emission properties of vertically aligned double-walled carbon nanotube arrays

    International Nuclear Information System (INIS)

    Chen, Guohai; Shin, Dong Hoon; Lee, Cheol Jin; Iwasaki, Takayuki; Kawarada, Hiroshi

    2008-01-01

    Vertically aligned double-walled carbon nanotube (VA-DWCNT) arrays were synthesized by point-arc microwave plasma chemical vapor deposition on Cr/n-Si and SiO 2 /n-Si substrates. The outer tube diameters of VA-DWCNTs are in the range of 2.5-3.8 nm, and the average interlayer spacing is approximately 0.42 nm. The field emission properties of these VA-DWCNTs were studied. It was found that a VA-DWCNT array grown on a Cr/n-Si substrate had better field emission properties as compared with a VA-DWCNT array grown on a SiO 2 /n-Si substrate and randomly oriented DWCNTs, showing a turn-on field of about 0.85 V μm -1 at the emission current density of 0.1 μA cm -2 and a threshold field of 1.67 V μm -1 at the emission current density of 1.0 mA cm -2 . The better field emission performance of the VA-DWCNT array was mainly attributed to the vertical alignment of DWCNTs on the Cr/n-Si substrate and the low contact resistance between CNTs and the Cr/n-Si substrate

  5. Criteria governing electron plasma waves in a two-temperature plasma

    International Nuclear Information System (INIS)

    Dell, M.P.; Gledhill, I.M.A.; Hellberg, M.A.

    1987-01-01

    Using a technique based on the saddle-points of the dielectric function, criteria are found which govern the behaviour of electron plasma waves in plasmas with two electron populations having different temperatures. (orig.)

  6. Measurement of droplet vaporization rate enhancement caused by acoustic disturbances

    Science.gov (United States)

    Anderson, T. J.; Winter, M.

    1992-10-01

    Advanced laser diagnostics are being applied to quantify droplet vaporization enhancement in the presence of acoustic fields which can lead to instability in liquid-fueled rockets. While models have been developed to describe the interactions between subcritical droplet vaporization and acoustic fields in the surrounding gases, they have not been verified experimentally. In the super critical environment of a rocket engine combustor, little is understood about how the injected fluid is distributed. Experiments in these areas have been limited because of the lack of diagnostic techniques capable of providing quantitative results. Recently, however, extremely accurate vaporization rate measurements have been performed on droplets in a subcritical environment using morphology-dependent resonances (MDR's) in which fluorescence from an individual droplet provides information about its diameter. Initial measurements on methanol droplets behind a pressure pulse with a pressure ratio of 1.2 indicated that the evaporation rate in the first few microsec after wave passage was extremely high. Subsequent measurements have been made to validate these results using MDR's acquired from similarly-sized droplets using a pulse with a 1.1 pressure ratio. A baseline measurement was also made using a non evaporative fluid under similar Weber and Reynolds number conditions. The MDR technique employed for these measurements is explained and the facilities are described. The evaporation measurement results are shown and the rates observed from different droplet materials and different wave strengths are compared.

  7. Hydrogen plasma treatment of silicon dioxide for improved silane deposition.

    Science.gov (United States)

    Gupta, Vipul; Madaan, Nitesh; Jensen, David S; Kunzler, Shawn C; Linford, Matthew R

    2013-03-19

    We describe a method for plasma cleaning silicon surfaces in a commercial tool that removes adventitious organic contamination and enhances silane deposition. As shown by wetting, ellipsometry, and XPS, hydrogen, oxygen, and argon plasmas effectively clean Si/SiO2 surfaces. However, only hydrogen plasmas appear to enhance subsequent low-pressure chemical vapor deposition of silanes. Chemical differences between the surfaces were confirmed via (i) deposition of two different silanes: octyldimethylmethoxysilane and butyldimethylmethoxysilane, as evidenced by spectroscopic ellipsometry and wetting, and (ii) a principal components analysis (PCA) of TOF-SIMS data taken from the different plasma-treated surfaces. AFM shows no increase in surface roughness after H2 or O2 plasma treatment of Si/SiO2. The effects of surface treatment with H2/O2 plasmas in different gas ratios, which should allow greater control of surface chemistry, and the duration of the H2 plasma (complete surface treatment appeared to take place quickly) are also presented. We believe that this work is significant because of the importance of silanes as surface functionalization reagents, and in particular because of the increasing importance of gas phase silane deposition.

  8. Optimization of field emission properties of carbon nanotubes by Taguchi method

    International Nuclear Information System (INIS)

    Ting, J.-H.; Chang, C.-C.; Chen, S.-L.; Lu, D.-S.; Kung, C.-Y.; Huang, F.-Y.

    2006-01-01

    It is the purpose of this study to evaluate the field emission property of carbon nanotubes (CNTs) prepared by microwave plasma-enhanced chemical vapor deposition (MPCVD) method. Nickel layer of 5 nm in thickness on 20-nm thickness titanium nitride film was transformed into discrete islands after hydrogen plasma pretreatment. CNTs were then grown up on Ni-coated areas by MPCVD. Through the practice of Taguchi method, superior CNT films with very low emission onset electric field, about 0.7 V/μm (at J = 10 μA/cm 2 ), are attained without post-deposition treatment. It is found that microwave power has the most important influence on the field emission characteristics of CNT films. The increase of methane flow ratio will downgrade the degree of graphitization of CNT and thus its field emission characteristics. Scanning electron microscope and transmission electron microscopy (TEM) observation and energy dispersive X-ray spectrometer analysis reveal that CNT growth by MPCVD is based on tip-growth mechanism. TEM micrographs validate the hollow, bamboo-like structure of the multi-walled CNTs

  9. Initial Design of the 60 Megawatt Rotating Magnetic Field (RMF) Oscillator System for the University of Washington ''TCS'' Field Reversed Configuration Experiment

    International Nuclear Information System (INIS)

    Reass, W.A.; Miera, D.A.; Wurden, G.A.

    1997-01-01

    This paper presents the initial electrical and mechanical design of two phase-locked 30 Megawatt RMS, 150 kHz oscillator systems used for current drive and plasma sustainment of the ''Translation, Confinement, and Sustainment'' (TCS) field reversed configuration (FRC) plasma. By the application of orthogonally-placed saddle coils on the surface of the glass vacuum vessel, the phase-controlled rotating magnetic field perturbation will induce an electric field in the plasma which should counter the intrinsic ohmic decay of the plasma, and maintain the FRC. Each system utilizes a bank of 6 parallel magnetically beamed ML8618 triodes. These devices are rated at 250 Amperes cathode current and a 45 kV plate voltage. An advantage of the magnetically beamed triode is their extreme efficiency, requiring only 2.5 kW of filament and a few amps and a few kV of grid drive. Each 3.5 uH saddle coil is configured with an adjustable tank circuit (for tuning). Assuming no losses and a nominal 18 kV plate voltage, the tubes can circulate about 30 kV and 9 kA (pk to pk) in the saddle coil antenna, a circulating power of over 33 megawatts RMS. On each cycle the tubes can kick in up to 1500 Amperes, providing a robust phase control. DC high-voltage from the tubes is isolated from the saddle coil antennas and tank circuits by a 1:1 coaxial air-core balun transformer. To control the ML8618's phase and amplitude, fast 150 Ampere ''totem-pole'' grid drivers, an ''on'' hot-deck and an ''off'' hot-deck are utilized. The hot-decks use up to 6 each 3CPX1500A7 slotted radial beam triodes. By adjusting the conduction angle, amplitude may be regulated, with inter-pulse timing, phase angle can be controlled. A central feedback timing chassis monitors each systems' saddle coil antenna and appropriately derives each systems timing signals. Fiber-optic cables are used to isolate between the control room timing chassis and the remote power oscillator system. Complete system design detail will be

  10. Plasma-chemical processes and systems

    International Nuclear Information System (INIS)

    Castro B, J.

    1987-01-01

    The direct applications of plasma technology on chemistry and metallurgy are presented. The physical fundaments of chemically active non-equilibrium plasma, the reaction kinetics, and the physical chemical transformations occuring in the electrical discharges, which are applied in the industry, are analysed. Some plasma chemical systems and processes related to the energy of hydrogen, with the chemical technology and with the metallurgy are described. Emphasis is given to the optimization of the energy effectiveness of these processes to obtain reducers and artificial energetic carriers. (M.C.K.) [pt

  11. Saddle-points of a two dimensional random lattice theory

    International Nuclear Information System (INIS)

    Pertermann, D.

    1985-07-01

    A two dimensional random lattice theory with a free massless scalar field is considered. We analyse the field theoretic generating functional for any given choice of positions of the lattice sites. Asking for saddle-points of this generating functional with respect to the positions we find the hexagonal lattice and a triangulated version of the hypercubic lattice as candidates. The investigation of the neighbourhood of a single lattice site yields triangulated rectangles and regular polygons extremizing the above generating functional on the local level. (author)

  12. Microwave plasma chemical synthesis of nanocrystalline carbon film structures and study their properties

    Science.gov (United States)

    Bushuev, N.; Yafarov, R.; Timoshenkov, V.; Orlov, S.; Starykh, D.

    2015-08-01

    The self-organization effect of diamond nanocrystals in polymer-graphite and carbon films is detected. The carbon materials deposition was carried from ethanol vapors out at low pressure using a highly non-equilibrium microwave plasma. Deposition processes of carbon film structures (diamond, graphite, graphene) is defined. Deposition processes of nanocrystalline structures containing diamond and graphite phases in different volume ratios is identified. The solid film was obtained under different conditions of microwave plasma chemical synthesis. We investigated the electrical properties of the nanocrystalline carbon films and identified it's from various factors. Influence of diamond-graphite film deposition mode in non-equilibrium microwave plasma at low pressure on emission characteristics was established. This effect is justified using the cluster model of the structure of amorphous carbon. It was shown that the reduction of bound hydrogen in carbon structures leads to a decrease in the threshold electric field of emission from 20-30 V/m to 5 V/m. Reducing the operating voltage field emission can improve mechanical stability of the synthesized film diamond-graphite emitters. Current density emission at least 20 A/cm2 was obtained. Nanocrystalline carbon film materials can be used to create a variety of functional elements in micro- and nanoelectronics and photonics such as cold electron source for emission in vacuum devices, photonic devices, cathodoluminescent flat display, highly efficient white light sources. The obtained graphene carbon net structure (with a net size about 6 μm) may be used for the manufacture of large-area transparent electrode for solar cells and cathodoluminescent light sources

  13. FABRICATION OF WINDOW SADDLES FOR NIF CRYOGENIC HOHLRAUMS

    International Nuclear Information System (INIS)

    GIRALDEZ, E; KAAE, J.L

    2003-09-01

    OAK-B135 A planar diagnostic viewing port attached to the cylindrical wall of the NIF cryogenic hohlraum requires a saddle-like transition piece. While the basic design of this window saddle is straightforward, its fabrication is not, given the scale and precision of the component. They solved the problem through the use of a two segment copper mandrel to electroform the gold window saddle. The segments were micro-machined using a combination of single-point diamond turning and single point diamond milling. These processes as well as the electroplating conditions, final machining and mandrel removal are described in this paper

  14. Vertically aligned carbon nanotube field emitter arrays with Ohmic base contact to silicon by Fe-catalyzed chemical vapor deposition

    NARCIS (Netherlands)

    Morassutto, M.; Tiggelaar, Roald M.; Smithers, M.A.; Smithers, M.A.; Gardeniers, Johannes G.E.

    2016-01-01

    Abstract In this study, dense arrays of aligned carbon nanotubes are obtained by thermal catalytic chemical vapor deposition, using Fe catalyst dispersed on a thin Ta layer. Alignment of the carbon nanotubes depends on the original Fe layer thickness from which the catalyst dispersion is obtained by

  15. Saddle-splay screening and chiral symmetry breaking in toroidal nematics

    OpenAIRE

    Koning, Vinzenz; van Zuiden, Benjamin C.; Kamien, Randall D.; Vitelli, Vincenzo

    2013-01-01

    We present a theoretical study of director fields in toroidal geometries with degenerate planar boundary conditions. We find spontaneous chirality: despite the achiral nature of nematics the director configuration show a handedness if the toroid is thick enough. In the chiral state the director field displays a double twist, whereas in the achiral state there is only bend deformation. The critical thickness increases as the difference between the twist and saddle-splay moduli grows. A positiv...

  16. Alcohol vapor sensing by cadmium-doped zinc oxide thick films based chemical sensor

    Science.gov (United States)

    Zargar, R. A.; Arora, M.; Chackrabarti, S.; Ahmad, S.; Kumar, J.; Hafiz, A. K.

    2016-04-01

    Cadmium-doped zinc oxide nanoparticles were derived by simple chemical co-precipitation route using zinc acetate dihydrate and cadmium acetate dihydrate as precursor materials. The thick films were casted from chemical co-precipitation route prepared nanoparticles by economic facile screen printing method. The structural, morphological, optical and electrical properties of the film were characterized relevant to alcohol vapor sensing application by powder XRD, SEM, UV-VIS and DC conductivity techniques. The response and sensitivity of alcohol (ethanol) vapor sensor are obtained from the recovery curves at optimum working temperature range from 20∘C to 50∘C. The result shows that maximum sensitivity of the sensor is observed at 25∘C operating temperature. On varying alcohol vapor concentration, minor variation in resistance has been observed. The sensing mechanism of sensor has been described in terms of physical adsorption and chemical absorption of alcohol vapors on cadmium-doped zinc oxide film surface and inside film lattice network through weak hydrogen bonding, respectively.

  17. Enhanced confinement with plasma biasing in the MST reversed field pinch

    International Nuclear Information System (INIS)

    Craig, D.; Almagri, A.F.; Anderson, J.K.

    1997-06-01

    We report an increase in particle confinement with plasma biasing in a reversed field pinch. Miniature plasma sources are used as electrodes to negatively bias the plasma at the edge (r/a ∼ 0.9). Particle content increases and H α radiation decreases upon application of bias and global particle confinement roughly doubles as a result. Measurements of plasma potential, impurity flow, and floating potential fluctuations indicate that strong flows are produced and that electrostatic fluctuations are reduced

  18. The effect of saddle design on stresses in the perineum during cycling.

    Science.gov (United States)

    Spears, Iain R; Cummins, Neil K; Brenchley, Zoe; Donohue, Claire; Turnbull, Carli; Burton, Shona; Macho, Gabrielle A

    2003-09-01

    Repetitive internal stress in the perineum has been associated with soft-tissue trauma in bicyclists. Using an engineering approach, the purpose of this study was to quantify the amount of compression exerted in the perineum for a range of saddle widths and orientations. Computer tomography was used to create a three-dimensional voxel-based finite element model of the right side of the male perineum-pelvis. For the creation of the saddle model, a commercially available saddle was digitized and the surface manipulated to represent a variety of saddle widths and orientations. The two models were merged, and a static downward load of 189 N was applied to the model at the region representing the sacroiliac joint. For validation purposes, external stresses along the perineum-saddle interface were compared with the results of pressure sensitive film. Good agreement was found for these external stresses. The saddles were then stretched and rotated, and the magnitude and location of maximum stresses within the perineum were both recorded. In all cases, the model of the pelvis-perineum was held in an upright position. Stresses within the perineum were reduced when the saddle was sufficiently wide to support both ischial tuberosities. This supporting mechanism was best achieved when the saddle was at least two times wider than the bi-ischial width of the cyclist. Stresses in the anterior of the perineum were reduced when the saddle was tilted downward, whereas stresses in the posterior were reduced when the saddle was tilted upward. Recommendations that saddles should be sufficiently wide to support the ischial tuberosities appear to be well founded. Recommendations that saddles be tilted downward (i.e., nose down) are supported by the model, but with caution, given the limitations of the model.

  19. Sticking non-stick: Surface and Structure control of Diamond-like Carbon in Plasma Enhanced Chemical Vapour Deposition

    Science.gov (United States)

    Jones, B. J.; Nelson, N.

    2016-10-01

    This short review article explores the practical use of diamond-like carbon (DLC) produced by plasma enhanced chemical vapour deposition (PECVD). Using as an example issues relating to the DLC coating of a hand-held surgical device, we draw on previous works using atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, tensiometry and electron paramagnetic resonance. Utilising data from these techniques, we examine the surface structure, substrate-film interface and thin film microstructure, such as sp2/sp3 ratio (graphitic/diamond-like bonding ratio) and sp2 clustering. We explore the variations in parameters describing these characteristics, and relate these to the final device properties such as friction, wear resistance, and diffusion barrier integrity. The material and device characteristics are linked to the initial plasma and substrate conditions.

  20. Sticking non-stick: Surface and Structure control of Diamond-like Carbon in Plasma Enhanced Chemical Vapour Deposition

    International Nuclear Information System (INIS)

    Jones, B J; Nelson, N

    2016-01-01

    This short review article explores the practical use of diamond-like carbon (DLC) produced by plasma enhanced chemical vapour deposition (PECVD). Using as an example issues relating to the DLC coating of a hand-held surgical device, we draw on previous works using atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, tensiometry and electron paramagnetic resonance. Utilising data from these techniques, we examine the surface structure, substrate-film interface and thin film microstructure, such as sp 2 /sp 3 ratio (graphitic/diamond-like bonding ratio) and sp 2 clustering. We explore the variations in parameters describing these characteristics, and relate these to the final device properties such as friction, wear resistance, and diffusion barrier integrity. The material and device characteristics are linked to the initial plasma and substrate conditions. (paper)

  1. Tribological properties of nitrogen-containing amorphous carbon film produced by dc plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhang Wei; Wazumi, Koichiro; Tanaka, Akihiro; Koga, Yoshinori

    2003-01-01

    The nitrogen-contained amorphous carbon (a-C:N) films were deposited in a dc plasma chemical vapor deposition system with different substrate bias voltages. The structural, mechanical, and tribological properties of the a-C:N films were investigated. The influence of the bias voltage on the tribological behaviors of the a-C:N films was evaluated under various environments (dry air, O 2 , N 2 , and vacuum) using a ball-on-disk friction tester. It showed that the sp 3 C and hydrogen concentration of the a-C:N films decreases with increasing the bias voltage. However, the nitrogen concentration increases with increasing the bias voltage. As a result, the hardness and internal stress decrease and the critical load for fracturing increases as the substrate bias increases. For the tribological properties of the a-C:N films, the friction coefficient of the films slightly decreases in the environments of N 2 , O 2 , or dry air, but increases slightly in the vacuum environment by increasing the bias voltage. It indicates that the incorporated nitrogen in the a-C:N films would decrease the friction coefficient of the films in N 2 or O 2 environments, but slightly increases the friction coefficient of the films in a vacuum. The excellent wear resistance of the a-C:N films, in the level of 10 -9 -10 -8 mm 3 /Nm, can be observed in N 2 , vacuum, and dry air environments. In addition, the effect of the bias voltage on the wear rate of the a-C:N films becomes less obvious by nitrogen incorporation. So, we suggest the incorporated nitrogen, which bonded to carbon and restrained the increase of the fraction of sp 2 C-C, would restrain the wear of the a-C:N films in different environments, especially in dry air

  2. Ceramic composites by chemical vapor infiltration

    International Nuclear Information System (INIS)

    Stinton, D.P.

    1987-01-01

    Composites consisting of silicon carbide matrices reinforced with continuous ceramic fibers are being developed for high-temperature structural applications. Chemical vapor deposition (CVD) techniques are very effective in fabricating composites with high strengths and exceptional fracture toughness. Mechanical properties of infiltrated composites are controlled by the strength of the interfacial bond between the fibers and matrix. This paper describes two CVD techniques and reviews the models being developed to better understand and control the infiltration process

  3. The role of surface chemical analysis in a study to select replacement processes for TCA vapor degreasing

    Science.gov (United States)

    Lesley, Michael W.; Davis, Lawrence E.; Moulder, John F.; Carlson, Brad A.

    1995-01-01

    The role of surface-sensitive chemical analysis (ESCA, AES, and SIMS) in a study to select a process to replace 1, 1, 1-trichloroethane (TCA) vapor degreasing as a steel and aluminum bonding surface preparation method is described. The effort was primarily concerned with spray-in-air cleaning processes involving aqueous alkaline and semi-aqueous cleaners and a contamination sensitive epoxy-to-metal bondline. While all five cleaners tested produced bonding strength results equal to or better than those produced by vapor degreasing, the aqueous alkaline cleaners yielded results which were superior to those produced by the semi-aqueous cleaners. The main reason for the enhanced performance appears to be a silicate layer left behind by the aqueous alkaline cleaners. The silicate layer increases the polarity of the surface and enhances epoxy-to-metal bonding. On the other hand, one of the semi-aqueous cleaners left a nonpolar carbonaceous residue which appeared to have a negative effect on epoxy-to-metal bonding. Differences in cleaning efficiency between cleaners/processes were also identified. These differences in surface chemistry, which were sufficient to affect bonding, were not detected by conventional chemical analysis techniques.

  4. Chemically assisted release of transition metals in graphite vaporizers for atomic spectrometry

    International Nuclear Information System (INIS)

    Katskov, Dmitri; Darangwa, Nicholas; Grotti, Marco

    2006-01-01

    decomposition of metal oxide, is the most probable source of chemical energy, which facilitates the vaporization. Intensity of the process depends on chemical properties of the sample and substrate and efficiency of mass and heat transfer by the protective gas. The discussed mechanism of chemically assisted vapor release signifies the energy exchange between all participants of the vaporization process in ET AAS including the matrix, modifier, purge gas and analyte. The finding contributes in the ET AAS theory regarding the mechanisms of vaporization and mass transfer in the presence of matrix and modifiers

  5. Study of aerosol sample interaction with dc plasma in the presence of oscillating magnetic field

    International Nuclear Information System (INIS)

    Stoiljkovic, M.M.; Pavlovic, M.S.; Savovic, J.; Kuzmanovic, M.; Marinkovic, M.

    2005-01-01

    Oscillating magnetic field was used to study the efficiency of the aerosol sample introduction into the dc plasma. At atmospheric plasmas, the effect of magnetic field is reduced to Lorentz forces on the current carrying plasma, which produces motion of the plasma. The motion velocity of dc plasma caused by oscillating magnetic field was correlated to spectral emission enhancement of analytes introduced as aerosols. Emission enhancement is the consequence of the reduced barrier to introduction of analyte species and aerosol particles into the hot plasma region. Two hypotheses described in the literature for the origin of the barrier are considered: (i) barrier induced by temperature field is based upon the thermophoretic forces on the aerosol particles when their radius is comparable to the molecular free path in the surrounding gas and (ii) barrier induced by radial electric field, recently described, that originates from gradients of charged particles in radial direction. Correlation between ionization energy of the analyte atoms with experimental emission enhancement obtained by the use of oscillating magnetic field indicates that mechanism (ii) based upon the radial electric field is predominant. The ultimate emission enhancement and possible analytical advantage is discussed

  6. Stretchable Electronic Sensors of Nanocomposite Network Films for Ultrasensitive Chemical Vapor Sensing.

    Science.gov (United States)

    Yan, Hong; Zhong, Mengjuan; Lv, Ze; Wan, Pengbo

    2017-11-01

    A stretchable, transparent, and body-attachable chemical sensor is assembled from the stretchable nanocomposite network film for ultrasensitive chemical vapor sensing. The stretchable nanocomposite network film is fabricated by in situ preparation of polyaniline/MoS 2 (PANI/MoS 2 ) nanocomposite in MoS 2 suspension and simultaneously nanocomposite deposition onto prestrain elastomeric polydimethylsiloxane substrate. The assembled stretchable electronic sensor demonstrates ultrasensitive sensing performance as low as 50 ppb, robust sensing stability, and reliable stretchability for high-performance chemical vapor sensing. The ultrasensitive sensing performance of the stretchable electronic sensors could be ascribed to the synergistic sensing advantages of MoS 2 and PANI, higher specific surface area, the reliable sensing channels of interconnected network, and the effectively exposed sensing materials. It is expected to hold great promise for assembling various flexible stretchable chemical vapor sensors with ultrasensitive sensing performance, superior sensing stability, reliable stretchability, and robust portability to be potentially integrated into wearable electronics for real-time monitoring of environment safety and human healthcare. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Resonance-enhanced laser-induced plasma spectroscopy for sensitive elemental analysis: Elucidation of enhancement mechanisms

    International Nuclear Information System (INIS)

    Lui, S.L.; Cheung, N.H.

    2002-01-01

    When performing laser-induced plasma spectroscopy for elemental analysis, the analyte signal-to-noise ratio increased from four to over fifty if the plume was reheated by a dye laser pulse tuned to resonant absorption. Time-resolved studies showed that the enhancement was not due to resonance photoionization. Rather, efficient and controlled rekindling of a larger plume volume was the key mechanism. The signal-to-noise ratio further increased to over a hundred if the atmosphere was replaced by a low-pressure heavy inert gas. The ambient gas helped confine and thermally insulate the expanding vapor

  8. Chemical, Biological, and Explosive Sensors for Field Measurements

    International Nuclear Information System (INIS)

    Kyle, Kevin; Manard, Manuel; Weeks, Stephan

    2009-01-01

    Special Technologies Laboratory (STL) is developing handheld chemical, biological, and explosive (CBE) detection systems and sensor motes for wireless networked field operations. The CBE sensors are capable of detecting and identifying multiple targeted toxic industrial chemicals (TICs) and high-explosive vapor components. The CBE devices are based on differential mobility spectrometry (DMS) coupled with fast gas chromatography (GC) or mass spectrometry. The systems all include the concepts of: (1) Direct air/particulate 'smart' sampling; (2) Selective, continuous real-time (∼1 sec) alert monitoring using DMS; and (3) Highly selective, rapid dual technology separation/verification analysis The biosensor technology is based on Raman aerosol particle flow cytometry for target detection and identification. Monitoring and identifying trace level chemical vapors directly from ambient air will allow First Responders to quickly adapt situational response strategies and personal protective equipment needs to the specific response scenario being encountered. First Responders require great confidence in the measurements and ability of a given system to detect CBE below threshold levels without interferences. The concept of determining the background matrix in near real-time to allow subsequent automated field-programmable method selection and cueing of high-value assets in a wide range of environs will be presented. This provides CBE information for decisions prior to First Responders entering the response site or sending a portable mobile unit for a remote site survey of the hazards. The focus is on real-time information needed by those responsible for emergency response and national security

  9. Modeling of magnetically enhanced capacitively coupled plasma sources: Ar discharges

    International Nuclear Information System (INIS)

    Kushner, Mark J.

    2003-01-01

    Magnetically enhanced capacitively coupled plasma sources use transverse static magnetic fields to modify the performance of low pressure radio frequency discharges. Magnetically enhanced reactive ion etching (MERIE) sources typically use magnetic fields of tens to hundreds of Gauss parallel to the substrate to increase the plasma density at a given pressure or to lower the operating pressure. In this article results from a two-dimensional hybrid-fluid computational investigation of MERIE reactors with plasmas sustained in argon are discussed for an industrially relevant geometry. The reduction in electron cross field mobility as the magnetic field increases produces a systematic decrease in the dc bias (becoming more positive). This decrease is accompanied by a decrease in the energy and increase in angular spread of the ion flux to the substrate. Similar trends are observed when decreasing pressure for a constant magnetic field. Although for constant power the magnitudes of ion fluxes to the substrate increase with moderate magnetic fields, the fluxes decreased at larger magnetic fields. These trends are due, in part, to a reduction in the contributions of more efficient multistep ionization

  10. Characterization and monitoring of total organic chloride vapors

    International Nuclear Information System (INIS)

    Anheier, N.C. Jr.; Evans, J.C. Jr.; Olsen, K.B.

    1992-07-01

    Chemical sensors are being developed intermediate highly selective and broadly selective methods. PNL is developing an optical-emission based TOCl (total organic chlorinated compounds) sensor (Halosnif) which is capable of measuring TOCl in real time on an extracted gas sample over a wide linear dynamic range. Halosnif employs an atomic emission sensor that is broadly selective for any moderately volatile organic hclorinated vapor but does not distinguish between classes of chlorinated compounds. A rf-induced He plasma is used to excite the chlorine atoms, causing light emission at 837.6 nm. The sensitivity ranges from 1-2 ppM up to at least 10,000 ppM. Field tests were conducted at Tinker AFB in areas of high TCE contamination, in two boreholes at Savannah River, and at Hanford CCl 4 vapor extraction system. This sensor is briefly compared with acoustic wave sensors being developed by SNL (PAWS). 4 figs

  11. Deposition of controllable preferred orientation silicon films on glass by inductively coupled plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Junshuai; Wang Jinxiao; Yin Min; Gao Pingqi; He Deyan; Chen Qiang; Li Yali; Shirai, Hajime

    2008-01-01

    An inductively coupled plasma (ICP) system with the adjustable distance between the inductance coil and substrates was designed to effectively utilize the spatial confinement of ICP discharge, and then control the gas-phase transport process. The effects of the gas phase processes on the crystallinity and preferred orientation of silicon films deposited on glass were systematically investigated. The investigation was conducted in the ICP-chemical vapor deposition process with the precursor gas of a SiH 4 /H 2 mixture at a substrate temperature of 350 deg. Highly crystallized silicon films with different preferred orientations, (111) or (220), could be selectively deposited by adjusting the SiH 4 dilution ratio [R=[SiH 4 ]/([SiH 4 ]+[H 2 ])] or total working pressure. When the total working pressure is 20 Pa, the crystallinity of the silicon films increases with the increase of the SiH 4 dilution ratio, while the preferred orientation was changed from (111) to (220). In the case of the fixed SiH 4 dilution (10%), the silicon film with I (220) /I (111) of about 3.5 and Raman crystalline fraction of about 89.6% has been deposited at 29.7 nm/min when the total working pressure was increased to 40 Pa. At the fixed SiH 4 partial pressure of 2 Pa, the film crystallinity decreases and the preferred orientation is always (111) with increasing the H 2 partial pressure from 18 to 58 Pa. Atomic force microscope reveals that the film deposited at a relatively high H 2 partial pressure has a very rough surface caused by the devastating etching of H atoms to the silicon network

  12. Numerical simulation of Trichel pulses of negative DC corona discharge based on a plasma chemical model

    Science.gov (United States)

    Chen, Xiaoyue; Lan, Lei; Lu, Hailiang; Wang, Yu; Wen, Xishan; Du, Xinyu; He, Wangling

    2017-10-01

    A numerical simulation method of negative direct current (DC) corona discharge based on a plasma chemical model is presented, and a coaxial cylindrical gap is adopted. There were 15 particle species and 61 kinds of collision reactions electrons involved, and 22 kinds of reactions between ions are considered in plasma chemical reactions. Based on this method, continuous Trichel pulses are calculated on about a 100 us timescale, and microcosmic physicochemical process of negative DC corona discharge in three different periods is discussed. The obtained results show that the amplitude of Trichel pulses is between 1-2 mA, and that pulse interval is in the order of 10-5 s. The positive ions produced by avalanche ionization enhanced the electric field near the cathode at the beginning of the pulse, then disappeared from the surface of cathode. The electric field decreases and the pulse ceases to develop. The negative ions produced by attachment slowly move away from the cathode, and the electric field increases gradually until the next pulse begins to develop. The positive and negative ions with the highest density during the corona discharge process are O4+ and O3- , respectively.

  13. Identifying Septal Support Reconstructions for Saddle Nose Deformity: The Cakmak Algorithm.

    Science.gov (United States)

    Cakmak, Ozcan; Emre, Ismet Emrah; Ozkurt, Fazil Emre

    2015-01-01

    The saddle nose deformity is one of the most challenging problems in nasal surgery with a less predictable and reproducible result than other nasal procedures. The main feature of this deformity is loss of septal support with both functional and aesthetic implications. Most reports on saddle nose have focused on aesthetic improvement and neglected the reestablishment of septal support to improve airway. To explain how the Cakmak algorithm, an algorithm that describes various fixation techniques and grafts in different types of saddle nose deformities, aids in identifying saddle nose reconstructions that restore supportive nasal framework and provide the aesthetic improvements typically associated with procedures to correct saddle nose deformities. This algorithm presents septal support reconstruction of patients with saddle nose deformity based on the experience of the senior author in 206 patients with saddle nose deformity. Preoperative examination, intraoperative assessment, reconstruction techniques, graft materials, and patient evaluation of aesthetic success were documented, and 4 different types of saddle nose deformities were defined. The Cakmak algorithm classifies varying degrees of saddle nose deformity from type 0 to type 4 and helps identify the most appropriate surgical procedure to restore the supportive nasal framework and aesthetic dorsum. Among the 206 patients, 110 women and 96 men, mean (range) age was 39.7 years (15-68 years), and mean (range) of follow-up was 32 months (6-148 months). All but 12 patients had a history of previous nasal surgeries. Application of the Cakmak algorithm resulted in 36 patients categorized with type 0 saddle nose deformities; 79, type 1; 50, type 2; 20, type 3a; 7, type 3b; and 14, type 4. Postoperative photographs showed improvement of deformities, and patient surveys revealed aesthetic improvement in 201 patients and improvement in nasal breathing in 195 patients. Three patients developed postoperative infection

  14. Effects of pulsed electric field on ULQ and RFP plasmas

    International Nuclear Information System (INIS)

    Watanabe, M.; Saito, K.; Suzuki, T.

    1997-01-01

    Dynamo activity and self-organization processes are investigated using the application of pulsed poloidal and toroidal electric fields on ULQ and RFP plasmas. Synchronized to the application of the pulsed electric fields, the remarkable responses of the several plasma parameters are observed. The plasma has a preferential magnetic field structure, and the external perturbation activates fluctuation to maintain the structure through dynamo effect. This process changes the total dissipation with the variation of magnetic helicity in the system, showing that self organization accompanies an enhanced dissipation. (author)

  15. Chemical vapor deposition of refractory metals and ceramics III

    International Nuclear Information System (INIS)

    Gallois, B.M.; Lee, W.Y.; Pickering, M.A.

    1995-01-01

    The papers contained in this volume were originally presented at Symposium K on Chemical Vapor Deposition of Refractory Metals and Ceramics III, held at the Fall Meeting of the Materials Research Society in Boston, Massachusetts, on November 28--30, 1994. This symposium was sponsored by Morton International Inc., Advanced Materials, and by The Department of Energy-Oak Ridge National Laboratory. The purpose of this symposium was to exchange scientific information on the chemical vapor deposition (CVD) of metallic and ceramic materials. CVD technology is receiving much interest in the scientific community, in particular, to synthesize new materials with tailored chemical composition and physical properties that offer multiple functionality. Multiphase or multilayered films, functionally graded materials (FGMs), ''smart'' material structures and nanocomposites are some examples of new classes of materials being produced via CVD. As rapid progress is being made in many interdisciplinary research areas, this symposium is intended to provide a forum for reporting new scientific results and addressing technological issues relevant to CVD materials and processes. Thirty four papers have been processed separately for inclusion on the data base

  16. Dynamic behavior of plasma-facing materials during plasma instabilities in tokamak reactors

    International Nuclear Information System (INIS)

    Hassanein, A.; Konkashbaev, I.

    1997-01-01

    Damage to plasma-facing and nearby components due to plasma instabilities remains a major obstacle to a successful tokamak concept. The high energy deposited on facing materials during plasma instabilities can cause severe erosion, plasma contamination, and structural failure of these components. Erosion damage can take various forms such as surface vaporization, spallation, and liquid ejection of metallic materials. Comprehensive thermodynamic and radiation hydrodynamic codes have been developed, integrated, and used to evaluate the extent of various damage to plasma-facing and nearby components. The eroded and splashed materials will be transported and then redeposited elsewhere on other plasma-facing components. Detailed physics of plasma/solid-liquid/vapor interaction in a strong magnetic field have been developed, optimized, and implemented in a self-consistent model. The plasma energy deposited in the evolving divertor debris is quickly and intensely reradiated, which may cause severe erosion and melting of other nearby components. Factors that influence and reduce vapor-shielding efficiency such as vapor diffusion and turbulence are also discussed and evaluated

  17. Half-sandwich cobalt complexes in the metal-organic chemical vapor deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Georgi, Colin [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Hapke, Marko; Thiel, Indre [Leibniz-Institut für Katalyse e.V. an der Universität Rostock (LIKAT), Albert-Einstein-Straße 29a, Rostock 18059 (Germany); Hildebrandt, Alexander [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Waechtler, Thomas; Schulz, Stefan E. [Fraunhofer Institute of Electronic Nano Systems (ENAS), Technologie-Campus 3, Chemnitz 09126 (Germany); Technische Universität Chemnitz, Center for Microtechnologies (ZfM), Chemnitz 09107 (Germany); Lang, Heinrich, E-mail: heinrich.lang@chemie.tu-chemnitz.de [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany)

    2015-03-02

    A series of cobalt half-sandwich complexes of type [Co(η{sup 5}-C{sub 5}H{sub 5})(L)(L′)] (1: L, L′ = 1,5-hexadiene; 2: L = P(OEt){sub 3}, L′ = H{sub 2}C=CHSiMe{sub 3}; 3: L = L′ = P(OEt){sub 3}) has been studied regarding their physical properties such as the vapor pressure, decomposition temperature and applicability within the metal-organic chemical vapor deposition (MOCVD) process, with a focus of the influence of the phosphite ligands. It could be shown that an increasing number of P(OEt){sub 3} ligands increases the vapor pressure and thermal stability of the respective organometallic compound. Complex 3 appeared to be a promising MOCVD precursor with a high vapor pressure and hence was deposited onto Si/SiO{sub 2} (100 nm) substrates. The resulting reflective layer is closed, dense and homogeneous, with a slightly granulated surface morphology. X-ray photoelectron spectroscopy (XPS) studies demonstrated the formation of metallic cobalt, cobalt phosphate, cobalt oxide and cobalt carbide. - Highlights: • Thermal studies and vapor pressure measurements of cobalt half-sandwich complexes was carried out. • Chemical vapor deposition with cobalt half-sandwich complexes is reported. • The use of Co-phosphites results in significant phosphorous-doped metallic layers.

  18. Self-catalytic growth of tin oxide nanowires by chemical vapor deposition process

    CSIR Research Space (South Africa)

    Thabethe, BS

    2013-01-01

    Full Text Available The authors report on the synthesis of tin oxide (SnO(sub2)) nanowires by a chemical vapor deposition (CVD) process. Commercially bought SnO nanopowders were vaporized at 1050°C for 30 minutes with argon gas continuously passing through the system...

  19. Electrothermal vaporization inductively coupled plasma mass spectrometry for the determination of trace amount of lanthanides and yttrium in soil with polytetrafluroethylene emulsion as a chemical modifier

    International Nuclear Information System (INIS)

    He Man; Hu, Bin; Jiang Zucheng

    2005-01-01

    A method of electrothermal vaporization inductively coupled plasma mass spectrometry (ETV-ICP-MS) for the determination of trace lanthanides and yttrium in soil samples with a polytetrafluorethylene (PTFE) emulsion as chemical modifier to promote the vaporization of the analytes from the graphite furnace was developed in this paper. The analytical characteristics, spectral interference and matrix effect of the analytical method were evaluated and critically compared with those of pneumatic nebulization inductively coupled plasma mass spectrometry (PN-ICP-MS). Under the optimized operation conditions, the relative detection limits of lanthanides (La-Lu) and yttrium for ETV-ICP-MS and PN-ICP-MS were 0.4-20 ng l -1 and 1.0-21 ng l -1 , respectively, the absolute detection limits for ETV-ICP-MS were 4-200 fg, which were improved by 1-2 orders of magnitude compared with PN-ICP-MS. While the analytical precision of ETV-ICP-MS is worse than that of PN-ICP-MS, with the R.S.D.s (%) of 4.1-10% for the former and 2.9-7.8% for the latter. Regarding to the matrix effect, both conventional method and stepwise dilution method were employed to observe the effect of matrix and the very similar results were obtained. It was found that the highest tolerance concentration of the matrix is 1000 mg l -1 and 800 mg l -1 for ETV-ICP-MS and PN-ICP-MS, respectively. To assess the accuracy, the proposed method was applied to the determination of trace lanthanides and yttrium in three different soil standard reference materials and one soil sample, and the determined values are in good agreement with the certified values or reference values

  20. MIT-Skywalker: Evaluating comfort of bicycle/saddle seat.

    Science.gov (United States)

    Goncalves, Rogerio S; Hamilton, Taya; Daher, Ali R; Hirai, Hiroaki; Krebs, Hermano I

    2017-07-01

    The MIT-Skywalker is a robotic device developed for the rehabilitation of gait and balance after a neurological injury. This device has been designed based on the concept of a passive walker and provides three distinct training modes: discrete movement, rhythmic movement, and balance training. In this paper, we present our efforts to evaluate the comfort of a bicycle/saddle seat design for the system's novel actuated body weight support device. We employed different bicycle and saddle seats and evaluated comfort using objective and subjective measures. Here we will summarize the results obtained from a study of fifteen healthy subjects and one stroke patient that led to the selection of a saddle seat design for the MIT-Skywalker.

  1. Chemical vapor composites (CVC)

    International Nuclear Information System (INIS)

    Reagan, P.

    1993-01-01

    The Chemical Vapor Composite, CVC trademark , process fabricates composite material by simply mixing particles (powders and or fibers) with CVD reactants which are transported and co-deposited on a hot substrate. A key feature of the CVC process is the control provided by varing the density, geometry (aspect ratio) and composition of the entrained particles in the matrix material, during deposition. The process can fabricate composite components to net shape (± 0.013 mm) on a machined substrate in a single step. The microstructure of the deposit is described and several examples of different types of particles in the matrix are illustrated. Mechanical properties of SiC composite material fabricated with SiC powder and fiber will be presented. Several examples of low cost ceramic composite products will be shown. (orig.)

  2. Geology of the Saddle Mountains between Sentinel Gap and 119030' longitude

    International Nuclear Information System (INIS)

    Reidel, S.P.

    1978-09-01

    Members and flows of the Grande Ronde, Wanapum, and Saddle Mountains basalts of the Columbia River Basalt Group were mapped in the Saddle Mountains between Sentinel Gap and the eastern edge of Smyrna Bench. The Grande Ronde Basalt consists of the Schwana (low-MgO) and Sentinel Bluffs (high-MgO) members (informal names). The Wanapum Basalt consists of the aphyric and phyric units of the Frenchman Springs Member, the Roza-Like Member, and the Priest Rapids Member. The Saddle Mountains Basalt consists of the Wahluke, Huntzinger, Pomona, Mattawa, and Elephant Mountain basalts. The Wanapum and Saddle Mountains basalts are unevenly distributed across the Saddle Mountains. The Wanapum Basalt thins from south to north and across a northwest-southeast-trending axis at the west end of Smyrna Bench. The Priest Rapids, Roza-Like, and aphyric Frenchman Springs units are locally missing across this zone. The Saddle Mountains basalt has a more irregular distribution and, within an area between Sentinel Gap and Smyrna Bench, is devoid of the basalt. The Wahluke, Huntzinger, and Mattawa flows are locally present, but the Pomona is restricted to the southern flank west of Smyrna Bench, and the Elephant Mountain Basalt only occurs on the flanks and in three structurally controlled basins on the northwest side. The structure of the Saddle Mountains is dominated by an east-west trend and, to a lesser degree, controlled by a northwest-southeast and northeast-southwest trend. The geomorphological expression of the Saddle Mountains results from the east-west fold set and the Saddle Mountains fault along the north side. The oldest structures follow the northwest-southeast trend. The distribution of the flows, combined with the structural features, indicates a complex geologic history for the Saddel Mountains

  3. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.

    2013-04-01

    Tungsten disulfide (WS2) is a layered transition metal dichalcogenide with a reported band gap of 1.8 eV in bulk and 1.32-1.4 eV in its thin film form. 2D atomic layers of metal dichalcogenides have shown changes in conductivity with applied electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace and then its transistor action with back gated device with room temperature field effect mobility of 0.1003 cm2/V-s using the Schottky barrier contact model. We also show the semiconducting behavior of this WS2 thin film which is more promising than thermally unstable organic materials for thin film transistor application. Our direct growth method on silicon oxide also holds interesting opportunities for macro-electronics applications. © 2013 IEEE.

  4. Effect of bicycle saddle designs on the pressure to the perineum of the bicyclist.

    Science.gov (United States)

    Lowe, Brian D; Schrader, Steven M; Breitenstein, Michael J

    2004-06-01

    Increasing awareness of an association between bicycling and male sexual dysfunction has led to the appearance of a variety of bicycle saddles that share the design objective of reducing pressure in the groin of the cyclist by removal of the narrow protruding nose of the saddle. This study compared three of these saddle designs to a traditional sport/road racing saddle with a narrow protruding nose in terms of pressure in the region of the perineum (groin) of the cyclist. Saddle, pedal, and handlebar contact pressure were measured from 33 bicycle police patrol officers pedaling a stationary bicycle at a controlled cadence and workload. Pressure was characterized over the saddle as a whole and over a region of the saddle assumed to represent pressure on the cyclist's perineum located anteriorly to the ischial tuberosities. The traditional sport/racing saddle was associated with more than two times the pressure in the perineal region than the saddles without a protruding nose (P perineum of the bicyclist.

  5. Evaporation of Droplets in Plasma Spray-Physical Vapor Deposition Based on Energy Compensation Between Self-Cooling and Plasma Heat Transfer

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2017-10-01

    In the plasma spray-physical vapor deposition process (PS-PVD), there is no obvious heating to the feedstock powders due to the free molecular flow condition of the open plasma jet. However, this is in contrast to recent experiments in which the molten droplets are transformed into vapor atoms in the open plasma jet. In this work, to better understand the heating process of feedstock powders in the open plasma jet of PS-PVD, an evaporation model of molten ZrO2 is established by examining the heat and mass transfer process of molten ZrO2. The results reveal that the heat flux in PS-PVD open plasma jet (about 106 W/m2) is smaller than that in the plasma torch nozzle (about 108 W/m2). However, the flying distance of molten ZrO2 in the open plasma jet is much longer than that in the plasma torch nozzle, so the heating in the open plasma jet cannot be ignored. The results of the evaporation model show that the molten ZrO2 can be partly evaporated by self-cooling, whereas the molten ZrO2 with a diameter <0.28 μm and an initial temperature of 3247 K can be completely evaporated within the axial distance of 450 mm by heat transfer.

  6. The chemical vapor deposition of zirconium carbide onto ceramic substrates

    International Nuclear Information System (INIS)

    Glass A, John Jr.; Palmisiano, Nick Jr.; Welsh R, Edward

    1999-01-01

    Zirconium carbide is an attractive ceramic material due to its unique properties such as high melting point, good thermal conductivity, and chemical resistance. The controlled preparation of zirconium carbide films of superstoichiometric, stoichiometric, and substoichiometric compositions has been achieved utilizing zirconium tetrachloride and methane precursor gases in an atmospheric pressure high temperature chemical vapor deposition system

  7. Fundamental aspects of plasma chemical physics transport

    CERN Document Server

    Capitelli, Mario; Laricchiuta, Annarita

    2013-01-01

    Fundamental Aspects of Plasma Chemical Physics: Tranpsort develops basic and advanced concepts of plasma transport to the modern treatment of the Chapman-Enskog method for the solution of the Boltzmann transport equation. The book invites the reader to consider actual problems of the transport of thermal plasmas with particular attention to the derivation of diffusion- and viscosity-type transport cross sections, stressing the role of resonant charge-exchange processes in affecting the diffusion-type collision calculation of viscosity-type collision integrals. A wide range of topics is then discussed including (1) the effect of non-equilibrium vibrational distributions on the transport of vibrational energy, (2) the role of electronically excited states in the transport properties of thermal plasmas, (3) the dependence of transport properties on the multitude of Saha equations for multi-temperature plasmas, and (4) the effect of the magnetic field on transport properties. Throughout the book, worked examples ...

  8. Vapor shielding effects on energy transfer from plasma-gun generated ELM-like transient loads to material surfaces

    Science.gov (United States)

    Kikuchi, Y.; Sakuma, I.; Asai, Y.; Onishi, K.; Isono, W.; Nakazono, T.; Nakane, M.; Fukumoto, N.; Nagata, M.

    2016-02-01

    Energy transfer processes from ELM-like pulsed helium (He) plasmas with a pulse duration of ˜0.1 ms to aluminum (Al) and tungsten (W) surfaces were experimentally investigated by the use of a magnetized coaxial plasma gun device. The surface absorbed energy density of the He pulsed plasma on the W surface measured with a calorimeter was ˜0.44 MJ m-2, whereas it was ˜0.15 MJ m-2 on the Al surface. A vapor layer in front of the Al surface exposed to the He pulsed plasma was clearly identified by Al neutral emission line (Al i) measured with a high time resolution spectrometer, and fast imaging with a high-speed visible camera filtered around the Al i emission line. On the other hand, no clear evaporation in front of the W surface exposed to the He pulsed plasma was observed in the present condition. Discussions on the reduction in the surface absorbed energy density on the Al surface are provided by considering the latent heat of vaporization and radiation cooling due to the Al vapor cloud.

  9. Dynamics of the plasma injected into the gap of a plasma opening switch across a strong magnetic field

    International Nuclear Information System (INIS)

    Dolgachev, G. I.; Maslennikov, D. D.; Ushakov, A. G.; Fedotkin, A. S.; Khodeev, I. A.; Shvedov, A. A.

    2011-01-01

    A method is proposed to increase the linear charge density transferred through a plasma opening switch (POS) and, accordingly, reduce the POS diameter by enhancing the external magnetic field in the POS gap. Results are presented from experimental studies of the dynamics of the plasma injected into the POS gap across a strong magnetic field. The possibility of closing the POS gap by the plasma injected across an external magnetic field of up to 60 kG is demonstrated.

  10. Field-matter interaction in atomic and plasma physics, from fluctuations to the strongly nonlinear regime

    International Nuclear Information System (INIS)

    Benisti, D.

    2011-01-01

    This manuscript provides a theoretical description, sometimes illustrated by experimental results, of several examples of field-matter interaction in various domains of physics, showing how the same basic concepts and theoretical methods may be used in very different physics situations. The issues addressed here are nonlinear field-matter interaction in plasma physics within the framework of classical mechanics (with a particular emphasis on wave-particle interaction), the linear analysis of beam-plasma instabilities in the relativistic regime, and the quantum description of laser-atom interaction, including quantum electrodynamics. Novel methods are systematically introduced in order to solve some very old problems, like the nonlinear counterpart of the Landau damping rate in plasma physics, for example. Moreover, our results directly apply to inertial confinement fusion, laser propagation in an atomic vapor, ion acceleration in a magnetized plasma and the physics of the Reversed Field Pinch for magnetic fusion. (author)

  11. Surface properties and field emission characteristics of chemical vapor deposition diamond grown on Fe/Si substrates

    International Nuclear Information System (INIS)

    Hirakuri, Kenji; Yokoyama, Takahiro; Enomoto, Hirofumi; Mutsukura, Nobuki; Friedbacher, Gernot

    2001-01-01

    Electron field emission characteristics of diamond grains fabricated on iron dot-patterned silicon (Fe/Si) substrates at different methane concentrations have been investigated. The characteristics of the samples could be improved by control of the methane concentration during diamond fabrication. Etching treatment of the as-grown diamond has enhanced the emission properties both with respect to current and threshold voltage. In order to study the influence of etching effects on the field emission characteristics, the respective surfaces were studied by Raman spectroscopy, Auger electron spectroscopy, and electron spectroscopy for chemical analysis (ESCA). ESCA revealed intensive graphite and FeO x peaks on the sample surface grown at high methane concentration. For the etched samples, the peaks of diamond and silicon carbide were observed, and the peaks of nondiamond carbon disappeared. The experimental results show that the etching process removes graphitic and nondiamond carbon components. [copyright] 2001 American Institute of Physics

  12. Enhanced intrinsic voltage gain in artificially stacked bilayer CVD graphene field effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Pandey, Himadri; Kataria, Satender [RWTH Aachen University, Chair for Electronic Devices, Aachen (Germany); University of Siegen, School of Science and Technology, Siegen (Germany); Aguirre-Morales, Jorge-Daniel; Fregonese, Sebastien; Zimmer, Thomas [IMS Laboratory, Centre National de la Recherche Scientifique, University of Bordeaux, Talence (France); Passi, Vikram [University of Siegen, School of Science and Technology, Siegen (Germany); AMO GmbH, Advanced Microelectronics Center Aachen (Germany); Iannazzo, Mario; Alarcon, Eduard [Technical University of Catalonia, Department of Electronics Engineering, UPC, Barcelona (Spain); Lemme, Max C. [RWTH Aachen University, Chair for Electronic Devices, Aachen (Germany); University of Siegen, School of Science and Technology, Siegen (Germany); AMO GmbH, Advanced Microelectronics Center Aachen (Germany)

    2017-11-15

    We report on electronic transport in dual-gate, artificially stacked bilayer graphene field effect transistors (BiGFETs) fabricated from large-area chemical vapor deposited (CVD) graphene. The devices show enhanced tendency to current saturation, which leads to reduced minimum output conductance values. This results in improved intrinsic voltage gain of the devices when compared to monolayer graphene FETs. We employ a physics based compact model originally developed for Bernal stacked bilayer graphene FETs (BSBGFETs) to explore the observed phenomenon. The improvement in current saturation may be attributed to increased charge carrier density in the channel and thus reduced saturation velocity due to carrier-carrier scattering. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  13. Analysis of a Stochastic Chemical System Close to a SNIPER Bifurcation of Its Mean-Field Model

    KAUST Repository

    Erban, Radek

    2009-01-01

    A framework for the analysis of stochastic models of chemical systems for which the deterministic mean-field description is undergoing a saddle-node infinite period (SNIPER) bifurcation is presented. Such a bifurcation occurs, for example, in the modeling of cell-cycle regulation. It is shown that the stochastic system possesses oscillatory solutions even for parameter values for which the mean-field model does not oscillate. The dependence of the mean period of these oscillations on the parameters of the model (kinetic rate constants) and the size of the system (number of molecules present) are studied. Our approach is based on the chemical Fokker-Planck equation. To gain some insight into the advantages and disadvantages of the method, a simple one-dimensional chemical switch is first analyzed, and then the chemical SNIPER problem is studied in detail. First, results obtained by solving the Fokker-Planck equation numerically are presented. Then an asymptotic analysis of the Fokker-Planck equation is used to derive explicit formulae for the period of oscillation as a function of the rate constants and as a function of the system size. © 2009 Society for Industrial and Applied Mathematics.

  14. Development of a double plasma gun device for investigation of effects of vapor shielding on erosion of PFC materials under ELM-like pulsed plasma bombardment

    Science.gov (United States)

    Sakuma, I.; Iwamoto, D.; Kitagawa, Y.; Kikuchi, Y.; Fukumoto, N.; Nagata, M.

    2012-10-01

    It is considered that thermal transient events such as type I edge localized modes (ELMs) could limit the lifetime of plasma-facing components (PFCs) in ITER. We have investigated surface damage of tungsten (W) materials under transient heat and particle loads by using a magnetized coaxial plasma gun (MCPG) device at University of Hyogo. The capacitor bank energy for the plasma discharge is 144 kJ (2.88 mF, 10 kVmax). Surface melting of a W material was clearly observed at the energy density of ˜2 MJ/m2. It is known that surface melting and evaporation during a transient heat load could generate a vapor cloud layer in front of the target material [1]. Then, the subsequent erosion could be reduced by the vapor shielding effect. In this study, we introduce a new experiment using two MCPG devices (MCPG-1, 2) to understand vapor shielding effects of a W surface under ELM-like pulsed plasma bombardment. The capacitor bank energy of MCPG-2 is almost same as that of MCPG-1. The second plasmoid is applied with a variable delay time after the plasmoid produced by MCPG-1. Then, a vapor cloud layer could shield the second plasma load. To verify the vapor shielding effects, surface damage of a W material is investigated by changing the delay time. In the conference, the preliminary experimental results will be shown.[4pt] [1] A. Hassanein et al., J. Nucl. Mater. 390-391, pp. 777-780 (2009).

  15. Synhesis of carbon nanotubes by plasma-enhanced chemical vapor deposition in an atmospheric-pressure microwave torch

    Czech Academy of Sciences Publication Activity Database

    Zajíčková, L.; Jašek, O.; Eliáš, M.; Synek, P.; Lazar, L.; Schneeweiss, Oldřich; Hanzlíková, Renáta

    2010-01-01

    Roč. 82, č. 6 (2010), s. 1259-1272 ISSN 0033-4545 Institutional research plan: CEZ:AV0Z20410507; CEZ:AV0Z20650511 Keywords : carbon * nanotubes * synthesis Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 2.128, year: 2010

  16. Growth of graphene underlayers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Fabiane, Mopeli; Khamlich, Saleh; Bello, Abdulhakeem; Dangbegnon, Julien; Momodu, Damilola; Manyala, Ncholu; Charlie Johnson, A. T.

    2013-01-01

    We present a simple and very convincing approach to visualizing that subsequent layers of graphene grow between the existing monolayer graphene and the copper catalyst in chemical vapor deposition (CVD). Graphene samples were grown by CVD and then transferred onto glass substrates by the bubbling method in two ways, either direct-transfer (DT) to yield poly (methyl methacrylate) (PMMA)/graphene/glass or (2) inverted transfer (IT) to yield graphene/PMMA/glass. Field emission scanning electron microscopy (FE-SEM) and atomic force microscopy (AFM) were used to reveal surface features for both the DT and IT samples. The results from FE-SEM and AFM topographic analyses of the surfaces revealed the underlayer growth of subsequent layers. The subsequent layers in the IT samples are visualized as 3D structures, where the smaller graphene layers lie above the larger layers stacked in a concentric manner. The results support the formation of the so-called “inverted wedding cake” stacking in multilayer graphene growth

  17. Enhanced electrochemical activity using vertically aligned carbon nanotube electrodes grown on carbon fiber

    Directory of Open Access Journals (Sweden)

    Evandro Augusto de Morais

    2011-09-01

    Full Text Available Vertically aligned carbon nanotubes were successfully grown on flexible carbon fibers by plasma enhanced chemical vapor deposition. The diameter of the CNT is controllable by adjusting the thickness of the catalyst Ni layer deposited on the fiber. Vertically aligned nanotubes were grown in a Plasma Enhanced Chemical Deposition system (PECVD at a temperature of 630 ºC, d.c. bias of -600 V and 160 and 68 sccm flow of ammonia and acetylene, respectively. Using cyclic voltammetry measurements, an increase of the surface area of our electrodes, up to 50 times higher, was observed in our samples with CNT. The combination of VACNTs with flexible carbon fibers can have a significant impact on applications ranging from sensors to electrodes for fuel cells.

  18. Polymer-free graphene transfer for enhanced reliability of graphene field-effect transistors

    International Nuclear Information System (INIS)

    Park, Hamin; Park, Ick-Joon; Jung, Dae Yool; Lee, Khang June; Yang, Sang Yoon; Choi, Sung-Yool

    2016-01-01

    We propose a polymer-free graphene transfer technique for chemical vapor deposition-grown graphene to ensure the intrinsic electrical properties of graphene for reliable transistor applications. The use of a metal catalyst as a supporting layer avoids contamination from the polymer material and graphene films become free of polymer residue after the transfer process. Atomic force microscopy and Raman spectroscopy indicate that the polymer-free transferred graphene shows closer properties to intrinsic graphene properties. The reliability of graphene field-effect transistors (GFETs) was investigated through the analysis of the negative gate bias-stress-induced instability. This work reveals the effect of polymer residues on the reliability of GFETs, and that the developed new polymer-free transfer method enhances the reliability. (letter)

  19. Pre-Excitation Studies for Rubidium-Plasma Generation

    CERN Document Server

    Aladi, M; Barna, I.F.; Czitrovszky, Aladar; Djotyan, Gagik; Dombi, Peter; Dzsotjan, David; FöLdes, Istvan; Hamar, Gergo; Ignacz, Peter; Kedves, Miklos; Kerekes, Attila; Levai, Peter; Marton, Istvan; Nagy, Attila; Oszetzky, Daniel; Pocsai, Mihaly; Racz, Peter; Raczkevi, Bela; Szigeti, Janos; Sörlei, Zsuzsa; Szipöcs, Robert; Varga, Dezso; Varga-Umbrich, Karoly; Varro, Sandor; Vamos, Lenard; Vesztergombi, György

    2014-01-01

    The key element in the Proton-Driven-Plasma-Wake-Field-Accelerator (AWAKE) project is the generation of highly uniform plasma from Rubidium vapor. The standard way to achieve full ionization is to use high power laser which can assure the over-barrier-ionization (OBI) along the 10 meters long active region. The Wigner-team in Budapest is investigating an alternative way of uniform plasma generation. The proposed Resonance Enhanced Multi Photon Ionization (REMPI) scheme probably can be realized by much less laser power. In the following the resonant pre-excitations of the Rb atoms are investigated, theoretically and the status report about the preparatory work on the experiment are presented.

  20. Complete long-term corrosion protection with chemical vapor deposited graphene

    DEFF Research Database (Denmark)

    Yu, Feng; Camilli, Luca; Wang, Ting

    2018-01-01

    Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer-graphene......Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer......-graphene hybrid coating, comprising two single layers of CVD graphene sandwiched by three layers of polyvinyl butyral, which provides complete corrosion protection of commercial aluminum alloys even after 120 days of exposure to simulated seawater. The essential role played by graphene in the hybrid coating...

  1. Synthesis of chiral polyaniline films via chemical vapor phase polymerization

    DEFF Research Database (Denmark)

    Chen, J.; Winther-Jensen, B.; Pornputtkul, Y.

    2006-01-01

    Electrically and optically active polyaniline films doped with (1)-(-)-10- camphorsulfonic acid were successfully deposited on nonconductive substrates via chemical vapor phase polymerization. The above polyaniline/ R- camphorsulfonate films were characterized by electrochemical and physical...

  2. Theory of field-reversed mirrors and field-reversed plasma-gun experiments. Paper IAEA-CN-38/R-2

    International Nuclear Information System (INIS)

    Anderson, D.V.; Auerbach, S.P.; Berk, H.L.

    1980-01-01

    Experimental and theoretical studies of field reversal in a mirror machine are reported. Plasma-gun experiments demonstrate that reversed-field plasma layers are formed. Low energy plasma flowing behind the initially produced plasma front prevents tearing of the layer from the gun muzzle. MHD simulation shows that tearing can be obtained by impeding the slow plasma flow with a plasma divider. It is demonstrated theoretically that a field-reversed mirror imbedded in a multipole field can be sustained in steady state with neutral-beam injection even in the absence of impurities. MHD stability analysis shows that growth rates of elongated reversed-field theta-pinch configurations decrease with axial extension, which indicates the importance of including finite Larmor radius in the analysis. Tilting-mode criteria are improved by proper shaping, and a problimak shape is proposed. Tearing mode stability of reversed-field theta-pinches is greatly enhanced by flux exclusion. Self-consistent, 1-1/2-dimensional transport codes have been developed, and initial results are presented

  3. Theory of field-reversed mirrors and field-reversed plasma-gun experiments. Paper IAEA-CN-38/R-2

    International Nuclear Information System (INIS)

    Anderson, D.V.; Auerbach, S.P.; Berk, H.L.

    1980-01-01

    Experimental and theoretical studies of field reversal in a mirror machine are reported. Plasma-gun experiments demonstrate that reversed-field plasma layers are formed. Low energy plasma flowing behind the initially produced plasma front prevents tearing of the layer from the gun muzzle. MHD simulation shows that tearing can be obtained by impeding the slow plasma flow with a plasma divider. It is demonstrated theoretically that a field-reversed mirror imbedded in a multipole field can be sustained in steady state with neutral-beam injection even in the absence of impurities. MHD stability analysis shows that growth rates of elongated reversed-field theta-pinch configurations decrease with axial extension, which indicates the importance of including finite Larmor radius in the analysis. Tilting-mode criteria are dramatically improved by proper shaping, and a problimak shape is proposed. Tearing mode stability of reversed-field theta-pinches is greatly enhanced by flux exclusion. Self-consistent, 1-1/2-dimensional transport codes have been developed, and initial results are presented

  4. Water surface coverage effects on reactivity of plasma oxidized Ti films

    International Nuclear Information System (INIS)

    Pranevicius, L.; Pranevicius, L.L.; Vilkinis, P.; Baltaragis, S.; Gedvilas, K.

    2014-01-01

    Highlights: • The reactivity of Ti films immersed in water vapor plasma depends on the surface water coverage. • The adsorbed water monolayers are disintegrated into atomic constituents on the hydrophilic TiO 2 under plasma radiation. • The TiO 2 surface covered by water multilayer loses its ability to split adsorbed water molecules under plasma radiation. - Abstract: The behavior of the adsorbed water on the surface of thin sputter deposited Ti films maintained at room temperature was investigated in dependence on the thickness of the resulting adsorbed water layer, controllably injecting water vapor into plasma. The surface morphology and microstructure were used to characterize the surfaces of plasma treated titanium films. Presented experimental results showed that titanium films immersed in water vapor plasma at pressure of 10–100 Pa promoted the photocatalytic activity of overall water splitting. The surfaces of plasma oxidized titanium covered by an adsorbed hydroxyl-rich island structure water layer and activated by plasma radiation became highly chemically reactive. As water vapor pressure increased up to 300–500 Pa, the formed water multilayer diminished the water oxidation and, consequently, water splitting efficiency decreased. Analysis of the experimental results gave important insights into the role an adsorbed water layer on surface of titanium exposed to water vapor plasma on its chemical activity and plasma activated electrochemical processes, and elucidated the surface reactions that could lead to the split of water molecules

  5. Chemical Vapor-Deposited (CVD) Diamond Films for Electronic Applications

    Science.gov (United States)

    1995-01-01

    Diamond films have a variety of useful applications as electron emitters in devices such as magnetrons, electron multipliers, displays, and sensors. Secondary electron emission is the effect in which electrons are emitted from the near surface of a material because of energetic incident electrons. The total secondary yield coefficient, which is the ratio of the number of secondary electrons to the number of incident electrons, generally ranges from 2 to 4 for most materials used in such applications. It was discovered recently at the NASA Lewis Research Center that chemical vapor-deposited (CVD) diamond films have very high secondary electron yields, particularly when they are coated with thin layers of CsI. For CsI-coated diamond films, the total secondary yield coefficient can exceed 60. In addition, diamond films exhibit field emission at fields orders of magnitude lower than for existing state-of-the-art emitters. Present state-of-the-art microfabricated field emitters generally require applied fields above 5x10^7 V/cm. Research on field emission from CVD diamond and high-pressure, high-temperature diamond has shown that field emission can be obtained at fields as low as 2x10^4 V/cm. It has also been shown that thin layers of metals, such as gold, and of alkali halides, such as CsI, can significantly increase field emission and stability. Emitters with nanometer-scale lithography will be able to obtain high-current densities with voltages on the order of only 10 to 15 V.

  6. Plasma and Ion Assistance in Physical Vapor Deposition: AHistorical Perspective

    Energy Technology Data Exchange (ETDEWEB)

    Anders, Andre

    2007-02-28

    Deposition of films using plasma or plasma-assist can betraced back surprisingly far, namely to the 18th century for arcs and tothe 19th century for sputtering. However, only since the 1960s thecoatings community considered other processes than evaporation for largescale commercial use. Ion Plating was perhaps the first importantprocess, introducing vapor ionization and substrate bias to generate abeam of ions arriving on the surface of the growing film. Ratherindependently, cathodic arc deposition was established as an energeticcondensation process, first in the former Soviet Union in the 1970s, andin the 1980s in the Western Hemisphere. About a dozen various ion-basedcoating technologies evolved in the last decades, all characterized byspecific plasma or ion generation processes. Gridded and gridless ionsources were taken from space propulsion and applied to thin filmdeposition. Modeling and simulation have helped to make plasma and ionseffects to be reasonably well understood. Yet--due to the complex, oftennon-linear and non-equilibrium nature of plasma and surfaceinteractions--there is still a place for the experience plasma"sourcerer."

  7. Compensation of decreased ion energy by increased hydrogen dilution in plasma deposition of thin film silicon solar cells at low substrate temperatures

    NARCIS (Netherlands)

    Verkerk, A.D.; de Jong, M.M.; Rath, J.K.; Brinza, M.; Schropp, R.E.I.; Goedheer, W.J.; Krzhizhanovskaya, V.V.; Gorbachev, Y.E.; Orlov, K.E.; Khilkevitch, E.M.; Smirnov, A.S.

    2009-01-01

    In order to deposit thin film silicon solar cells on plastics and papers, the deposition process needs to be adapted for low deposition temperatures. In a very high frequency plasma-enhanced chemical vapor deposition (VHF PECVD) process, both the gas phase and the surface processes are affected by

  8. Fuel gas production by microwave plasma in liquid

    International Nuclear Information System (INIS)

    Nomura, Shinfuku; Toyota, Hiromichi; Tawara, Michinaga; Yamashita, Hiroshi; Matsumoto, Kenya

    2006-01-01

    We propose to apply plasma in liquid to replace gas-phase plasma because we expect much higher reaction rates for the chemical deposition of plasma in liquid than for chemical vapor deposition. A reactor for producing microwave plasma in a liquid could produce plasma in hydrocarbon liquids and waste oils. Generated gases consist of up to 81% hydrogen by volume. We confirmed that fuel gases such as methane and ethylene can be produced by microwave plasma in liquid

  9. Low-temperature ({<=}200 Degree-Sign C) plasma enhanced atomic layer deposition of dense titanium nitride thin films

    Energy Technology Data Exchange (ETDEWEB)

    Samal, Nigamananda; Du Hui; Luberoff, Russell; Chetry, Krishna; Bubber, Randhir; Hayes, Alan; Devasahayam, Adrian [Veeco Instruments, 1 Terminal Drive, Plainview, New York 11803 (United States)

    2013-01-15

    Titanium nitride (TiN) has been widely used in the semiconductor industry for its diffusion barrier and seed layer properties. However, it has seen limited adoption in other industries in which low temperature (<200 Degree-Sign C) deposition is a requirement. Examples of applications which require low temperature deposition are seed layers for magnetic materials in the data storage (DS) industry and seed and diffusion barrier layers for through-silicon-vias (TSV) in the MEMS industry. This paper describes a low temperature TiN process with appropriate electrical, chemical, and structural properties based on plasma enhanced atomic layer deposition method that is suitable for the DS and MEMS industries. It uses tetrakis-(dimethylamino)-titanium as an organometallic precursor and hydrogen (H{sub 2}) as co-reactant. This process was developed in a Veeco NEXUS Trade-Mark-Sign chemical vapor deposition tool. The tool uses a substrate rf-biased configuration with a grounded gas shower head. In this paper, the complimentary and self-limiting character of this process is demonstrated. The effects of key processing parameters including temperature, pulse time, and plasma power are investigated in terms of growth rate, stress, crystal morphology, chemical, electrical, and optical properties. Stoichiometric thin films with growth rates of 0.4-0.5 A/cycle were achieved. Low electrical resistivity (<300 {mu}{Omega} cm), high mass density (>4 g/cm{sup 3}), low stress (<250 MPa), and >85% step coverage for aspect ratio of 10:1 were realized. Wet chemical etch data show robust chemical stability of the film. The properties of the film have been optimized to satisfy industrial viability as a Ruthenium (Ru) preseed liner in potential data storage and TSV applications.

  10. Time delays across saddles as a test of modified gravity

    International Nuclear Information System (INIS)

    Magueijo, João; Mozaffari, Ali

    2013-01-01

    Modified gravity theories can produce strong signals in the vicinity of the saddles of the total gravitational potential. In a sub-class of these models, this translates into diverging time delays for echoes crossing the saddles. Such models arise from the possibility that gravity might be infrared divergent or confined, and if suitably designed they are very difficult to rule out. We show that Lunar Laser Ranging during an eclipse could probe the time-delay effect within metres of the saddle, thereby proving or excluding these models. Very Large Baseline Interferometry, instead, could target delays across the Jupiter–Sun saddle. Such experiments would shed light on the infrared behaviour of gravity and examine the puzzling possibility that there might be well-hidden regions of strong gravity and even singularities inside the solar system. (fast track communication)

  11. Hydrogen diffusion between plasma-deposited silicon nitride-polyimide polymer interfaces

    International Nuclear Information System (INIS)

    Nguyen, S.V.; Kerbaugh, M.

    1988-01-01

    This paper reports a nuclear reaction analysis (NRA) for hydrogen technique used to analyze the hydrogen concentration near plasma enhanced chemical vapor deposition (PECVD) silicon nitride-polyimide interfaces at various nitride-deposition and polyimide-polymer-curing temperatures. The CF 4 + O 2 (8% O 2 ) plasma-etch-rate variation of PECVD silicon nitride films deposited on polyimide appeared to correlate well with the variation of hydrogen-depth profiles in the nitride films. The NRA data indicate that hydrogen-depth-profile fluctuation in the nitride films is due to hydrogen diffusion between the nitride-polyimide interfaces during deposition. Annealing treatment of polyimide films in a hydrogen atmosphere prior to the nitride film deposition tends to enhance the hydrogen-depth-profile uniformity in the nitride films, and thus substantially reduces or eliminates variation in the nitride plasma-etch rate

  12. Vapor cell geometry effect on Rydberg atom-based microwave electric field measurement

    Science.gov (United States)

    Zhang, Linjie; Liu, Jiasheng; Jia, Yue; Zhang, Hao; Song, Zhenfei; Jia, Suotang

    2018-03-01

    The geometry effect of a vapor cell on the metrology of a microwave electric field is investigated. Based on the splitting of the electromagnetically induced transparency spectra of cesium Rydberg atoms in a vapor cell, high-resolution spatial distribution of the microwave electric field strength is achieved for both a cubic cell and a cylinder cell. The spatial distribution of the microwave field strength in two dimensions is measured with sub-wavelength resolution. The experimental results show that the shape of a vapor cell has a significant influence on the abnormal spatial distribution because of the Fabry–Pérot effect inside a vapor cell. A theoretical simulation is obtained for different vapor cell wall thicknesses and shows that a restricted wall thickness results in a measurement fluctuation smaller than 3% at the center of the vapor cell. Project supported by the National Key Research and Development Program of China (Grant Nos. 2017YFA03044200 and 2016YFF0200104), the National Natural Science Foundation of China (Grant Nos. 91536110, 61505099, and 61378013), and the Fund for Shanxi “331 Project” Key Subjects Construction, China.

  13. Considerable Enhancement of Field Emission of SnO2Nanowires by Post-Annealing Process in Oxygen at High Temperature

    Directory of Open Access Journals (Sweden)

    Fang XS

    2009-01-01

    Full Text Available Abstract The field emission properties of SnO2nanowires fabricated by chemical vapor deposition with metallic catalyst-assistance were investigated. For the as-fabricated SnO2nanowires, the turn-on and threshold field were 4.03 and 5.4 V/μm, respectively. Considerable enhancement of field emission of SnO2nanowires was obtained by a post-annealing process in oxygen at high temperature. When the SnO2nanowires were post-annealed at 1,000 °C in oxygen, the turn-on and threshold field were decreased to 3.77 and 4.4 V/μm, respectively, and the current density was increased to 6.58 from 0.3 mA/cm2at the same applied electric field of 5.0 V/μm.

  14. The effectiveness of adhesives on the retention of mandibular free end saddle partial dentures: An in vitro study.

    Science.gov (United States)

    Quiney, Daniel; Nishio Ayre, Wayne; Milward, Paul

    2017-07-01

    Existing in vitro methods for testing denture adhesives do not fully replicate the complex oral geometries and environment; and in vivo methods are qualitative, prone to bias and not easily reproducible. The purpose of this study was to develop a novel, quantitative and more accurate model to test the effect of adhesives on the retentive force of mandibular free end saddle partial dentures. An in vitro model was developed based on an anatomically accurate cast of a clinical case. Experimentally, the amount of adhesive was varied (0.2g-1g) and the tensile force required for displacement was measured. Different commercially available adhesives were then tested at the optimum volume using the in vitro model. A 3D finite element model of the denture was used to assess how the forces to induce denture displacement varied according to the position of the force along the saddle length. The mass of adhesive was found to significantly alter retention forces, with 0.4-0.7g being the optimum range for this particular scenario. Use of adhesives significantly improved mandibular free end saddle partial denture retention with the worst performing adhesive increasing retention nine-fold whilst the best performing adhesive increased retention twenty three-fold. The finite element model revealed that 77% more force was required to displace the denture by positioning forces towards the mesial end of the saddle compared to the distal end. An in vitro denture adhesive model was developed, which demonstrated that mass of adhesive plays a significant role in enhancing denture retention and supported the design principle of placing as few teeth as clinically necessary on the distal end of the free end saddles. Limiting the position of teeth on free end saddles to the mesial and mid portion of the saddle will reduce displacements caused by mastication. The movement of mandibular free end saddle partial dentures can be restricted with the use of denture adhesives. Altering the mass of

  15. Electrons at the monkey saddle: A multicritical Lifshitz point

    Science.gov (United States)

    Shtyk, A.; Goldstein, G.; Chamon, C.

    2017-01-01

    We consider two-dimensional interacting electrons at a monkey saddle with dispersion ∝px3-3 pxpy2 . Such a dispersion naturally arises at the multicritical Lifshitz point when three Van Hove saddles merge in an elliptical umbilic elementary catastrophe, which we show can be realized in biased bilayer graphene. A multicritical Lifshitz point of this kind can be identified by its signature Landau level behavior Em∝(Bm ) 3 /2 and related oscillations in thermodynamic and transport properties, such as de Haas-Van Alphen and Shubnikov-de Haas oscillations, whose period triples as the system crosses the singularity. We show, in the case of a single monkey saddle, that the noninteracting electron fixed point is unstable to interactions under the renormalization-group flow, developing either a superconducting instability or non-Fermi-liquid features. Biased bilayer graphene, where there are two non-nested monkey saddles at the K and K' points, exhibits an interplay of competing many-body instabilities, namely, s -wave superconductivity, ferromagnetism, and spin- and charge-density waves.

  16. Static gas-liquid interfacial direct current discharge plasmas using ionic liquid cathode

    International Nuclear Information System (INIS)

    Kaneko, T.; Baba, K.; Hatakeyama, R.

    2009-01-01

    Due to the unique properties of ionic liquids such as their extremely low vapor pressure and high heat capacity, we have succeeded in creating the static and stable gas (plasmas)-liquid (ionic liquids) interfacial field using a direct current discharge under a low gas pressure condition. It is clarified that the ionic liquid works as a nonmetal liquid electrode, and furthermore, a secondary electron emission coefficient of the ionic liquid is larger than that of conventional metal electrodes. The plasma potential structure of the gas-liquid interfacial region, and resultant interactions between the plasma and the ionic liquid are revealed by changing a polarity of the electrode in the ionic liquid. By utilizing the ionic liquid as a cathode electrode, the positive ions in the plasma region are found to be irradiated to the ionic liquid. This ion irradiation causes physical and chemical reactions at the gas-liquid interfacial region without the vaporization of the ionic liquid.

  17. Assessment of saddle-point-mass predictions for astrophysical applications

    Energy Technology Data Exchange (ETDEWEB)

    Kelic, A.; Schmidt, K.H.

    2005-07-01

    Using available experimental data on fission barriers and ground-state masses, a detailed study on the predictions of different models concerning the isospin dependence of saddle-point masses is performed. Evidence is found that several macroscopic models yield unrealistic saddle-point masses for very neutron-rich nuclei, which are relevant for the r-process nucleosynthesis. (orig.)

  18. Vaporization of chemical species and the production of aerosols during a core debris/concrete interaction

    International Nuclear Information System (INIS)

    Butland, A.T.D.; Mignanelli, M.A.; Potter, P.E.; Smith, P.N.

    1987-01-01

    The equilibrium chemical composition within gas bubbles sparging through isothermal molten corium-concrete mixtures has been evaluated theoretically. A series of sensitivity calculations gives some insight into a number of factors which are of importance in determining the radionuclide and non-radioactive releases during core-concrete interaction. The degree of mixing or layering of the pool has turned out to be of paramount importance in determining the magnitudes of the releases. The presence of unoxidized zirconium in the melt tends to enhance the release of a number of species and the type of concrete used for the base mat can have a significant effect. The predictions can be sensitive to the thermodynamic data used in the calculations. The vaporization of various species into the gas bubbles can require large amounts of heat; the loss of this heat from the melt can have an effect on the extent of the vaporization

  19. The roles of electric field shear and Shafranov shift in sustaining high confinement in enhanced reversed shear plasmas on the TFTR tokamak

    International Nuclear Information System (INIS)

    Synakowski, E.J.; Beer, M.A.

    1997-02-01

    The relaxation of core transport barriers in TFTR Enhanced Reversed Shear plasmas has been studied by varying the radial electric field using different applied torques from neutral beam injection. Transport rates and fluctuations remain low over a wide range of radial electric field shear, but increase when the local E x B shearing rates are driven below a threshold comparable to the fastest linear growth rates of the dominant instabilities. Shafranov-shift-induced stabilization alone is not able to sustain enhanced confinement

  20. Divided Saddle Theory: A New Idea for Rate Constant Calculation.

    Science.gov (United States)

    Daru, János; Stirling, András

    2014-03-11

    We present a theory of rare events and derive an algorithm to obtain rates from postprocessing the numerical data of a free energy calculation and the corresponding committor analysis. The formalism is based on the division of the saddle region of the free energy profile of the rare event into two adjacent segments called saddle domains. The method is built on sampling the dynamics within these regions: auxiliary rate constants are defined for the saddle domains and the absolute forward and backward rates are obtained by proper reweighting. We call our approach divided saddle theory (DST). An important advantage of our approach is that it requires only standard computational techniques which are available in most molecular dynamics codes. We demonstrate the potential of DST numerically on two examples: rearrangement of alanine-dipeptide (CH3CO-Ala-NHCH3) conformers and the intramolecular Cope reaction of the fluxional barbaralane molecule.

  1. Effect of saddle-point anisotropy on point-defect drift-diffusion into straight dislocations

    International Nuclear Information System (INIS)

    Skinner, B.C.; Woo, C.H.

    1983-02-01

    Effects on point-defect drift-diffusion in the strain fields of edge or screw dislocations, due to the anisotropy of the point defect in its saddle-point configuration, are investigated. Expressions for sink strength and bias that include the saddle-point shape effect are derived, both in the absence and presence of an externally applied stress. These are found to depend on intrinsic parameters such as the relaxation volume and the saddle-point shape of the point defects, and extrinsic parameters such as temperature and the magnitude and direction of the externally applied stress with respect to the line direction and Burgers vector direction of the dislocation. The theory is applied to fcc copper and bcc iron. It is found that screw dislocations are biased sinks and that the stress-induced bias differential for the edge dislocations depends much more on the line direction than the Burgers vector direction. Comparison with the stress-induced bias differential due to the usual SIPA effect is made. It is found that the present effect causes a bias differential that is more than an order of magnitude larger

  2. GEODESIC RECONSTRUCTION, SADDLE ZONES & HIERARCHICAL SEGMENTATION

    Directory of Open Access Journals (Sweden)

    Serge Beucher

    2011-05-01

    Full Text Available The morphological reconstruction based on geodesic operators, is a powerful tool in mathematical morphology. The general definition of this reconstruction supposes the use of a marker function f which is not necessarily related to the function g to be built. However, this paper deals with operations where the marker function is defined from given characteristic regions of the initial function f, as it is the case, for instance, for the extrema (maxima or minima but also for the saddle zones. Firstly, we show that the intuitive definition of a saddle zone is not easy to handle, especially when digitised images are involved. However, some of these saddle zones (regional ones also called overflow zones can be defined, this definition providing a simple algorithm to extract them. The second part of the paper is devoted to the use of these overflow zones as markers in image reconstruction. This reconstruction provides a new function which exhibits a new hierarchy of extrema. This hierarchy is equivalent to the hierarchy produced by the so-called waterfall algorithm. We explain why the waterfall algorithm can be achieved by performing a watershed transform of the function reconstructed by its initial watershed lines. Finally, some examples of use of this hierarchical segmentation are described.

  3. The Sun-Earth saddle point: characterization and opportunities to test general relativity

    Science.gov (United States)

    Topputo, Francesco; Dei Tos, Diogene A.; Rasotto, Mirco; Nakamiya, Masaki

    2018-04-01

    The saddle points are locations where the net gravitational accelerations balance. These regions are gathering more attention within the astrophysics community. Regions about the saddle points present clean, close-to-zero background acceleration environments where possible deviations from General Relativity can be tested and quantified. Their location suggests that flying through a saddle point can be accomplished by leveraging highly nonlinear orbits. In this paper, the geometrical and dynamical properties of the Sun-Earth saddle point are characterized. A systematic approach is devised to find ballistic orbits that experience one or multiple passages through this point. A parametric analysis is performed to consider spacecraft initially on L_{1,2} Lagrange point orbits. Sun-Earth saddle point ballistic fly-through trajectories are evaluated and classified for potential use. Results indicate an abundance of short-duration, regular solutions with a variety of characteristics.

  4. Toroidal plasma enhanced CVD of diamond films

    International Nuclear Information System (INIS)

    Zvanya, John; Cullen, Christopher; Morris, Thomas; Krchnavek, Robert R.; Holber, William; Basnett, Andrew; Basnett, Robert; Hettinger, Jeffrey

    2014-01-01

    An inductively coupled toroidal plasma source is used as an alternative to microwave plasmas for chemical vapor deposition of diamond films. The source, operating at a frequency of 400 kHz, synthesizes diamond films from a mixture of argon, methane, and hydrogen. The toroidal design has been adapted to create a highly efficient environment for diamond film deposition: high gas temperature and a short distance from the sample to the plasma core. Using a toroidal plasma geometry operating in the medium frequency band allows for efficient (≈90%) coupling of AC line power to the plasma and a scalable path to high-power and large-area operation. In test runs, the source generates a high flux of atomic hydrogen over a large area, which is favorable for diamond film growth. Using a deposition temperature of 900–1050 °C and a source to sample distance of 0.1–2.0 cm, diamond films are deposited onto silicon substrates. The results showed that the deposition rate of the diamond films could be controlled using the sample temperature and source to sample spacing. The results also show the films exhibit good-quality polycrystalline diamond as verified by Raman spectroscopy, x-ray diffraction, and scanning electron microscopy. The scanning electron microscopy and x-ray diffraction results show that the samples exhibit diamond (111) and diamond (022) crystallites. The Raman results show that the sp 3 peak has a narrow spectral width (FWHM 12 ± 0.5 cm −1 ) and that negligible amounts of the sp 2 band are present, indicating good-quality diamond films

  5. Experimental simulation and numerical modeling of vapor shield formation and divertor material erosion for ITER typical plasma disruptions

    International Nuclear Information System (INIS)

    Wuerz, H.; Arkhipov, N.I.; Bakhtin, V.P.; Konkashbaev, I.; Landman, I.; Safronov, V.M.; Toporkov, D.A.; Zhitlukhin, A.M.

    1995-01-01

    The high divertor heat load during a tokamak plasma disruption results in sudden evaporation of a thin layer of divertor plate material, which acts as vapor shield and protects the target from further excessive evaporation. Formation and effectiveness of the vapor shield are theoretically modeled and are experimentally analyzed at the 2MK-200 facility under conditions simulating the thermal quench phase of ITER tokamak plasma disruptions. ((orig.))

  6. Direct growth of large grain polycrystalline silicon films on aluminum-induced crystallization seed layer using hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Bing-Rui; Lo, Shih-Yung; Wuu, Dong-Sing; Ou, Sin-Liang; Mao, Hsin-Yuan; Wang, Jui-Hao; Horng, Ray-Hua

    2012-01-01

    Large grain polycrystalline silicon (poly-Si) films on glass substrates have been deposited on an aluminum-induced crystallization (AIC) seed layer using hot-wire chemical vapor deposition (HWCVD). A poly-Si seed layer was first formed by the AIC process and a thicker poly-Si film was subsequently deposited upon the seed layer using HWCVD. The effects of AIC annealing parameters on the structural and electrical properties of the poly-Si seed layers were characterized by Raman scattering spectroscopy, field-emission scanning electron microscopy, and Hall measurements. It was found that the crystallinity of seed layer was enhanced with increasing the annealing duration and temperature. The poly-Si seed layer formed at optimum annealing parameters can reach a grain size of 700 nm, hole concentration of 3.5 × 10 18 cm −3 , and Hall mobility of 22 cm 2 /Vs. After forming the seed layer, poly-Si films with good crystalline quality and high growth rate (> 1 nm/s) can be obtained using HWCVD. These results indicated that the HWCVD-deposited poly-Si film on an AIC seed layer could be a promising candidate for thin-film Si photovoltaic applications. - Highlights: ►Poly-Si seed layers are formed by aluminum-induced crystallization (AIC) process. ►Poly-Si on AIC seed layers are prepared by hot-wire chemical vapor deposition. ►AIC process parameters affect structural properties of poly-Si films. ►Increasing the annealing duration and temperature increases the film crystallinity.

  7. Transport of plasma across a braided magnetic field

    International Nuclear Information System (INIS)

    Stix, T.H.

    1976-10-01

    Transport rates are calculated for a plasma immersed in a region through which magnetic lines of force meander in a stochastic fashion and in which the magnetic surfaces are destroyed. Such a magnetic condition, termed magnetic braiding, may be brought about by asymmetric magnetic perturbations, perhaps quite weak, which typically produce overlap of two sets of magnetic islands. Plasma transport is calculated for this environment, using both a fluid and a kinetic drift model. The latter gives an appreciably higher rate, namely, a fast-particle diffusion coefficient equal to ( 1 / 2 )D/sub M/ [absolute value of v/sub ''/], where D/sub M/ is the coefficient of spatial diffusion for the magnetic lines of force. Correction terms, due to polarization-associated E/sub ''/ fields, are small unless components of the braiding field resonate with ion-acoustic or drift waves. Insertion of a Bhatnager--Gross--Krook collision term shows the diffusion rate is unaffected by weak collisions. Diffusion due to magnetic braiding is of interest for tokamaks, particularly with respect to enhanced electron heat transport, enhanced current penetration, plasma disruption, and internal sawtooth oscillations

  8. Evaluation of Chemical Warfare Agent Percutaneous Vapor Toxicity: Derivation of Toxicity Guidelines for Assessing Chemical Protective Ensembles.

    Energy Technology Data Exchange (ETDEWEB)

    Watson, A.P.

    2003-07-24

    Percutaneous vapor toxicity guidelines are provided for assessment and selection of chemical protective ensembles (CPEs) to be used by civilian and military first responders operating in a chemical warfare agent vapor environment. The agents evaluated include the G-series and VX nerve agents, the vesicant sulfur mustard (agent HD) and, to a lesser extent, the vesicant Lewisite (agent L). The focus of this evaluation is percutaneous vapor permeation of CPEs and the resulting skin absorption, as inhalation and ocular exposures are assumed to be largely eliminated through use of SCBA and full-face protective masks. Selection of appropriately protective CPE designs and materials incorporates a variety of test parameters to ensure operability, practicality, and adequacy. One aspect of adequacy assessment should be based on systems tests, which focus on effective protection of the most vulnerable body regions (e.g., the groin area), as identified in this analysis. The toxicity range of agent-specific cumulative exposures (Cts) derived in this analysis can be used as decision guidelines for CPE acceptance, in conjunction with weighting consideration towards more susceptible body regions. This toxicity range is bounded by the percutaneous vapor estimated minimal effect (EME{sub pv}) Ct (as the lower end) and the 1% population threshold effect (ECt{sub 01}) estimate. Assumptions of exposure duration used in CPE certification should consider that each agent-specific percutaneous vapor cumulative exposure Ct for a given endpoint is a constant for exposure durations between 30 min and 2 hours.

  9. Chemical and microstructural characterizations of plasma polymer films by time-of-flight secondary ion mass spectrometry and principal component analysis

    Science.gov (United States)

    Cossement, Damien; Renaux, Fabian; Thiry, Damien; Ligot, Sylvie; Francq, Rémy; Snyders, Rony

    2015-11-01

    It is accepted that the macroscopic properties of functional plasma polymer films (PPF) are defined by their functional density and their crosslinking degree (χ) which are quantities that most of the time behave in opposite trends. If the PPF chemistry is relatively easy to evaluate, it is much more challenging for χ. This paper reviews the recent work developed in our group on the application of principal component analysis (PCA) to time-of-flight secondary ion mass spectrometric (ToF-SIMS) positive spectra data in order to extract the relative cross-linking degree (χ) of PPF. NH2-, COOR- and SH-containing PPF synthesized in our group by plasma enhanced chemical vapor deposition (PECVD) varying the applied radiofrequency power (PRF), have been used as model surfaces. For the three plasma polymer families, the scores of the first computed principal component (PC1) highlighted significant differences in the chemical composition supported by X-Ray photoelectron spectroscopy (XPS) data. The most important fragments contributing to PC1 (loadings > 90%) were used to compute an average C/H ratio index for samples synthesized at low and high PRF. This ratio being an evaluation of χ, these data, accordingly to the literature, indicates an increase of χ with PRF excepted for the SH-PPF. These results have been cross-checked by the evaluation of functional properties of the plasma polymers namely a linear correlation with the stability of NH2-PPF in ethanol and a correlation with the mechanical properties of the COOR-PPF. For the SH-PPF family, the peculiar evolution of χ is supported by the understanding of the growth mechanism of the PPF from plasma diagnostic. The whole set of data clearly demonstrates the potential of the PCA method for extracting information on the microstructure of plasma polymers from ToF-SIMS measurements.

  10. Characterisation of silicon carbide films deposited by plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Iliescu, Ciprian; Chen Bangtao; Wei Jiashen; Pang, A.J.

    2008-01-01

    The paper presents a characterisation of amorphous silicon carbide films deposited in plasma-enhanced chemical vapour deposition (PECVD) reactors for MEMS applications. The main parameter was optimised in order to achieve a low stress and high deposition rate. We noticed that the high frequency mode (13.56 MHz) gives a low stress value which can be tuned from tensile to compressive by selecting the correct power. The low frequency mode (380 kHz) generates high compressive stress (around 500 MPa) due to ion bombardment and, as a result, densification of the layer achieved. Temperature can decrease the compressive value of the stress (due to annealing effect). A low etching rate of the amorphous silicon carbide layer was noticed for wet etching in KOH 30% at 80 o C (around 13 A/min) while in HF 49% the layer is practically inert. A very slow etching rate of amorphous silicon carbide layer in XeF 2 -7 A/min- was observed. The paper presents an example of this application: PECVD-amorphous silicon carbide cantilevers fabricated using surface micromachining by dry-released technique in XeF 2

  11. Confinement of laser plasma by solenoidal field for laser ion source

    International Nuclear Information System (INIS)

    Okamura, M.; Kanesue, T.; Kondo, K.; Dabrowski, R.

    2010-01-01

    A laser ion source can provide high current, highly charged ions with a simple structure. However, it was not easy to control the ion pulse width. To provide a longer ion beam pulse, the plasma drift length, which is the distance between laser target and extraction point, has to be extended and as a result the plasma is diluted severely. Previously, we applied a solenoid field to prevent reduction of ion density at the extraction point. Although a current enhancement by a solenoid field was observed, plasma behavior after a solenoid magnet was unclear because plasma behavior can be different from usual ion beam dynamics. We measured a transverse ion distribution along the beam axis to understand plasma motion in the presence of a solenoid field.

  12. Effect of Substrate Morphology on Growth and Field Emission Properties of Carbon Nanotube Films

    Directory of Open Access Journals (Sweden)

    Kumar Vikram

    2008-01-01

    Full Text Available AbstractCarbon nanotube (CNT films were grown by microwave plasma-enhanced chemical vapor deposition process on four types of Si substrates: (i mirror polished, (ii catalyst patterned, (iii mechanically polished having pits of varying size and shape, and (iv electrochemically etched. Iron thin film was used as catalytic material and acetylene and ammonia as the precursors. Morphological and structural characteristics of the films were investigated by scanning and transmission electron microscopes, respectively. CNT films of different morphology such as vertically aligned, randomly oriented flowers, or honey-comb like, depending on the morphology of the Si substrates, were obtained. CNTs had sharp tip and bamboo-like internal structure irrespective of growth morphology of the films. Comparative field emission measurements showed that patterned CNT films and that with randomly oriented morphology had superior emission characteristics with threshold field as low as ~2.0 V/μm. The defective (bamboo-structure structures of CNTs have been suggested for the enhanced emission performance of randomly oriented nanotube samples.

  13. Influence of boron concentration on growth characteristic and electro-catalytic performance of boron-doped diamond electrodes prepared by direct current plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Feng Yujie; Lv Jiangwei; Liu Junfeng; Gao Na; Peng Hongyan; Chen Yuqiang

    2011-01-01

    A series of boron-doped diamond (BDD) electrodes were prepared by direct current plasma chemical vapor deposition (DC-PCVD) with different compositions of CH 4 /H 2 /B(OCH 3 ) 3 gas mixture. A maximum growth rate of 0.65 mg cm -2 h -1 was obtained with CH 4 /H 2 /B(OCH 3 ) 3 radio of 4/190/10 and this growth condition was also a turning point for discharge plasma stability which arose from the addition of B(OCH 3 ) 3 that changed electron energy distribution and influenced the plasma reaction. The surface coating structure and electro-catalytic performance of the BDD electrodes were characterized by scanning electron microscopy (SEM), X-ray diffraction (XRD), Raman spectroscopy, Hall test, and electrochemical measurement and electro-catalytic oxidation in phenol solution. It is suggested that the boron doping level and the thermal stress in the films are the main factors affecting the electro-catalytic characteristics of the electrodes. Low boron doping level with CH 4 /H 2 /B(OCH 3 ) 3 ratio of 4/199/1 decreased the films electrical conductivity and its electro-catalytic activity. When the carrier concentration in the films reached around 10 20 cm -3 with CH 4 /H 2 /B(OCH 3 ) 3 ratio over a range of 4/195/5-4/185/15, the thermal stress in the films was the key reason that influenced the electro-catalytic activity of the electrodes for its effect on diamond lattice expansion. Therefore, the BDD electrode with modest CH 4 /H 2 /B(OCH 3 ) 3 ratio of 4/190/10 possessed the best phenol removal efficiency.

  14. Plasma monitoring and PECVD process control in thin film silicon-based solar cell manufacturing

    Directory of Open Access Journals (Sweden)

    Gabriel Onno

    2014-02-01

    Full Text Available A key process in thin film silicon-based solar cell manufacturing is plasma enhanced chemical vapor deposition (PECVD of the active layers. The deposition process can be monitored in situ by plasma diagnostics. Three types of complementary diagnostics, namely optical emission spectroscopy, mass spectrometry and non-linear extended electron dynamics are applied to an industrial-type PECVD reactor. We investigated the influence of substrate and chamber wall temperature and chamber history on the PECVD process. The impact of chamber wall conditioning on the solar cell performance is demonstrated.

  15. Electric field strength in a silicon surface barrier detector with the presence of a dielectric plasma column

    International Nuclear Information System (INIS)

    Kanno, Ikuo

    1994-01-01

    The dynamic change of the electric field strength in a silicon surface barrier detector (SSBD) is studied. With the presence of a dielectric plasma column in the depletion layer of the SSBD, the electric field strength inside/outside the plasma column is suppressed/enhanced. As the length and the dielectric constant of the plasma column become shorter and smaller, the suppression and enhancement of the electric field strength become less. The electric field strength recovers the initial state, when the plasma column disappears. When the electrons and holes are inside/outside the dielectric plasma column, they have less/more electric potential than the one they have when there is no plasma column. During the movement of the electron/hole outside the plasma column to the positive/negative electrode, the enhanced electric field strength becomes smaller. Electron and hole pairs, which are the parts of the dielectric plasma column, arrive at positive and negative electrodes, having insufficient electric potential to induce the unit charge. This paper shows that the presence of a dielectric plasma column explains the main part of the residual defect in a SSBD. ((orig.))

  16. Compression enhancement by current stepping in a multicascade liner gas-puff Z-pinch plasma

    Energy Technology Data Exchange (ETDEWEB)

    Khattak, N A D [Department of Physics, Gomal Unversity, D I Khan (Pakistan); Ahmad, Zahoor; Murtaza, G [National Tokamak Fusion Program, PAEC, Islamabad (Pakistan); Zakaullah, M [Department of Physics, Quaid-i-Azam University, Islamabad 45320 (Pakistan)], E-mail: ktk_nad@yahoo.com

    2008-04-15

    Plasma dynamics of a liner consisting of two or three annular cascade gas-puffs with entrained axial magnetic field is studied using the modified snow-plow model. The current stepping technique (Les 1984 J. Phys. D: Appl. Phys. 17 733) is employed to enhance compression of the imploding plasma. A small-diameter low-voltage-driven system of imploding plasma is considered in order to work out the possibility of the highest gain, in terms of plasma parameters and radiation yield with a relatively simple and compact system. Our numerical results demonstrate that current stepping enhances the plasma compression, yielding high values of the plasma parameters and compressed magnetic field B{sub z} (in magnitudes), if the switching time for the additional current is properly synchronized.

  17. Compression enhancement by current stepping in a multicascade liner gas-puff Z-pinch plasma

    International Nuclear Information System (INIS)

    Khattak, N A D; Ahmad, Zahoor; Murtaza, G; Zakaullah, M

    2008-01-01

    Plasma dynamics of a liner consisting of two or three annular cascade gas-puffs with entrained axial magnetic field is studied using the modified snow-plow model. The current stepping technique (Les 1984 J. Phys. D: Appl. Phys. 17 733) is employed to enhance compression of the imploding plasma. A small-diameter low-voltage-driven system of imploding plasma is considered in order to work out the possibility of the highest gain, in terms of plasma parameters and radiation yield with a relatively simple and compact system. Our numerical results demonstrate that current stepping enhances the plasma compression, yielding high values of the plasma parameters and compressed magnetic field B z (in magnitudes), if the switching time for the additional current is properly synchronized

  18. 19 CFR 148.32 - Vehicles, aircraft, boats, teams and saddle horses taken abroad.

    Science.gov (United States)

    2010-04-01

    ... 19 Customs Duties 2 2010-04-01 2010-04-01 false Vehicles, aircraft, boats, teams and saddle horses... for Returning Residents § 148.32 Vehicles, aircraft, boats, teams and saddle horses taken abroad. (a) Admission free of duty. Automobiles and other vehicles, aircraft, boats, teams and saddle horses, together...

  19. Spray Chemical Vapor Deposition of Single-Source Precursors for Chalcopyrite I-III-VI2 Thin-Film Materials

    Science.gov (United States)

    Hepp, Aloysius F.; Banger, Kulbinder K.; Jin, Michael H.-C.; Harris, Jerry D.; McNatt, Jeremiah S.; Dickman, John E.

    2008-01-01

    Thin-film solar cells on flexible, lightweight, space-qualified substrates provide an attractive approach to fabricating solar arrays with high mass-specific power. A polycrystalline chalcopyrite absorber layer is among the new generation of photovoltaic device technologies for thin film solar cells. At NASA Glenn Research Center we have focused on the development of new single-source precursors (SSPs) for deposition of semiconducting chalcopyrite materials onto lightweight, flexible substrates. We describe the syntheses and thermal modulation of SSPs via molecular engineering. Copper indium disulfide and related thin-film materials were deposited via aerosol-assisted chemical vapor deposition using SSPs. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties to optimize device quality. Growth at atmospheric pressure in a horizontal hotwall reactor at 395 C yielded the best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier-, smoother-, and denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was one percent.

  20. Maximal saddle solution of a nonlinear elliptic equation involving the ...

    Indian Academy of Sciences (India)

    College of Mathematics and Econometrics, Hunan University, Changsha 410082, China. E-mail: huahuiyan@163.com; duzr@hnu.edu.cn. MS received 3 September 2012; revised 20 December 2012. Abstract. A saddle solution is called maximal saddle solution if its absolute value is not smaller than those absolute values ...

  1. Metal–organic covalent network chemical vapor deposition for gas separation

    NARCIS (Netherlands)

    Boscher, N.D.; Wang, M.; Perrotta, A.; Heinze, K.; Creatore, A.; Gleason, K.K.

    2016-01-01

    The chemical vapor deposition (CVD) polymerization of metalloporphyrin building units is demonstrated to provide an easily up-scalable one-step method toward the deposition of a new class of dense and defect-free metal–organic covalent network (MOCN) layers. The resulting hyper-thin and flexible

  2. Nanocrystalline-Si-dot multi-layers fabrication by chemical vapor deposition with H-plasma surface treatment and evaluation of structure and quantum confinement effects

    Directory of Open Access Journals (Sweden)

    Daisuke Kosemura

    2014-01-01

    Full Text Available 100-nm-thick nanocrystalline silicon (nano-Si-dot multi-layers on a Si substrate were fabricated by the sequential repetition of H-plasma surface treatment, chemical vapor deposition, and surface oxidation, for over 120 times. The diameter of the nano-Si dots was 5–6 nm, as confirmed by both the transmission electron microscopy and X-ray diffraction analysis. The annealing process was important to improve the crystallinity of the nano-Si dot. We investigated quantum confinement effects by Raman spectroscopy and photoluminescence (PL measurements. Based on the experimental results, we simulated the Raman spectrum using a phenomenological model. Consequently, the strain induced in the nano-Si dots was estimated by comparing the experimental and simulated results. Taking the estimated strain value into consideration, the band gap modulation was measured, and the diameter of the nano-Si dots was calculated to be 5.6 nm by using PL. The relaxation of the q ∼ 0 selection rule model for the nano-Si dots is believed to be important to explain both the phenomena of peak broadening on the low-wavenumber side observed in Raman spectra and the blue shift observed in PL measurements.

  3. Chemical vapor deposition: A technique for applying protective coatings

    Energy Technology Data Exchange (ETDEWEB)

    Wallace, T.C. Sr.; Bowman, M.G.

    1979-01-01

    Chemical vapor deposition is discussed as a technique for applying coatings for materials protection in energy systems. The fundamentals of the process are emphasized in order to establish a basis for understanding the relative advantages and limitations of the technique. Several examples of the successful application of CVD coating are described. 31 refs., and 18 figs.

  4. Preparation of carbon nanotubes with different morphology by microwave plasma enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Duraia, El-Shazly M. [Suez Canal University, Faculty of Science, Physics Department, Ismailia (Egypt); Al-Farabi Kazakh National University, 71 Al-Farabi av., 050038 Almaty (Kazakhstan); Institute of Physics and Technology, Ibragimov Street 11, 050032 Almaty (Kazakhstan); Mansurov, Zulkhair [Al-Farabi Kazakh National University, 71 Al-Farabi av., 050038 Almaty (Kazakhstan); Tokmoldin, S.Zh. [Institute of Physics and Technology, Ibragimov Street 11, 050032 Almaty (Kazakhstan)

    2010-04-15

    In this work we present a part of our results about the preparation of carbon nanotube with different morphologies by using microwave plasma enhanced chemical vapour deposition MPECVD. Well aligned, curly, carbon nanosheets, coiled carbon sheets and carbon microcoils have been prepared. We have investigated the effect of the different growth condition parameters such as the growth temperature, pressure and the hydrogen to methane flow rate ratio on the morphology of the carbon nanotubes. The results showed that there is a great dependence of the morphology of carbon nanotubes on these parameters. The yield of the carbon microcoils was high when the growth temperature was 700 C. There is a linear relation between the growth rate and the methane to hydrogen ratio. The effect of the gas pressure on the CNTs was also studied. Our samples were investigated by scanning electron microscope and Raman spectroscopy (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. Simultaneous measurements of HF-enhanced plasma waves and artificial field-aligned irregularities at Arecibo

    International Nuclear Information System (INIS)

    Noble, S.T.; Djuth, F.T.

    1990-01-01

    Two radar systems with beams intersecting in the HF-modified F region were used to simultaneously measure HF-enhanced plasma lines (HFPLs) and artificial geomagnetic field-aligned irregularities (AFAIs). The Arecibo 430-MHz radar was used for the HFPL observations, and a portable 49.92-MHz backscatter radar was deployed on the island of Guadeloupe to monitor the AFAIs. The experiment was desgined to examine the degree to which HF-induced plasma turbulence influences the development of AFAIs. When the HF beam is stepped up in power, sustained HFPLs and AFAIs are first observed at the same HF power level, indicating that ponderomotively driven instabilities may be involved in the early time development of AFAIs. As the HF power is increased, the HFPL backscatter power begins to saturate at ∼70 MW effective radiated power (ERP). However, the backscatter from AFAIs is linearly dependent on HF power, even at the highest (120 MW ERP) HF power levels available at Arecibo. This suggests that additional processes may contribute to the development of AFAIs. For example, ponderomotively driven instabilities may give rise to weak geomagnetic field-aligned irregularities that are subsequently driven unstable by processes excited near the upper hybrid resonance. It is also likely that AFAIs greatly impact the development of HF-induced plasma turbulence at late times (>1 s) following HF turn-on. Once the ionosphere is preconditioned by high-power HF modifications, AFAIs and HFPLs can be simultaneously sustained at a much lower HF power level than that needed to originally excite them. The nature of the preconditioning process is currently not well understood. New theoretical initiatives are clearly needed to guide future experimental activity in this area

  6. Studies of air plasma techniques in mutating Penicillium chrysogenum

    International Nuclear Information System (INIS)

    Gui Fang; Liu Hui; Wang Hui; Wang Peng; Yuan Chengling; Zheng Zhiming

    2011-01-01

    penicillin producing strain Penicillium chrysogenum Pc05 as the starting strain was mutated by low-temperature air plasma technology. As the result revealed, in 30 minutes, the survival rate of spores followed the saddle-shaped curve. The positive mutants accounted for 44.19% of all mutants while the negative mutation was low. After primary and secondary screening, the mutant aPc051310 was obtained, and eventually its penicillin titer increased 42.1% compared with that of starting strain. Synergetic effect between chemical reactive species and charged particles was considered as the main mutation mechanism involved in low temperature air plasma. All the results have been proved that as a new industrial microbial strains mutation method, low temperature air plasma has potential applications. (authors)

  7. Branched carbon nanofiber network synthesis at room temperature using radio frequency supported microwave plasmas

    OpenAIRE

    Boskovic, BO; Stolojan, V; Zeze, DA; Forrest, RD; Silva, SRP; Haq, S

    2004-01-01

    Carbon nanofibers have been grown at room temperature using a combination of radio frequency and microwave assisted plasma-enhanced chemical vapor deposition. The nanofibers were grown, using Ni powder catalyst, onto substrates kept at room temperature by using a purposely designed water-cooled sample holder. Branched carbon nanofiber growth was obtained without using a template resulting in interconnected carbon nanofiber network formation on substrates held at room temperatur...

  8. Magnetic fields in laser heated plasmas

    International Nuclear Information System (INIS)

    Amiranoff, F.; Brackbill, J.; Colombant, D.; Grandjouan, N.

    1984-01-01

    With a fixed-ion code for the study of self-generated magentic fields in laser heated plasmas, the inhibition of thermal transport and the effect of the Nernst term are modeled for a KrF laser. For various values of the flux limiter, the response of a foil to a focused laser is calculated without a magnetic field and compared with the response calculated with a magnetic field. The results are: The Nernst term convects the magnetic field to densities above critical as found by Nishiguchi et al. (1984), but the field does not strongly inhibit transport into the foil. The field is also transported to sub-critical densities, where it inhibits thermal diffusion and enhance lateral transport by convection

  9. Enhanced cyclic stability of SnS microplates with conformal carbon coating derived from ethanol vapor deposition for sodium-ion batteries

    Science.gov (United States)

    Li, Xiang; Liu, Jiangwen; Ouyang, Liuzhang; Yuan, Bin; Yang, Lichun; Zhu, Min

    2018-04-01

    Carbon coated SnS microplates (SnS@C MPs) were prepared via a facile chemical vapor deposition method using SnS2 nanoflakes as precursor and ethanol vapor as carbon source. The carbon coating restrains the growth of SnS during the heat treatment. Furthermore, it improves the electronic conductivity as well as accommodates volume variations of SnS during the sodiation and desodiation processes. Therefore, the rate capability and cycle performance of the SnS@C MPs as anode materials for sodium-ion batteries are remarkably enhanced compared with the bare SnS and the SnS2 precursor. At current densities of 0.1, 0.2, 0.5, 1 and 2 A g-1, the optimized SnS@C MPs exhibit stable capacities of 602.9, 532.1, 512.2, 465.9 and 427.2 mAh g-1, respectively. At 1 A g-1, they show a reversible capacity of 528.8 mAh g-1 in the first cycle, and maintain 444.7 mAh g-1 after 50 cycles, with capacity retention of 84.1%. The carbon coating through chemical vapor deposition using ethanol vapor as carbon sources is green, simple and cost-effective, which shows great promise to improve the reversible Na+ storage of electrode materials.

  10. Modeling CO2 laser ablation impulse of polymers in vapor and plasma regimes

    International Nuclear Information System (INIS)

    Sinko, John E.; Phipps, Claude R.

    2009-01-01

    An improved model for CO 2 laser ablation impulse in polyoxymethylene and similar polymers is presented that describes the transition effects from the onset of vaporization to the plasma regime in a continuous fashion. Several predictions are made for ablation behavior.

  11. Radiation stable, hybrid, chemical vapor infiltration/preceramic polymer joining of silicon carbide components

    Energy Technology Data Exchange (ETDEWEB)

    Khalifa, Hesham E., E-mail: hesham.khalifa@ga.com [General Atomics, 3550 General Atomics Ct., San Diego 92121, CA (United States); Koyanagi, Takaaki [Oak Ridge National Laboratory, P.O. Box 2008, Oak Ridge 37831, TN (United States); Jacobsen, George M.; Deck, Christian P.; Back, Christina A. [General Atomics, 3550 General Atomics Ct., San Diego 92121, CA (United States)

    2017-04-15

    This paper reports on a nuclear-grade joining material for bonding of silicon carbide-based components. The joint material is fabricated via a hybrid preceramic polymer, chemical vapor infiltration process. The joint is comprised entirely of β-SiC and results in excellent mechanical and permeability performance. The joint strength, composition, and microstructure have been characterized before and after irradiation to 4.5 dpa at 730 °C in the High Flux Isotope Reactor. The hybrid preceramic polymer-chemical vapor infiltrated joint exhibited complete retention of shear strength and no evidence of microstructural evolution or damage was detected following irradiation.

  12. Plasma Deposited SiO2 for Planar Self-Aligned Gate Metal-Insulator-Semiconductor Field Effect Transistors on Semi-Insulating InP

    Science.gov (United States)

    Tabory, Charles N.; Young, Paul G.; Smith, Edwyn D.; Alterovitz, Samuel A.

    1994-01-01

    Metal-insulator-semiconductor (MIS) field effect transistors were fabricated on InP substrates using a planar self-aligned gate process. A 700-1000 A gate insulator of Si02 doped with phosphorus was deposited by a direct plasma enhanced chemical vapor deposition at 400 mTorr, 275 C, 5 W, and power density of 8.5 MW/sq cm. High frequency capacitance-voltage measurements were taken on MIS capacitors which have been subjected to a 700 C anneal and an interface state density of lxl0(exp 11)/eV/cq cm was found. Current-voltage measurements of the capacitors show a breakdown voltage of 107 V/cm and a insulator resistivity of 10(exp 14) omega cm. Transistors were fabricated on semi-insulating InP using a standard planar self-aligned gate process in which the gate insulator was subjected to an ion implantation activation anneal of 700 C. MIS field effect transistors gave a maximum extrinsic transconductance of 23 mS/mm for a gate length of 3 microns. The drain current drift saturated at 87.5% of the initial current, while reaching to within 1% of the saturated value after only 1x10(exp 3). This is the first reported viable planar InP self-aligned gate transistor process reported to date.

  13. Effect of precursor supply on structural and morphological characteristics of fe nanomaterials synthesized via chemical vapor condensation method.

    Science.gov (United States)

    Ha, Jong-Keun; Ahn, Hyo-Jun; Kim, Ki-Won; Nam, Tae-Hyun; Cho, Kwon-Koo

    2012-01-01

    Various physical, chemical and mechanical methods, such as inert gas condensation, chemical vapor condensation, sol-gel, pulsed wire evaporation, evaporation technique, and mechanical alloying, have been used to synthesize nanoparticles. Among them, chemical vapor condensation (CVC) has the benefit of its applicability to almost all materials because a wide range of precursors are available for large-scale production with a non-agglomerated state. In this work, Fe nanoparticles and nanowires were synthesized by chemical vapor condensation method using iron pentacarbonyl (Fe(CO)5) as the precursor. The effect of processing parameters on the microstructure, size and morphology of Fe nanoparticles and nanowires were studied. In particular, we investigated close correlation of size and morphology of Fe nanoparticles and nanowires with atomic quantity of inflow precursor into the electric furnace as the quantitative analysis. The atomic quantity was calculated by Boyle's ideal gas law. The Fe nanoparticles and nanowires with various diameter and morphology have successfully been synthesized by the chemical vapor condensation method.

  14. Tandem solar cells deposited using hot-wire chemical vapor deposition

    NARCIS (Netherlands)

    Veen, M.K. van

    2003-01-01

    In this thesis, the application of the hot-wire chemical vapor deposition (HWCVD) technique for the deposition of silicon thin films is described. The HWCVD technique is based on the dissociation of silicon-containing gasses at the catalytic surface of a hot filament. Advantages of this technique

  15. Growth of vertically aligned arrays of carbon nanotubes for high field emission

    International Nuclear Information System (INIS)

    Kim, D.; Lim, S.H.; Guilley, A.J.; Cojocaru, C.S.; Bouree, J.E.; Vila, L.; Ryu, J.H.; Park, K.C.; Jang, J.

    2008-01-01

    Vertically aligned multi-walled carbon nanotubes have been grown on Ni-coated silicon substrates, by using either direct current diode or triode plasma-enhanced chemical vapor deposition at low temperature (around 620 deg. C). Acetylene gas has been used as the carbon source while ammonia and hydrogen have been used for etching. However densely packed (∼ 10 9 cm -2 ) CNTs were obtained when the pressure was ∼ 100 Pa. The alignment of nanotubes is a necessary, but not a sufficient condition in order to get an efficient electron emission: the growth of nanotubes should be controlled along regular arrays, in order to minimize the electrostatic interactions between them. So a three dimensional numerical simulation has been developed to calculate the local electric field in the vicinity of the tips for a finite square array of nanotubes and thus to calculate the maximum of the electron emission current density as a function of the spacing between nanotubes. Finally the triode plasma-enhanced process combined with pre-patterned catalyst films (using different lithography techniques) has been chosen in order to grow regular arrays of aligned CNTs with different pitches in the micrometer range. The comparison between the experimental and the simulation data permits to define the most efficient CNT-based electron field emitters

  16. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    International Nuclear Information System (INIS)

    Marciano, F.R.; Bonetti, L.F.; Pessoa, R.S.; Massi, M.; Santos, L.V.; Trava-Airoldi, V.J.

    2009-01-01

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  17. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Marciano, F.R., E-mail: fernanda@las.inpe.b [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil); Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Bonetti, L.F. [Clorovale Diamantes Industria e Comercio Ltda, Estr. do Torrao de Ouro, 500-Sao Jose dos Campos, 12229-390, SP (Brazil); Pessoa, R.S.; Massi, M. [Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Santos, L.V.; Trava-Airoldi, V.J. [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil)

    2009-08-03

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  18. An Investigation on the Formation of Carbon Nanotubes by Two-Stage Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    M. S. Shamsudin

    2012-01-01

    Full Text Available High density of carbon nanotubes (CNTs has been synthesized from agricultural hydrocarbon: camphor oil using a one-hour synthesis time and a titanium dioxide sol gel catalyst. The pyrolysis temperature is studied in the range of 700–900°C at increments of 50°C. The synthesis process is done using a custom-made two-stage catalytic chemical vapor deposition apparatus. The CNT characteristics are investigated by field emission scanning electron microscopy and micro-Raman spectroscopy. The experimental results showed that structural properties of CNT are highly dependent on pyrolysis temperature changes.

  19. Carbon diffusion in uncoated and titanium nitride coated iron substrates during microwave plasma assisted chemical vapor deposition of diamond

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Manory, R.R.; Paterson, P.J.K.; Stuart, Sue-Anne

    1992-01-01

    Auger Electron Spectroscopy has been employed to investigate the effectiveness of thin films of TiN as barriers to carbon diffusion during Chemical Vapor Deposition (CVD) of diamond onto Fe substrates. Auger Depth Profiling was used to monitor the C concentration in the TiN layer, through the interface and into the substrate both before and after CVD diamond deposition. The results show that a layer of TiN only 250 Angstroems thick is sufficient to inhibit soot formation on the Fe surface and C diffusion into the Fe bulk. 14 refs., 4 figs

  20. Fast enhancement on hydrophobicity of poplar wood surface using low-pressure dielectric barrier discharges (DBD) plasma

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Weimin [College of Materials Science and Engineering, Nanjing Forestry University, Nanjing 210037 (China); Jiangsu Engineering Research Center of Fast-growing Trees and Agri-fiber Materials, Nanjing 210037 (China); Nanjing Suman Plasma Technology Co., Ltd, Enterprise of Graduate Research Station of Jiangsu Province, No. 3 Youyihe Road, Nanjing 210001 (China); Zhou, Xiaoyan, E-mail: zhouxiaoyan@njfu.edu.cn [College of Materials Science and Engineering, Nanjing Forestry University, Nanjing 210037 (China); Jiangsu Engineering Research Center of Fast-growing Trees and Agri-fiber Materials, Nanjing 210037 (China); Zhang, Xiaotao [College of Materials Science and Engineering, Nanjing Forestry University, Nanjing 210037 (China); Jiangsu Engineering Research Center of Fast-growing Trees and Agri-fiber Materials, Nanjing 210037 (China); Bian, Jie [Nanjing Suman Plasma Technology Co., Ltd, Enterprise of Graduate Research Station of Jiangsu Province, No. 3 Youyihe Road, Nanjing 210001 (China); Shi, Shukai; Nguyen, Thiphuong; Chen, Minzhi [College of Materials Science and Engineering, Nanjing Forestry University, Nanjing 210037 (China); Jiangsu Engineering Research Center of Fast-growing Trees and Agri-fiber Materials, Nanjing 210037 (China); Wan, Jinglin [Nanjing Suman Plasma Technology Co., Ltd, Enterprise of Graduate Research Station of Jiangsu Province, No. 3 Youyihe Road, Nanjing 210001 (China)

    2017-06-15

    Highlights: • Plasma working under low pressure is easy to realize industrialization. • Enhancing process finished within 75 s. • Plasma treatment leads to the increase in equilibrium contact angle by 330%. • Tinfoil film with simple chemical structure was used to reveal the mechanism. - Abstract: The hydrophilicity of woody products leads to deformation and cracks, which greatly limits its applications. Low-pressure dielectric barrier discharge (DBD) plasma using hexamethyldisiloxane was applied in poplar wood surface to enhance the hydrophobicity. The chemical properties, micro-morphology, and contact angles of poplar wood surface before and after plasma treatment were investigated by attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR), x-ray photoelectron spectroscopy (XPS), scanning electron microscope and energy dispersive analysis of X-ray (SEM-EDX), atomic force microscopy (AFM), and optical contact angle measurement (OCA). Moreover, tinfoil film was used as the base to reveal the enhancement mechanism. The results showed that hexamethyldisiloxane monomer is first broken into several fragments with active sites and hydrophobic chemical groups. Meanwhile, plasma treatment results in the formation of free radicals and active sites in the poplar wood surface. Then, the fragments are reacted with free radicals and incorporated into the active sites to form a network structure based on the linkages of Si-O-Si and Si−O−C. Plasma treatment also leads to the formation of acicular nano-structure in poplar wood surface. These facts synergistically enhance the hydrophobicity of poplar wood surface, demonstrating the dramatically increase in the equilibrium contact angle by 330%.

  1. Chemical Vapor Transport Deposition of Molybdenum Disulfide Layers Using H2O Vapor as the Transport Agent

    Directory of Open Access Journals (Sweden)

    Shichao Zhao

    2018-02-01

    Full Text Available Molybdenum disulfide (MoS2 layers show excellent optical and electrical properties and have many potential applications. However, the growth of high-quality MoS2 layers is a major bottleneck in the development of MoS2-based devices. In this paper, we report a chemical vapor transport deposition method to investigate the growth behavior of monolayer/multi-layer MoS2 using water (H2O as the transport agent. It was shown that the introduction of H2O vapor promoted the growth of MoS2 by increasing the nucleation density and continuous monolayer growth. Moreover, the growth mechanism is discussed.

  2. Fundamental aspects of plasma chemical physics kinetics

    CERN Document Server

    Capitelli, Mario; Colonna, Gianpiero; Esposito, Fabrizio; Gorse, Claudine; Hassouni, Khaled; Laricchiuta, Annarita; Longo, Savino

    2016-01-01

    Describing non-equilibrium "cold" plasmas through a chemical physics approach, this book uses the state-to-state plasma kinetics, which considers each internal state as a new species with its own cross sections. Extended atomic and molecular master equations are coupled with Boltzmann and Monte Carlo methods to solve the electron energy distribution function. Selected examples in different applied fields, such as microelectronics, fusion, and aerospace, are presented and discussed including the self-consistent kinetics in RF parallel plate reactors, the optimization of negative ion sources and the expansion of high enthalpy flows through nozzles of different geometries. The book will cover the main aspects of the state-to-state kinetic approach for the description of nonequilibrium cold plasmas, illustrating the more recent achievements in the development of kinetic models including the self-consistent coupling of master equations and Boltzmann equation for electron dynamics. To give a complete portrayal, the...

  3. Evaluation of saddle and driving aptitudes in Monterufoli pony

    Directory of Open Access Journals (Sweden)

    Riccardo Bozzi

    2010-01-01

    Full Text Available The Monterufoli pony is an endangered Tuscan breed. In the 80’s began a project for the conservation of the breed and at present there are roughly 200 individuals. The equine was once utilized for saddle and driving and this study deals with the training for these two aptitudes. The mor- phologic type of the pony seems suited for saddle, in particular for children and beginners, and driving. The ponies showed developed chest, strong legs with short shanks: all these characters were useful for trot and driving. In this trial 3-4 years old never tamed Monterufoli ponies were opportunely choose and subsequently trained for saddle and driving. The ponies were submitted to the “aptitude test” for the two aptitudes and the results were good both for practical and character sides. The marks for sad- dle and driving were 8.16 and 8.06 respectively. Also the 3 ponies showed good results for the Aptitude Index: 7.60, 7.87 and 7.89. The results of the trial showed the excellent ability of the Monterufoli pony for saddle and driving. The good results of the test are important for the diffusion of the breed in the territory and in particular in horse centres and in equestrian tourism sites.

  4. Application of the chemical vapor-etching in polycrystalline silicon solar cells

    International Nuclear Information System (INIS)

    Ben Rabha, M.; Saadoun, M.; Boujmil, M.F.; Bessais, B.; Ezzaouia, H.; Bennaceur, R.

    2005-01-01

    This paper reports a study of the application of chemical vapor-etching (CVE) for the rear surface and in the emitter of polycrystalline silicon (pc-Si) solar cells. The CVE technique consists of exposing pc-Si wafers to a mixture of HF/HNO 3 . This technique is used to groove the rear surface of the pc-Si wafers for acid vapors rich in HNO 3 (HNO 3 /HF > 1/4), in order to realize rear-buried metallic contacts (RBMC) and the formation of a porous silicon (PS) layer on the frontal surface of the cell for volume ratio of HNO 3 /HF = 1/7. A significant increase of the spectral response in the long wavelength range was observed when a RBMC is formed. This increase was attributed to the reduction of the effective thickness of the base of the cells and grain boundary Al gettering. The achievement of a PS layer on the emitter of the pc-Si cells passivates the surface and reduces the reflectivity. The dark I-V characteristics of pc-Si cells with emitter-based PS show an important reduction of the reverse current together with an improvement of the rectifying behaviour. The I-V characteristic under AM1.5 illumination shows an enhancement of both short circuit current density and fill factor. The internal quantum efficiency is improved, particularly in the short wavelengths region

  5. The effect of axial ion parameters on the properties of glow discharge polymer in T2B/H2 plasma

    Science.gov (United States)

    Ai, Xing; He, Xiao-Shan; Huang, Jing-Lin; He, Zhi-Bing; Du, Kai; Chen, Guo

    2018-03-01

    Glow discharge polymer (GDP) films were fabricated using plasma-enhanced chemical vapor deposition. The main purpose of this work was to explore the correlations of plasma parameters with the surface morphology and chemical structure of GDP films. The intensities of main positive ions and ion energy as functions of axial distances in T2B/H2 plasma were diagnosed using energy-resolved mass spectrometry. The surface morphology and chemical structure were characterized as functions of axial distances using a scanning electron microscope and Fourier transform infrared spectroscopy, respectively. As the axial distance increases, both the intensities of positive ions and high energy ions decreases, and dissociation weakens while polymerization enhances. This leads to the weakening of the cross-linking structure of GDP films and the formation of dome defects on films. Additionally, high energy ions could introduce a strong etching effect to form etching pits. Therefore, an axial distance of about 20 mm was found to be the optimal plasma parameter to prepare the defect-free GDP films. These results could help one to find the optimal plasma parameters for GDP film deposition.

  6. Optical characteristics and parameters of gas-discharge plasma in a mixture of mercury dibromide vapor with argon

    Science.gov (United States)

    Malinina, A. A.; Malinin, A. N.

    2015-03-01

    Results are presented from studies of the optical characteristics and parameters of the plasma of a dielectric barrier discharge in a mixture of mercury dibromide vapor with argon—the working medium of an exciplex gas-discharge emitter. It is established that the partial pressures of mercury dibromide vapor and argon at which the average and pulsed emission intensities in the blue—green spectral region (λmax = 502 nm) reach their maximum values are 0.6 and 114.4 kPa, respectively. The electron energy distribution function, the transport characteristics, the specific power spent on the processes involving electrons, the electron density and temperature, and the rate constants for the processes of elastic and inelastic electron scattering from the molecules and atoms of the working mixture are determined by numerical simulation, and their dependences on the reduced electric field strength are analyzed. The rate constant of the process leading to the formation of exciplex mercury monobromide molecules for a reduced electric field of E/ N = 20 Td, at which the maximum emission intensity in the blue—green spectral region was observed in this experiment, is found to be 8.1 × 10-15 m3/s.

  7. Optical characteristics and parameters of gas-discharge plasma in a mixture of mercury dibromide vapor with argon

    Energy Technology Data Exchange (ETDEWEB)

    Malinina, A. A., E-mail: alexandr-malinin@rambler.ru; Malinin, A. N. [Uzhhorod National University (Ukraine)

    2015-03-15

    Results are presented from studies of the optical characteristics and parameters of the plasma of a dielectric barrier discharge in a mixture of mercury dibromide vapor with argon—the working medium of an exciplex gas-discharge emitter. It is established that the partial pressures of mercury dibromide vapor and argon at which the average and pulsed emission intensities in the blue—green spectral region (λ{sub max} = 502 nm) reach their maximum values are 0.6 and 114.4 kPa, respectively. The electron energy distribution function, the transport characteristics, the specific power spent on the processes involving electrons, the electron density and temperature, and the rate constants for the processes of elastic and inelastic electron scattering from the molecules and atoms of the working mixture are determined by numerical simulation, and their dependences on the reduced electric field strength are analyzed. The rate constant of the process leading to the formation of exciplex mercury monobromide molecules for a reduced electric field of E/N = 20 Td, at which the maximum emission intensity in the blue—green spectral region was observed in this experiment, is found to be 8.1 × 10{sup −15} m{sup 3}/s.

  8. Optical characteristics and parameters of gas-discharge plasma in a mixture of mercury dibromide vapor with argon

    International Nuclear Information System (INIS)

    Malinina, A. A.; Malinin, A. N.

    2015-01-01

    Results are presented from studies of the optical characteristics and parameters of the plasma of a dielectric barrier discharge in a mixture of mercury dibromide vapor with argon—the working medium of an exciplex gas-discharge emitter. It is established that the partial pressures of mercury dibromide vapor and argon at which the average and pulsed emission intensities in the blue—green spectral region (λ max = 502 nm) reach their maximum values are 0.6 and 114.4 kPa, respectively. The electron energy distribution function, the transport characteristics, the specific power spent on the processes involving electrons, the electron density and temperature, and the rate constants for the processes of elastic and inelastic electron scattering from the molecules and atoms of the working mixture are determined by numerical simulation, and their dependences on the reduced electric field strength are analyzed. The rate constant of the process leading to the formation of exciplex mercury monobromide molecules for a reduced electric field of E/N = 20 Td, at which the maximum emission intensity in the blue—green spectral region was observed in this experiment, is found to be 8.1 × 10 −15 m 3 /s

  9. Plasma-polymerized films providing selective affinity to the polarity of vaporized organic solvents

    International Nuclear Information System (INIS)

    Akimoto, Takuo; Ikeshita, Yusuke; Terashima, Ryo; Karube, Isao

    2009-01-01

    Plasma-polymerized films (PPFs) were fabricated as recognition membranes for a vapor-sensing device, and their affinity to vaporized organic solvents was evaluated with surface plasmon resonance. The affinity we intended to create is the selective sorption of the vaporized organic solvents depending on their polarity. For this purpose, acetonitrile, ethylenediamine (EDA), styrene, hexamethyldisiloxane (HMDSO), and hexamethyldisilazane were used to fabricate PPFs. Vaporized methanol, ethanol, and 1-propanol were used as high-polar solvents to be analyzed. Hexane, toluene, and p-xylene were used as low-polar solvents. As a result, the HMDSO-PPF with 97.3 o of contact angle was found to provide affinity to the low-polar solvents. In contrast, the EDA-PPF with 7.1 o of contact angle provided affinity to the high-polar solvents. Observations of the surface morphology of the HMDSO- and EDA-PPFs with a scanning electron microscope revealed that they are composed of nano-scale islands.

  10. Vapor Bubbles in Flow and Acoustic Fields

    NARCIS (Netherlands)

    Prosperetti, Andrea; Hao, Yue; Sadhal, S.S

    2002-01-01

    A review of several aspects of the interaction of bubbles with acoustic and flow fields is presented. The focus of the paper is on bubbles in hot liquids, in which the bubble contains mostly vapor, with little or no permanent gas. The topics covered include the effect of translation on condensation

  11. Study of plasma-material surface interaction using langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2012-01-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisiloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  12. Study of plasma-material surface interaction using Langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2009-06-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisoloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  13. Numerical Study of Electric Field Enhanced Combustion

    KAUST Repository

    Han, Jie

    2016-12-26

    Electric fields can be used to change and control flame properties, for example changing flame speed, enhancing flame stability, or reducing pollutant emission. The ions generated in flames are believed to play the primary role. Although experiments have been carried out to study electric field enhanced combustion, they are not sufficient to explain how the ions in a flame are affected by an electric field. It is therefore necessary to investigate the problem through numerical simulations. In the present work, the electric structure of stabilized CH4/air premixed flames at atmospheric pressure within a direct current field is studied using numerical simulations. This study consists of three parts. First, the transport equations are derived from the Boltzmann kinetic equation for each individual species. Second, a general method for computing the diffusivity and mobility of ions in a gas mixture is introduced. Third, the mechanisms for neutral and charged species are improved to give better predictions of the concentrations of charged species, based on experimental data. Following from this, comprehensive numerical results are presented, including the concentrations and fluxes of charged species, the distributions of the electric field and electric potential, and the electric current-voltage relation. Two new concepts introduced with the numerical results are the plasma sheath and dead zone in the premixed flame. A reactive plasma sheath and a Boltzmann relation sheath are discovered in the region near the electrodes. The plasma sheath penetrates into the flame gas when a voltage is applied, and penetrating further if the voltage is higher. The zone outside the region of sheath penetration is defined as the dead zone. With the two concepts, analytical solutions for the electric field, electric potential and current-voltage curve are derived. The solutions directly describe the electric structure of a premixed flame subject to a DC field. These analytical solutions

  14. On the Use of the Main-sequence Knee (Saddle) to Measure Globular Cluster Ages

    Science.gov (United States)

    Saracino, S.; Dalessandro, E.; Ferraro, F. R.; Lanzoni, B.; Origlia, L.; Salaris, M.; Pietrinferni, A.; Geisler, D.; Kalirai, J. S.; Correnti, M.; Cohen, R. E.; Mauro, F.; Villanova, S.; Moni Bidin, C.

    2018-06-01

    In this paper, we review the operational definition of the so-called main-sequence knee (MS-knee), a feature in the color-magnitude diagram (CMD) occurring at the low-mass end of the MS. The magnitude of this feature is predicted to be independent of age at fixed chemical composition. For this reason, its difference in magnitude with respect to the MS turn-off (MS-TO) point has been suggested as a possible diagnostic to estimate absolute globular cluster (GC) ages. We first demonstrate that the operational definition of the MS-knee currently adopted in the literature refers to the inflection point of the MS (which we here more appropriately named MS-saddle), a feature that is well distinct from the knee and which cannot be used as its proxy. The MS-knee is only visible in near-infrared CMDs, while the MS-saddle can be also detected in optical–NIR CMDs. By using different sets of isochrones, we then demonstrate that the absolute magnitude of the MS-knee varies by a few tenths of a dex from one model to another, thus showing that at the moment stellar models may not capture the full systematic error in the method. We also demonstrate that while the absolute magnitude of the MS-saddle is almost coincident in different models, it has a systematic dependence on the adopted color combinations which is not predicted by stellar models. Hence, it cannot be used as a reliable reference for absolute age determination. Moreover, when statistical and systematic uncertainties are properly taken into account, the difference in magnitude between the MS-TO and the MS-saddle does not provide absolute ages with better accuracy than other methods like the MS-fitting.

  15. Development and Testing of a High Capacity Plasma Chemical Reactor in the Ukraine

    Energy Technology Data Exchange (ETDEWEB)

    Reilly, Raymond W.

    2012-07-30

    This project, Development and Testing of a High Capacity Plasma Chemical Reactor in the Ukraine was established at the Kharkiv Institute of Physics and Technology (KIPT). The associated CRADA was established with Campbell Applied Physics (CAP) located in El Dorado Hills, California. This project extends an earlier project involving both CAP and KIPT conducted under a separate CRADA. The initial project developed the basic Plasma Chemical Reactor (PCR) for generation of ozone gas. This project built upon the technology developed in the first project, greatly enhancing the output of the PCR while also improving reliability and system control.

  16. Formation of microbeads during vapor explosions of Field's metal in water

    KAUST Repository

    Kouraytem, Nadia

    2016-06-17

    We use high-speed video imaging to investigate vapor explosions during the impact of a molten Field\\'s metal drop onto a pool of water. These explosions occur for temperatures above the Leidenfrost temperature and are observed to occur in up to three stages as the metal temperature is increased, with each explosion being more powerful that the preceding one. The Field\\'s metal drop breaks up into numerous microbeads with an exponential size distribution, in contrast to tin droplets where the vapor explosion deforms the metal to form porous solid structures. We compare the characteristic bead size to the wavelength of the fastest growing mode of the Rayleigh-Taylor instability.

  17. Effects of Surface Modification of Nanodiamond Particles for Nucleation Enhancement during Its Film Growth by Microwave Plasma Jet Chemical Vapour Deposition Technique

    Directory of Open Access Journals (Sweden)

    Chii-Ruey Lin

    2014-01-01

    Full Text Available The seedings of the substrate with a suspension of nanodiamond particles (NDPs were widely used as nucleation seeds to enhance the growth of nanostructured diamond films. The formation of agglomerates in the suspension of NDPs, however, may have adverse impact on the initial growth period. Therefore, this paper was aimed at the surface modification of the NDPs to enhance the diamond nucleation for the growth of nanocrystalline diamond films which could be used in photovoltaic applications. Hydrogen plasma, thermal, and surfactant treatment techniques were employed to improve the dispersion characteristics of detonation nanodiamond particles in aqueous media. The seeding of silicon substrate was then carried out with an optimized spin-coating method. The results of both Fourier transform infrared spectroscopy and dynamic light scattering measurements demonstrated that plasma treated diamond nanoparticles possessed polar surface functional groups and attained high dispersion in methanol. The nanocrystalline diamond films deposited by microwave plasma jet chemical vapour deposition exhibited extremely fine grain and high smooth surfaces (~6.4 nm rms on the whole film. These results indeed open up a prospect of nanocrystalline diamond films in solar cell applications.

  18. Featured Partner: Saddle Creek Logistics Services

    Science.gov (United States)

    This EPA fact sheet spotlights Saddle Creek Logistics as a SmartWay partner committed to sustainability in reducing greenhouse gas emissions and air pollution caused by freight transportation, partly by growing its compressed natural gas (CNG) vehicles for

  19. The lithium vapor box divertor

    International Nuclear Information System (INIS)

    Goldston, R J; Schwartz, J; Myers, R

    2016-01-01

    It has long been recognized that volumetric dissipation of the plasma heat flux from a fusion power system is preferable to its localized impingement on a material surface. Volumetric dissipation mitigates both the anticipated very high heat flux and intense particle-induced damage due to sputtering. Recent projections to a tokamak demonstration power plant suggest an immense upstream parallel heat flux, of order 20 GW m −2 , implying that fully detached operation may be a requirement for the success of fusion power. Building on pioneering work on the use of lithium by Nagayama et al and by Ono et al as well as earlier work on the gas box divertor by Watkins and Rebut, we present here a concept for a lithium vapor box divertor, in which lithium vapor extracts momentum and energy from a fusion-power-plant divertor plasma, using fully volumetric processes. At the high powers and pressures that are projected this requires a high density of lithium vapor, which must be isolated from the main plasma in order to avoid lithium build-up on the chamber walls or in the plasma. Isolation is achieved through a powerful multi-box differential pumping scheme available only for condensable vapors. The preliminary box-wise calculations are encouraging, but much more work is required to demonstrate the practical viability of this scheme, taking into account at least 2D plasma and vapor flows within and between the vapor boxes and out of the vapor boxes to the main plasma. (paper)

  20. Chemical vapor deposition diamond based multilayered radiation detector: Physical analysis of detection properties

    International Nuclear Information System (INIS)

    Almaviva, S.; Marinelli, Marco; Milani, E.; Prestopino, G.; Tucciarone, A.; Verona, C.; Verona-Rinati, G.; Angelone, M.; Pillon, M.; Dolbnya, I.; Sawhney, K.; Tartoni, N.

    2010-01-01

    Recently, solid state photovoltaic Schottky diodes, able to detect ionizing radiation, in particular, x-ray and ultraviolet radiation, have been developed at the University of Rome 'Tor Vergata'. We report on a physical and electrical properties analysis of the device and a detailed study of its detection capabilities as determined by its electrical properties. The design of the device is based on a metal/nominally intrinsic/p-type diamond layered structure obtained by microwave plasma chemical vapor deposition of homoepitaxial single crystal diamond followed by thermal evaporation of a metallic contact. The device can operate in an unbiased mode by using the built-in potential arising from the electrode-diamond junction. We compare the expected response of the device to photons of various energies calculated through Monte Carlo simulation with experimental data collected in a well controlled experimental setup i.e., monochromatic high flux x-ray beams from 6 to 20 keV, available at the Diamond Light Source synchrotron in Harwell (U.K.).

  1. Fabrication of thin-wall, freestanding inertial confinement fusion targets by chemical vapor deposition

    International Nuclear Information System (INIS)

    Carroll, D.W.; McCreary, W.J.

    1982-01-01

    To meet the requirements for plasma physics experiments in the inertial confinement fusion (ICF) program, chemical vapor deposition (CVD) in fluid beds was used to fabricate freestanding tungsten spheres and cylinders with wall thicknesses less than 5.0 μm. Molybdenum and molybdenum alloy (TZM) mandrels of the desired geometry were suspended in a carrier bed of dense microspheres contained in an induction-heated fluid-bed reactor. The mandrels were free to float randomly through the bed, and using the reaction WF 6 +3H 2 →/sub /KW +6HF, very fine-grained tungsten was deposited onto the surface at a rate and in a grain size determined by temperature, gas flow rate, system pressure, and duration of the reaction. After coating, a portion of each mandrel was exposed by hole drilling or grinding. The mandrel was then removed by acid leaching, leaving a freestanding tungsten shape. Experimental procedures, mandrel preparation, and results obtained are discussed

  2. Physico-chemical mechanism for the vapors sensitivity of photoluminescent InP quantum dots

    Science.gov (United States)

    Prosposito, P.; De Angelis, R.; De Matteis, F.; Hatami, F.; Masselink, W. T.; Zhang, H.; Casalboni, M.

    2016-03-01

    InP/InGaP surface quantum dots are interesting materials for optical chemical sensors since they present an intense emission at room temperature, whose intensity changes rapidly and reversibly depending on the composition of the environmental atmosphere. We present here their emission properties by time resolved photoluminescence spectroscopy investigation and we discuss the physico-chemical mechanism behind their sensitivity to the surrounding atmosphere. Photoluminescence transients in inert atmosphere (N2) and in solvent vapours of methanol, clorophorm, acetone and water were measured. The presence of vapors of clorophorm, acetone and water showed a very weak effect on the transient times, while an increase of up to 15% of the decay time was observed for methanol vapour exposure. On the basis of the vapor molecule nature (polarity, proticity, steric hindrance, etc.) and of the interaction of the vapor molecules with the quantum dots surface a sensing mechanism involving quantum dots non-radiative surface states is proposed.

  3. Physico-chemical mechanism for the vapors sensitivity of photoluminescent InP quantum dots

    International Nuclear Information System (INIS)

    Prosposito, P.; De Angelis, R.; De Matteis, F.; Casalboni, M.; Hatami, F.; Masselink, W.T.; Zhang, H.

    2016-01-01

    InP/InGaP surface quantum dots are interesting materials for optical chemical sensors since they present an intense emission at room temperature, whose intensity changes rapidly and reversibly depending on the composition of the environmental atmosphere. We present here their emission properties by time resolved photoluminescence spectroscopy investigation and we discuss the physico-chemical mechanism behind their sensitivity to the surrounding atmosphere. Photoluminescence transients in inert atmosphere (N 2 ) and in solvent vapours of methanol, chloroform, acetone and water were measured. The presence of vapors of chloroform, acetone and water showed a very weak effect on the transient times, while an increase of up to 15% of the decay time was observed for methanol vapour exposure. On the basis of the vapor molecule nature (polarity, proticity, steric hindrance, etc.) and of the interaction of the vapor molecules with the quantum dots surface a sensing mechanism involving quantum dots non-radiative surface states is proposed. (paper)

  4. Ellipsometric investigation of nitrogen doped diamond thin films grown in microwave CH{sub 4}/H{sub 2}/N{sub 2} plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ficek, Mateusz, E-mail: rbogdan@eti.pg.gda.pl [Department of Metrology and Optoelectronics, Faculty of Electronics, Telecommunications and Informatics, Gdansk University of Technology, 11/12 G. Narutowicza St., 80-233 Gdansk (Poland); Institute for Materials Research (IMO), Hasselt University, Wetenschapspark 1, B-3590 Diepenbeek (Belgium); Sankaran, Kamatchi J.; Haenen, Ken [Institute for Materials Research (IMO), Hasselt University, Wetenschapspark 1, B-3590 Diepenbeek (Belgium); IMOMEC, IMEC vzw, Wetenschapspark 1, B-3590 Diepenbeek (Belgium); Ryl, Jacek; Darowicki, Kazimierz [Department of Electrochemistry, Corrosion and Material Engineering, Gdansk University of Technology, 11/12 Narutowicza St., 80-233 Gdansk (Poland); Bogdanowicz, Robert [Department of Metrology and Optoelectronics, Faculty of Electronics, Telecommunications and Informatics, Gdansk University of Technology, 11/12 G. Narutowicza St., 80-233 Gdansk (Poland); Materials and Process Simulation Center, California Institute of Technology, Pasadena, California 91125 (United States); Lin, I-Nan [Department of Physics, Tamkang University, Tamsui 251, Taiwan (China)

    2016-06-13

    The influence of N{sub 2} concentration (1%–8%) in CH{sub 4}/H{sub 2}/N{sub 2} plasma on structure and optical properties of nitrogen doped diamond (NDD) films was investigated. Thickness, roughness, and optical properties of the NDD films in the VIS–NIR range were investigated on the silicon substrates using spectroscopic ellipsometry. The samples exhibited relatively high refractive index (2.6 ± 0.25 at 550 nm) and extinction coefficient (0.05 ± 0.02 at 550 nm) with a transmittance of 60%. The optical investigation was supported by the molecular and atomic data delivered by Raman studies, bright field transmission electron microscopy imaging, and X-ray photoelectron spectroscopy diagnostics. Those results revealed that while the films grown in CH{sub 4}/H{sub 2} plasma contained micron-sized diamond grains, the films grown using CH{sub 4}/H{sub 2}/(4%)N{sub 2} plasma exhibited ultranano-sized diamond grains along with n-diamond and i-carbon clusters, which were surrounded by amorphous carbon grain boundaries.

  5. Development of Single Crystal Chemical Vapor Deposition Diamonds for Detector Applications

    International Nuclear Information System (INIS)

    Kagan, Harris; Gan, K.K.; Kass, Richard

    2009-01-01

    Diamond was studied as a possible radiation hard technology for use in future high radiation environments. With the commissioning of the LHC expected in 2009, and the LHC upgrades expected in 2013, all LHC experiments are planning for detector upgrades which require radiation hard technologies. Chemical Vapor Deposition (CVD) diamond has now been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle and CDF and is installed in all LHC experiments. As a result, this material is now being discussed as an alternative sensor material for tracking very close to the interaction region of the super-LHC where the most extreme radiation conditions will exist. Our work addressed the further development of the new material, single-crystal Chemical Vapor Deposition diamond, towards reliable industrial production of large pieces and new geometries needed for detector applications.

  6. Rapid Thermal Chemical Vapor Deposition for Dual-Gated Sub-100 nm MOSFET's

    National Research Council Canada - National Science Library

    Sturm, James

    2001-01-01

    ... (such as microprocessors and memory chips) is based. This project examines the scaling of MOSFET's to very small channel dimensions using a vertical structure which is defined by Rapid Thermal Chemical Vapor Deposition...

  7. Enhanced stratospheric water vapor over the summertime continental United States and the role of overshooting convection

    Science.gov (United States)

    Herman, Robert L.; Ray, Eric A.; Rosenlof, Karen H.; Bedka, Kristopher M.; Schwartz, Michael J.; Read, William G.; Troy, Robert F.; Chin, Keith; Christensen, Lance E.; Fu, Dejian; Stachnik, Robert A.; Bui, T. Paul; Dean-Day, Jonathan M.

    2017-05-01

    The NASA ER-2 aircraft sampled the lower stratosphere over North America during the field mission for the NASA Studies of Emissions and Atmospheric Composition, Clouds and Climate Coupling by Regional Surveys (SEAC4RS). This study reports observations of convectively influenced air parcels with enhanced water vapor in the overworld stratosphere over the summertime continental United States and investigates three case studies in detail. Water vapor mixing ratios greater than 10 ppmv, which is much higher than the background 4 to 6 ppmv of the overworld stratosphere, were measured by the JPL Laser Hygrometer (JLH Mark2) at altitudes between 16.0 and 17.5 km (potential temperatures of approximately 380 to 410 K). Overshooting cloud tops (OTs) are identified from a SEAC4RS OT detection product based on satellite infrared window channel brightness temperature gradients. Through trajectory analysis, we make the connection between these in situ water measurements and OT. Back trajectory analysis ties enhanced water to OT 1 to 7 days prior to the intercept by the aircraft. The trajectory paths are dominated by the North American monsoon (NAM) anticyclonic circulation. This connection suggests that ice is convectively transported to the overworld stratosphere in OT events and subsequently sublimated; such events may irreversibly enhance stratospheric water vapor in the summer over Mexico and the United States. A regional context is provided by water observations from the Aura Microwave Limb Sounder (MLS).

  8. Plasma and Ion Assistance in Physical Vapor Deposition: A Historical Perspective

    International Nuclear Information System (INIS)

    Anders, Andre

    2007-01-01

    Deposition of films using plasma or plasma-assist can be traced back surprisingly far, namely to the 18th century for arcs and to the 19th century for sputtering. However, only since the 1960s the coatings community considered other processes than evaporation for large scale commercial use. Ion Plating was perhaps the first important process, introducing vapor ionization and substrate bias to generate a beam of ions arriving on the surface of the growing film. Rather independently, cathodic arc deposition was established as an energetic condensation process, first in the former Soviet Union in the 1970s, and in the 1980s in the Western Hemisphere. About a dozen various ion-based coating technologies evolved in the last decades, all characterized by specific plasma or ion generation processes. Gridded and gridless ion sources were taken from space propulsion and applied to thin film deposition. Modeling and simulation have helped to make plasma and ions effects to be reasonably well understood. Yet--due to the complex, often non-linear and non-equilibrium nature of plasma and surface interactions--there is still a place for the experience plasma 'sourcerer'

  9. Laser pulse propagation in a meter scale rubidium vapor/plasma cell in AWAKE experiment

    CERN Document Server

    Joulaei, Atefeh; Berti, Nicolas; Kasparian, Jerome; Mirzanejhad, Saeed; Muggli, Patric

    2016-01-01

    We present the results of numerical studies of laser pulse propagating in a 3.5 cm Rb vapor cell in the linear dispersion regime by using a 1D model and a 2D code that has been modified for our special case. The 2D simulation finally aimed at finding laser beam parameters suitable to make the Rb vapor fully ionized to obtain a uniform, 10 m-long, at least 1 mm in radius plasma in the next step for the AWAKE experiment.

  10. Optical emission spectroscopy of metal vapor dominated laser-arc hybrid welding plasma

    International Nuclear Information System (INIS)

    Ribic, B.; DebRoy, T.; Burgardt, P.

    2011-01-01

    During laser-arc hybrid welding, plasma properties affect the welding process and the weld quality. However, hybrid welding plasmas have not been systematically studied. Here we examine electron temperatures, species densities, and electrical conductivity for laser, arc, and laser-arc hybrid welding using optical emission spectroscopy. The effects of arc currents and heat source separation distances were examined because these parameters significantly affect weld quality. Time-average plasma electron temperatures, electron and ion densities, electrical conductivity, and arc stability decrease with increasing heat source separation distance during hybrid welding. Heat source separation distance affects these properties more significantly than the arc current within the range of currents considered. Improved arc stability and higher electrical conductivity of the hybrid welding plasma result from increased heat flux, electron temperatures, electron density, and metal vapor concentrations relative to arc or laser welding.

  11. Laboratory experiments on plasma jets in a magnetic field using high-power lasers

    Directory of Open Access Journals (Sweden)

    Nishio K.

    2013-11-01

    Full Text Available The experiments to simulate astrophysical jet generation are performed using Gekko XII (GXII HIPER laser system at the Institute of Laser Engineering. In the experiments a fast plasma flow generated by shooting a CH plane (10 μm thickness is observed at the rear side of the plane. By separating the focal spot of the main beams, a non-uniform plasma is generated. The non-uniform plasma flow in an external magnetic field (0.2∼0.3 T perpendicular to the plasma is more collimated than that without the external magnetic field. The plasma β, the ratio between the plasma and magnetic pressure, is ≫ 1, and the magnetic Reynolds number is ∼150 in the collimated plasma. It is considered that the magnetic field is distorted by the plasma flow and enhances the jet collimation.

  12. Chemical vapor deposition of diamond onto iron based substrates. The use of barrier layers

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.

    1995-01-01

    When Fe is exposed to the plasma environment suitable for the chemical vapor deposition (CVD) of diamond, the surface is rapidly covered with a thick layer graphitic soot and C swiftly diffuses into the Fe substrate. Once the soot reaches a critical thickness, diamond films nucleate and grow on top of it. However, adhesion of the film to the substrate is poor due to the lack of structural integrity of the soot layer, A thin coating of TiN on the Fe can act to prevent diffusion and soot formation. Diamond readily grows upon the TiN via an a-C interface layer, but the a-C/TiN interface is weak and delamination occurs at this interface. In order to try and improve the adhesion, the use of a high dose Ti implant was investigated to replace the TiN coating. 7 refs., 6 figs

  13. Enhanced bulk heterojunction devices prepared by thermal and solvent vapor annealing processes

    Science.gov (United States)

    Forrest, Stephen R.; Thompson, Mark E.; Wei, Guodan; Wang, Siyi

    2017-09-19

    A method of preparing a bulk heterojunction organic photovoltaic cell through combinations of thermal and solvent vapor annealing are described. Bulk heterojunction films may prepared by known methods such as spin coating, and then exposed to one or more vaporized solvents and thermally annealed in an effort to enhance the crystalline nature of the photoactive materials.

  14. Growth of carbon nanotubes by Fe-catalyzed chemical vapor processes on silicon-based substrates

    Science.gov (United States)

    Angelucci, Renato; Rizzoli, Rita; Vinciguerra, Vincenzo; Fortuna Bevilacqua, Maria; Guerri, Sergio; Corticelli, Franco; Passini, Mara

    2007-03-01

    In this paper, a site-selective catalytic chemical vapor deposition synthesis of carbon nanotubes on silicon-based substrates has been developed in order to get horizontally oriented nanotubes for field effect transistors and other electronic devices. Properly micro-fabricated silicon oxide and polysilicon structures have been used as substrates. Iron nanoparticles have been obtained both from a thin Fe film evaporated by e-gun and from iron nitrate solutions accurately dispersed on the substrates. Single-walled nanotubes with diameters as small as 1 nm, bridging polysilicon and silicon dioxide “pillars”, have been grown. The morphology and structure of CNTs have been characterized by SEM, AFM and Raman spectroscopy.

  15. Improved amorphous/crystalline silicon interface passivation for heterojunction solar cells by low-temperature chemical vapor deposition and post-annealing treatment.

    Science.gov (United States)

    Wang, Fengyou; Zhang, Xiaodan; Wang, Liguo; Jiang, Yuanjian; Wei, Changchun; Xu, Shengzhi; Zhao, Ying

    2014-10-07

    In this study, hydrogenated amorphous silicon (a-Si:H) thin films are deposited using a radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD) system. The Si-H configuration of the a-Si:H/c-Si interface is regulated by optimizing the deposition temperature and post-annealing duration to improve the minority carrier lifetime (τeff) of a commercial Czochralski (Cz) silicon wafer. The mechanism of this improvement involves saturation of the microstructural defects with hydrogen evolved within the a-Si:H films due to the transformation from SiH2 into SiH during the annealing process. The post-annealing temperature is controlled to ∼180 °C so that silicon heterojunction solar cells (SHJ) could be prepared without an additional annealing step. To achieve better performance of the SHJ solar cells, we also optimize the thickness of the a-Si:H passivation layer. Finally, complete SHJ solar cells are fabricated using different temperatures for the a-Si:H film deposition to study the influence of the deposition temperature on the solar cell parameters. For the optimized a-Si:H deposition conditions, an efficiency of 18.41% is achieved on a textured Cz silicon wafer.

  16. Natural Preconditioning and Iterative Methods for Saddle Point Systems

    KAUST Repository

    Pestana, Jennifer

    2015-01-01

    © 2015 Society for Industrial and Applied Mathematics. The solution of quadratic or locally quadratic extremum problems subject to linear(ized) constraints gives rise to linear systems in saddle point form. This is true whether in the continuous or the discrete setting, so saddle point systems arising from the discretization of partial differential equation problems, such as those describing electromagnetic problems or incompressible flow, lead to equations with this structure, as do, for example, interior point methods and the sequential quadratic programming approach to nonlinear optimization. This survey concerns iterative solution methods for these problems and, in particular, shows how the problem formulation leads to natural preconditioners which guarantee a fast rate of convergence of the relevant iterative methods. These preconditioners are related to the original extremum problem and their effectiveness - in terms of rapidity of convergence - is established here via a proof of general bounds on the eigenvalues of the preconditioned saddle point matrix on which iteration convergence depends.

  17. Degradation of methylene blue by radio frequency plasmas in water under ultraviolet irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Maehara, Tsunehiro, E-mail: maehara@phys.sci.ehime-u.ac.jp [Graduate School of Science and Engineering, Ehime University, Matsuyama 790-8577 (Japan); Nishiyama, Kyohei; Onishi, Shingo; Mukasa, Shinobu; Toyota, Hiromichi [Graduate School of Science and Engineering, Ehime University, Matsuyama 790-8577 (Japan); Kuramoto, Makoto [Integrated Center for Science, Ehime University, Matsuyama 790-8577 (Japan); Nomura, Shinfuku [Graduate School of Science and Engineering, Ehime University, Matsuyama 790-8577 (Japan); Kawashima, Ayato [Faculty of Agriculture, Ehime University, Matsuyama 790-8566 (Japan)

    2010-02-15

    The degradation of methylene blue by radio frequency (RF) plasmas in water under ultraviolet (UV) irradiation was studied experimentally. When the methylene blue solution was exposed to RF plasma, UV irradiation from a mercury vapor lamp enhanced degradation significantly. A lamp without power supply also enhanced degradation since weak UV light was emitted weakly from the lamp due to the excitation of mercury vapor by stray RF power. Such an enhancement is explained by the fact that after hydrogen peroxide is produced via the recombination process of OH radicals around the plasma, OH radicals reproduced from hydrogen peroxide via the photolysis process degrade methylene blue.

  18. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Yuping; Li, Chengchen [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Mingming, E-mail: andychain@live.cn [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Yu, Xiao; Chang, Yunwei [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Anqi [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Zhu, Hai, E-mail: zhuhai5@mail.sysu.edu.cn [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Tang, Zikang, E-mail: zktang@umac.mo [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); The Institute of Applied Physics and Materials Engineering, University of Macau, Avenida da Universidade, Taipa, Macau (China)

    2016-12-09

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  19. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhao, Yuping; Li, Chengchen; Chen, Mingming; Yu, Xiao; Chang, Yunwei; Chen, Anqi; Zhu, Hai; Tang, Zikang

    2016-01-01

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  20. Basic kinematics of the saddle and rider in high-level dressage horses trotting on a treadmill.

    Science.gov (United States)

    Byström, A; Rhodin, M; von Peinen, K; Weishaupt, M A; Roepstorff, L

    2009-03-01

    A comprehensive kinematic description of rider and saddle movements is not yet present in the scientific literature. To describe saddle and rider movements in a group of high-level dressage horses and riders. Seven high-level dressage horses and riders were subjected to kinematic measurements while performing collected trot on a treadmill. For analysis a rigid body model for the saddle and core rider segments, projection angles of the rider's extremities and the neck and trunk of the horse, and distances between markers selected to indicate rider position were used. For a majority of the variables measured it was possible to describe a common pattern for the group. Rotations around the transverse axis (pitch) were generally biphasic for each diagonal. During the first half of stance the saddle rotated anti-clockwise and the rider's pelvis clockwise viewed from the right and the rider's lumbar back extended. During the later part of stance and the suspension phase reverse pitch rotations were observed. Rotations of the saddle and core rider segments around the longitudinal (roll) and vertical axes (yaw) changed direction only around time of contact of each diagonal. The saddles and riders of high-level dressage horses follow a common movement pattern at collected trot. The movements of the saddle and rider are clearly related to the movements of the horse and saddle movements also seem to be influenced by the rider. Knowledge about rider and saddle movements can further our understanding of, and hence possibilities to prevent, orthopaedic injuries related to the exposure of the horse to a rider and saddle.