WorldWideScience

Sample records for rtr process silicon

  1. Silicon Solar Cell Process Development, Fabrication and Analysis, Phase 1

    Science.gov (United States)

    Yoo, H. I.; Iles, P. A.; Tanner, D. P.

    1979-01-01

    Solar cells from RTR ribbons, EFG (RF and RH) ribbons, dendritic webs, Silso wafers, cast silicon by HEM, silicon on ceramic, and continuous Czochralski ingots were fabricated using a standard process typical of those used currently in the silicon solar cell industry. Back surface field (BSF) processing and other process modifications were included to give preliminary indications of possible improved performance. The parameters measured included open circuit voltage, short circuit current, curve fill factor, and conversion efficiency (all taken under AM0 illumination). Also measured for typical cells were spectral response, dark I-V characteristics, minority carrier diffusion length, and photoresponse by fine light spot scanning. the results were compared to the properties of cells made from conventional single crystalline Czochralski silicon with an emphasis on statistical evaluation. Limited efforts were made to identify growth defects which will influence solar cell performance.

  2. RTR spent fuel treatment and final waste storage

    International Nuclear Information System (INIS)

    Thomasson, J.

    2000-01-01

    A number of RTR operators have chosen in the past to send their spent fuel to the US in the framework of the US take back program. However, this possibility ends as of May 12th, 2006. 3 different strategies are left for managing RTR spent fuel: extended storage, direct disposal and treatment-conditioning through reprocessing. Whilst former strategies raise a number of uncertainties, the latter already offers a management solution. It features two advantages. It benefits from the long experience of existing flexible industrial facilities from countries like France. Secondly, it offers a dramatic volume reduction of the ultimate waste to be stored under well-characterized, stable and durable forms. RTR spent fuel management through reprocessing-conditioning offers a durable management solution that can be fully integrated in whatever global radioactive waste management policy, including ultimate disposal

  3. Management of the acceptance process of RTR aluminide type spent fuel

    International Nuclear Information System (INIS)

    Auziere, P.; Thomasson, J.

    2002-01-01

    A wide range of Research Test Reactor aluminide type spent fuel is already received for treatment conditioning at the La Hague reprocessing complex. Such a diversity calls for an utmost attention to be paid to all safety-related systems and technical aspects, to all regulatory and administrative constraints. Despite of such multiple data inputs and rigid constraints, a close cooperation between the Research Reactor operator and COGEMA enables to reach adequate and cost effective solutions also relevant to spent fuel having had an uneven history. The acceptance process is primarily based on the client descriptive data and status declaration issued by the Research Reactor (RR) operator under QA. This acceptance process is a key step, to be keenly scheduled as it is directly interactive with the RR evacuation plans and the La Hague industrial plant program. It is also governed by the reviews conducted by the French Safety Authority and generally translated into operational authorisations. Concerned by maintaining high safety standards, reliable and proven operational levels of its nuclear services performed in the La Hague facilities COGEMA includes, all through this acceptance process, the operating, regulatory and administrative requirements. This paper sets forth an overview of the approach implemented in the COGEMA organisation for the management of the acceptance process of RTR aluminide type spent fuel. (author)

  4. A durable and dependable solution for RTR spent fuel management

    International Nuclear Information System (INIS)

    Thomasson, J.

    1999-01-01

    RTR Operators need efficient and cost-effective services for the management of their spent fuel and this, for the full lifetime of their facility. Thanks to the integration of transport, reprocessing and conditioning services, COGEMA provides a cogent solution, with the utmost respect for safety and preservation of the environment, for the short, medium and long terms. As demonstrated in this paper, this option offers the only durable and dependable solution for the RTR spent fuel management, leading to a conditioning for the final residues directly suitable for final disposal. The main advantage of such an option is obviously the significant reduction in terms of volume and radiotoxicity of the ultimate waste when compared to direct disposal of spent fuels. The efficiency of such a solution has been proven, some RTR operators having already trusted COGEMA for the management of their aluminide fuel. With its commitment in R and D activities for the development of a high performance and reprocessable LEU fuels, COGEMA will be able to propose a solution for all types of fuels, HEU and LEU

  5. Evaluation of U-Zr hydride fuel for a thorium fuel cycle in an RTR concept

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Kyung Taek; Cho, Nam Zin [Korea Advanced Institute of Science and Technology, Taejon (Korea, Republic of)

    1999-12-31

    In this paper, we performed a design study of a thorium fueled reactor according to the design concept of the Radkowsky Thorium Reactor (RTR) and evaluated its overall performance. To enhance its performance and alleviate its problems, we introduced a new metallic uranium fuel, uranium-zirconium hydride (U-ZrH{sub 1.6}), as a seed fuel. For comparison, typical ABB/CE-type PWR based on SYSTEM 80+and standard RTR-type thorium reactor were also studied. From the results of performance analysis, we could ascertain advantages of RTR-type thorium fueled reactor in proliferation resistance, fuel cycle economics, and back-end fuel cycle. Also, we found that enhancement of proliferation resistance and safer operating conditions may be achieved by using the U-ZrH{sub 1.6} fuel in the seed region without additional penalties in comparison with the standard RTR`s U-Zr fuel. 6 refs., 2 figs., 6 tabs. (Author)

  6. Evaluation of U-Zr hydride fuel for a thorium fuel cycle in an RTR concept

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Kyung Taek; Cho, Nam Zin [Korea Advanced Institute of Science and Technology, Taejon (Korea, Republic of)

    1998-12-31

    In this paper, we performed a design study of a thorium fueled reactor according to the design concept of the Radkowsky Thorium Reactor (RTR) and evaluated its overall performance. To enhance its performance and alleviate its problems, we introduced a new metallic uranium fuel, uranium-zirconium hydride (U-ZrH{sub 1.6}), as a seed fuel. For comparison, typical ABB/CE-type PWR based on SYSTEM 80+and standard RTR-type thorium reactor were also studied. From the results of performance analysis, we could ascertain advantages of RTR-type thorium fueled reactor in proliferation resistance, fuel cycle economics, and back-end fuel cycle. Also, we found that enhancement of proliferation resistance and safer operating conditions may be achieved by using the U-ZrH{sub 1.6} fuel in the seed region without additional penalties in comparison with the standard RTR`s U-Zr fuel. 6 refs., 2 figs., 6 tabs. (Author)

  7. Radiological Threat Reduction (RTR) program: implementing physical security to protect large radioactive sources worldwide

    International Nuclear Information System (INIS)

    Lowe, Daniel L.

    2004-01-01

    The U.S. Department of Energy's Radiological Threat Reduction (RTR) Program strives to reduce the threat of a Radiological Dispersion Device (RDD) incident that could affect U.S. interests worldwide. Sandia National Laboratories supports the RTR program on many different levels. Sandia works directly with DOE to develop strategies, including the selection of countries to receive support and the identification of radioactive materials to be protected. Sandia also works with DOE in the development of guidelines and in training DOE project managers in physical protection principles. Other support to DOE includes performing rapid assessments and providing guidance for establishing foreign regulatory and knowledge infrastructure. Sandia works directly with foreign governments to establish cooperative agreements necessary to implement the RTR Program efforts to protect radioactive sources. Once necessary agreements are in place, Sandia works with in-country organizations to implement various security related initiatives, such as installing security systems and searching for (and securing) orphaned radioactive sources. The radioactive materials of interest to the RTR program include Cobalt 60, Cesium 137, Strontium 90, Iridium 192, Radium 226, Plutonium 238, Americium 241, Californium 252, and Others. Security systems are implemented using a standardized approach that provides consistency through out the RTR program efforts at Sandia. The approach incorporates a series of major tasks that overlap in order to provide continuity. The major task sequence is to: Establish in-country contacts - integrators, Obtain material characterizations, Perform site assessments and vulnerability assessments, Develop upgrade plans, Procure and install equipment, Conduct acceptance testing and performance testing, Develop procedures, and Conduct training. Other tasks are incorporated as appropriate and commonly include such as support of reconfiguring infrastructure, and developing security

  8. Reprocessing RTR fuel in the La Hague plants

    International Nuclear Information System (INIS)

    Thomasson, J.; Drain, F.; David, A.

    2001-01-01

    Starting in 2006, research reactors operators will be fully responsible for the back-end management of their spent fuel. It appears that the only solution for this management is treatment-conditioning, which could be done at the La Hague reprocessing complex in France. The fissile material can be separated in the reprocessing plants and the final waste can be encapsulated in a matrix adapted to its potential hazards. RTR reprocessing at La Hague would require some modifications, since the plant had been primarily designed to reprocess fuel from light water reactors. Many provisions have been taken at the plant design stage, however, and the modifications would be feasible even during active operations, as was done from 1993 to 1995 when a new liquid waste management was implemented, and when one of the two vitrification facilities was improved. To achieve RTR back-end management, COGEMA and its partners are also conducting R and D to define a new generation of LEU fuel with performance characteristics approximating those of HEU fuel. This new-generation fuel would be easier to reprocess. (author)

  9. Reprocessing RTR fuel in the La Hague plants

    Energy Technology Data Exchange (ETDEWEB)

    Thomasson, J. [Cogema, F-78140 Velizy (France); Drain, F.; David, A. [SGN, F-78182 Saint Quentin en Yvelines (France)

    2001-07-01

    Starting in 2006, research reactors operators will be fully responsible for the back-end management of their spent fuel. It appears that the only solution for this management is treatment-conditioning, which could be done at the La Hague reprocessing complex in France. The fissile material can be separated in the reprocessing plants and the final waste can be encapsulated in a matrix adapted to its potential hazards. RTR reprocessing at La Hague would require some modifications, since the plant had been primarily designed to reprocess fuel from light water reactors. Many provisions have been taken at the plant design stage, however, and the modifications would be feasible even during active operations, as was done from 1993 to 1995 when a new liquid waste management was implemented, and when one of the two vitrification facilities was improved. To achieve RTR back-end management, COGEMA and its partners are also conducting R and D to define a new generation of LEU fuel with performance characteristics approximating those of HEU fuel. This new-generation fuel would be easier to reprocess. (author)

  10. Reprocessing RTR fuel in the La Hague plants

    Energy Technology Data Exchange (ETDEWEB)

    Thomasson, J. [Cogema, 78 - Velizy Villacoublay (France); Drain, F.; David, A. [SGN, 78 - Saint Quentin en Yveline (France)

    2001-07-01

    Starting in 2006, research reactors operators will be fully responsible for their research and testing reactors spent fuel back-end management. It appears that the only solution for this management is treatment-conditioning, which could be done at the La Hague reprocessing complex in France. The fissile material can be separated in the reprocessing plants and the final waste can be encapsulated in a matrix adapted to its potential hazards. RTR reprocessing at La Hague would require some modifications, since the plant had been primarily designed to reprocess fuel from light water reactors. Many provisions have been taken at the plant design stage, however, and the modifications would be feasible even during active operations, as was done from 1993 to 1995 when a new liquid waste management was implemented, and when one of the two vitrification facilities was improved. To achieve RTR back-end management, COGEMA and its partners are also conducting R and D to define a new generation of LEU fuel with performance characteristics approximating those of HEU fuel. This new-generation fuel would be easier to reprocess. (author)

  11. Laser-zone Growth in a Ribbon-to-ribbon (RTR) Process Silicon Sheet Growth Development for the Large Area Silicon Sheet Task of the Low Cost Solar Array Project

    Science.gov (United States)

    Baghdadi, A.; Gurtler, R. W.; Legge, R.; Sopori, B.; Rice, M. J.; Ellis, R. J.

    1979-01-01

    A technique for growing limited-length ribbons continually was demonstrated. This Rigid Edge technique can be used to recrystallize about 95% of the polyribbon feedstock. A major advantage of this method is that only a single, constant length silicon ribbon is handled throughout the entire process sequence; this may be accomplished using cassettes similar to those presently in use for processing Czochralski waters. Thus a transition from Cz to ribbon technology can be smoothly affected. The maximum size being considered, 3 inches x 24 inches, is half a square foot, and will generate 6 watts for 12% efficiency at 1 sun. Silicon dioxide has been demonstrated as an effective, practical diffusion barrier for use during the polyribbon formation.

  12. Phosphatase Rtr1 Regulates Global Levels of Serine 5 RNA Polymerase II C-Terminal Domain Phosphorylation and Cotranscriptional Histone Methylation.

    Science.gov (United States)

    Hunter, Gerald O; Fox, Melanie J; Smith-Kinnaman, Whitney R; Gogol, Madelaine; Fleharty, Brian; Mosley, Amber L

    2016-09-01

    In eukaryotes, the C-terminal domain (CTD) of Rpb1 contains a heptapeptide repeat sequence of (Y1S2P3T4S5P6S7)n that undergoes reversible phosphorylation through the opposing action of kinases and phosphatases. Rtr1 is a conserved protein that colocalizes with RNA polymerase II (RNAPII) and has been shown to be important for the transition from elongation to termination during transcription by removing RNAPII CTD serine 5 phosphorylation (Ser5-P) at a selection of target genes. In this study, we show that Rtr1 is a global regulator of the CTD code with deletion of RTR1 causing genome-wide changes in Ser5-P CTD phosphorylation and cotranscriptional histone H3 lysine 36 trimethylation (H3K36me3). Using chromatin immunoprecipitation and high-resolution microarrays, we show that RTR1 deletion results in global changes in RNAPII Ser5-P levels on genes with different lengths and transcription rates consistent with its role as a CTD phosphatase. Although Ser5-P levels increase, the overall occupancy of RNAPII either decreases or stays the same in the absence of RTR1 Additionally, the loss of Rtr1 in vivo leads to increases in H3K36me3 levels genome-wide, while total histone H3 levels remain relatively constant within coding regions. Overall, these findings suggest that Rtr1 regulates H3K36me3 levels through changes in the number of binding sites for the histone methyltransferase Set2, thereby influencing both the CTD and histone codes. Copyright © 2016, American Society for Microbiology. All Rights Reserved.

  13. Boro Silicate Glass: The proven Conditioning of RTR ultimate Waste

    International Nuclear Information System (INIS)

    Bartagnon, O.; Petitjean, V.

    2002-01-01

    The possibility to dispose of the RTR spent fuel in a geological repository is neither internationally foreseen nor seems realistic. This due to degradation phenomena and possible criticality incidents. The conditioning by reprocessing is the only solution for back end management of TRT spent fuels

  14. CURVES AND AESTHETIC SURFACES GENERATED BY THE R-R-RTR MECHANISM

    Directory of Open Access Journals (Sweden)

    Liliana LUCA

    2013-05-01

    Full Text Available Let’s consider a mechanism having two driving elements with revolving movements and a RTR dyad, with elements of null length and aesthetic tracks of a point are determined on a rod, for various linear movement laws of driving elements. The generated curves revolve around x and y axes and aesthetic surfaces result.

  15. Silicon integrated circuit process

    International Nuclear Information System (INIS)

    Lee, Jong Duck

    1985-12-01

    This book introduces the process of silicon integrated circuit. It is composed of seven parts, which are oxidation process, diffusion process, ion implantation process such as ion implantation equipment, damage, annealing and influence on manufacture of integrated circuit and device, chemical vapor deposition process like silicon Epitaxy LPCVD and PECVD, photolithography process, including a sensitizer, spin, harden bake, reflection of light and problems related process, infrared light bake, wet-etch, dry etch, special etch and problems of etching, metal process like metal process like metal-silicon connection, aluminum process, credibility of aluminum and test process.

  16. Silicon integrated circuit process

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jong Duck

    1985-12-15

    This book introduces the process of silicon integrated circuit. It is composed of seven parts, which are oxidation process, diffusion process, ion implantation process such as ion implantation equipment, damage, annealing and influence on manufacture of integrated circuit and device, chemical vapor deposition process like silicon Epitaxy LPCVD and PECVD, photolithography process, including a sensitizer, spin, harden bake, reflection of light and problems related process, infrared light bake, wet-etch, dry etch, special etch and problems of etching, metal process like metal process like metal-silicon connection, aluminum process, credibility of aluminum and test process.

  17. The RTR complex as caretaker of genome stability and its unique meiotic function in plants

    Directory of Open Access Journals (Sweden)

    Alexander eKnoll

    2014-02-01

    Full Text Available The RTR complex consisting of a RecQ helicase, a type IA topoisomerase and the structural protein RMI1 is involved in the processing of DNA recombination intermediates in all eukaryotes. In Arabidopsis thaliana the complex partners RECQ4A, topoisomerase 3α and RMI1 have been shown to be involved in DNA repair and in the suppression of homologous recombination (HR in somatic cells. Interestingly, mutants of AtTOP3A and AtRMI1 are also sterile due to extensive chromosome breakage in meiosis I, a phenotype that seems to be specific for plants. Although both proteins are essential for meiotic recombination it is still elusive on what kind of intermediates they are acting on. Recent data indicate that the pattern of non-crossover (NCO-associated meiotic gene conversion (GC differs between plants and other eukaryotes, as less NCOs in comparison to crossovers (CO could be detected in Arabidopsis. This indicates that NCOs happen either more rarely in plants or that the conversion tract length is significantly shorter than in other organisms. As the TOP3α/RMI1-mediated dissolution of recombination intermediates results exclusively in NCOs, we suggest that the peculiar GC pattern found in plants is connected to the unique role, members of the RTR complex play in plant meiosis.

  18. Silicon web process development

    Science.gov (United States)

    Duncan, C. S.; Seidensticker, R. G.; Mchugh, J. P.; Skutch, M. E.; Driggers, J. M.; Hopkins, R. H.

    1981-01-01

    The silicon web process takes advantage of natural crystallographic stabilizing forces to grow long, thin single crystal ribbons directly from liquid silicon. The ribbon, or web, is formed by the solidification of a liquid film supported by surface tension between two silicon filaments, called dendrites, which border the edges of the growing strip. The ribbon can be propagated indefinitely by replenishing the liquid silicon as it is transformed to crystal. The dendritic web process has several advantages for achieving low cost, high efficiency solar cells. These advantages are discussed.

  19. Silicon etch process

    International Nuclear Information System (INIS)

    Day, D.J.; White, J.C.

    1984-01-01

    A silicon etch process wherein an area of silicon crystal surface is passivated by radiation damage and non-planar structure produced by subsequent anisotropic etching. The surface may be passivated by exposure to an energetic particle flux - for example an ion beam from an arsenic, boron, phosphorus, silicon or hydrogen source, or an electron beam. Radiation damage may be used for pattern definition and/or as an etch stop. Ethylenediamine pyrocatechol or aqueous potassium hydroxide anisotropic etchants may be used. The radiation damage may be removed after etching by thermal annealing. (author)

  20. Silicon processing for photovoltaics II

    CERN Document Server

    Khattak, CP

    2012-01-01

    The processing of semiconductor silicon for manufacturing low cost photovoltaic products has been a field of increasing activity over the past decade and a number of papers have been published in the technical literature. This volume presents comprehensive, in-depth reviews on some of the key technologies developed for processing silicon for photovoltaic applications. It is complementary to Volume 5 in this series and together they provide the only collection of reviews in silicon photovoltaics available.The volume contains papers on: the effect of introducing grain boundaries in silicon; the

  1. Thin film polycrystalline silicon solar cells. Quarterly technical progress report No. 3, 1 April 1980-30 June 1980

    Energy Technology Data Exchange (ETDEWEB)

    Sarma, K. R.; Rice, M. J.; Legge, R.; Ellis, R. J.

    1980-06-01

    During this third quarter of the program, the high pressure plasma (hpp) deposition process has been thoroughly evaluated using SiHCl/sub 3/ and SiCl/sub 4/ silicon source gases, by the gas chromatographic analysis of the effluent gases from the reactor. Both the deposition efficiency and reactor throughput rate were found to be consistently higher for hpp mode of operation compared to conventional CVD mode. The figure of merit for various chlorosilanes as a silicon source gas for hpp deposition is discussed. A new continuous silicon film deposition scheme is developed, and system design is initiated. This new system employs gas interlocks and eliminates the need for gas curtains which have been found to be problematic. Solar cells (2 cm x 2 cm area) with AM1 efficiencies of up to 12% were fabricated on RTR grain enhanced hpp deposited films. The parameters of a 12% cell under simulated AM1 illumination were: V/sub OC/ = 0.582 volts, J/sub SC/ = 28.3 mA/cm/sup 2/ and F.F. = 73.0%.

  2. Impurity doping processes in silicon

    CERN Document Server

    Wang, FFY

    1981-01-01

    This book introduces to non-experts several important processes of impurity doping in silicon and goes on to discuss the methods of determination of the concentration of dopants in silicon. The conventional method used is the discussion process, but, since it has been sufficiently covered in many texts, this work describes the double-diffusion method.

  3. Process of preparing tritiated porous silicon

    Science.gov (United States)

    Tam, Shiu-Wing

    1997-01-01

    A process of preparing tritiated porous silicon in which porous silicon is equilibrated with a gaseous vapor containing HT/T.sub.2 gas in a diluent for a time sufficient for tritium in the gas phase to replace hydrogen present in the pore surfaces of the porous silicon.

  4. Laser process for extended silicon thin film solar cells

    International Nuclear Information System (INIS)

    Hessmann, M.T.; Kunz, T.; Burkert, I.; Gawehns, N.; Schaefer, L.; Frick, T.; Schmidt, M.; Meidel, B.; Auer, R.; Brabec, C.J.

    2011-01-01

    We present a large area thin film base substrate for the epitaxy of crystalline silicon. The concept of epitaxial growth of silicon on large area thin film substrates overcomes the area restrictions of an ingot based monocrystalline silicon process. Further it opens the possibility for a roll to roll process for crystalline silicon production. This concept suggests a technical pathway to overcome the limitations of silicon ingot production in terms of costs, throughput and completely prevents any sawing losses. The core idea behind these thin film substrates is a laser welding process of individual, thin silicon wafers. In this manuscript we investigate the properties of laser welded monocrystalline silicon foils (100) by micro-Raman mapping and spectroscopy. It is shown that the laser beam changes the crystalline structure of float zone grown silicon along the welding seam. This is illustrated by Raman mapping which visualizes compressive stress as well as tensile stress in a range of - 147.5 to 32.5 MPa along the welding area.

  5. Simulation of atomistic processes during silicon oxidation

    OpenAIRE

    Bongiorno, Angelo

    2003-01-01

    Silicon dioxide (SiO2) films grown on silicon monocrystal (Si) substrates form the gate oxides in current Si-based microelectronics devices. The understanding at the atomic scale of both the silicon oxidation process and the properties of the Si(100)-SiO2 interface is of significant importance in state-of-the-art silicon microelectronics manufacturing. These two topics are intimately coupled and are both addressed in this theoretical investigation mainly through first-principles calculations....

  6. Structural modification of silicon during the formation process of porous silicon

    International Nuclear Information System (INIS)

    Martin-Palma, R.J.; Pascual, L.; Landa-Canovas, A.R.; Herrero, P.; Martinez-Duart, J.M.

    2005-01-01

    Direct examination of porous silicon (PS) by the use of high resolution transmission electron microscopy (HRTEM) allowed us to perform a deep insight into the formation mechanisms of this material. In particular, the structure of the PS/Si interface and that of the silicon nanocrystals that compose porous silicon were analyzed in detail. Furthermore, image processing was used to study in detail the structure of PS. The mechanism of PS formation and lattice matching between the PS layer and the Si substrate is analyzed and discussed. Finally, a formation mechanism for PS based on the experimental observations is proposed

  7. Process development for high-efficiency silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Gee, J.M.; Basore, P.A.; Buck, M.E.; Ruby, D.S.; Schubert, W.K.; Silva, B.L.; Tingley, J.W.

    1991-12-31

    Fabrication of high-efficiency silicon solar cells in an industrial environment requires a different optimization than in a laboratory environment. Strategies are presented for process development of high-efficiency silicon solar cells, with a goal of simplifying technology transfer into an industrial setting. The strategies emphasize the use of statistical experimental design for process optimization, and the use of baseline processes and cells for process monitoring and quality control. 8 refs.

  8. Broadband Nonlinear Signal Processing in Silicon Nanowires

    DEFF Research Database (Denmark)

    Yvind, Kresten; Pu, Minhao; Hvam, Jørn Märcher

    The fast non-linearity of silicon allows Tbit/s optical signal processing. By choosing suitable dimensions of silicon nanowires their dispersion can be tailored to ensure a high nonlinearity at power levels low enough to avoid significant two-photon abso We have fabricated low insertion...

  9. Development of low cost silicon solar cells by reusing the silicon saw dust collected during wafering process

    International Nuclear Information System (INIS)

    Zaidi, Z.I.; Raza, B.; Ahmed, M.; Sheikh, H.; Qazi, I.A.

    2002-01-01

    Silicon material due to its abundance in nature and maximum conversion efficiency has been successfully being used for the fabrication of electronic and photovoltaic devices such as ICs, diodes, transistors and solar cells. The 80% of the semiconductor industry is ruled by silicon material. Single crystal silicon solar cells are in use for both space and terrestrial application, due to the well developed technology and better efficiency than polycrystalline and amorphous silicon solar cells. The current research work is an attempt to reduce the cost of single crystal silicon solar cells by reusing the silicon saw dust obtained during the watering process. During the watering process about 45% Si material is wasted in the form of Si powder dust. Various waste powder silicon samples were analyzed using inductively Coupled Plasma (ICP) technique, for metallic impurities critical for solar grade silicon material. The results were evaluated from impurity and cost point of view. (author)

  10. Ultrafast Nonlinear Signal Processing in Silicon Waveguides

    DEFF Research Database (Denmark)

    Oxenløwe, Leif Katsuo; Mulvad, Hans Christian Hansen; Hu, Hao

    2012-01-01

    We describe recent demonstrations of exploiting highly nonlinear silicon waveguides for ultrafast optical signal processing. We describe wavelength conversion and serial-to-parallel conversion of 640 Gbit/s data signals and 1.28 Tbit/s demultiplexing and all-optical sampling.......We describe recent demonstrations of exploiting highly nonlinear silicon waveguides for ultrafast optical signal processing. We describe wavelength conversion and serial-to-parallel conversion of 640 Gbit/s data signals and 1.28 Tbit/s demultiplexing and all-optical sampling....

  11. Linear signal processing using silicon micro-ring resonators

    DEFF Research Database (Denmark)

    Peucheret, Christophe; Ding, Yunhong; Ou, Haiyan

    2012-01-01

    We review our recent achievements on the use of silicon micro-ring resonators for linear optical signal processing applications, including modulation format conversion, phase-to-intensity modulation conversion and waveform shaping.......We review our recent achievements on the use of silicon micro-ring resonators for linear optical signal processing applications, including modulation format conversion, phase-to-intensity modulation conversion and waveform shaping....

  12. Simple processing of high efficiency silicon solar cells

    International Nuclear Information System (INIS)

    Hamammu, I.M.; Ibrahim, K.

    2006-01-01

    Cost effective photovoltaic devices have been an area research since the development of the first solar cells, as cost is the major factor in their usage. Silicon solar cells have the biggest share in the photovoltaic market, though silicon os not the optimal material for solar cells. This work introduces a simplified approach for high efficiency silicon solar cell processing, by minimizing the processing steps and thereby reducing cost. The suggested procedure might also allow for the usage of lower quality materials compared to the one used today. The main features of the present work fall into: simplifying the diffusion process, edge shunt isolation and using acidic texturing instead of the standard alkaline processing. Solar cells of 17% efficiency have been produced using this procedure. Investigations on the possibility of improving the efficiency and using less quality material are still underway

  13. Oxygen defect processes in silicon and silicon germanium

    KAUST Repository

    Chroneos, A.

    2015-06-18

    Silicon and silicon germanium are the archetypical elemental and alloy semiconductor materials for nanoelectronic, sensor, and photovoltaic applications. The investigation of radiation induced defects involving oxygen, carbon, and intrinsic defects is important for the improvement of devices as these defects can have a deleterious impact on the properties of silicon and silicon germanium. In the present review, we mainly focus on oxygen-related defects and the impact of isovalent doping on their properties in silicon and silicon germanium. The efficacy of the isovalent doping strategies to constrain the oxygen-related defects is discussed in view of recent infrared spectroscopy and density functional theory studies.

  14. Oxygen defect processes in silicon and silicon germanium

    KAUST Repository

    Chroneos, A.; Sgourou, E. N.; Londos, C. A.; Schwingenschlö gl, Udo

    2015-01-01

    Silicon and silicon germanium are the archetypical elemental and alloy semiconductor materials for nanoelectronic, sensor, and photovoltaic applications. The investigation of radiation induced defects involving oxygen, carbon, and intrinsic defects is important for the improvement of devices as these defects can have a deleterious impact on the properties of silicon and silicon germanium. In the present review, we mainly focus on oxygen-related defects and the impact of isovalent doping on their properties in silicon and silicon germanium. The efficacy of the isovalent doping strategies to constrain the oxygen-related defects is discussed in view of recent infrared spectroscopy and density functional theory studies.

  15. STM-excited luminescence of porous and spark-processed silicon

    International Nuclear Information System (INIS)

    Andrienko, I.; Kuznetsov, V.; Yuan, J.; Haneman, D.

    1998-01-01

    Full text: Scanning tunneling microscopy (STM) permits highly local electronic excitation of light emission (LE) from the surface of silicon. Measuring STM LE, one can study simultaneously both the topography and the luminescence properties of areas down to nm dimensions and thus make conclusions about the luminescence mechanism of the material. We have built an STM spectroscopy system which allows measurement of spectra of visible light emitted from areas as small as 13 x 13 nm 2 (porous silicon) and 10 x 10 nm 2 (spark-processed silicon). Porous silicon shows a broad emission band centered at 630 nm, and spark-processed silicon, one at 690 nm. The STM LE spectra of spark-processed silicon obtained for the first time. We have found that visible light is emitted only from areas containing nanometer-scale structures down to around 2 nm in diameter. STM LE occurs under negative bias voltage applied to the tip, i.e. when electrons are injected into the sample. Other workers used p-type silicon for the sample preparations, but it has been found that STM LE can be induced also from n-type silicon. Furthermore, we have shown that STM LE spectra can be resolved using much lover voltages and tunneling currents: -(7-9) V and 25 - 50 nA vs -(25-50) V and 100 nA. To consider different excitation mechanisms, the STM LE measurements are compared with photoluminescence and electroluminescence spectra of similar samples. We suggest that excitation of individual quantum confinement structures has been observed

  16. Process for forming a porous silicon member in a crystalline silicon member

    Science.gov (United States)

    Northrup, M. Allen; Yu, Conrad M.; Raley, Norman F.

    1999-01-01

    Fabrication and use of porous silicon structures to increase surface area of heated reaction chambers, electrophoresis devices, and thermopneumatic sensor-actuators, chemical preconcentrates, and filtering or control flow devices. In particular, such high surface area or specific pore size porous silicon structures will be useful in significantly augmenting the adsorption, vaporization, desorption, condensation and flow of liquids and gasses in applications that use such processes on a miniature scale. Examples that will benefit from a high surface area, porous silicon structure include sample preconcentrators that are designed to adsorb and subsequently desorb specific chemical species from a sample background; chemical reaction chambers with enhanced surface reaction rates; and sensor-actuator chamber devices with increased pressure for thermopneumatic actuation of integrated membranes. Examples that benefit from specific pore sized porous silicon are chemical/biological filters and thermally-activated flow devices with active or adjacent surfaces such as electrodes or heaters.

  17. Process Development in the Preparation and Characterization of Silicon Alkoxide From Rice Husk

    International Nuclear Information System (INIS)

    Khin San Win; Toe Shein; Nyunt Wynn

    2011-12-01

    The preparation and characterization of silicon alkoxide (silicon isopropoxide) from rice husk char has been studied. In the investigation, four kinds of Myanmar paddies were chemically assayed. Analyses showed the silicon contend varies from 73-92% . Based on the silicon content, the process development in the production of silicon isopropoxide was carried out. In the process development, silicon isopropoxide with a yield of 44.21% was achieved by the direct reaction of isopropanol in situ by silicon tetrachloride, which was directly produced by the chlorination of rice husk char at the high temperature range of 900-1100 C. The novelity of the process was that, silicon isopropoxide was achieved in situ and not by using the old process, where generally isopropanol was reacted with silicon tetrachloride. The physiochemical properties of silicon isopropoxide was confirmed by conventional and modern techniques. In the investigation, the starting materials, silica in the reaction products were characterized, identified and confirmed by modren techniques. Silicon isopropoxide can be a sources of pore silica whereby silicon of 97-99% of purity can be achieved.

  18. Nonlinear Silicon Photonic Signal Processing Devices for Future Optical Networks

    Directory of Open Access Journals (Sweden)

    Cosimo Lacava

    2017-01-01

    Full Text Available In this paper, we present a review on silicon-based nonlinear devices for all optical nonlinear processing of complex telecommunication signals. We discuss some recent developments achieved by our research group, through extensive collaborations with academic partners across Europe, on optical signal processing using silicon-germanium and amorphous silicon based waveguides as well as novel materials such as silicon rich silicon nitride and tantalum pentoxide. We review the performance of four wave mixing wavelength conversion applied on complex signals such as Differential Phase Shift Keying (DPSK, Quadrature Phase Shift Keying (QPSK, 16-Quadrature Amplitude Modulation (QAM and 64-QAM that dramatically enhance the telecom signal spectral efficiency, paving the way to next generation terabit all-optical networks.

  19. The processing and potential applications of porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Syyuan Shieh.

    1992-07-01

    Stability of a cylindrical pore under the influence of surface energy is important for porous silicon (PS) processing in the integrated circuit industry. Once the zig-zag cylindrical pores of porous silicon or oxidized porous silicon (OPS) are unstable and breakup into rows of isolated spherical pores, oxidation of PS and densification/nitridation of OPS become difficult. Swing to difficulty transport of reactant gas (O{sub 2}, NH{sub 3}) or the trapped gas (for densification of OPS). A first order analysis of the stability of a cylindrical pore or cylinder is considered first. Growth of small sinusoidal perturbations by viscous flow or evaporation/condensation result in dependence of perturbation growth rate on perturbation wavelength. Rapid thermal oxidation (RTO) of porous silicon is proposed as an alternative for the tedious two-step 300 and 800C oxidation process. Transmission electron microscopy, energy dispersive spectroscopy ESCA are used for quality control. Also, rapid thermal nitridation of oxidized porous silicon in ammonia is proposed to enhance OPS resistance to HF solution. Pores breakup of OPS results in a trapped gas problem during densification. Wet helium is proposed as OPS densification ambient gas to shorten densification time. Finally, PS is proposed to be an extrinsic gettering center in silicon wafers. The suppression of oxidation-induced stacking faults is used to demonstrate the gettering ability. Possible mechanism is discussed.

  20. The processing and potential applications of porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Shieh, Syyuan [Univ. of California, Berkeley, CA (United States)

    1992-07-01

    Stability of a cylindrical pore under the influence of surface energy is important for porous silicon (PS) processing in the integrated circuit industry. Once the zig-zag cylindrical pores of porous silicon or oxidized porous silicon (OPS) are unstable and breakup into rows of isolated spherical pores, oxidation of PS and densification/nitridation of OPS become difficult. Swing to difficulty transport of reactant gas (O2, NH3) or the trapped gas (for densification of OPS). A first order analysis of the stability of a cylindrical pore or cylinder is considered first. Growth of small sinusoidal perturbations by viscous flow or evaporation/condensation result in dependence of perturbation growth rate on perturbation wavelength. Rapid thermal oxidation (RTO) of porous silicon is proposed as an alternative for the tedious two-step 300 and 800C oxidation process. Transmission electron microscopy, energy dispersive spectroscopy ESCA are used for quality control. Also, rapid thermal nitridation of oxidized porous silicon in ammonia is proposed to enhance OPS resistance to HF solution. Pores breakup of OPS results in a trapped gas problem during densification. Wet helium is proposed as OPS densification ambient gas to shorten densification time. Finally, PS is proposed to be an extrinsic gettering center in silicon wafers. The suppression of oxidation-induced stacking faults is used to demonstrate the gettering ability. Possible mechanism is discussed.

  1. Silicon nanowires for ultra-fast and ultrabroadband optical signal processing

    DEFF Research Database (Denmark)

    Ji, Hua; Hu, Hao; Pu, Minhao

    2015-01-01

    In this paper, we present recent research on silicon nanowires for ultra-fast and ultra-broadband optical signal processing at DTU Fotonik. The advantages and limitations of using silicon nanowires for optical signal processing are revealed through experimental demonstrations of various optical...

  2. Silicon Nanowires for All-Optical Signal Processing in Optical Communication

    DEFF Research Database (Denmark)

    Pu, Minhao; Hu, Hao; Ji, Hua

    2012-01-01

    Silicon (Si), the second most abundant element on earth, has dominated in microelectronics for many decades. It can also be used for photonic devices due to its transparency in the range of optical telecom wavelengths which will enable a platform for a monolithic integration of optics...... and microelectronics. Silicon photonic nanowire waveguides fabricated on silicon-on-insulator (SOI) substrates are crucial elements in nano-photonic integrated circuits. The strong light confinement in nanowires induced by high index contrast SOI material enhances the nonlinear effects in the silicon nanowire core...... such as four-wave mixing (FWM) which is an imperative process for optical signal processing. Since the current mature silicon fabrication technology enables a precise dimension control on nanowires, dispersion engineering can be performed by tailoring nanowire dimensions to realize an efficient nonlinear...

  3. Porous siliconformation and etching process for use in silicon micromachining

    Science.gov (United States)

    Guilinger, Terry R.; Kelly, Michael J.; Martin, Jr., Samuel B.; Stevenson, Joel O.; Tsao, Sylvia S.

    1991-01-01

    A reproducible process for uniformly etching silicon from a series of micromechanical structures used in electrical devices and the like includes providing a micromechanical structure having a silicon layer with defined areas for removal thereon and an electrochemical cell containing an aqueous hydrofluoric acid electrolyte. The micromechanical structure is submerged in the electrochemical cell and the defined areas of the silicon layer thereon are anodically biased by passing a current through the electrochemical cell for a time period sufficient to cause the defined areas of the silicon layer to become porous. The formation of the depth of the porous silicon is regulated by controlling the amount of current passing through the electrochemical cell. The micromechanical structure is then removed from the electrochemical cell and submerged in a hydroxide solution to remove the porous silicon. The process is subsequently repeated for each of the series of micromechanical structures to achieve a reproducibility better than 0.3%.

  4. All-Optical Signal Processing using Silicon Devices

    DEFF Research Database (Denmark)

    Oxenløwe, Leif Katsuo; Pu, Minhao; Ding, Yunhong

    2014-01-01

    This paper presents an overview of recent wo rk on the use of silicon waveguides for processing optical data signals. We will describe ultra-fast, ultra-broadband, polarisation-insensitive and phase-sensitive applications including processing of spectrally-efficient data formats and optical phase...

  5. Optoelectronic properties of Black-Silicon generated through inductively coupled plasma (ICP) processing for crystalline silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Hirsch, Jens, E-mail: J.Hirsch@emw.hs-anhalt.de [Anhalt University of Applied Sciences, Faculty EMW, Bernburger Str. 55, DE-06366 Köthen (Germany); Fraunhofer Center for Silicon Photovoltaics CSP, Otto-Eißfeldt-Str. 12, DE-06120 Halle (Saale) (Germany); Gaudig, Maria; Bernhard, Norbert [Anhalt University of Applied Sciences, Faculty EMW, Bernburger Str. 55, DE-06366 Köthen (Germany); Lausch, Dominik [Fraunhofer Center for Silicon Photovoltaics CSP, Otto-Eißfeldt-Str. 12, DE-06120 Halle (Saale) (Germany)

    2016-06-30

    Highlights: • Fabrication of black silicon through inductively coupled plasma (ICP) processing. • Suppressed formation a self-bias and therefore a reduced ion bombardment of the silicon sample. • Reduction of the average hemispherical reflection between 300 and 1120 nm up to 8% within 5 min ICP process time. • Reflection is almost independent of the angle of incidence up to 60°. • 2.5 ms effective lifetime at 10{sup 15} cm{sup −3} MCD after ALD Al{sub 2}O{sub 3} surface passivation. - Abstract: The optoelectronic properties of maskless inductively coupled plasma (ICP) generated black silicon through SF{sub 6} and O{sub 2} are analyzed by using reflection measurements, scanning electron microscopy (SEM) and quasi steady state photoconductivity (QSSPC). The results are discussed and compared to capacitively coupled plasma (CCP) and industrial standard wet chemical textures. The ICP process forms parabolic like surface structures in a scale of 500 nm. This surface structure reduces the average hemispherical reflection between 300 and 1120 nm up to 8%. Additionally, the ICP texture shows a weak increase of the hemispherical reflection under tilted angles of incidence up to 60°. Furthermore, we report that the ICP process is independent of the crystal orientation and the surface roughness. This allows the texturing of monocrystalline, multicrystalline and kerf-less wafers using the same parameter set. The ICP generation of black silicon does not apply a self-bias on the silicon sample. Therefore, the silicon sample is exposed to a reduced ion bombardment, which reduces the plasma induced surface damage. This leads to an enhancement of the effective charge carrier lifetime up to 2.5 ms at 10{sup 15} cm{sup −3} minority carrier density (MCD) after an atomic layer deposition (ALD) with Al{sub 2}O{sub 3}. Since excellent etch results were obtained already after 4 min process time, we conclude that the ICP generation of black silicon is a promising technique

  6. Process for making silicon

    Science.gov (United States)

    Levin, Harry (Inventor)

    1987-01-01

    A reactor apparatus (10) adapted for continuously producing molten, solar grade purity elemental silicon by thermal reaction of a suitable precursor gas, such as silane (SiH.sub.4), is disclosed. The reactor apparatus (10) includes an elongated reactor body (32) having graphite or carbon walls which are heated to a temperature exceeding the melting temperature of silicon. The precursor gas enters the reactor body (32) through an efficiently cooled inlet tube assembly (22) and a relatively thin carbon or graphite septum (44). The septum (44), being in contact on one side with the cooled inlet (22) and the heated interior of the reactor (32) on the other side, provides a sharp temperature gradient for the precursor gas entering the reactor (32) and renders the operation of the inlet tube assembly (22) substantially free of clogging. The precursor gas flows in the reactor (32) in a substantially smooth, substantially axial manner. Liquid silicon formed in the initial stages of the thermal reaction reacts with the graphite or carbon walls to provide a silicon carbide coating on the walls. The silicon carbide coated reactor is highly adapted for prolonged use for production of highly pure solar grade silicon. Liquid silicon (20) produced in the reactor apparatus (10) may be used directly in a Czochralski or other crystal shaping equipment.

  7. Materials issues in silicon integrated circuit processing

    International Nuclear Information System (INIS)

    Wittmer, M.; Stimmell, J.; Strathman, M.

    1986-01-01

    The symposium on ''Materials Issues in Integrated Circuit Processing'' sought to bring together all of the materials issued pertinent to modern integrated circuit processing. The inherent properties of the materials are becoming an important concern in integrated circuit manufacturing and accordingly research in materials science is vital for the successful implementation of modern integrated circuit technology. The session on Silicon Materials Science revealed the advanced stage of knowledge which topics such as point defects, intrinsic and extrinsic gettering and diffusion kinetics have achieved. Adaption of this knowledge to specific integrated circuit processing technologies is beginning to be addressed. The session on Epitaxy included invited papers on epitaxial insulators and IR detectors. Heteroepitaxy on silicon is receiving great attention and the results presented in this session suggest that 3-d integrated structures are an increasingly realistic possibility. Progress in low temperature silicon epitaxy and epitaxy of thin films with abrupt interfaces was also reported. Diffusion and Ion Implantation were well presented. Regrowth of implant-damaged layers and the nature of the defects which remain after regrowth were discussed in no less than seven papers. Substantial progress was also reported in the understanding of amorphising boron implants and the use of gallium implants for the formation of shallow p/sup +/ -layers

  8. Process research of non-CZ silicon material

    Science.gov (United States)

    Campbell, R. B.

    1984-01-01

    Advanced processing techniques for non-CZ silicon sheet material that might improve the cost effectiveness of photovoltaic module production were investigated. Specifically, the simultaneous diffusion of liquid boron and liquid phosphorus organometallic precursors into n-type dendritic silicon web was examined. The simultaneous junction formation method for solar cells was compared with the sequential junction formation method. The electrical resistivity of the n-n and p-n junctions was discussed. Further research activities for this program along with a program documentation schedule are given.

  9. Ultra-Fast Optical Signal Processing in Nonlinear Silicon Waveguides

    DEFF Research Database (Denmark)

    Oxenløwe, Leif Katsuo; Galili, Michael; Pu, Minhao

    2011-01-01

    We describe recent demonstrations of exploiting highly nonlinear silicon nanowires for processing Tbit/s optical data signals. We perform demultiplexing and optical waveform sampling of 1.28 Tbit/s and wavelength conversion of 640 Gbit/s data signals.......We describe recent demonstrations of exploiting highly nonlinear silicon nanowires for processing Tbit/s optical data signals. We perform demultiplexing and optical waveform sampling of 1.28 Tbit/s and wavelength conversion of 640 Gbit/s data signals....

  10. Silicon Photonics for Signal Processing of Tbit/s Serial Data Signals

    DEFF Research Database (Denmark)

    Oxenløwe, Leif Katsuo; Ji, Hua; Galili, Michael

    2012-01-01

    In this paper, we describe our recent work on signal processing of terabit per second optical serial data signals using pure silicon waveguides. We employ nonlinear optical signal processing in nanoengineered silicon waveguides to perform demultiplexing and optical waveform sampling of 1.28-Tbit/...

  11. Energy and exergy analysis of the silicon production process

    International Nuclear Information System (INIS)

    Takla, M.; Kamfjord, N.E.; Tveit, Halvard; Kjelstrup, S.

    2013-01-01

    We used energy and exergy analysis to evaluate two industrial and one ideal (theoretical) production process for silicon. The industrial processes were considered in the absence and presence of power production from waste heat in the off-gas. The theoretical process, with pure reactants and no side-reactions, was used to provide a more realistic upper limit of performance for the others. The energy analysis documented the large thermal energy source in the off-gas system, while the exergy analysis documented the potential for efficiency improvement. We found an exergetic efficiency equal to 0.33 ± 0.02 for the process without power production. The value increased to 0.41 ± 0.03 when waste heat was utilized. For the ideal process, we found an exergetic efficiency of 0.51. Utilization of thermal exergy in an off-gas of 800 °C increased this exergetic efficiency to 0.71. Exergy destructed due to combustion of by-product gases and exergy lost with the furnace off-gas were the largest contributors to the thermodynamic inefficiency of all processes. - Highlights: • The exergetic efficiency for an industrial silicon production process when silicon is the only product was estimated to 0.33. • With additional power production from thermal energy in the off-gas we estimated the exergetic efficiency to 0.41. • The theoretical silicon production process is established as the reference case. • Exergy lost with the off-gas and exergy destructed due to combustion account for roughly 75% of the total losses. • With utilization of the thermal exergy in the off-gas at a temperature of 800 °C the exergetic efficiency was 0.71

  12. Evaluation of selected chemical processes for production of low-cost silicon

    Science.gov (United States)

    Blocher, J. M., Jr.; Browning, M. F.; Wilson, W. J.; Carmichael, D. C.

    1976-01-01

    Plant construction costs and manufacturing costs were estimated for the production of solar-grade silicon by the reduction of silicon tetrachloride in a fluidized bed of seed particles, and several modifications of the iodide process using either thermal decomposition on heated filaments (rods) or hydrogen reduction in a fluidized bed of seed particles. Energy consumption data for the zinc reduction process and each of the iodide process options are given and all appear to be acceptable from the standpoint of energy pay back. Information is presented on the experimental zinc reduction of SiCl4 and electrolytic recovery of zinc from ZnCl2. All of the experimental work performed thus far has supported the initial assumption as to technical feasibility of producing semiconductor silicon by the zinc reduction or iodide processes proposed. The results of a more thorough thermodynamic evaluation of the iodination of silicon oxide/carbon mixtures are presented which explain apparent inconsistencies in an earlier cursory examination of the system.

  13. The RTR Complex Partner RMI2 and the DNA Helicase RTEL1 Are Both Independently Involved in Preserving the Stability of 45S rDNA Repeats in Arabidopsis thaliana.

    Directory of Open Access Journals (Sweden)

    Sarah Röhrig

    2016-10-01

    Full Text Available The stability of repetitive sequences in complex eukaryotic genomes is safeguarded by factors suppressing homologues recombination. Prominent in this is the role of the RTR complex. In plants, it consists of the RecQ helicase RECQ4A, the topoisomerase TOP3α and RMI1. Like mammals, but not yeast, plants harbor an additional complex partner, RMI2. Here, we demonstrate that, in Arabidopsis thaliana, RMI2 is involved in the repair of aberrant replication intermediates in root meristems as well as in intrastrand crosslink repair. In both instances, RMI2 is involved independently of the DNA helicase RTEL1. Surprisingly, simultaneous loss of RMI2 and RTEL1 leads to loss of male fertility. As both the RTR complex and RTEL1 are involved in suppression of homologous recombination (HR, we tested the efficiency of HR in the double mutant rmi2-2 rtel1-1 and found a synergistic enhancement (80-fold. Searching for natural target sequences we found that RTEL1 is required for stabilizing 45S rDNA repeats. In the double mutant with rmi2-2 the number of 45S rDNA repeats is further decreased sustaining independent roles of both factors in this process. Thus, loss of suppression of HR does not only lead to a destabilization of rDNA repeats but might be especially deleterious for tissues undergoing multiple cell divisions such as the male germline.

  14. The RTR Complex Partner RMI2 and the DNA Helicase RTEL1 Are Both Independently Involved in Preserving the Stability of 45S rDNA Repeats in Arabidopsis thaliana.

    Science.gov (United States)

    Röhrig, Sarah; Schröpfer, Susan; Knoll, Alexander; Puchta, Holger

    2016-10-01

    The stability of repetitive sequences in complex eukaryotic genomes is safeguarded by factors suppressing homologues recombination. Prominent in this is the role of the RTR complex. In plants, it consists of the RecQ helicase RECQ4A, the topoisomerase TOP3α and RMI1. Like mammals, but not yeast, plants harbor an additional complex partner, RMI2. Here, we demonstrate that, in Arabidopsis thaliana, RMI2 is involved in the repair of aberrant replication intermediates in root meristems as well as in intrastrand crosslink repair. In both instances, RMI2 is involved independently of the DNA helicase RTEL1. Surprisingly, simultaneous loss of RMI2 and RTEL1 leads to loss of male fertility. As both the RTR complex and RTEL1 are involved in suppression of homologous recombination (HR), we tested the efficiency of HR in the double mutant rmi2-2 rtel1-1 and found a synergistic enhancement (80-fold). Searching for natural target sequences we found that RTEL1 is required for stabilizing 45S rDNA repeats. In the double mutant with rmi2-2 the number of 45S rDNA repeats is further decreased sustaining independent roles of both factors in this process. Thus, loss of suppression of HR does not only lead to a destabilization of rDNA repeats but might be especially deleterious for tissues undergoing multiple cell divisions such as the male germline.

  15. Amorphous silicon passivation for 23.3% laser processed back contact solar cells

    Science.gov (United States)

    Carstens, Kai; Dahlinger, Morris; Hoffmann, Erik; Zapf-Gottwick, Renate; Werner, Jürgen H.

    2017-08-01

    This paper presents amorphous silicon deposited at temperatures below 200 °C, leading to an excellent passivation layer for boron doped emitter and phosphorus doped back surface field areas in interdigitated back contact solar cells. A higher deposition temperature degrades the passivation of the boron emitter by an increased hydrogen effusion due to lower silicon hydrogen bond energy, proved by hydrogen effusion measurements. The high boron surface doping in crystalline silicon causes a band bending in the amorphous silicon. Under these conditions, at the interface, the intentionally undoped amorphous silicon becomes p-type conducting, with the consequence of an increased dangling bond defect density. For bulk amorphous silicon this effect is described by the defect pool model. We demonstrate, that the defect pool model is also applicable to the interface between amorphous and crystalline silicon. Our simulation shows the shift of the Fermi energy towards the valence band edge to be more pronounced for high temperature deposited amorphous silicon having a small bandgap. Application of optimized amorphous silicon as passivation layer for the boron doped emitter and phosphorus doped back surface field on the rear side of laser processed back contact solar cells, fabricated using four laser processing steps, yields an efficiency of 23.3%.

  16. Linear all-optical signal processing using silicon micro-ring resonators

    DEFF Research Database (Denmark)

    Ding, Yunhong; Ou, Haiyan; Xu, Jing

    2016-01-01

    Silicon micro-ring resonators (MRRs) are compact and versatile devices whose periodic frequency response can be exploited for a wide range of applications. In this paper, we review our recent work on linear all-optical signal processing applications using silicon MRRs as passive filters. We focus...

  17. Process research on non-CZ silicon material

    Science.gov (United States)

    1982-01-01

    High risk, high payoff research areas associated with he process for producing photovoltaic modules using non-CZ sheet material are investigated. All investigations are being performed using dendritic web silicon, but all processes are directly applicable to other ribbon forms of sheet material. The technical feasibility of forming front and back junctions in non-CZ silicon using liquid dopant techniques was determined. Numerous commercially available liquid phosphorus and boron dopant solutions are investigated. Temperature-time profiles to achieve N(+) and P(+) sheet resistivities of 60 + or - 10 and 40 + or - s10 ohms per square centimeter respectively are established. A study of the optimal method of liquid dopant application is performed. The technical feasibility of forming a liquid applied diffusion mask to replace the more costly chemical vapor deposited SiO2 diffusion mask was also determined.

  18. Dominant rate process of silicon surface etching by hydrogen chloride gas

    International Nuclear Information System (INIS)

    Habuka, Hitoshi; Suzuki, Takahiro; Yamamoto, Sunao; Nakamura, Akio; Takeuchi, Takashi; Aihara, Masahiko

    2005-01-01

    Silicon surface etching and its dominant rate process are studied using hydrogen chloride gas in a wide concentration range of 1-100% in ambient hydrogen at atmospheric pressure in a temperature range of 1023-1423 K, linked with the numerical calculation accounting for the transport phenomena and the surface chemical reaction in the entire reactor. The etch rate, the gaseous products and the surface morphology are experimentally evaluated. The dominant rate equation accounting for the first-order successive reactions at silicon surface by hydrogen chloride gas is shown to be valid. The activation energy of the dominant surface process is evaluated to be 1.5 x 10 5 J mol - 1 . The silicon deposition by the gaseous by-product, trichlorosilane, is shown to have a negligible influence on the silicon etch rate

  19. Phase-sensitive optical processing in silicon waveguides

    DEFF Research Database (Denmark)

    Petermann, Klaus; Gajda, A.; Dziallas, Claudia

    2015-01-01

    Parametric optical signal processing is reviewed for silicon nano-rib-waveguides with a reverse-biased pin-junction. Phase-sensitive parametric amplification with a phase-sensitive extinction of more than 20 dB has been utilized for the regeneration of DPSK signals...

  20. Ultra-high-speed Optical Signal Processing using Silicon Photonics

    DEFF Research Database (Denmark)

    Oxenløwe, Leif Katsuo; Ji, Hua; Jensen, Asger Sellerup

    with a photonic layer on top to interconnect them. For such systems, silicon is an attractive candidate enabling both electronic and photonic control. For some network scenarios, it may be beneficial to use optical on-chip packet switching, and for high data-density environments one may take advantage...... of the ultra-fast nonlinear response of silicon photonic waveguides. These chips offer ultra-broadband wavelength operation, ultra-high timing resolution and ultra-fast response, and when used appropriately offer energy-efficient switching. In this presentation we review some all-optical functionalities based...... on silicon photonics. In particular we use nano-engineered silicon waveguides (nanowires) [1] enabling efficient phasematched four-wave mixing (FWM), cross-phase modulation (XPM) or self-phase modulation (SPM) for ultra-high-speed optical signal processing of ultra-high bit rate serial data signals. We show...

  1. Silicon materials task of the Low Cost Solar Array Project: Effect of impurities and processing on silicon solar cells

    Science.gov (United States)

    Hopkins, R. H.; Davis, J. R.; Rohatgi, A.; Hanes, M. H.; Rai-Choudhury, P.; Mollenkopf, H. C.

    1982-01-01

    The effects of impurities and processing on the characteristics of silicon and terrestrial silicon solar cells were defined in order to develop cost benefit relationships for the use of cheaper, less pure solar grades of silicon. The amount of concentrations of commonly encountered impurities that can be tolerated in typical p or n base solar cells was established, then a preliminary analytical model from which the cell performance could be projected depending on the kinds and amounts of contaminants in the silicon base material was developed. The impurity data base was expanded to include construction materials, and the impurity performace model was refined to account for additional effects such as base resistivity, grain boundary interactions, thermal processing, synergic behavior, and nonuniform impurity distributions. A preliminary assessment of long term (aging) behavior of impurities was also undertaken.

  2. Achievement Report for fiscal 1997 on developing a silicon manufacturing process with reduced energy consumption. Development of silicon mass-production manufacturing technology for solar cells; 1997 nendo energy shiyo gorika silicon seizo process kaihatsu. Taiyo denchiyo silicon ryosanka seizo gijutsu no kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    In order to manufacture silicon for solar cells, development is intended on a technology to manufacture silicon (SOG-Si) for solar cells by means of metallurgical methods using metallic silicon with purity generally available as an interim starting material. The silicon is required of p-type electric conductivity characteristics with specific resistance of 0.5 to 1.5 ohm per cm, to be sufficient even with 6-7N as compared to silicon for semiconductors (11-N), and to be low in cost. While the NEDO fluid bed process and the metallurgical NEDO direct reduction process have been developed based on the technology to manufacture silicon for semiconductors, the basic policy was established to develop a new manufacturing method using commercially available high-purity metallic silicon as an interim starting material, with an objective to achieve cost as low as capable of responding to small-quantity phase production for proliferation purpose. Removal of boron and phosphor has been the main issue in the development, whereas SOG-Si was manufactured in a laboratory scale by combining with the conventional component technologies in fiscal 1991 and 1992. The scale was expanded to 20 kg since fiscal 1993, and a five year plan starting fiscal 1996 was decided to develop the technology for industrial scale. Fiscal 1997 has promoted the development by using the 20-kg scale device, and introduced facilities to develop technology for mass-production scale. (NEDO)

  3. Effect of Processing Parameters on Thickness of Columnar Structured Silicon Wafers Directly Grown from Silicon Melts

    Directory of Open Access Journals (Sweden)

    Jin-Seok Lee

    2012-01-01

    Full Text Available In order to obtain optimum growth conditions for desired thickness and more effective silicon feedstock usage, effects of processing parameters such as preheated substrate temperatures, time intervals, moving velocity of substrates, and Ar gas blowing rates on silicon ribbon thickness were investigated in the horizontal growth process. Most of the parameters strongly affected in the control of ribbon thickness with columnar grain structure depended on the solidification rate. The thickness of the silicon ribbon decreased with an increasing substrate temperature, decreasing time interval, and increasing moving velocity of the substrate. However, the blowing of Ar gas onto a liquid layer existing on the surface of solidified ribbon contributed to achieving smooth surface roughness but did not closely affect the change of ribbon thickness in the case of a blowing rate of ≥0.65 Nm3/h because the thickness of the solidified layer was already determined by the exit height of the reservoir.

  4. Key Processes of Silicon-On-Glass MEMS Fabrication Technology for Gyroscope Application.

    Science.gov (United States)

    Ma, Zhibo; Wang, Yinan; Shen, Qiang; Zhang, Han; Guo, Xuetao

    2018-04-17

    MEMS fabrication that is based on the silicon-on-glass (SOG) process requires many steps, including patterning, anodic bonding, deep reactive ion etching (DRIE), and chemical mechanical polishing (CMP). The effects of the process parameters of CMP and DRIE are investigated in this study. The process parameters of CMP, such as abrasive size, load pressure, and pH value of SF1 solution are examined to optimize the total thickness variation in the structure and the surface quality. The ratio of etching and passivation cycle time and the process pressure are also adjusted to achieve satisfactory performance during DRIE. The process is optimized to avoid neither the notching nor lag effects on the fabricated silicon structures. For demonstrating the capability of the modified CMP and DRIE processes, a z-axis micro gyroscope is fabricated that is based on the SOG process. Initial test results show that the average surface roughness of silicon is below 1.13 nm and the thickness of the silicon is measured to be 50 μm. All of the structures are well defined without the footing effect by the use of the modified DRIE process. The initial performance test results of the resonant frequency for the drive and sense modes are 4.048 and 4.076 kHz, respectively. The demands for this kind of SOG MEMS device can be fulfilled using the optimized process.

  5. Silicon Nano fabrication by Atomic Force Microscopy-Based Mechanical Processing

    International Nuclear Information System (INIS)

    Miyake, Sh.; Wang, M.; Kim, J.

    2014-01-01

    This paper reviews silicon nano fabrication processes using atomic force microscopy (AFM). In particular, it summarizes recent results obtained in our research group regarding AFM-based silicon nano fabrication through mechanochemical local oxidation by diamond tip sliding, as well as mechanical, electrical, and electromechanical processing using an electrically conductive diamond tip. Microscopic three-dimensional manufacturing mainly relies on etching, deposition, and lithography. Therefore, a special emphasis was placed on nano mechanical processes, mechanochemical reaction by potassium hydroxide solution etching, and mechanical and electrical approaches. Several important surface characterization techniques consisting of scanning tunneling microscopy and related techniques, such as scanning probe microscopy and AFM, were also discussed.

  6. Multi-Step Deep Reactive Ion Etching Fabrication Process for Silicon-Based Terahertz Components

    Science.gov (United States)

    Jung-Kubiak, Cecile (Inventor); Reck, Theodore (Inventor); Chattopadhyay, Goutam (Inventor); Perez, Jose Vicente Siles (Inventor); Lin, Robert H. (Inventor); Mehdi, Imran (Inventor); Lee, Choonsup (Inventor); Cooper, Ken B. (Inventor); Peralta, Alejandro (Inventor)

    2016-01-01

    A multi-step silicon etching process has been developed to fabricate silicon-based terahertz (THz) waveguide components. This technique provides precise dimensional control across multiple etch depths with batch processing capabilities. Nonlinear and passive components such as mixers and multipliers waveguides, hybrids, OMTs and twists have been fabricated and integrated into a small silicon package. This fabrication technique enables a wafer-stacking architecture to provide ultra-compact multi-pixel receiver front-ends in the THz range.

  7. Mathematical model of silicon smelting process basing on pelletized charge from technogenic raw materials

    Science.gov (United States)

    Nemchinova, N. V.; Tyutrin, A. A.; Salov, V. M.

    2018-03-01

    The silicon production process in the electric arc reduction furnaces (EAF) is studied using pelletized charge as an additive to the standard on the basis of the generated mathematical model. The results obtained due to the model will contribute to the analysis of the charge components behavior during melting with the achievement of optimum final parameters of the silicon production process. The authors proposed using technogenic waste as a raw material for the silicon production in a pelletized form using liquid glass and aluminum production dust from the electrostatic precipitators as a binder. The method of mathematical modeling with the help of the ‘Selector’ software package was used as a basis for the theoretical study. A model was simulated with the imitation of four furnace temperature zones and a crystalline silicon phase (25 °C). The main advantage of the created model is the ability to analyze the behavior of all burden materials (including pelletized charge) in the carbothermic process. The behavior analysis is based on the thermodynamic probability data of the burden materials interactions in the carbothermic process. The model accounts for 17 elements entering the furnace with raw materials, electrodes and air. The silicon melt, obtained by the modeling, contained 91.73 % wt. of the target product. The simulation results showed that in the use of the proposed combined charge, the recovery of silicon reached 69.248 %, which is in good agreement with practical data. The results of the crystalline silicon chemical composition modeling are compared with the real silicon samples of chemical analysis data, which showed the results of convergence. The efficiency of the mathematical modeling methods in the studying of the carbothermal silicon obtaining process with complex interphase transformations and the formation of numerous intermediate compounds using a pelletized charge as an additive to the traditional one is shown.

  8. Improved crystallinity and dynamic mechanical properties of reclaimed waste tire rubber/EVA blends under the influence of electron beam irradiation

    Science.gov (United States)

    Ramarad, Suganti; Ratnam, Chantara T.; Khalid, Mohammad; Chuah, Abdullah Luqman; Hanson, Svenja

    2017-01-01

    Dependence on automobiles has led to a huge amount of waste tires produced annually around the globe. In this study, the feasibility of recycling these waste tires by blending reclaimed waste tire rubber (RTR) with poly(ethylene-co-vinyl acetate) (EVA) and electron beam irradiation was studied. The RTR/EVA blends containing 100-0 wt% of RTR were prepared in the internal mixer followed by electron beam (EB) irradiation with doses ranging from 50 to 200 kGy. The processing torques, calorimetric and dynamic mechanical properties of the blends were studied. Blends were found to have lower processing torque indicating easier processability of RTR/EVA blends compared to EVA. RTR domains were found to be dispersed in EVA matrix, whereas, irradiation improved the dispersion of RTR into smaller domains in EVA matrix. Results showed the addition of EVA improves the efficiency of irradiation induced crosslink formation and dynamic mechanical properties of the blends at the expense of the calorimetric properties. Storage and loss modulus of 50 wt% RTR blend was higher than RTR and EVA, suggesting partial miscibility of the blend. Whereas, electron beam irradiation improved the calorimetric properties and dynamic mechanical properties of the blends through redistribution of RTR in smaller domain sizes within EVA.

  9. Process research of non-Czochralski silicon material

    Science.gov (United States)

    Campbell, R. B.

    1986-01-01

    Simultaneous diffusion of liquid precursors containing phosphorus and boron into dendritic web silicon to form solar cell structures was investigated. A simultaneous junction formation techniques was developed. It was determined that to produce high quality cells, an annealing cycle (nominal 800 C for 30 min) should follow the diffusion process to anneal quenched-in defects. Two ohm-cm n-base cells were fabricated with efficiencies greater than 15%. A cost analysis indicated that the simultansous diffusion process costs can be as low as 65% of the costs of the sequential diffusion process.

  10. Microcrystalline silicon deposition: Process stability and process control

    International Nuclear Information System (INIS)

    Donker, M.N. van den; Kilper, T.; Grunsky, D.; Rech, B.; Houben, L.; Kessels, W.M.M.; Sanden, M.C.M. van de

    2007-01-01

    Applying in situ process diagnostics, we identified several process drifts occurring in the parallel plate plasma deposition of microcrystalline silicon (μc-Si:H). These process drifts are powder formation (visible from diminishing dc-bias and changing spatial emission profile on a time scale of 10 0 s), transient SiH 4 depletion (visible from a decreasing SiH emission intensity on a time scale of 10 2 s), plasma heating (visible from an increasing substrate temperature on a time scale of 10 3 s) and a still puzzling long-term drift (visible from a decreasing SiH emission intensity on a time scale of 10 4 s). The effect of these drifts on the crystalline volume fraction in the deposited films is investigated by selected area electron diffraction and depth-profiled Raman spectroscopy. An example shows how the transient depletion and long-term drift can be prevented by suitable process control. Solar cells deposited using this process control show enhanced performance. Options for process control of plasma heating and powder formation are discussed

  11. Analysis of heating effect on the process of high deposition rate microcrystalline silicon

    International Nuclear Information System (INIS)

    Xiao-Dan, Zhang; He, Zhang; Chang-Chun, Wei; Jian, Sun; Guo-Fu, Hou; Shao-Zhen, Xiong; Xin-Hua, Geng; Ying, Zhao

    2010-01-01

    A possible heating effect on the process of high deposition rate microcrystalline silicon has been studied. It includes the discharge time-accumulating heating effect, discharge power, inter-electrode distance, and total gas flow rate induced heating effect. It is found that the heating effects mentioned above are in some ways quite similar to and in other ways very different from each other. However, all of them will directly or indirectly cause the increase of the substrate surface temperature during the process of depositing microcrystalline silicon thin films, which will affect the properties of the materials with increasing time. This phenomenon is very serious for the high deposition rate of microcrystalline silicon thin films because of the high input power and the relatively small inter-electrode distance needed. Through analysis of the heating effects occurring in the process of depositing microcrystalline silicon, it is proposed that the discharge power and the heating temperature should be as low as possible, and the total gas flow rate and the inter-electrode distance should be suitable so that device-grade high quality deposition rate microcrystalline silicon thin films can be fabricated

  12. Study of the processes of carbonization and oxidation of porous silicon by Raman and IR spectroscopy

    International Nuclear Information System (INIS)

    Vasin, A. V.; Okholin, P. N.; Verovsky, I. N.; Nazarov, A. N.; Lysenko, V. S.; Kholostov, K. I.; Bondarenko, V. P.; Ishikawa, Y.

    2011-01-01

    Porous silicon layers were produced by electrochemical etching of single-crystal silicon wafers with the resistivity 10 Ω cm in the aqueous-alcohol solution of hydrofluoric acid. Raman spectroscopy and infrared absorption spectroscopy are used to study the processes of interaction of porous silicon with undiluted acetylene at low temperatures and the processes of oxidation of carbonized porous silicon by water vapors. It is established that, even at the temperature 550°C, the silicon-carbon bonds are formed at the pore surface and the graphite-like carbon condensate emerges. It is shown that the carbon condensate inhibits oxidation of porous silicon by water vapors and contributes to quenching of white photoluminescence in the oxidized carbonized porous silicon nanocomposite layer.

  13. Report on achievements in fiscal 1999. Development of energy usage rationalizing silicon manufacturing process (Development of manufacturing technology for mass production of silicon for solar cells); 1999 nendo energy shiyo gorika silicon seizo process kaihatsu seika hokokusho. Taiyo denchiyo silicon ryosanka seizo gijutsu no kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    Discussions were given on manufacture of raw material silicon for solar cells with regard to boron removal, solidification, finishing and refining of metallic impurities, refining of unutilized silicon scraps, and making them into wafers and solar cells after refining. This paper summarizes the achievements in fiscal 1999. With regard to purity deterioration due to contamination by boron containing silica powder generated during the boron removal in the manufacturing process, the facilities were modified resulting in the reduction thereof to 0.04 ppmw or less. Regarding the repetitive use of boron removing crucibles, the experiment identified the possibility of using them for more than three times. In trial fabrication of samples by using the solidification refining and cast integrated process, ingots of 550 mm square and about 300 mm high were obtained, which were sliced into 10-cm square materials for use as wafers. Measurement of the conversion efficiency has resulted in 13% or more which is almost equivalent in the center and edges of the ingot. It was revealed that solar cell wafers may be fabricated by using this process, which can use either the p-type low-resistance silicon scraps or the metallic silicon as the starting material. (NEDO)

  14. Kinetic Modeling of a Silicon Refining Process in a Moist Hydrogen Atmosphere

    Science.gov (United States)

    Chen, Zhiyuan; Morita, Kazuki

    2018-06-01

    We developed a kinetic model that considers both silicon loss and boron removal in a metallurgical grade silicon refining process. This model was based on the hypotheses of reversible reactions. The reaction rate coefficient kept the same form but error of terminal boron concentration could be introduced when relating irreversible reactions. Experimental data from published studies were used to develop a model that fit the existing data. At 1500 °C, our kinetic analysis suggested that refining silicon in a moist hydrogen atmosphere generates several primary volatile species, including SiO, SiH, HBO, and HBO2. Using the experimental data and the kinetic analysis of volatile species, we developed a model that predicts a linear relationship between the reaction rate coefficient k and both the quadratic function of p(H2O) and the square root of p(H2). Moreover, the model predicted the partial pressure values for the predominant volatile species and the prediction was confirmed by the thermodynamic calculations, indicating the reliability of the model. We believe this model provides a foundation for designing a silicon refining process with a fast boron removal rate and low silicon loss.

  15. Silicon-Carbide Power MOSFET Performance in High Efficiency Boost Power Processing Unit for Extreme Environments

    Science.gov (United States)

    Ikpe, Stanley A.; Lauenstein, Jean-Marie; Carr, Gregory A.; Hunter, Don; Ludwig, Lawrence L.; Wood, William; Del Castillo, Linda Y.; Fitzpatrick, Fred; Chen, Yuan

    2016-01-01

    Silicon-Carbide device technology has generated much interest in recent years. With superior thermal performance, power ratings and potential switching frequencies over its Silicon counterpart, Silicon-Carbide offers a greater possibility for high powered switching applications in extreme environment. In particular, Silicon-Carbide Metal-Oxide- Semiconductor Field-Effect Transistors' (MOSFETs) maturing process technology has produced a plethora of commercially available power dense, low on-state resistance devices capable of switching at high frequencies. A novel hard-switched power processing unit (PPU) is implemented utilizing Silicon-Carbide power devices. Accelerated life data is captured and assessed in conjunction with a damage accumulation model of gate oxide and drain-source junction lifetime to evaluate potential system performance at high temperature environments.

  16. Multivariate data analysis of process control data from neutron transmutation doping of silicon

    DEFF Research Database (Denmark)

    Heydorn, K.; Hegaard, N.

    1994-01-01

    Final resistivities obtained by neutron transmutation doping (NTD) of silicon can be measured only after an annealing process has been carried out at the manufacturer's plant. The reactor centre carrying out the neutron doping process by irradiation under selected conditions must control the proc......Final resistivities obtained by neutron transmutation doping (NTD) of silicon can be measured only after an annealing process has been carried out at the manufacturer's plant. The reactor centre carrying out the neutron doping process by irradiation under selected conditions must control...

  17. Low energy production processes in manufacturing of silicon solar cells

    Science.gov (United States)

    Kirkpatrick, A. R.

    1976-01-01

    Ion implantation and pulsed energy techniques are being combined for fabrication of silicon solar cells totally under vacuum and at room temperature. Simplified sequences allow very short processing times with small process energy consumption. Economic projections for fully automated production are excellent.

  18. Silicon wafers for integrated circuit process

    OpenAIRE

    Leroy , B.

    1986-01-01

    Silicon as a substrate material will continue to dominate the market of integrated circuits for many years. We first review how crystal pulling procedures impact the quality of silicon. We then investigate how thermal treatments affect the behaviour of oxygen and carbon, and how, as a result, the quality of silicon wafers evolves. Gettering techniques are then presented. We conclude by detailing the requirements that wafers must satisfy at the incoming inspection.

  19. Non-fossil reduction materials in the silicon process - properties and behaviour

    Energy Technology Data Exchange (ETDEWEB)

    Myrhaug, Edin Henrik

    2003-07-01

    The purpose of this work has been to clarify the effect of using biocarbon as a reduction material in the silicon process. It was decided to compare the biocarbon with fossil carbon and find possible differences both on process performance and eventually on product quality. The elements in the raw materials added to the silicon process goes into three different products: silicon metal, silica dust and into open air. Based on analysis of raw materials and of produced silicon metal and microsilica extensive material balances have been established. One important result from these are the distribution factors that indicate how much of the trace elements that goes into each medium. Another result is that the boiling point of an element or a compound gives a good indication of were it ends. A high boiling point indicates that the element ends up in the silicon metal, while a low boiling point indicates that the element goes with off-gas into air. With an intermediate boiling point, the element goes into the silica dust. The SiO-reactivity of the reduction materials are commonly acknowledged to affect strongly the productivity and consumption figures of the silicon process. Based on data from thermogravimetric experiments with chemical reaction between carbonaceous spheres and SiO-gas, kinetic parameters have been estimated from the shrinking core model for some selected reduction materials of various sizes and spanning a wide range of SiO-reactivity figures. This model describes the degree of conversion versus time for a single sphere where the chemical reaction progresses in a topochemical manner from the outer surface of the solid towards the centre forming a porous product layer around an unreacted shrinking core. This behaviour is for the selected reduction materials to a large extent supported by an investigation of cross section pictures of fully and 50% converted spheres obtained with a microprobe. The estimated kinetic parameters obtained from the

  20. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1993-01-01

    A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.

  1. Molecular Surveillance of Viral Processes Using Silicon Nitride Membranes

    Directory of Open Access Journals (Sweden)

    Deborah F. Kelly

    2013-03-01

    Full Text Available Here we present new applications for silicon nitride (SiN membranes to evaluate biological processes. We determined that 50-nanometer thin films of SiN produced from silicon wafers were sufficiently durable to bind active rotavirus assemblies. A direct comparison of SiN microchips with conventional carbon support films indicated that SiN performs equivalent to the traditional substrate to prepare samples for Electron Microscopy (EM imaging. Likewise, SiN films coated with Ni-NTA affinity layers concentrated rotavirus particles similarly to affinity-coated carbon films. However, affinity-coated SiN membranes outperformed glow-discharged conventional carbon films 5-fold as indicated by the number of viral particles quantified in EM images. In addition, we were able to recapitulate viral uncoating and transcription mechanisms directed onto the microchip surfaces. EM images of these processes revealed the production of RNA transcripts emerging from active rotavirus complexes. These results were confirmed by the functional incorporation of radiolabeled nucleotides into the nascent RNA transcripts. Collectively, we demonstrate new uses for SiN membranes to perform molecular surveillance on life processes in real-time.

  2. Characterization of the silicon/hydrofluoric acid interface: electrochemical processes under weak potential disturbance

    International Nuclear Information System (INIS)

    Bertagna, Valerie

    1996-01-01

    Within the frame of the increase of the density of integrated circuits, of simplification of cleaning processes and of improvement of control of surface reactions (for a better control of the elimination of defects and contamination risks), this research thesis first gives a large overview of previous works in the fields of silicon electrochemistry in hydrofluoric environment, of silicon chemical condition after treatment by a diluted hydrofluoric acid, of metallic contamination of silicon during cleaning with a diluted hydrofluoric acid, and of theoretical models of interpretation. Then, the author reports the development of a new electrochemical cell, and the detailed study of mono-crystalline silicon in a diluted hydrofluoric environment (electrochemical investigation, modelling of charge transfer at the interface, studies by atomic force microscopy, contamination of silicon by copper)

  3. Process Research on Polycrystalline Silicon Material (PROPSM)

    Science.gov (United States)

    Culik, J. S.; Wrigley, C. Y.

    1985-01-01

    Results of hydrogen-passivated polycrysalline silicon solar cell research are summarized. The short-circuit current of solar cells fabricated from large-grain cast polycrystalline silicon is nearly equivalent to that of single-crystal cells, which indicates long bulk minority-carrier diffusion length. Treatments with molecular hydrogen showed no effect on large-grain cast polycrystalline silicon solar cells.

  4. Effect of silicon solar cell processing parameters and crystallinity on mechanical strength

    Energy Technology Data Exchange (ETDEWEB)

    Popovich, V.A.; Yunus, A.; Janssen, M.; Richardson, I.M. [Delft University of Technology, Department of Materials Science and Engineering, Delft (Netherlands); Bennett, I.J. [Energy Research Centre of the Netherlands, Solar Energy, PV Module Technology, Petten (Netherlands)

    2011-01-15

    Silicon wafer thickness reduction without increasing the wafer strength leads to a high breakage rate during subsequent handling and processing steps. Cracking of solar cells has become one of the major sources of solar module failure and rejection. Hence, it is important to evaluate the mechanical strength of solar cells and influencing factors. The purpose of this work is to understand the fracture behavior of silicon solar cells and to provide information regarding the bending strength of the cells. Triple junctions, grain size and grain boundaries are considered to investigate the effect of crystallinity features on silicon wafer strength. Significant changes in fracture strength are found as a result of metallization morphology and crystallinity of silicon solar cells. It is observed that aluminum paste type influences the strength of the solar cells. (author)

  5. Experimental Demonstration of Phase Sensitive Parametric Processes in a Nano-Engineered Silicon Waveguide

    DEFF Research Database (Denmark)

    Kang, Ning; Fadil, Ahmed; Pu, Minhao

    2013-01-01

    We demonstrate experimentally phase-sensitive processes in nano-engineered silicon waveguides for the first time. Furthermore, we highlight paths towards the optimization of the phase-sensitive extinction ratio under the impact of two-photon and free-carrier absorption.......We demonstrate experimentally phase-sensitive processes in nano-engineered silicon waveguides for the first time. Furthermore, we highlight paths towards the optimization of the phase-sensitive extinction ratio under the impact of two-photon and free-carrier absorption....

  6. Additive advantage in characteristics of MIMCAPs on flexible silicon (100) fabric with release-first process

    KAUST Repository

    Ghoneim, Mohamed T.

    2013-11-20

    We report the inherent increase in capacitance per unit planar area of state-of-the art high-κ integrated metal/insulator/metal capacitors (MIMCAPs) fabricated on flexible silicon fabric with release-first process. We methodically study and show that our approach to transform bulk silicon (100) into a flexible fabric adds an inherent advantage of enabling higher integration density dynamic random access memory (DRAM) on the same chip area. Our approach is to release an ultra-thin silicon (100) fabric (25 μm thick) from the bulk silicon wafer, then build MIMCAPs using sputtered aluminium electrodes and successive atomic layer depositions (ALD) without break-ing the vacuum of a high-κ aluminium oxide sandwiched between two tantalum nitride layers. This result shows that we can obtain flexible electronics on silicon without sacrificing the high density integration aspects and also utilize the non-planar geometry associated with fabrication process to obtain a higher integration density compared to bulk silicon integration due to an increased normalized capacitance per unit planar area. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Preliminary assessment of RTR and visual characterization for selected waste categories

    International Nuclear Information System (INIS)

    Ziegler, D.L.

    1992-01-01

    The first transuranic (TRU) waste shipped to the Waste Isolation Pilot Plant (WIPP) will be for the WIPP Experimental Program. The purpose of the Experimental Program is to determine the gas generation rates and potential for gas generation by the waste after it has been permanently stored at the WIPP. The first phase of these tests will be performed at WIPP with test bins that have been filled and sealed in accordance with the test plan for bin scale tests. A second phase of the testing, the Alcove Test, will involve drummed waste placed in sealed rooms within WIPP. A preliminary test was conducted at the Rocky Flats Plant (RFP) to evaluate potential methods for use in the characterization of waste. The waste material types to be identified were as defined in the bin-scale test plan -- Cellulosics, Plastic, Rubber, Corroding Metal/Steel, Corroding Metal/Aluminum, Non-corroding Metal, Solid Inorganic, Inorganic Sludges, other organics and Cements. A total of 19 drums representing eleven different waste types (Rocky Flats Plant -- Identification Description Codes (IDC)) and seven different TRUCON Code materials were evaluated. They included Dry Combustibles, Wet Combustibles, Plastic, light Metal, Glass (Non-Raschig Ring). Raschig Rings, M g O crucibles, HEPA Filters, Insulation, Leaded Dry Box Gloves, and Graphite. These Identification Description Codes were chosen because of their abundance on plant, as well as the variability in drum loading techniques. The goal of this test was to evaluate the effectiveness of RTR inspection and visual inspection as characterization methods for waste. In addition, gas analysis of the head space was conducted to provide an indication of the types of gas generated

  8. Thermal system design and modeling of meniscus controlled silicon growth process for solar applications

    Science.gov (United States)

    Wang, Chenlei

    The direct conversion of solar radiation to electricity by photovoltaics has a number of significant advantages as an electricity generator. That is, solar photovoltaic conversion systems tap an inexhaustible resource which is free of charge and available anywhere in the world. Roofing tile photovoltaic generation, for example, saves excess thermal heat and preserves the local heat balance. This means that a considerable reduction of thermal pollution in densely populated city areas can be attained. A semiconductor can only convert photons with the energy of the band gap with good efficiency. It is known that silicon is not at the maximum efficiency but relatively close to it. There are several main parts for the photovoltaic materials, which include, single- and poly-crystalline silicon, ribbon silicon, crystalline thin-film silicon, amorphous silicon, copper indium diselenide and related compounds, cadmium telluride, et al. In this dissertation, we focus on melt growth of the single- and poly-crystalline silicon manufactured by Czochralski (Cz) crystal growth process, and ribbon silicon produced by the edge-defined film-fed growth (EFG) process. These two methods are the most commonly used techniques for growing photovoltaic semiconductors. For each crystal growth process, we introduce the growth mechanism, growth system design, general application, and progress in the numerical simulation. Simulation results are shown for both Czochralski and EFG systems including temperature distribution of the growth system, velocity field inside the silicon melt and electromagnetic field for the EFG growth system. Magnetic field is applied on Cz system to reduce the melt convection inside crucible and this has been simulated in our numerical model. Parametric studies are performed through numerical and analytical models to investigate the relationship between heater power levels and solidification interface movement and shape. An inverse problem control scheme is developed to

  9. Process for producing silicon nitride based articles of high fracture toughness and strength

    Science.gov (United States)

    Huckabee, M.; Buljan, S.T.; Neil, J.T.

    1991-09-10

    A process for producing a silicon nitride-based article of improved fracture toughness and strength is disclosed. The process involves densifying to at least 98% of theoretical density a mixture including (a) a bimodal silicon nitride powder blend consisting essentially of about 10-30% by weight of a first silicon nitride powder of an average particle size of about 0.2 [mu]m and a surface area of about 8-12 m[sup 2]/g, and about 70-90% by weight of a second silicon nitride powder of an average particle size of about 0.4-0.6 [mu]m and a surface area of about 2-4 m[sup 2]/g, (b) about 10-50 percent by volume, based on the volume of the densified article, of refractory whiskers or fibers having an aspect ratio of about 3-150 and having an equivalent diameter selected to produce in the densified article an equivalent diameter ratio of the whiskers or fibers to grains of silicon nitride of greater than 1.0, and (c) an effective amount of a suitable oxide densification aid. Optionally, the mixture may be blended with a binder and injection molded to form a green body, which then may be densified by, for example, hot isostatic pressing.

  10. Process model for carbothermic production of silicon metal

    Energy Technology Data Exchange (ETDEWEB)

    Andresen, B.

    1995-09-12

    This thesis discusses an advanced dynamical two-dimensional cylinder symmetric model for the high temperature part of the carbothermic silicon metal process, and its computer encoding. The situation close to that which is believed to exist around one of three electrodes in full-scale industrial furnaces is modelled. This area comprises a gas filled cavity surrounding the lower tip of the electrode, the metal pool underneath and the lower parts of the materials above. The most important phenomena included are: Heterogeneous chemical reactions taking place in the high-temperature zone (above 1860 {sup o}C), Evaporation and condensation of silicon, Transport of materials by dripping, Turbulent or laminar fluid flow, DC electric arcs, Heat transport by convection, conduction and radiation. The results from the calculations, such as production rates, gas- and temperature distributions, furnace- and particle geometries, fluid flow fields etc, are presented graphically. In its present state the model is a prototype. The process is very complex, and the calculations are time consuming. The governing equations are coded into a Fortran 77 computer code applying the commercial 3D code FLUENT as a basis. 64 refs., 110 figs., 11 tabs.

  11. Transient processes induced by heavy projectiles in silicon

    International Nuclear Information System (INIS)

    Lazanu, Ionel; Lazanu, Sorina

    2010-01-01

    The thermal spike model developed for the electronic stopping power regime is extended to consider both ionization and nuclear energy loss processes of the projectile as electronic and atomic heat distinct sources. The time and space dependencies of the lattice and electron temperatures near the projectile trajectory are calculated and discussed for different ions in silicon, at room and cryogenic temperatures, taking into account the peculiarities of electron-phonon interaction in both domains. The model developed contributes to the understanding of transient microscopic processes immediately after the projectile interaction in the target.

  12. Low cost silicon solar array project large area silicon sheet task: Silicon web process development

    Science.gov (United States)

    Duncan, C. S.; Seidensticker, R. G.; Mchugh, J. P.; Blais, P. D.; Davis, J. R., Jr.

    1977-01-01

    Growth configurations were developed which produced crystals having low residual stress levels. The properties of a 106 mm diameter round crucible were evaluated and it was found that this design had greatly enhanced temperature fluctuations arising from convection in the melt. Thermal modeling efforts were directed to developing finite element models of the 106 mm round crucible and an elongated susceptor/crucible configuration. Also, the thermal model for the heat loss modes from the dendritic web was examined for guidance in reducing the thermal stress in the web. An economic analysis was prepared to evaluate the silicon web process in relation to price goals.

  13. Evolutionary process development towards next generation crystalline silicon solar cells : a semiconductor process toolbox application

    Directory of Open Access Journals (Sweden)

    Tous L.

    2012-08-01

    Full Text Available Bulk crystalline Silicon solar cells are covering more than 85% of the world’s roof top module installation in 2010. With a growth rate of over 30% in the last 10 years this technology remains the working horse of solar cell industry. The full Aluminum back-side field (Al BSF technology has been developed in the 90’s and provides a production learning curve on module price of constant 20% in average. The main reason for the decrease of module prices with increasing production capacity is due to the effect of up scaling industrial production. For further decreasing of the price per wattpeak silicon consumption has to be reduced and efficiency has to be improved. In this paper we describe a successive efficiency improving process development starting from the existing full Al BSF cell concept. We propose an evolutionary development includes all parts of the solar cell process: optical enhancement (texturing, polishing, anti-reflection coating, junction formation and contacting. Novel processes are benchmarked on industrial like baseline flows using high-efficiency cell concepts like i-PERC (Passivated Emitter and Rear Cell. While the full Al BSF crystalline silicon solar cell technology provides efficiencies of up to 18% (on cz-Si in production, we are achieving up to 19.4% conversion efficiency for industrial fabricated, large area solar cells with copper based front side metallization and local Al BSF applying the semiconductor toolbox.

  14. LSSA large area silicon sheet task continuous Czochralski process development

    Science.gov (United States)

    Rea, S. N.

    1978-01-01

    A Czochralski crystal growing furnace was converted to a continuous growth facility by installation of a premelter to provide molten silicon flow into the primary crucible. The basic furnace is operational and several trial crystals were grown in the batch mode. Numerous premelter configurations were tested both in laboratory-scale equipment as well as in the actual furnace. The best arrangement tested to date is a vertical, cylindrical graphite heater containing small fused silicon test tube liner in which the incoming silicon is melted and flows into the primary crucible. Economic modeling of the continuous Czochralski process indicates that for 10 cm diameter crystal, 100 kg furnace runs of four or five crystals each are near-optimal. Costs tend to asymptote at the 100 kg level so little additional cost improvement occurs at larger runs. For these conditions, crystal cost in equivalent wafer area of around $20/sq m exclusive of polysilicon and slicing was obtained.

  15. A high volume cost efficient production macrostructuring process. [for silicon solar cell surface treatment

    Science.gov (United States)

    Chitre, S. R.

    1978-01-01

    The paper presents an experimentally developed surface macro-structuring process suitable for high volume production of silicon solar cells. The process lends itself easily to automation for high throughput to meet low-cost solar array goals. The tetrahedron structure observed is 0.5 - 12 micron high. The surface has minimal pitting with virtually no or very few undeveloped areas across the surface. This process has been developed for (100) oriented as cut silicon. Chemi-etched, hydrophobic and lapped surfaces were successfully texturized. A cost analysis as per Samics is presented.

  16. Surface morphology evolution in silicon during ion beam processing; TOPICAL

    International Nuclear Information System (INIS)

    Bedrossian P; Caturla, M; Diaz de la Rubia, T; Johnson, M

    1999-01-01

    The Semiconductor Industry Association (SIA) projects that the semiconductor chips used in personal computers and scientific workstations will reach five times the speed and ten times the memory capacity of the current pentium-class processor by the year 2007. However, 1 GHz on-chip clock speeds and 64 Gbits/Chip DRAM technology will not come easy and without a price. Such technologies will require scaling the minimum feature size of CMOS devices (the transistors in the silicon chip) down to below 100nm from the current 180 to 250 nm. This requirement has profound implications for device manufacturing. Existing processing techniques must increasingly be understood quantitatively and modeled with unprecedented precision. Indeed, revolutionary advances in the development of physics-based process simulation tools will be required to achieve the goals for cost efficient manufacturing, and to satisfy the needs of the defense industrial base. These advances will necessitate a fundamental improvement in our basic understanding of microstructure evolution during processing. In order to cut development time and costs, the semiconductor industry makes extensive use of simple models of dopant implantation, and of phenomenological models of defect annealing and diffusion. However, the production of a single device often requires more than 200 processing steps, and the cumulative effects of the various steps are far too complex to be treated with these models. The lack of accurate process modeling simulators is proving to be a serious impediment to the development of next generation devices. New atomic-level models are required to describe the point defect distributions produced by the implantation process, and the defect and dopant diffusion resulting from rapid thermal annealing steps. In this LDRD project, we investigated the migration kinetics of defects and dopants in silicon both experimentally and theoretically to provide a fundamental database for use in the development

  17. Doping of silicon by carbon during laser ablation process

    Science.gov (United States)

    Raciukaitis, G.; Brikas, M.; Kazlauskiene, V.; Miskinis, J.

    2007-04-01

    Effect of laser ablation on properties of remaining material was investigated in silicon. It was established that laser cutting of wafers in air induced doping of silicon by carbon. The effect was found to be more distinct by the use of higher laser power or UV radiation. Carbon ions created bonds with silicon in the depth of silicon. Formation of the silicon carbide type bonds was confirmed by SIMS, XPS and AES measurements. Modeling of the carbon diffusion was performed to clarify its depth profile in silicon. Photo-chemical reactions of such type changed the structure of material and could be a reason for the reduced quality of machining. A controlled atmosphere was applied to prevent carbonization of silicon during laser cutting.

  18. Doping of silicon by carbon during laser ablation process

    International Nuclear Information System (INIS)

    Raciukaitis, G; Brikas, M; Kazlauskiene, V; Miskinis, J

    2007-01-01

    Effect of laser ablation on properties of remaining material was investigated in silicon. It was established that laser cutting of wafers in air induced doping of silicon by carbon. The effect was found to be more distinct by the use of higher laser power or UV radiation. Carbon ions created bonds with silicon in the depth of silicon. Formation of the silicon carbide type bonds was confirmed by SIMS, XPS and AES measurements. Modeling of the carbon diffusion was performed to clarify its depth profile in silicon. Photo-chemical reactions of such type changed the structure of material and could be a reason for the reduced quality of machining. A controlled atmosphere was applied to prevent carbonization of silicon during laser cutting

  19. Development of processes for the production of solar grade silicon from halides and alkali metals, phase 1 and phase 2

    Science.gov (United States)

    Dickson, C. R.; Gould, R. K.; Felder, W.

    1981-01-01

    High temperature reactions of silicon halides with alkali metals for the production of solar grade silicon are described. Product separation and collection processes were evaluated, measure heat release parameters for scaling purposes and effects of reactants and/or products on materials of reactor construction were determined, and preliminary engineering and economic analysis of a scaled up process were made. The feasibility of the basic process to make and collect silicon was demonstrated. The jet impaction/separation process was demonstrated to be a purification process. The rate at which gas phase species from silicon particle precursors, the time required for silane decomposition to produce particles, and the competing rate of growth of silicon seed particles injected into a decomposing silane environment were determined. The extent of silane decomposition as a function of residence time, temperature, and pressure was measured by infrared absorption spectroscopy. A simplistic model is presented to explain the growth of silicon in a decomposing silane enviroment.

  20. Silicon Web Process Development. [for solar cell fabrication

    Science.gov (United States)

    Duncan, C. S.; Seidensticker, R. G.; Hopkins, R. H.; Mchugh, J. P.; Hill, F. E.; Heimlich, M. E.; Driggers, J. M.

    1979-01-01

    Silicon dendritic web, ribbon form of silicon and capable of fabrication into solar cells with greater than 15% AMl conversion efficiency, was produced from the melt without die shaping. Improvements were made both in the width of the web ribbons grown and in the techniques to replenish the liquid silicon as it is transformed to web. Through means of improved thermal shielding stress was reduced sufficiently so that web crystals nearly 4.5 cm wide were grown. The development of two subsystems, a silicon feeder and a melt level sensor, necessary to achieve an operational melt replenishment system, is described. A gas flow management technique is discussed and a laser reflection method to sense and control the melt level as silicon is replenished is examined.

  1. Report on achievements in fiscal 1998. Development of silicon manufacturing process to rationalize energy usage (Development of mass production technology for solar-grade silicon); 1998 nendo energy shiyo gorika silicon seizo process kaihatsu seika hokokusho. Taiyo denchiyo silicon ryosanka seizo gijutsu no kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-01

    In the proliferation stage of solar cells, a technology is required to manufacture low-cost SOG-Si that can handle small quantity production. Development is being made on a manufacturing technology using high purity metallic silicon (99.5%) as the raw material. Considering that the subject impurities are P, B and metallic impurities (Fe, Ti and Al), a manufacturing method consisting of the following processes is being developed: metallic silicon/phosphorus removal, solidification and rough refining/boron removal, solidification and fine refining. Discussions are being advanced on phosphorus removal by using a large electron beam fusion equipment, and at the same time, the discussions are supported by fabricating and installing a large equipment intended of removing boron and the metallic impurities. Boron is removed by oxidizing it with steam. Therefore, the basic mechanism of the equipment is to spray argon plasma added with steam onto the molten silicon surface. In boron removal, diffusion of boron onto the reaction interface in the primary reaction determines the rate. A boron removal rate for B/10 to 0.1 ppm of 45 kg/h as maximum was achieved. The derived silicon has met the requirement. (NEDO)

  2. Development of processes for the production of solar grade silicon from halides and alkali metals

    Science.gov (United States)

    Dickson, C. R.; Gould, R. K.

    1980-01-01

    High temperature reactions of silicon halides with alkali metals for the production of solar grade silicon in volume at low cost were studied. Experiments were performed to evaluate product separation and collection processes, measure heat release parameters for scaling purposes, determine the effects of reactants and/or products on materials of reactor construction, and make preliminary engineering and economic analyses of a scaled-up process.

  3. Scaling of black silicon processing time by high repetition rate femtosecond lasers

    Directory of Open Access Journals (Sweden)

    Nava Giorgio

    2013-11-01

    Full Text Available Surface texturing of silicon substrates is performed by femtosecond laser irradiation at high repetition rates. Various fabrication parameters are optimized in order to achieve very high absorptance in the visible region from the micro-structured silicon wafer as compared to the unstructured one. A 70-fold reduction of the processing time is demonstrated by increasing the laser repetition rate from 1 kHz to 200 kHz. Further scaling up to 1 MHz can be foreseen.

  4. Large-scale membrane transfer process: its application to single-crystal-silicon continuous membrane deformable mirror

    International Nuclear Information System (INIS)

    Wu, Tong; Sasaki, Takashi; Hane, Kazuhiro; Akiyama, Masayuki

    2013-01-01

    This paper describes a large-scale membrane transfer process developed for the construction of large-scale membrane devices via the transfer of continuous single-crystal-silicon membranes from one substrate to another. This technique is applied for fabricating a large stroke deformable mirror. A bimorph spring array is used to generate a large air gap between the mirror membrane and the electrode. A 1.9 mm × 1.9 mm × 2 µm single-crystal-silicon membrane is successfully transferred to the electrode substrate by Au–Si eutectic bonding and the subsequent all-dry release process. This process provides an effective approach for transferring a free-standing large continuous single-crystal-silicon to a flexible suspension spring array with a large air gap. (paper)

  5. Eighth Workshop on Crystalline Silicon Solar Cell Materials and Processes; Summary Discussion Sessions

    International Nuclear Information System (INIS)

    Sopori, B.; Swanson, D.; Sinton, R.; Stavola, M.; Tan, T.

    1998-01-01

    This report is a summary of the panel discussions included with the Eighth Workshop on Crystalline Silicon Solar Cell Materials and Processes. The theme of the workshop was ''Supporting the Transition to World Class Manufacturing.'' This workshop provided a forum for an informal exchange of information between researchers in the photovoltaic and nonphotovoltaic fields on various aspects of impurities and defects in silicon, their dynamics during device processing, and their application in defect engineering. This interaction helped establish a knowledge base that can be used for improving device-fabrication processes to enhance solar-cell performance and reduce cell costs. It also provided an excellent opportunity for researchers from industry and universities to recognize mutual needs for future joint research

  6. Laboratory evaluation of hot metal de siliconizing process in ladle; Avaliacao laboratorial do processo de dessiliciacao do gusa na panela

    Energy Technology Data Exchange (ETDEWEB)

    Passos, Sergio R.M.; Furtado, Henrique S.; Bentes, Miguel A.G.; Almeida, Pedro S. de [Companhia Siderurgica Nacional, Volta Redonda, RJ (Brazil). Centro de Pesquisas

    1996-12-31

    The attractiveness of hot metal de siliconizing in ladle, relative to the process in blast furnace runner, is the previous knowledge of silicon content of hot metal, without the constraints of slag removing by skimmer met in torpedo car, and the better efficiency in low range silicon content, making easier the process controllability. Meanwhile, the main question about this technology is the extent of the resulfurization of hot metal that may occur due to process be performed after the desulfurization. This work simulates de de siliconizing process in ladle by experiments in induction furnace to compare the efficiencies of various de siliconizing agents available at CSN iron and steel making plant, and to evaluate the resulfurization intensity able to occur during the process, as well as, unexpected increasing of refractory wear. (author) 4 refs., 8 figs., 6 tabs.

  7. Highly flexible indium zinc oxide electrode grown on PET substrate by cost efficient roll-to-roll sputtering process

    International Nuclear Information System (INIS)

    Park, Yong-Seok; Kim, Han-Ki; Jeong, Soon-Wook; Cho, Woon-Jo

    2010-01-01

    We have investigated the characteristics of flexible indium zinc oxide (IZO) electrode grown on polyethylene terephthalate (PET) substrates using a specially designed roll-to-roll (RTR) sputtering system for use in flexible optoelectronics. It was found that both electrical and optical properties of the flexible IZO electrode were critically dependent on the DC power and Ar/O 2 flow ratio during the roll-to-roll sputtering process. At optimized conditions (constant working pressure of 3 mTorr, Ar/O 2 flow ratio of Ar at only 30 sccm, DC power 800 W and rolling speed at 0.1 cm/s) the flexible IZO electrode exhibits a sheet resistance of 17.25 Ω/sq and an optical transmittance of 89.45% at 550 nm wavelength. Due to the low PET substrate temperature, which is effectively maintained by cooling drum system, all IZO electrodes showed an amorphous structure regardless of the DC power and Ar/O 2 flow ratio. Furthermore, the IZO electrodes grown at optimized condition exhibited superior flexibility than the conventional amorphous ITO electrodes due to its stable amorphous structure. This indicates that the RTR sputter grown IZO electrode is a promising flexible electrode that can substitute for the conventional ITO electrode, due to its low resistance, high transparency, superior flexibility and fast preparation by the RTR process.

  8. Development of a process for high capacity arc heater production of silicon for solar arrays

    Science.gov (United States)

    Meyer, T. N.

    1980-01-01

    A high temperature silicon production process using existing electric arc heater technology is discussed. Silicon tetrachloride and a reductant, liquid sodium, were injected into an arc heated mixture of hydrogen and argon. Under these high temperature conditions, a very rapid reaction occurred, yielding silicon and gaseous sodium chloride. Techniques for high temperature separation and collection of the molten silicon were developed. The desired degree of separation was not achieved. The electrical, control and instrumentation, cooling water, gas, SiCl4, and sodium systems are discussed. The plasma reactor, silicon collection, effluent disposal, the gas burnoff stack, and decontamination and safety are also discussed. Procedure manuals, shakedown testing, data acquisition and analysis, product characterization, disassembly and decontamination, and component evaluation are reviewed.

  9. Characteristics of fracture during the approach process and wear mechanism of a silicon AFM tip

    International Nuclear Information System (INIS)

    Chung, Koo-Hyun; Lee, Yong-Ha; Kim, Dae-Eun

    2005-01-01

    The wear of an atomic force microscope (AFM) tip is one of the crucial issues in AFM as well as in other probe-based applications. In this work, wear tests under extremely low normal load using an AFM were conducted. Also, in order to understand the nature of silicon tip wear, the wear characteristics of crystal silicon and amorphous silicon oxide layer were investigated by a high-resolution transmission electron microscope (HRTEM). It was found that fracture of the tip readily occurred due to impact during the approach process. Experimental results showed that the impact should be below 0.1 nN s to avoid significant fracture of the tip. Also, it was observed that wear of the amorphous layer, formed at the end of the tip, occurred at the initial stage of the silicon tip damage process. Based on Archard's wear law, the wear coefficient of the amorphous layer was in the range of 0.009-0.014. As for the wear characteristics of the silicon tip, it was shown that wear occurred gradually under light normal load and the wear rate decreased with increase in the sliding distance. As for the wear mechanism of the silicon tip, oxidation wear was identified to be the most significant. It was shown that the degree of oxidation was higher under high normal load and in a nitrogen environment, oxidation of the silicon tip was reduced

  10. Process for making silicon from halosilanes and halosilicons

    Science.gov (United States)

    Levin, Harry (Inventor)

    1988-01-01

    A reactor apparatus (10) adapted for continuously producing molten, solar grade purity elemental silicon by thermal reaction of a suitable precursor gas, such as silane (SiH.sub.4), is disclosed. The reactor apparatus (10) includes an elongated reactor body (32) having graphite or carbon walls which are heated to a temperature exceeding the melting temperature of silicon. The precursor gas enters the reactor body (32) through an efficiently cooled inlet tube assembly (22) and a relatively thin carbon or graphite septum (44). The septum (44), being in contact on one side with the cooled inlet (22) and the heated interior of the reactor (32) on the other side, provides a sharp temperature gradient for the precursor gas entering the reactor (32) and renders the operation of the inlet tube assembly (22) substantially free of clogging. The precursor gas flows in the reactor (32) in a substantially smooth, substantially axial manner. Liquid silicon formed in the initial stages of the thermal reaction reacts with the graphite or carbon walls to provide a silicon carbide coating on the walls. The silicon carbide coated reactor is highly adapted for prolonged use for production of highly pure solar grade silicon. Liquid silicon (20) produced in the reactor apparatus (10) may be used directly in a Czochralski or other crystal shaping equipment.

  11. Ultra-high speed all-optical signal processing using silicon waveguides and a carbon nanotubes based mode-locked laser

    DEFF Research Database (Denmark)

    Ji, Hua

    This thesis concerns the use of nano-engineered silicon waveguides for ultra-high speed optical serial data signal processing. The fundamental nonlinear properties of nano-engineered silicon waveguides are characterized. Utilizing the nonlinear effect in nano-engineered silicon waveguides for dem...

  12. Doping of silicon with carbon during laser ablation process

    Science.gov (United States)

    Račiukaitis, G.; Brikas, M.; Kazlauskienė, V.; Miškinis, J.

    2006-12-01

    The effect of laser ablation on properties of remaining material in silicon was investigated. It was found that laser cutting of wafers in the air induced the doping of silicon with carbon. The effect was more distinct when using higher laser power or UV radiation. Carbon ions created bonds with silicon atoms in the depth of the material. Formation of the silicon carbide type bonds was confirmed by SIMS, XPS and AES measurements. Modeling of the carbon diffusion to clarify its depth profile in silicon was performed. Photochemical reactions of such type changed the structure of material and could be the reason of the reduced machining quality. The controlled atmosphere was applied to prevent carbonization of silicon during laser cutting.

  13. Nanocomposited coatings produced by laser-assisted process to prevent silicone hydogels from protein fouling and bacterial contamination

    International Nuclear Information System (INIS)

    Huang, Guobang; Chen, Yi; Zhang, Jin

    2016-01-01

    Graphical abstract: Nanocomposited-coating was deposited on silicone hydrogel by using the matrix-assisted pulsed laser evaporation (MAPLE) process. The ZnO–PEG nanocomposited coating reduces over 50% protein absorption on silicone hydrogel, and can inhibit the bacterial growth efficiently. - Highlights: • We developed a nanocomposited coating to prevent silicone hydrogel from biofouling. • Matrix-assisted pulsed laser evaporation can deposit inorganic–organic nanomaterials. • The designed nanocomposited coating reduces protein absorption by over 50%. • The designed nanocomposited coating shows significant antimicrobial efficiency. - Abstract: Zinc oxide (ZnO) nanoparticles incorporating with polyethylene glycol (PEG) were deposited together on the surface of silicone hydrogel through matrix-assisted pulsed laser evaporation (MAPLE). In this process, frozen nanocomposites (ZnO–PEG) in isopropanol were irradiated under a pulsed Nd:YAG laser at 532 nm for 1 h. Our results indicate that the MAPLE process is able to maintain the chemical backbone of polymer and prevent the nanocomposite coating from contamination. The ZnO–PEG nanocomposited coating reduces over 50% protein absorption on silicone hydrogel. The cytotoxicity study shows that the ZnO–PEG nanocomposites deposited on silicone hydrogels do not impose the toxic effect on mouse NIH/3T3 cells. In addition, MAPLE-deposited ZnO–PEG nanocomposites can inhibit the bacterial growth significantly.

  14. Simulation of the proton implantation process in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Faccinelli, Martin; Hadley, Peter [Graz University of Technology, Institute of Solid State Physics (Austria); Jelinek, Moriz; Wuebben, Thomas [Infineon Technologies Austria AG, Villach (Austria); Laven, Johannes G.; Schulze, Hans-Joachim [Infineon Technologies AG, Neubiberg (Germany)

    2016-12-15

    Proton implantation is one of many processes used to ad-just the electronic and mechanical properties of silicon. Though the process has been extensively studied, it is still not clear which exact defects are formed and what their concentration profiles are. In this article, a simulation method is presented, which provides a better understanding of the implantation process. The simulation takes into account the diffusion of mobile point defects and their reactions to defect complexes, as well as the dissociation of defect complexes. Concentration profiles for a set of defect complexes after an implantation at 400 keV and a dose of 5 x 10{sup 14} H{sup +}cm{sup -2} are presented. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  15. Production of electronic grade lunar silicon by disproportionation of silicon difluoride

    Science.gov (United States)

    Agosto, William N.

    1993-01-01

    Waldron has proposed to extract lunar silicon by sodium reduction of sodium fluorosilicate derived from reacting sodium fluoride with lunar silicon tetrafluoride. Silicon tetrafluoride is obtained by the action of hydrofluoric acid on lunar silicates. While these reactions are well understood, the resulting lunar silicon is not likely to meet electronic specifications of 5 nines purity. Dale and Margrave have shown that silicon difluoride can be obtained by the action of silicon tetrafluoride on elemental silicon at elevated temperatures (1100-1200 C) and low pressures (1-2 torr). The resulting silicon difluoride will then spontaneously disproportionate into hyperpure silicon and silicon tetrafluoride in vacuum at approximately 400 C. On its own merits, silicon difluoride polymerizes into a tough waxy solid in the temperature range from liquid nitrogen to about 100 C. It is the silicon analog of teflon. Silicon difluoride ignites in moist air but is stable under lunar surface conditions and may prove to be a valuable industrial material that is largely lunar derived for lunar surface applications. The most effective driver for lunar industrialization may be the prospects for industrial space solar power systems in orbit or on the moon that are built with lunar materials. Such systems would require large quantities of electronic grade silicon or compound semiconductors for photovoltaics and electronic controls. Since silicon is the most abundant semimetal in the silicate portion of any solar system rock (approximately 20 wt percent), lunar silicon production is bound to be an important process in such a solar power project. The lunar silicon extraction process is discussed.

  16. Microstructure and wear behavior of friction stir processed cast hypereutectic aluminum silicon

    Directory of Open Access Journals (Sweden)

    Ahmad Rosli

    2017-01-01

    Full Text Available Hypereutectic as-cast Al-18Si-Cu-Ni alloy was subjected to friction stir processing (FSP. The resultant effect of FSP on the alloy was evaluated by microstructure analysis and wear tests (dry sliding. A significant microstructural modification and enhancement in wear behavior of Al-18Si-Cu-Ni alloy was recorded after friction stir processing. Wear resistance improvement was related to considerable modification in size, morphology and distribution of silicon particles, and hardness improvement. It was found that lower tool rotation speed was more effective to refine silicon particles and in turn increase wear resistance. Minimum Si particle mean area of about 47.8 µm2, and wear rate of 0.0155 mg/m was achieved.

  17. Optimization of oxidation processes to improve crystalline silicon solar cell emitters

    Directory of Open Access Journals (Sweden)

    L. Shen

    2014-02-01

    Full Text Available Control of the oxidation process is one key issue in producing high-quality emitters for crystalline silicon solar cells. In this paper, the oxidation parameters of pre-oxidation time, oxygen concentration during pre-oxidation and pre-deposition and drive-in time were optimized by using orthogonal experiments. By analyzing experimental measurements of short-circuit current, open circuit voltage, series resistance and solar cell efficiency in solar cells with different sheet resistances which were produced by using different diffusion processes, we inferred that an emitter with a sheet resistance of approximately 70 Ω/□ performed best under the existing standard solar cell process. Further investigations were conducted on emitters with sheet resistances of approximately 70 Ω/□ that were obtained from different preparation processes. The results indicate that emitters with surface phosphorus concentrations between 4.96 × 1020 cm−3 and 7.78 × 1020 cm−3 and with junction depths between 0.46 μm and 0.55 μm possessed the best quality. With no extra processing, the final preparation of the crystalline silicon solar cell efficiency can reach 18.41%, which is an increase of 0.4%abs compared to conventional emitters with 50 Ω/□ sheet resistance.

  18. Plasma processing of microcrystalline silicon films : filling in the gaps

    NARCIS (Netherlands)

    Bronneberg, A.C.

    2012-01-01

    Hydrogenated microcrystalline silicon (µc-Si:H) is a mixed-phase material consisting of crystalline silicon grains, hydrogenated amorphous silicon (a-Si:H) tissue, and voids. Microcrystalline silicon is extensively used as absorber layer in thin-film tandem solar cells, combining the advantages of a

  19. Process design and simulation for optimizing the oxygen concentration in Czochralski-grown single-crystal silicon

    International Nuclear Information System (INIS)

    Jung, Y. J.; Kim, W. K.; Jung, J. H.

    2014-01-01

    The highest-concentration impurity in a single-crystal silicon ingot is oxygen, which infiltrates the ingot during growth stage. This oxygen adversely affects the wafer is quality. This study was aimed at finding an optimal design for the Czochralski (Cz) process to enable high-quality and low cost (by reducing power consumption) wafer production by controlling the oxygen concentration in the silicon ingots. In the Cz process, the characteristics of silicon ingots during crystallization are greatly influenced by the design and the configuration of the hot zone, and by crystallization rate. In order to identify process conditions for obtaining an optimal oxygen concentration of 11 - 13 ppma (required for industrial-grade ingots), designed two shield shapes for the hot zone. Furthermore, oxygen concentrations corresponding to these two shapes were compared by evaluating each shape at five different production speeds. In addition, simulations were performed to identify the optimal shield design for industrial applications.

  20. Process design and simulation for optimizing the oxygen concentration in Czochralski-grown single-crystal silicon

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Y. J.; Kim, W. K.; Jung, J. H. [Yeungnam University, Gyeongsan (Korea, Republic of)

    2014-08-15

    The highest-concentration impurity in a single-crystal silicon ingot is oxygen, which infiltrates the ingot during growth stage. This oxygen adversely affects the wafer is quality. This study was aimed at finding an optimal design for the Czochralski (Cz) process to enable high-quality and low cost (by reducing power consumption) wafer production by controlling the oxygen concentration in the silicon ingots. In the Cz process, the characteristics of silicon ingots during crystallization are greatly influenced by the design and the configuration of the hot zone, and by crystallization rate. In order to identify process conditions for obtaining an optimal oxygen concentration of 11 - 13 ppma (required for industrial-grade ingots), designed two shield shapes for the hot zone. Furthermore, oxygen concentrations corresponding to these two shapes were compared by evaluating each shape at five different production speeds. In addition, simulations were performed to identify the optimal shield design for industrial applications.

  1. Flexible Thermoelectric Generators on Silicon Fabric

    KAUST Repository

    Sevilla, Galo T.

    2012-11-01

    In this work, the development of a Thermoelectric Generator on Flexible Silicon Fabric is explored to extend silicon electronics for flexible platforms. Low cost, easily deployable plastic based flexible electronics are of great interest for smart textile, wearable electronics and many other exciting applications. However, low thermal budget processing and fundamentally limited electron mobility hinders its potential to be competitive with well established and highly developed silicon technology. The use of silicon in flexible electronics involve expensive and abrasive materials and processes. In this work, high performance flexible thermoelectric energy harvesters are demonstrated from low cost bulk silicon (100) wafers. The fabrication of the micro- harvesters was done using existing silicon processes on silicon (100) and then peeled them off from the original substrate leaving it for reuse. Peeled off silicon has 3.6% thickness of bulk silicon reducing the thermal loss significantly and generating nearly 30% more output power than unpeeled harvesters. The demonstrated generic batch processing shows a pragmatic way of peeling off a whole silicon circuitry after conventional fabrication on bulk silicon wafers for extremely deformable high performance integrated electronics. In summary, by using a novel, low cost process, this work has successfully integrated existing and highly developed fabrication techniques to introduce a flexible energy harvester for sustainable applications.

  2. Enhancing shelf life of minimally processed multiplier onion using silicone membrane.

    Science.gov (United States)

    Naik, Ravindra; Ambrose, Dawn C P; Raghavan, G S Vijaya; Annamalai, S J K

    2014-12-01

    The aim of storage of minimal processed product is to increase the shelf life and thereby extend the period of availability of minimally processed produce. The silicone membrane makes use of the ability of polymer to permit selective passage of gases at different rates according to their physical and chemical properties. Here, the product stored maintains its own atmosphere by the combined effects of respiration process of the commodity and the diffusion rate through the membrane. A study was undertaken to enhance the shelf life of minimally processed multiplier onion with silicone membrane. The respiration activity was recorded at a temperature of 30 ± 2 °C (RH = 60 %) and 5 ± 1 °C (RH = 90 %). The respiration was found to be 23.4, 15.6, 10 mg CO2kg(-1)h(-1) at 5 ± 1 °C and 140, 110, 60 mg CO2kg(-1) h(-1) at 30 ± 2° for the peeled, sliced and diced multiplier onion, respectively. The respiration rate for the fresh multiplier onion was recorded to be 5, 10 mg CO2kg(-1) h(-1) at 5 ± 1 °C and 30 ± 1 ° C, respectively. Based on the shelf life studies and on the sensory evaluation, it was found that only the peeled multiplier onion could be stored. The sliced and diced multiplier onion did not have the required shelf life. The shelf life of the multiplier onion in the peel form could be increased from 4-5 days to 14 days by using the combined effect of silicone membrane (6 cm(2)/kg) and low temperature (5 ± 1 °C).

  3. Developments in radiography and tomography of waste containers at the Idaho National Engineering Laboratory

    International Nuclear Information System (INIS)

    Roney, T.J.; Allemeier, R.T.; Galbraith, S.G.; Tow, D.M.

    1995-01-01

    The Idaho National Engineering Laboratory (IN-F-L) has been inspecting containers (boxes and drums) of nuclear waste materials using real-time radiography (RTR) for the past ten years. Requirements governing characterization of containerized waste for short-term storage, treatment, transportation, and disposal have become more stringent. These new requirements, and the need to reduce inspection times to increase throughput, necessitate improvements in the information obtained by radiographic methods. RTR provides a qualitative view of container contents, whereas quantitative information is often required. Two projects at the INEL are converting the present qualitative radiographic inspection to the more quantitative digital radiography (DR) and computed tomography (CT) methods, while retaining the RTR function. The first project is modifying, the RTR hardware at the Radioactive Waste Management Complex (RWMC) to allow rapid processing of analog RTR images. The digital RTR (DRTR) system described here can digitize, process, and redisplay RTR images at video frame rates allowing for real-time image improvement features such as edge detection, contrast enhancement, frame subtraction, frame averaging, and a variety of digital filtering options. The second project is developing a complete radiographic and tomographic capability that allows for greater sophistication in data acquisition and processing as the operator and/or requirements demand. The approach involves modification of an industrial CT scanner with the capability to acquire radiographic and tomographic data in several modes, including conventional RTR, DR, and CT with a linear detector for high spatial resolution, and DR and CT with an area detector for high throughput. Improvements in image quality and quantitative digital radiographic capabilities of the DRTR system are shown. Status and plans for the modified CT scanner (presently under development) are also presented

  4. High Input Voltage, Silicon Carbide Power Processing Unit Performance Demonstration

    Science.gov (United States)

    Bozak, Karin E.; Pinero, Luis R.; Scheidegger, Robert J.; Aulisio, Michael V.; Gonzalez, Marcelo C.; Birchenough, Arthur G.

    2015-01-01

    A silicon carbide brassboard power processing unit has been developed by the NASA Glenn Research Center in Cleveland, Ohio. The power processing unit operates from two sources: a nominal 300 Volt high voltage input bus and a nominal 28 Volt low voltage input bus. The design of the power processing unit includes four low voltage, low power auxiliary supplies, and two parallel 7.5 kilowatt (kW) discharge power supplies that are capable of providing up to 15 kilowatts of total power at 300 to 500 Volts (V) to the thruster. Additionally, the unit contains a housekeeping supply, high voltage input filter, low voltage input filter, and master control board, such that the complete brassboard unit is capable of operating a 12.5 kilowatt Hall effect thruster. The performance of the unit was characterized under both ambient and thermal vacuum test conditions, and the results demonstrate exceptional performance with full power efficiencies exceeding 97%. The unit was also tested with a 12.5kW Hall effect thruster to verify compatibility and output filter specifications. With space-qualified silicon carbide or similar high voltage, high efficiency power devices, this would provide a design solution to address the need for high power electric propulsion systems.

  5. Achievement report for fiscal 1997 on developing a silicon manufacturing process with reduced energy consumption. Investigation and research on analyzing practical application of a technology to manufacture solar cell silicon raw materials; 1997 nendo energy shiyo gorika silicon seizo process kaihatsu. Taiyo denchi silicon genryo seizo gijutsu no jitsuyoka kaiseki ni kansuru chosa kenkyu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    This paper describes the achievement in fiscal 1997 of analyzing practical application of a technology to manufacture solar cell silicon raw materials. Silicon consumption for solar cells in fiscal 1997 has increased to 2000-ton level, and the supply has been very tight. For drastic improvement in the demand and supply situation, development of SOG-Si manufacturing technology and its early practical application are desired. The development of the NEDO mass-production technology using melting and refining has completed constructing the process facilities in fiscal 1998, and will enter the stage of operational research. However, insufficiency in the basic data about behavior of impurities is inhibiting the development. In the substrate manufacturing technology, discussions have shown progress on use of diversifying silicons outside the standard by using the electromagnetic casting process. For slicing and processing the substrates, development of a high-performance slicing equipment and automatic rough rinsing machine is under way. Properties required on silicon raw materials vary considerably widely because of difference in cell making systems and conditions, which is attributable to unknown impurity behavior. When 1GW production is assumed, the cell module manufacturing cost is calculated as 137 yen/W, for which low-cost mass production for its realization, slicing productivity enhancement, and cost reduction are required. The paper also describes site surveys in overseas countries. (NEDO)

  6. Gelcasting of SiC/Si for preparation of silicon nitride bonded silicon carbide

    International Nuclear Information System (INIS)

    Xie, Z.P.; Tsinghua University, Beijing,; Cheng, Y.B.; Lu, J.W.; Huang, Y.

    2000-01-01

    In the present paper, gelcasting of aqueous slurry with coarse silicon carbide(1mm) and fine silicon particles was investigated to fabricate silicon nitride bonded silicon carbide materials. Through the examination of influence of different polyelectrolytes on the Zeta potential and viscosity of silicon and silicon carbide suspensions, a stable SiC/Si suspension with 60 vol% solid loading could be prepared by using polyelectrolyte of D3005 and sodium alginate. Gelation of this suspension can complete in 10-30 min at 60-80 deg C after cast into mold. After demolded, the wet green body can be dried directly in furnace and the green strength will develop during drying. Complex shape parts with near net size were prepared by the process. Effects of the debindering process on nitridation and density of silicon nitride bonded silicon carbide were also examined. Copyright (2000) The Australian Ceramic Society

  7. Transformational silicon electronics

    KAUST Repository

    Rojas, Jhonathan Prieto

    2014-02-25

    In today\\'s traditional electronics such as in computers or in mobile phones, billions of high-performance, ultra-low-power devices are neatly integrated in extremely compact areas on rigid and brittle but low-cost bulk monocrystalline silicon (100) wafers. Ninety percent of global electronics are made up of silicon. Therefore, we have developed a generic low-cost regenerative batch fabrication process to transform such wafers full of devices into thin (5 μm), mechanically flexible, optically semitransparent silicon fabric with devices, then recycling the remaining wafer to generate multiple silicon fabric with chips and devices, ensuring low-cost and optimal utilization of the whole substrate. We show monocrystalline, amorphous, and polycrystalline silicon and silicon dioxide fabric, all from low-cost bulk silicon (100) wafers with the semiconductor industry\\'s most advanced high-κ/metal gate stack based high-performance, ultra-low-power capacitors, field effect transistors, energy harvesters, and storage to emphasize the effectiveness and versatility of this process to transform traditional electronics into flexible and semitransparent ones for multipurpose applications. © 2014 American Chemical Society.

  8. Geochemistry of silicon isotopes

    Energy Technology Data Exchange (ETDEWEB)

    Ding, Tiping; Li, Yanhe; Gao, Jianfei; Hu, Bin [Chinese Academy of Geological Science, Beijing (China). Inst. of Mineral Resources; Jiang, Shaoyong [China Univ. of Geosciences, Wuhan (China).

    2018-04-01

    Silicon is one of the most abundant elements in the Earth and silicon isotope geochemistry is important in identifying the silicon source for various geological bodies and in studying the behavior of silicon in different geological processes. This book starts with an introduction on the development of silicon isotope geochemistry. Various analytical methods are described and compared with each other in detail. The mechanisms of silicon isotope fractionation are discussed, and silicon isotope distributions in various extraterrestrial and terrestrial reservoirs are updated. Besides, the applications of silicon isotopes in several important fields are presented.

  9. Ion beam studied of silicon oxynitride and silicon nitroxide thin layers

    International Nuclear Information System (INIS)

    Oude Elferink, J.B.

    1989-01-01

    In this the processes occurring during high temperature treatments of silicon oxynitride and silicon oxide layers are described. Oxynitride layers with various atomic oxygen to nitrogen concentration ration (O/N) are considered. The high energy ion beam techniques Rutherford backscattering spectroscopy, elastic recoil detection and nuclear reaction analysis have been used to study the layer structures. A detailed discussion of these ion beam techniques is given. Numerical methods used to obtain quantitative data on elemental compositions and depth profiles are described. The electrical compositions and depth profiles are described. The electrical properties of silicon nitride films are known to be influenced by the behaviour of hydrogen in the film during high temperature anneling. Investigations of the behaviour of hydrogen are presented. Oxidation of silicon (oxy)nitride films in O 2 /H 2 0/HCl and nitridation of silicon dioxide films in NH 3 are considered since oxynitrides are applied as an oxidation mask in the LOCOS (Local oxidation of silicon) process. The nitridation of silicon oxide layers in an ammonia ambient is considered. The initial stage and the dependence on the oxide thickness of nitrogen and hydrogen incorporation are discussed. Finally, oxidation of silicon oxynitride layers and of silicon oxide layers are compared. (author). 76 refs.; 48 figs.; 1 tab

  10. Influence of Crucible Thermal Conductivity on Crystal Growth in an Industrial Directional Solidification Process for Silicon Ingots

    Directory of Open Access Journals (Sweden)

    Zaoyang Li

    2016-01-01

    Full Text Available We carried out transient global simulations of heating, melting, growing, annealing, and cooling stages for an industrial directional solidification (DS process for silicon ingots. The crucible thermal conductivity is varied in a reasonable range to investigate its influence on the global heat transfer and silicon crystal growth. It is found that the crucible plays an important role in heat transfer, and therefore its thermal conductivity can influence the crystal growth significantly in the entire DS process. Increasing the crucible thermal conductivity can shorten the time for melting of silicon feedstock and growing of silicon crystal significantly, and therefore large thermal conductivity is helpful in saving both production time and power energy. However, the high temperature gradient in the silicon ingots and the locally concave melt-crystal interface shape for large crucible thermal conductivity indicate that high thermal stress and dislocation propagation are likely to occur during both growing and annealing stages. Based on the numerical simulations, some discussions on designing and choosing the crucible thermal conductivity are presented.

  11. Light emitting structures porous silicon-silicon substrate

    International Nuclear Information System (INIS)

    Monastyrskii, L.S.; Olenych, I.B.; Panasjuk, M.R.; Savchyn, V.P.

    1999-01-01

    The research of spectroscopic properties of porous silicon has been done. Complex of photoluminescence, electroluminescence, cathodoluminescence, thermostimulated depolarisation current analyte methods have been applied to study of geterostructures and free layers of porous silicon. Light emitting processes had tendency to decrease. The character of decay for all kinds of luminescence were different

  12. The silicon sensor for the compact muon solenoid tracker. Control of the fabrication process

    International Nuclear Information System (INIS)

    Manolescu, Florentina; Mihul, Alexandru; Macchiolo, Anna

    2005-01-01

    The Compact Muon Solenoid (CMS) is one of the experiments at the Large Hadron Collider (LHC) under construction at CERN. The inner tracking system of this experiment consists of the world largest Silicon Strip Tracker (SST). In total, 24,244 silicon sensors are implemented covering an area of 206 m 2 . To construct this large system and to ensure its functionality for the full lifetime of ten years under the hard LHC condition, a detailed quality assurance program has been developed. This paper describes the strategy of the Process Qualification Control to monitor the stability of the fabrication process throughout the production phase and the results obtained are shown. (authors)

  13. 13th Workshop on Crystalline Silicon Solar Cell Materials and Processes: Extended Abstracts and Papers

    Energy Technology Data Exchange (ETDEWEB)

    Sopori, B. L.; Rand, J.; Saitoh, T.; Sinton, R.; Stavola, M.; Swanson, D.; Tan, T.; Weber, E.; Werner, J.; Al-Jassim, M.

    2003-08-01

    The 13th Workshop will provide a forum for an informal exchange of technical and scientific information between international researchers in the photovoltaic and relevant non-photovoltaic fields. It will offer an excellent opportunity for researchers in private industry and at universities to prioritize mutual needs for future collaborative research. The workshop is intended to address the fundamental aspects of impurities and defects in silicon: their properties, the dynamics during device processing, and their application for developing low-cost processes for manufacturing high-efficiency silicon solar cells. A combination of oral, poster, and discussion sessions will review recent advances in crystal growth, new cell structures, new processes and process characterization techniques, and cell fabrication approaches suitable for future manufacturing demands.

  14. Study of nano-metric silicon carbide powder sintering. Application to fibers processing

    International Nuclear Information System (INIS)

    Malinge, A.

    2011-01-01

    Silicon carbide ceramic matrix composites (SiCf/SiCm) are of interest for high temperature applications in aerospace or nuclear components for their relatively high thermal conductivity and low activation under neutron irradiation. While most of silicon carbide fibers are obtained through the pyrolysis of a poly-carbo-silane precursor, sintering of silicon carbide nano-powders seems to be a promising route to explore. For this reason, pressureless sintering of SiC has been studied. Following the identification of appropriate sintering aids for the densification, optimization of the microstructure has been achieved through (i) the analysis of the influence of operating parameters and (ii) the control of the SiC β a SiC α phase transition. Green fibers have been obtained by two different processes involving the extrusion of SiC powder dispersion in polymer solution or the coagulation of a water-soluble polymer containing ceramic particles. Sintering of these green fibers led to fibers of around fifty microns in diameter. (author) [fr

  15. Process Research On Polycrystalline Silicon Material (PROPSM). [flat plate solar array project

    Science.gov (United States)

    Culik, J. S.

    1983-01-01

    The performance-limiting mechanisms in large-grain (greater than 1 to 2 mm in diameter) polycrystalline silicon solar cells were investigated by fabricating a matrix of 4 sq cm solar cells of various thickness from 10 cm x 10 cm polycrystalline silicon wafers of several bulk resistivities. Analysis of the illuminated I-V characteristics of these cells suggests that bulk recombination is the dominant factor limiting the short-circuit current. The average open-circuit voltage of the polycrystalline solar cells is 30 to 70 mV lower than that of co-processed single-crystal cells; the fill-factor is comparable. Both open-circuit voltage and fill-factor of the polycrystalline cells have substantial scatter that is not related to either thickness or resistivity. This implies that these characteristics are sensitive to an additional mechanism that is probably spatial in nature. A damage-gettering heat-treatment improved the minority-carrier diffusion length in low lifetime polycrystalline silicon, however, extended high temperature heat-treatment degraded the lifetime.

  16. Development of a Process for a High Capacity Arc Heater Production of Silicon for Solar Arrays

    Science.gov (United States)

    Reed, W. H.

    1979-01-01

    A program was established to develop a high temperature silicon production process using existing electric arc heater technology. Silicon tetrachloride and a reductant (sodium) are injected into an arc heated mixture of hydrogen and argon. Under these high temperature conditions, a very rapid reaction is expected to occur and proceed essentially to completion, yielding silicon and gaseous sodium chloride. Techniques for high temperature separation and collection were developed. Included in this report are: test system preparation; testing; injection techniques; kinetics; reaction demonstration; conclusions; and the project status.

  17. Structural and photoluminescence properties of silicon nanowires extracted by means of a centrifugation process from plasma torch synthesized silicon nanopowder

    Science.gov (United States)

    Le Borgne, Vincent; Agati, Marta; Boninelli, Simona; Castrucci, Paola; De Crescenzi, Maurizio; Dolbec, Richard; El Khakani, My Ali

    2017-07-01

    We report on a method for the extraction of silicon nanowires (SiNWs) from the by-product of a plasma torch based spheroidization process of silicon. This by-product is a nanopowder which consists of a mixture of SiNWs and silicon particles. By optimizing a centrifugation based process, we were able to extract substantial amounts of highly pure Si nanomaterials (mainly SiNWs and Si nanospheres (SiNSs)). While the purified SiNWs were found to have typical outer diameters in the 10-15 nm range and lengths of up to several μm, the SiNSs have external diameters in the 10-100 nm range. Interestingly, the SiNWs are found to have a thinner Si core (2-5 nm diam.) and an outer silicon oxide shell (with a typical thickness of ˜5-10 nm). High resolution transmission electron microscopy (HRTEM) observations revealed that many SiNWs have a continuous cylindrical core, whereas others feature a discontinuous core consisting of a chain of Si nanocrystals forming a sort of ‘chaplet-like’ structures. These plasma-torch-produced SiNWs are highly pure with no trace of any metal catalyst, suggesting that they mostly form through SiO-catalyzed growth scheme rather than from metal-catalyzed path. The extracted Si nanostructures are shown to exhibit a strong photoluminescence (PL) which is found to blue-shift from 950 to 680 nm as the core size of the Si nanostructures decreases from ˜5 to ˜3 nm. This near IR-visible PL is shown to originate from quantum confinement (QC) in Si nanostructures. Consistently, the sizes of the Si nanocrystals directly determined from HRTEM images corroborate well with those expected by QC theory.

  18. Simple processing of back-contacted silicon heterojunction solar cells using selective-area crystalline growth

    KAUST Repository

    Tomasi, Andrea; Paviet-Salomon, Bertrand; Jeangros, Quentin; Haschke, Jan; Christmann, Gabriel; Barraud, Loris; Descoeudres, Antoine; Seif, Johannes Peter; Nicolay, Sylvain; Despeisse, Matthieu; De Wolf, Stefaan; Ballif, Christophe

    2017-01-01

    For crystalline-silicon solar cells, voltages close to the theoretical limit are nowadays readily achievable when using passivating contacts. Conversely, maximal current generation requires the integration of the electron and hole contacts at the back of the solar cell to liberate its front from any shadowing loss. Recently, the world-record efficiency for crystalline-silicon single-junction solar cells was achieved by merging these two approaches in a single device; however, the complexity of fabricating this class of devices raises concerns about their commercial potential. Here we show a contacting method that substantially simplifies the architecture and fabrication of back-contacted silicon solar cells. We exploit the surface-dependent growth of silicon thin films, deposited by plasma processes, to eliminate the patterning of one of the doped carrier-collecting layers. Then, using only one alignment step for electrode definition, we fabricate a proof-of-concept 9-cm2 tunnel-interdigitated back-contact solar cell with a certified conversion efficiency >22.5%.

  19. Simple processing of back-contacted silicon heterojunction solar cells using selective-area crystalline growth

    KAUST Repository

    Tomasi, Andrea

    2017-04-24

    For crystalline-silicon solar cells, voltages close to the theoretical limit are nowadays readily achievable when using passivating contacts. Conversely, maximal current generation requires the integration of the electron and hole contacts at the back of the solar cell to liberate its front from any shadowing loss. Recently, the world-record efficiency for crystalline-silicon single-junction solar cells was achieved by merging these two approaches in a single device; however, the complexity of fabricating this class of devices raises concerns about their commercial potential. Here we show a contacting method that substantially simplifies the architecture and fabrication of back-contacted silicon solar cells. We exploit the surface-dependent growth of silicon thin films, deposited by plasma processes, to eliminate the patterning of one of the doped carrier-collecting layers. Then, using only one alignment step for electrode definition, we fabricate a proof-of-concept 9-cm2 tunnel-interdigitated back-contact solar cell with a certified conversion efficiency >22.5%.

  20. Mechanically flexible optically transparent silicon fabric with high thermal budget devices from bulk silicon (100)

    KAUST Repository

    Hussain, Muhammad Mustafa

    2013-05-30

    Today’s information age is driven by silicon based electronics. For nearly four decades semiconductor industry has perfected the fabrication process of continuingly scaled transistor – heart of modern day electronics. In future, silicon industry will be more pervasive, whose application will range from ultra-mobile computation to bio-integrated medical electronics. Emergence of flexible electronics opens up interesting opportunities to expand the horizon of electronics industry. However, silicon – industry’s darling material is rigid and brittle. Therefore, we report a generic batch fabrication process to convert nearly any silicon electronics into a flexible one without compromising its (i) performance; (ii) ultra-large-scale-integration complexity to integrate billions of transistors within small areas; (iii) state-of-the-art process compatibility, (iv) advanced materials used in modern semiconductor technology; (v) the most widely used and well-studied low-cost substrate mono-crystalline bulk silicon (100). In our process, we make trenches using anisotropic reactive ion etching (RIE) in the inactive areas (in between the devices) of a silicon substrate (after the devices have been fabricated following the regular CMOS process), followed by a dielectric based spacer formation to protect the sidewall of the trench and then performing an isotropic etch to create caves in silicon. When these caves meet with each other the top portion of the silicon with the devices is ready to be peeled off from the bottom silicon substrate. Release process does not need to use any external support. Released silicon fabric (25 μm thick) is mechanically flexible (5 mm bending radius) and the trenches make it semi-transparent (transparency of 7%). © (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  1. Mechanically flexible optically transparent silicon fabric with high thermal budget devices from bulk silicon (100)

    KAUST Repository

    Hussain, Muhammad Mustafa; Rojas, Jhonathan Prieto; Sevilla, Galo T.

    2013-01-01

    Today’s information age is driven by silicon based electronics. For nearly four decades semiconductor industry has perfected the fabrication process of continuingly scaled transistor – heart of modern day electronics. In future, silicon industry will be more pervasive, whose application will range from ultra-mobile computation to bio-integrated medical electronics. Emergence of flexible electronics opens up interesting opportunities to expand the horizon of electronics industry. However, silicon – industry’s darling material is rigid and brittle. Therefore, we report a generic batch fabrication process to convert nearly any silicon electronics into a flexible one without compromising its (i) performance; (ii) ultra-large-scale-integration complexity to integrate billions of transistors within small areas; (iii) state-of-the-art process compatibility, (iv) advanced materials used in modern semiconductor technology; (v) the most widely used and well-studied low-cost substrate mono-crystalline bulk silicon (100). In our process, we make trenches using anisotropic reactive ion etching (RIE) in the inactive areas (in between the devices) of a silicon substrate (after the devices have been fabricated following the regular CMOS process), followed by a dielectric based spacer formation to protect the sidewall of the trench and then performing an isotropic etch to create caves in silicon. When these caves meet with each other the top portion of the silicon with the devices is ready to be peeled off from the bottom silicon substrate. Release process does not need to use any external support. Released silicon fabric (25 μm thick) is mechanically flexible (5 mm bending radius) and the trenches make it semi-transparent (transparency of 7%). © (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  2. High Efficiency, Low Cost Solar Cells Manufactured Using 'Silicon Ink' on Thin Crystalline Silicon Wafers

    Energy Technology Data Exchange (ETDEWEB)

    Antoniadis, H.

    2011-03-01

    Reported are the development and demonstration of a 17% efficient 25mm x 25mm crystalline Silicon solar cell and a 16% efficient 125mm x 125mm crystalline Silicon solar cell, both produced by Ink-jet printing Silicon Ink on a thin crystalline Silicon wafer. To achieve these objectives, processing approaches were developed to print the Silicon Ink in a predetermined pattern to form a high efficiency selective emitter, remove the solvents in the Silicon Ink and fuse the deposited particle Silicon films. Additionally, standard solar cell manufacturing equipment with slightly modified processes were used to complete the fabrication of the Silicon Ink high efficiency solar cells. Also reported are the development and demonstration of a 18.5% efficient 125mm x 125mm monocrystalline Silicon cell, and a 17% efficient 125mm x 125mm multicrystalline Silicon cell, by utilizing high throughput Ink-jet and screen printing technologies. To achieve these objectives, Innovalight developed new high throughput processing tools to print and fuse both p and n type particle Silicon Inks in a predetermined pat-tern applied either on the front or the back of the cell. Additionally, a customized Ink-jet and screen printing systems, coupled with customized substrate handling solution, customized printing algorithms, and a customized ink drying process, in combination with a purchased turn-key line, were used to complete the high efficiency solar cells. This development work delivered a process capable of high volume producing 18.5% efficient crystalline Silicon solar cells and enabled the Innovalight to commercialize its technology by the summer of 2010.

  3. Development in fiscal 1998 of silicon manufacturing process to rationalize energy usage. Surveys and researches on analysis of practical application of technology to manufacture silicon raw materials for solar cells; 1998 nendo energy shiyo gorika silicon seizo process kaihatsu seika hokokusho. Taiyo denchi silicon genryo seizo gijutsu no jitsuyoka kaiseki ni kansuru chosa kenkyu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-01

    With an objective to develop a mass production technology to manufacture silicon raw materials for solar cells, and assist its practical application, surveys and analyses were performed on trends in development of the related technologies, the problems therein , market trends and industrial trends thereof. This paper summarizes the achievements in fiscal 1998. The worldwide production amount of solar cells in 1998 is estimated to have achieved 150 MW, and the silicon consumption reached the level of 2,300 tons. In spite of the economic recession environment, there was no change in the expansion trend. In developing an SOG-Si mass production and manufacturing technology, construction of pilot plants for each process has been completed, and entered into the operation research phase. In developing a technology to manufacture high quality poly-crystalline silicon substrates, fabrication has been completed on the on-line ingot cutting equipment and the plasma heating equipment, and the stage is now in operation research of continuous electromagnetic casting process. The conversion efficiency of the poly-crystalline silicon solar cells is 14 to 16% at the mass production level, whose enhancement requires indispensably the improvement in quality of the substrate. Discussions are required on the ingot manufacturing conditions in coordination with improvement in the cell manufacturing technology. (NEDO)

  4. Silicon-micromachined microchannel plates

    CERN Document Server

    Beetz, C P; Steinbeck, J; Lemieux, B; Winn, D R

    2000-01-01

    Microchannel plates (MCP) fabricated from standard silicon wafer substrates using a novel silicon micromachining process, together with standard silicon photolithographic process steps, are described. The resulting SiMCP microchannels have dimensions of approx 0.5 to approx 25 mu m, with aspect ratios up to 300, and have the dimensional precision and absence of interstitial defects characteristic of photolithographic processing, compatible with positional matching to silicon electronics readouts. The open channel areal fraction and detection efficiency may exceed 90% on plates up to 300 mm in diameter. The resulting silicon substrates can be converted entirely to amorphous quartz (qMCP). The strip resistance and secondary emission are developed by controlled depositions of thin films, at temperatures up to 1200 deg. C, also compatible with high-temperature brazing, and can be essentially hydrogen, water and radionuclide-free. Novel secondary emitters and cesiated photocathodes can be high-temperature deposite...

  5. Development of processes for the production of low cost silicon dendritic web for solar cells

    Science.gov (United States)

    Duncan, C. S.; Seidensticker, R. G.; Mchugh, J. P.; Hopkins, R. H.; Skutch, M. E.; Driggers, J. M.; Hill, F. E.

    1980-01-01

    High area output rates and continuous, automated growth are two key technical requirements for the growth of low-cost silicon ribbons for solar cells. By means of computer-aided furnace design, silicon dendritic web output rates as high as 27 sq cm/min have been achieved, a value in excess of that projected to meet a $0.50 per peak watt solar array manufacturing cost. The feasibility of simultaneous web growth while the melt is replenished with pelletized silicon has also been demonstrated. This step is an important precursor to the development of an automated growth system. Solar cells made on the replenished material were just as efficient as devices fabricated on typical webs grown without replenishment. Moreover, web cells made on a less-refined, pelletized polycrystalline silicon synthesized by the Battelle process yielded efficiencies up to 13% (AM1).

  6. Design and fabrication process of silicon micro-calorimeters on simple SOI technology for X-ray spectral imaging

    International Nuclear Information System (INIS)

    Aliane, A.; Agnese, P.; Pigot, C.; Sauvageot, J.-L.; Moro, F. de; Ribot, H.; Gasse, A.; Szeflinski, V.; Gobil, Y.

    2008-01-01

    Several successful development programs have been conducted on infra-red bolometer arrays at the 'Commissariat a l'Energie Atomique' (CEA-LETI Grenoble) in collaboration with the CEA-SAp (Saclay); taking advantage of this background, we are now developing an X-ray spectro-imaging camera for next generation space astronomy missions, using silicon only technology. We have developed monolithic silicon micro-calorimeters based on implanted thermistors in an improved array that could be used for future space missions. The 8x8 array consists of a grid of 64 suspended pixels fabricated on a silicon on insulator (SOI) wafer. Each pixel of this detector array is made of a tantalum (Ta) absorber, which is bound by means of indium bump hybridization, to a silicon thermistor. The absorber array is bound to the thermistor array in a collective process. The fabrication process of our detector involves a combination of standard technologies and silicon bulk micro-machining techniques, based on deposition, photolithography and plasma etching steps. Finally, we present the results of measurements performed on these four primary building blocks that are required to create a detector array up to 32x32 pixels in size

  7. Effects of processing and dopant on radiation damage removal in silicon solar cells

    Science.gov (United States)

    Weinberg, I.; Brandhorst, H. W., Jr.; Swartz, C. K.; Mehta, S.

    1982-01-01

    Gallium and boron doped silicon solar cells, processed by ion-implantation followed by either laser or furnace anneal were irradiated by 1 MeV electrons and their post-irradiation recovery by thermal annealing determined. During the post-irradiation anneal, gallium-doped cells prepared by both processes recovered more rapidly and exhibited none of the severe reverse annealing observed for similarly processed 2 ohm-cm boron doped cells. Ion-implanted furnace annealed 0.1 ohm-cm boron doped cells exhibited the lowest post-irradiation annealing temperatures (200 C) after irradiation to 5 x 10 to the 13th e(-)/sq cm. The drastically lowered recovery temperature is attributed to the reduced oxygen and carbon content of the 0.1 ohm-cm cells. Analysis based on defect properties and annealing kinetics indicates that further reduction in annealing temperature should be attainable with further reduction in the silicon's carbon and/or divacancy content after irradiation.

  8. Comparison of laser chemical processing and lasermicrojet for structuring and cutting silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Hopman, Sybille; Fell, Andreas; Mayer, Kuno; Mesec, Matthias; Rodofili, Andreas; Kray, Daniel [Fraunhofer Institute for Solar Energy Systems ISE, Freiburg (Germany)

    2009-06-15

    This paper deals with the development of a new cutting method for thin silicon solar wafers with liquid-jet-guided lasers (lasermicrojet {sup registered}, LMJ, and laser chemical processing, LCP). Several laser systems with different wavelengths were tested to find the optimum laser system and processing parameters in terms of efficient material removal and deep laser cutting. Water and potassium hydroxide were used as carrier liquids to enhance laser ablation. The ablation efficiency was defined as a target parameter and experimentally determined by performing single laser grooves. It is demonstrated that the ablation process of LMJ is mainly affected by silicon melting and then removing by the liquid-jet momentum for single laser grooves. Best result for deep laser grooves is achieved if evaporation dominates the ablation process. Better surface quality referred to laser-induced crystalline damage is presented for a cut wafer with LMJ in comparison to a standard multiwire slurry saw. This shows a great potential of wafering with liquid-jet-guided lasers although no optimal liquid media was used. (orig.)

  9. Silicon-micromachined microchannel plates

    International Nuclear Information System (INIS)

    Beetz, Charles P.; Boerstler, Robert; Steinbeck, John; Lemieux, Bryan; Winn, David R.

    2000-01-01

    Microchannel plates (MCP) fabricated from standard silicon wafer substrates using a novel silicon micromachining process, together with standard silicon photolithographic process steps, are described. The resulting SiMCP microchannels have dimensions of ∼0.5 to ∼25 μm, with aspect ratios up to 300, and have the dimensional precision and absence of interstitial defects characteristic of photolithographic processing, compatible with positional matching to silicon electronics readouts. The open channel areal fraction and detection efficiency may exceed 90% on plates up to 300 mm in diameter. The resulting silicon substrates can be converted entirely to amorphous quartz (qMCP). The strip resistance and secondary emission are developed by controlled depositions of thin films, at temperatures up to 1200 deg. C, also compatible with high-temperature brazing, and can be essentially hydrogen, water and radionuclide-free. Novel secondary emitters and cesiated photocathodes can be high-temperature deposited or nucleated in the channels or the first strike surface. Results on resistivity, secondary emission and gain are presented

  10. Fabricating solar cells with silicon nanoparticles

    Science.gov (United States)

    Loscutoff, Paul; Molesa, Steve; Kim, Taeseok

    2014-09-02

    A laser contact process is employed to form contact holes to emitters of a solar cell. Doped silicon nanoparticles are formed over a substrate of the solar cell. The surface of individual or clusters of silicon nanoparticles is coated with a nanoparticle passivation film. Contact holes to emitters of the solar cell are formed by impinging a laser beam on the passivated silicon nanoparticles. For example, the laser contact process may be a laser ablation process. In that case, the emitters may be formed by diffusing dopants from the silicon nanoparticles prior to forming the contact holes to the emitters. As another example, the laser contact process may be a laser melting process whereby portions of the silicon nanoparticles are melted to form the emitters and contact holes to the emitters.

  11. Production of technical silicon and silicon carbide from rice-husk

    Directory of Open Access Journals (Sweden)

    A. Z. Issagulov

    2014-10-01

    Full Text Available In the article there are studied physical and chemical properties of silicon-carbonic raw material – rice-husk, thermophysical characteristics of the process of rice-husk pyrolysis in nonreactive and oxidizing environment; structure and phase composition of products of the rice-husk pyrolysis in interval of temperatures 150 – 850 °С and high temperature pyrolysis in interval of temperatures 900 – 1 500 °С. There are defined the silicon-carbon production conditions, which meet the requirements applicable to charging materials at production of technical silicon and silicon carbide.

  12. Buried oxide layer in silicon

    Science.gov (United States)

    Sadana, Devendra Kumar; Holland, Orin Wayne

    2001-01-01

    A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.

  13. An amorphous silicon photodiode with 2 THz gain-bandwidth product based on cycling excitation process

    Science.gov (United States)

    Yan, Lujiang; Yu, Yugang; Zhang, Alex Ce; Hall, David; Niaz, Iftikhar Ahmad; Raihan Miah, Mohammad Abu; Liu, Yu-Hsin; Lo, Yu-Hwa

    2017-09-01

    Since impact ionization was observed in semiconductors over half a century ago, avalanche photodiodes (APDs) using impact ionization in a fashion of chain reaction have been the most sensitive semiconductor photodetectors. However, APDs have relatively high excess noise, a limited gain-bandwidth product, and high operation voltage, presenting a need for alternative signal amplification mechanisms of superior properties. As an amplification mechanism, the cycling excitation process (CEP) was recently reported in a silicon p-n junction with subtle control and balance of the impurity levels and profiles. Realizing that CEP effect depends on Auger excitation involving localized states, we made the counter intuitive hypothesis that disordered materials, such as amorphous silicon, with their abundant localized states, can produce strong CEP effects with high gain and speed at low noise, despite their extremely low mobility and large number of defects. Here, we demonstrate an amorphous silicon low noise photodiode with gain-bandwidth product of over 2 THz, based on a very simple structure. This work will impact a wide range of applications involving optical detection because amorphous silicon, as the primary gain medium, is a low-cost, easy-to-process material that can be formed on many kinds of rigid or flexible substrates.

  14. Silicon analog components device design, process integration, characterization, and reliability

    CERN Document Server

    El-Kareh, Badih

    2015-01-01

    This book covers modern analog components, their characteristics, and interactions with process parameters. It serves as a comprehensive guide, addressing both the theoretical and practical aspects of modern silicon devices and the relationship between their electrical properties and processing conditions. Based on the authors’ extensive experience in the development of analog devices, this book is intended for engineers and scientists in semiconductor research, development and manufacturing. The problems at the end of each chapter and the numerous charts, figures and tables also make it appropriate for use as a text in graduate and advanced undergraduate courses in electrical engineering and materials science.

  15. Silicon photonics fundamentals and devices

    CERN Document Server

    Deen, M Jamal

    2012-01-01

    The creation of affordable high speed optical communications using standard semiconductor manufacturing technology is a principal aim of silicon photonics research. This would involve replacing copper connections with optical fibres or waveguides, and electrons with photons. With applications such as telecommunications and information processing, light detection, spectroscopy, holography and robotics, silicon photonics has the potential to revolutionise electronic-only systems. Providing an overview of the physics, technology and device operation of photonic devices using exclusively silicon and related alloys, the book includes: * Basic Properties of Silicon * Quantum Wells, Wires, Dots and Superlattices * Absorption Processes in Semiconductors * Light Emitters in Silicon * Photodetectors , Photodiodes and Phototransistors * Raman Lasers including Raman Scattering * Guided Lightwaves * Planar Waveguide Devices * Fabrication Techniques and Material Systems Silicon Photonics: Fundamentals and Devices outlines ...

  16. Damage-free laser patterning of silicon nitride on textured crystalline silicon using an amorphous silicon etch mask for Ni/Cu plated silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Bailly, Mark S., E-mail: mbailly@asu.edu; Karas, Joseph; Jain, Harsh; Dauksher, William J.; Bowden, Stuart

    2016-08-01

    We investigate the optimization of laser ablation with a femtosecond laser for direct and indirect removal of SiN{sub x} on alkaline textured c-Si. Our proposed resist-free indirect removal process uses an a-Si:H etch mask and is demonstrated to have a drastically improved surface quality of the laser processed areas when compared to our direct removal process. Scanning electron microscope images of ablated sites show the existence of substantial surface defects for the standard direct removal process, and the reduction of those defects with our proposed process. Opening of SiN{sub x} and SiO{sub x} passivating layers with laser ablation is a promising alternative to the standard screen print and fire process for making contact to Si solar cells. The potential for small contacts from laser openings of dielectrics coupled with the selective deposition of metal from light induced plating allows for high-aspect-ratio metal contacts for front grid metallization. The minimization of defects generated in this process would serve to enhance the performance of the device and provides the motivation for our work. - Highlights: • Direct laser removal of silicon nitride (SiN{sub x}) damages textured silicon. • Direct laser removal of amorphous silicon (a-Si) does not damage textured silicon. • a-Si can be used as a laser patterned etch mask for SiN{sub x}. • Chemically patterned SiN{sub x} sites allow for Ni/Cu plating.

  17. Visualization of nanosecond laser-induced dewetting, ablation and crystallization processes in thin silicon films

    Science.gov (United States)

    Qi, Dongfeng; Zhang, Zifeng; Yu, Xiaohan; Zhang, Yawen

    2018-06-01

    In the present work, nanosecond pulsed laser crystallization, dewetting and ablation of thin amorphous silicon films are investigated by time-resolved imaging. Laser pulses of 532 nm wavelength and 7 ns temporal width are irradiated on silicon film. Below the dewetting threshold, crystallization process happens after 400 ns laser irradiation in the spot central region. With the increasing of laser fluence, it is observed that the dewetting process does not conclude until 300 ns after the laser irradiation, forming droplet-like particles in the spot central region. At higher laser intensities, ablative material removal occurs in the spot center. Cylindrical rims are formed in the peripheral dewetting zone due to solidification of transported matter at about 500 ns following the laser pulse exposure.

  18. Design rules for RCA self-aligned silicon-gate CMOS/SOS process

    Science.gov (United States)

    1977-01-01

    The CMOS/SOS design rules prepared by the RCA Solid State Technology Center (SSTC) are described. These rules specify the spacing and width requirements for each of the six design levels, the seventh level being used to define openings in the passivation level. An associated report, entitled Silicon-Gate CMOS/SOS Processing, provides further insight into the usage of these rules.

  19. Fluorescence and thermoluminescence in silicon oxide films rich in silicon

    International Nuclear Information System (INIS)

    Berman M, D.; Piters, T. M.; Aceves M, M.; Berriel V, L. R.; Luna L, J. A.

    2009-10-01

    In this work we determined the fluorescence and thermoluminescence (TL) creation spectra of silicon rich oxide films (SRO) with three different silicon excesses. To study the TL of SRO, 550 nm of SRO film were deposited by Low Pressure Chemical Vapor Deposition technique on N-type silicon substrates with resistivity in the order of 3 to 5 Ω-cm with silicon excess controlled by the ratio of the gases used in the process, SRO films with Ro= 10, 20 and 30 (12-6% silicon excess) were obtained. Then, they were thermally treated in N 2 at high temperatures to diffuse and homogenize the silicon excess. In the fluorescence spectra two main emission regions are observed, one around 400 nm and one around 800 nm. TL creation spectra were determined by plotting the integrated TL intensity as function of the excitation wavelength. (Author)

  20. Silicon nanowire hybrid photovoltaics

    KAUST Repository

    Garnett, Erik C.

    2010-06-01

    Silicon nanowire Schottky junction solar cells have been fabricated using n-type silicon nanowire arrays and a spin-coated conductive polymer (PEDOT). The polymer Schottky junction cells show superior surface passivation and open-circuit voltages compared to standard diffused junction cells with native oxide surfaces. External quantum efficiencies up to 88% were measured for these silicon nanowire/PEDOT solar cells further demonstrating excellent surface passivation. This process avoids high temperature processes which allows for low-cost substrates to be used. © 2010 IEEE.

  1. Silicon nanowire hybrid photovoltaics

    KAUST Repository

    Garnett, Erik C.; Peters, Craig; Brongersma, Mark; Cui, Yi; McGehee, Mike

    2010-01-01

    Silicon nanowire Schottky junction solar cells have been fabricated using n-type silicon nanowire arrays and a spin-coated conductive polymer (PEDOT). The polymer Schottky junction cells show superior surface passivation and open-circuit voltages compared to standard diffused junction cells with native oxide surfaces. External quantum efficiencies up to 88% were measured for these silicon nanowire/PEDOT solar cells further demonstrating excellent surface passivation. This process avoids high temperature processes which allows for low-cost substrates to be used. © 2010 IEEE.

  2. 1366 Project Silicon: Reclaiming US Silicon PV Leadership

    Energy Technology Data Exchange (ETDEWEB)

    Lorenz, Adam [1366 Technologies, Bedford, MA (United States)

    2016-02-16

    1366 Technologies’ Project Silicon addresses two of the major goals of the DOE’s PV Manufacturing Initiative Part 2 program: 1) How to reclaim a strong silicon PV manufacturing presence and; 2) How to lower the levelized cost of electricity (“LCOE”) for solar to $0.05-$0.07/kWh, enabling wide-scale U.S. market adoption. To achieve these two goals, US companies must commercialize disruptive, high-value technologies that are capable of rapid scaling, defensible from foreign competition, and suited for US manufacturing. These are the aims of 1366 Technologies Direct Wafer ™ process. The research conducted during Project Silicon led to the first industrial scaling of 1366’s Direct Wafer™ process – an innovative, US-friendly (efficient, low-labor content) manufacturing process that destroys the main cost barrier limiting silicon PV cost-reductions: the 35-year-old grand challenge of making quality wafers (40% of the cost of modules) without the cost and waste of sawing. The SunPath program made it possible for 1366 Technologies to build its demonstration factory, a key and critical step in the Company’s evolution. The demonstration factory allowed 1366 to build every step of the process flow at production size, eliminating potential risk and ensuring the success of the Company’s subsequent scaling for a 1 GW factory to be constructed in Western New York in 2016 and 2017. Moreover, the commercial viability of the Direct Wafer process and its resulting wafers were established as 1366 formed key strategic partnerships, gained entry into the $8B/year multi-Si wafer market, and installed modules featuring Direct Wafer products – the veritable proving grounds for the technology. The program also contributed to the development of three Generation 3 Direct Wafer furnaces. These furnaces are the platform for copying intelligently and preparing our supply chain – large-scale expansion will not require a bigger machine but more machines. SunPath filled the

  3. Studies on the reactive melt infiltration of silicon and silicon-molybdenum alloys in porous carbon

    Science.gov (United States)

    Singh, M.; Behrendt, D. R.

    1992-01-01

    Investigations on the reactive melt infiltration of silicon and silicon-1.7 and 3.2 at percent molybdenum alloys into porous carbon preforms have been carried out by process modeling, differential thermal analysis (DTA) and melt infiltration experiments. These results indicate that the initial pore volume fraction of the porous carbon preform is a critical parameter in determining the final composition of the raction-formed silicon carbide and other residual phases. The pore size of the carbon preform is very detrimental to the exotherm temperatures due to liquid silicon-carbon reactions encountered during the reactive melt infiltration process. A possible mechanism for the liquid silicon-porous (glassy) carbon reaction has been proposed. The composition and microstructure of the reaction-formed silicon carbide has been discussed in terms of carbon preform microstructures, infiltration materials, and temperatures.

  4. Comparison of silicon pin diode detector fabrication processes using ion implantation and thermal doping

    International Nuclear Information System (INIS)

    Zhou, C.Z.; Warburton, W.K.

    1996-01-01

    Two processes for the fabrication of silicon p-i-n diode radiation detectors are described and compared. Both processes are compatible with conventional integrated-circuit fabrication techniques and yield very low leakage currents. Devices made from the process using boron thermal doping have about a factor of 2 lower leakage current than those using boron ion implantation. However, the boron thermal doping process requires additional process steps to remove boron skins. (orig.)

  5. Research of high speed data readout and pre-processing system based on xTCA for silicon pixel detector

    International Nuclear Information System (INIS)

    Zhao Jingzhou; Lin Haichuan; Guo Fang; Liu Zhen'an; Xu Hao; Gong Wenxuan; Liu Zhao

    2012-01-01

    As the development of the detector, Silicon pixel detectors have been widely used in high energy physics experiments. It needs data processing system with high speed, high bandwidth and high availability to read data from silicon pixel detectors which generate more large data. The same question occurs on Belle II Pixel Detector which is a new style silicon pixel detector used in SuperKEKB accelerator with high luminance. The paper describes the research of High speed data readout and pre-processing system based on xTCA for silicon pixel detector. The system consists of High Performance Computer Node (HPCN) based on xTCA and ATCA frame. The HPCN consists of 4XFPs based on AMC, 1 AMC Carrier ATCA Board (ACAB) and 1 Rear Transmission Module. It characterized by 5 high performance FPGAs, 16 fiber links based on RocketIO, 5 Gbit Ethernet ports and DDR2 with capacity up to 18GB. In a ATCA frame, 14 HPCNs make up a system using the high speed backplane to achieve the function of data pre-processing and trigger. This system will be used on the trigger and data acquisition system of Belle II Pixel detector. (authors)

  6. Process control of high rate microcrystalline silicon based solar cell deposition by optical emission spectroscopy

    International Nuclear Information System (INIS)

    Kilper, T.; Donker, M.N. van den; Carius, R.; Rech, B.; Braeuer, G.; Repmann, T.

    2008-01-01

    Silicon thin-film solar cells based on microcrystalline silicon (μc-Si:H) were prepared in a 30 x 30 cm 2 plasma-enhanced chemical vapor deposition reactor using 13.56 or 40.68 MHz plasma excitation frequency. Plasma emission was recorded by optical emission spectroscopy during μc-Si:H absorber layer deposition at deposition rates between 0.5 and 2.5 nm/s. The time course of SiH * and H β emission indicated strong drifts in the process conditions particularly at low total gas flows. By actively controlling the SiH 4 gas flow, the observed process drifts were successfully suppressed resulting in a more homogeneous i-layer crystallinity along the growth direction. In a deposition regime with efficient usage of the process gas, the μc-Si:H solar cell efficiency was enhanced from 7.9 % up to 8.8 % by applying process control

  7. Characterization of 10 μm thick porous silicon dioxide obtained by complex oxidation process for RF application

    International Nuclear Information System (INIS)

    Park, Jeong-Yong; Lee, Jong-Hyun

    2003-01-01

    This paper proposes a 10 μm thick oxide layer structure, which can be used as a substrate for RF circuits. The structure has been fabricated by anodic reaction and complex oxidation, which is a combined process of low temperature thermal oxidation (500 deg. C, for 1 h at H 2 O/O 2 ) and a rapid thermal oxidation (RTO) process (1050 deg. C, for 1 min). The electrical characteristics of oxidized porous silicon layer (OPSL) were almost the same as those of standard thermal silicon dioxide. The leakage current through the OPSL of 10 μm was about 100-500 pA in the range of 0-50 V. The average value of breakdown field was about 3.9 MV cm -1 . From the X-ray photo-electron spectroscopy (XPS) analysis, surface and internal oxide films of OPSL, prepared by complex process were confirmed to be completely oxidized and also the role of RTO process was important for the densification of porous silicon layer (PSL) oxidized at a lower temperature. For the RF-test of Si substrate with thick silicon dioxide layer, we have fabricated high performance passive devices such as coplanar waveguide (CPW) on OPSL substrate. The insertion loss of CPW on OPSL prepared by complex oxidation process was -0.39 dB at 4 GHz and similar to that of CPW on OPSL prepared by a temperature of 1050 deg. C (1 h at H 2 O/O 2 ). Also the return loss of CPW on OPSL prepared by complex oxidation process was -23 dB at 10 GHz, which is similar to that of CPW on OPSL prepared by high temperature

  8. Geometrical (Degree 0 Modelling of a FP3+3×RTR+MP3 Type Parallel Topology Robotic Guiding Device, Using the „Pair of Frames” (PF Concept

    Directory of Open Access Journals (Sweden)

    Calin Miclosina

    2005-01-01

    Full Text Available The geometrical (degree 0 model of a parallel topology robotic guiding device represents the position-orientation matrix of the mobile platform (MP versus the fixed one (FP; this model refers to generalized displacements. The kinematical scheme of a FP3+3×RTR+MP3 type mechanism is presented, as well as the manner of choice of the attached pair of frames (PF to the links. In the case of direct geometrical modelling, for certain displacements of the actuated translational joints, the position-orientation matrix of the mobile platform versus the fixed one is determined. For inverse geometrical modelling, the position-orientation matrix of MP versus FP is known and the displacements of the actuated translational joints are determined.

  9. Laser Process for Selective Emitter Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    G. Poulain

    2012-01-01

    Full Text Available Selective emitter solar cells can provide a significant increase in conversion efficiency. However current approaches need many technological steps and alignment procedures. This paper reports on a preliminary attempt to reduce the number of processing steps and therefore the cost of selective emitter cells. In the developed procedure, a phosphorous glass covered with silicon nitride acts as the doping source. A laser is used to open locally the antireflection coating and at the same time achieve local phosphorus diffusion. In this process the standard chemical etching of the phosphorous glass is avoided. Sheet resistance variation from 100 Ω/sq to 40 Ω/sq is demonstrated with a nanosecond UV laser. Numerical simulation of the laser-matter interaction is discussed to understand the dopant diffusion efficiency. Preliminary solar cells results show a 0.5% improvement compared with a homogeneous emitter structure.

  10. Direct Production of Silicones From Sand

    Energy Technology Data Exchange (ETDEWEB)

    Larry N. Lewis; F.J. Schattenmann: J.P. Lemmon

    2001-09-30

    Silicon, in the form of silica and silicates, is the second most abundant element in the earth's crust. However the synthesis of silicones (scheme 1) and almost all organosilicon chemistry is only accessible through elemental silicon. Silicon dioxide (sand or quartz) is converted to chemical-grade elemental silicon in an energy intensive reduction process, a result of the exceptional thermodynamic stability of silica. Then, the silicon is reacted with methyl chloride to give a mixture of methylchlorosilanes catalyzed by cooper containing a variety of tract metals such as tin, zinc etc. The so-called direct process was first discovered at GE in 1940. The methylchlorosilanes are distilled to purify and separate the major reaction components, the most important of which is dimethyldichlorosilane. Polymerization of dimethyldichlorosilane by controlled hydrolysis results in the formation of silicone polymers. Worldwide, the silicones industry produces about 1.3 billion pounds of the basic silicon polymer, polydimethylsiloxane.

  11. Kerfless epitaxial silicon wafers with 7 ms carrier lifetimes and a wide lift-off process window

    Science.gov (United States)

    Gemmel, Catherin; Hensen, Jan; David, Lasse; Kajari-Schröder, Sarah; Brendel, Rolf

    2018-04-01

    Silicon wafers contribute significantly to the photovoltaic module cost. Kerfless silicon wafers that grow epitaxially on porous silicon (PSI) and are subsequently detached from the growth substrate are a promising lower cost drop-in replacement for standard Czochralski (Cz) wafers. However, a wide technological processing window appears to be a challenge for this process. This holds in particularly for the etching current density of the separation layer that leads to lift-off failures if it is too large or too low. Here we present kerfless PSI wafers of high electronic quality that we fabricate on weakly reorganized porous Si with etch current densities varying in a wide process window from 110 to 150 mA/cm2. We are able to detach all 17 out of 17 epitaxial wafers. All wafers exhibit charge carrier lifetimes in the range of 1.9 to 4.3 ms at an injection level of 1015 cm-3 without additional high-temperature treatment. We find even higher lifetimes in the range of 4.6 to 7.0 ms after applying phosphorous gettering. These results indicate that a weak reorganization of the porous layer can be beneficial for a large lift-off process window while still allowing for high carrier lifetimes.

  12. Porous silicon carbide (SIC) semiconductor device

    Science.gov (United States)

    Shor, Joseph S. (Inventor); Kurtz, Anthony D. (Inventor)

    1996-01-01

    Porous silicon carbide is fabricated according to techniques which result in a significant portion of nanocrystallites within the material in a sub 10 nanometer regime. There is described techniques for passivating porous silicon carbide which result in the fabrication of optoelectronic devices which exhibit brighter blue luminescence and exhibit improved qualities. Based on certain of the techniques described porous silicon carbide is used as a sacrificial layer for the patterning of silicon carbide. Porous silicon carbide is then removed from the bulk substrate by oxidation and other methods. The techniques described employ a two-step process which is used to pattern bulk silicon carbide where selected areas of the wafer are then made porous and then the porous layer is subsequently removed. The process to form porous silicon carbide exhibits dopant selectivity and a two-step etching procedure is implemented for silicon carbide multilayers.

  13. Silicon Carbide (SiC) Power Processing Unit (PPU) for Hall Effect Thrusters, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — In this SBIR project, APEI, Inc. is proposing to develop a high efficiency, rad-hard 3.8 kW silicon carbide (SiC) Power Processing Unit (PPU) for Hall Effect...

  14. Silicon Carbide (SiC) Power Processing Unit (PPU) for Hall Effect Thrusters, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — In this SBIR project, APEI, Inc. is proposing to develop a high efficiency, rad-hard 3.8 kW silicon carbide (SiC) power supply for the Power Processing Unit (PPU) of...

  15. Silicon on insulator self-aligned transistors

    Science.gov (United States)

    McCarthy, Anthony M.

    2003-11-18

    A method for fabricating thin-film single-crystal silicon-on-insulator (SOI) self-aligned transistors. Standard processing of silicon substrates is used to fabricate the transistors. Physical spaces, between the source and gate, and the drain and gate, introduced by etching the polysilicon gate material, are used to provide connecting implants (bridges) which allow the transistor to perform normally. After completion of the silicon substrate processing, the silicon wafer is bonded to an insulator (glass) substrate, and the silicon substrate is removed leaving the transistors on the insulator (glass) substrate. Transistors fabricated by this method may be utilized, for example, in flat panel displays, etc.

  16. Non-Vacuum Processed Polymer Composite Antireflection Coating Films for Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Abdullah Uzum

    2016-08-01

    Full Text Available A non-vacuum processing method for preparing polymer-based ZrO2/TiO2 multilayer structure antireflection coating (ARC films for crystalline silicon solar cells by spin coating is introduced. Initially, ZrO2, TiO2 and surface deactivated-TiO2 (SD-TiO2 based films were examined separately and the effect of photocatalytic properties of TiO2 film on the reflectivity on silicon surface was investigated. Degradation of the reflectance performance with increasing reflectivity of up to 2% in the ultraviolet region was confirmed. No significant change of the reflectance was observed when utilizing SD-TiO2 and ZrO2 films. Average reflectance (between 300 nm–1100 nm of the silicon surface coated with optimized polymer-based ZrO2 single or ZrO2/SD-TiO2 multilayer composite films was decreased down to 6.5% and 5.5%, respectively. Improvement of photocurrent density (Jsc and conversion efficiency (η of fabricated silicon solar cells owing to the ZrO2/SD-TiO2 multilayer ARC could be confirmed. The photovoltaic properties of Jsc, the open-circuit photo voltage (VOC, the fill factor (FF, and the η were 31.42 mA cm−2, 575 mV, 71.5% and 12.91%. Efficiency of the solar cells was improved by the ZrO2-polymer/SD-TiO2 polymer ARC composite layer by a factor of 0.8% with an increase of Jsc (2.07 mA cm−2 compared to those of fabricated without the ARC.

  17. Evaluation of selected chemical processes for production of low-cost silicon phase 2. silicon material task, low-cost silicon solar array project

    Science.gov (United States)

    Blocher, J. M., Jr.; Browning, M. F.; Rose, E. E.; Thompson, W. B.; Schmitt, W. A.; Fippin, J. S.; Kidd, R. W.; Liu, C. Y.; Kerbler, P. S.; Ackley, W. R.

    1978-01-01

    Progress from October 1, 1977, through December 31, 1977, is reported in the design of the 50 MT/year experimental facility for the preparation of high purity silicon by the zinc vapor reduction of silicon tetrachloride in a fluidized bed of seed particles to form a free flowing granular product.

  18. Colloidal characterization of silicon nitride and silicon carbide

    Science.gov (United States)

    Feke, Donald L.

    1986-01-01

    The colloidal behavior of aqueous ceramic slips strongly affects the forming and sintering behavior and the ultimate mechanical strength of the final ceramic product. The colloidal behavior of these materials, which is dominated by electrical interactions between the particles, is complex due to the strong interaction of the solids with the processing fluids. A surface titration methodology, modified to account for this interaction, was developed and used to provide fundamental insights into the interfacial chemistry of these systems. Various powder pretreatment strategies were explored to differentiate between true surface chemistry and artifacts due to exposure history. The colloidal behavior of both silicon nitride and carbide is dominated by silanol groups on the powder surfaces. However, the colloid chemistry of silicon nitride is apparently influenced by an additional amine group. With the proper powder treatments, silicon nitride and carbide powder can be made to appear colloidally equivalent. The impact of these results on processing control will be discussed.

  19. Process Simulation and Characterization of Substrate Engineered Silicon Thin Film Transistor for Display Sensors and Large Area Electronics

    International Nuclear Information System (INIS)

    Hashmi, S M; Ahmed, S

    2013-01-01

    Design, simulation, fabrication and post-process qualification of substrate-engineered Thin Film Transistors (TFTs) are carried out to suggest an alternate manufacturing process step focused on display sensors and large area electronics applications. Damage created by ion implantation of Helium and Silicon ions into single-crystalline n-type silicon substrate provides an alternate route to create an amorphized region responsible for the fabrication of TFT structures with controllable and application-specific output parameters. The post-process qualification of starting material and full-cycle devices using Rutherford Backscattering Spectrometry (RBS) and Proton or Particle induced X-ray Emission (PIXE) techniques also provide an insight to optimize the process protocols as well as their applicability in the manufacturing cycle

  20. UV laser incorporation of dopants in silicon: comparison of two processes

    International Nuclear Information System (INIS)

    Fogarassy, E.P.; Narayan, J.; Lowndes, D.H.; White, C.H.

    1985-01-01

    The rapid deposition of pulsed-laser energy into the near-surface region of silicon leads to melting of crystal, followed by liquid phase epitaxial regrowth from the underlying substrate at a growth velocity of several meters per second. During rapid solidification, implanted or deposited group III and V impurities can be incorporated into substitutional sites in the silicon lattice with concentrations far in excess of their equilibrium solubility limit. The authors have investigated and compared the incorporation of implanted or deposited antimony into the silicon lattice during laser annealing with a pulsed KrF UV laser (lambda = 0.249 μm, Z = 35 ns). The surface melting dynamics resulting from laser irradiation have been studied by time-resolved reflectivity. In the two cases, the sharp transition to the high reflectivity phase (R = 72%, at lambda = 0.633 μm of the HeNe laser probe) is characteristic of molten silicon. Surface melt durations measured on implanted Sb (150 KeV, 5 x 10 16 cm -2 ) and deposited Sb (80 A thick on crystalline silicon) are significantly larger than those for virgin silicon

  1. Temperature dependent investigation on optically active process of higher-order bands in irradiated silicon

    International Nuclear Information System (INIS)

    Shi Yi; Nanjing Univ., JS; Wu Fengmei; Nanjing Univ., JS; Zheng Youdou; Nanjing Univ., JS; Suezawa, M.; Imai, M.; Sumino, K.

    1996-01-01

    Optically active processes of the higher-order bands (HOB) are investigated at different temperatures in fast neutron irradiated silicon using Fourier transform infrared absorption measurement. It is shown that the optically active process is nearly temperature independent below 80 K, the slow decay process remains up to a heating temperature of 180 K. The observations are analyzed in terms of the relaxation behavior of photoexcited carriers governed by fast neutron radiation induced defect clusters. (orig.)

  2. Silicon-Rich Silicon Carbide Hole-Selective Rear Contacts for Crystalline-Silicon-Based Solar Cells.

    Science.gov (United States)

    Nogay, Gizem; Stuckelberger, Josua; Wyss, Philippe; Jeangros, Quentin; Allebé, Christophe; Niquille, Xavier; Debrot, Fabien; Despeisse, Matthieu; Haug, Franz-Josef; Löper, Philipp; Ballif, Christophe

    2016-12-28

    The use of passivating contacts compatible with typical homojunction thermal processes is one of the most promising approaches to realizing high-efficiency silicon solar cells. In this work, we investigate an alternative rear-passivating contact targeting facile implementation to industrial p-type solar cells. The contact structure consists of a chemically grown thin silicon oxide layer, which is capped with a boron-doped silicon-rich silicon carbide [SiC x (p)] layer and then annealed at 800-900 °C. Transmission electron microscopy reveals that the thin chemical oxide layer disappears upon thermal annealing up to 900 °C, leading to degraded surface passivation. We interpret this in terms of a chemical reaction between carbon atoms in the SiC x (p) layer and the adjacent chemical oxide layer. To prevent this reaction, an intrinsic silicon interlayer was introduced between the chemical oxide and the SiC x (p) layer. We show that this intrinsic silicon interlayer is beneficial for surface passivation. Optimized passivation is obtained with a 10-nm-thick intrinsic silicon interlayer, yielding an emitter saturation current density of 17 fA cm -2 on p-type wafers, which translates into an implied open-circuit voltage of 708 mV. The potential of the developed contact at the rear side is further investigated by realizing a proof-of-concept hybrid solar cell, featuring a heterojunction front-side contact made of intrinsic amorphous silicon and phosphorus-doped amorphous silicon. Even though the presented cells are limited by front-side reflection and front-side parasitic absorption, the obtained cell with a V oc of 694.7 mV, a FF of 79.1%, and an efficiency of 20.44% demonstrates the potential of the p + /p-wafer full-side-passivated rear-side scheme shown here.

  3. Silicon oxide nanoimprint stamp fabrication by edge lithography reinforced with silicon nitride

    NARCIS (Netherlands)

    Zhao, Yiping; Berenschot, Johan W.; de Boer, Meint J.; Jansen, Henricus V.; Tas, Niels Roelof; Huskens, Jurriaan; Elwenspoek, Michael Curt

    2007-01-01

    The fabrication of silicon oxide nanoimprint stamp employing edge lithography in combination with silicon nitride deposition is presented. The fabrication process is based on conventional photolithography an weg etching methods. Nanoridges with width dimension of sub-20 nm were fabricated by edge

  4. Optimization of a plasma immersion ion implantation process for shallow junctions in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Ray, Ashok; Nori, Rajashree; Bhatt, Piyush; Lodha, Saurabh; Pinto, Richard, E-mail: rpinto@ee.iitb.ac.in; Rao, Valipe Ramgopal [Department of Electrical Engineering, Indian Institute of Technology Bombay, Mumbai 400076 (India); Jomard, François; Neumann-Spallart, Michael [Groupe d' Étude de la Matière Condensée, C.N.R.S./Université de Versailles-St.Quentin, 45, Avenue des États-Unis, 78035 Versailles Cedex (France)

    2014-11-01

    A plasma immersion ion implantation (PIII) process has been developed for realizing shallow doping profiles of phosphorus and boron in silicon using an in-house built dual chamber cluster tool. High Si etch rates observed in a 5% PH{sub 3} in H{sub 2} plasma have been ascribed to high concentration of H(α) radicals. Therefore, subsequent work was carried out with 5% PH{sub 3} in He, leading to much smaller etch rates. By optical emission spectroscopy, the radical species H(α), PH*{sub 2}, and PH* have been identified. The concentration of all three species increased with pressure. Also, ion concentrations increased with pressure as evidenced by Langmuir data, with a maximum occurring at 0.12 mbar. The duty cycle of pulsed DC bias has a significant bearing on both the implantation and the etching process as it controls the leakage of positive charge collected at the surface of the silicon wafer during pulse on-time generated primarily due to secondary electron emission. The P implant process was optimized for a duty cycle of 10% or less at a pressure of 0.12 mbar with implant times as low as 30 s. Secondary ion mass spectroscopy showed a P dopant depth of 145 nm after rapid thermal annealing (RTA) at 950 °C for 5 s, resulting in a sheet resistance of 77 Ω/◻. Si n{sup +}/p diodes fabricated with phosphorus implantation using optimized PIII and RTA conditions exhibit J{sub on}/J{sub off} > 10{sup 6} with an ideality factor of nearly 1.2. Using similar conditions, shallow doping profiles of B in silicon have also been realized.

  5. LSA Large Area Silicon Sheet Task Continuous Czochralski Process Development

    Science.gov (United States)

    Rea, S. N.

    1979-01-01

    A commercial Czochralski crystal growing furnace was converted to a continuous growth facility by installation of a small, in-situ premelter with attendant silicon storage and transport mechanisms. Using a vertical, cylindrical graphite heater containing a small fused quartz test tube linear from which the molten silicon flowed out the bottom, approximately 83 cm of nominal 5 cm diamter crystal was grown with continuous melt addition furnished by the test tube premelter. High perfection crystal was not obtained, however, due primarily to particulate contamination of the melt. A major contributor to the particulate problem was severe silicon oxide buildup on the premelter which would ultimately drop into the primary melt. Elimination of this oxide buildup will require extensive study and experimentation and the ultimate success of continuous Czochralski depends on a successful solution to this problem. Economically, the continuous Czochralski meets near-term cost goals for silicon sheet material.

  6. Development of Radiation Hard Radiation Detectors, Differences between Czochralski Silicon and Float Zone Silicon

    CERN Document Server

    Tuominen, Eija

    2012-01-01

    The purpose of this work was to develop radiation hard silicon detectors. Radiation detectors made ofsilicon are cost effective and have excellent position resolution. Therefore, they are widely used fortrack finding and particle analysis in large high-energy physics experiments. Silicon detectors willalso be used in the CMS (Compact Muon Solenoid) experiment that is being built at the LHC (LargeHadron Collider) accelerator at CERN (European Organisation for Nuclear Research). This work wasdone in the CMS programme of Helsinki Institute of Physics (HIP).Exposure of the silicon material to particle radiation causes irreversible defects that deteriorate theperformance of the silicon detectors. In HIP CMS Programme, our approach was to improve theradiation hardness of the silicon material with increased oxygen concentration in silicon material. Westudied two different methods: diffusion oxygenation of Float Zone silicon and use of high resistivityCzochralski silicon.We processed, characterised, tested in a parti...

  7. Floating Silicon Method

    Energy Technology Data Exchange (ETDEWEB)

    Kellerman, Peter

    2013-12-21

    The Floating Silicon Method (FSM) project at Applied Materials (formerly Varian Semiconductor Equipment Associates), has been funded, in part, by the DOE under a “Photovoltaic Supply Chain and Cross Cutting Technologies” grant (number DE-EE0000595) for the past four years. The original intent of the project was to develop the FSM process from concept to a commercially viable tool. This new manufacturing equipment would support the photovoltaic industry in following ways: eliminate kerf losses and the consumable costs associated with wafer sawing, allow optimal photovoltaic efficiency by producing high-quality silicon sheets, reduce the cost of assembling photovoltaic modules by creating large-area silicon cells which are free of micro-cracks, and would be a drop-in replacement in existing high efficiency cell production process thereby allowing rapid fan-out into the industry.

  8. Polycrystalline silicon ring resonator photodiodes in a bulk complementary metal-oxide-semiconductor process.

    Science.gov (United States)

    Mehta, Karan K; Orcutt, Jason S; Shainline, Jeffrey M; Tehar-Zahav, Ofer; Sternberg, Zvi; Meade, Roy; Popović, Miloš A; Ram, Rajeev J

    2014-02-15

    We present measurements on resonant photodetectors utilizing sub-bandgap absorption in polycrystalline silicon ring resonators, in which light is localized in the intrinsic region of a p+/p/i/n/n+ diode. The devices, operating both at λ=1280 and λ=1550  nm and fabricated in a complementary metal-oxide-semiconductor (CMOS) dynamic random-access memory emulation process, exhibit detection quantum efficiencies around 20% and few-gigahertz response bandwidths. We observe this performance at low reverse biases in the range of a few volts and in devices with dark currents below 50 pA at 10 V. These results demonstrate that such photodetector behavior, previously reported by Preston et al. [Opt. Lett. 36, 52 (2011)], is achievable in bulk CMOS processes, with significant improvements with respect to the previous work in quantum efficiency, dark current, linearity, bandwidth, and operating bias due to additional midlevel doping implants and different material deposition. The present work thus offers a robust realization of a fully CMOS-fabricated all-silicon photodetector functional across a wide wavelength range.

  9. Ion beam figuring of silicon aspheres

    Science.gov (United States)

    Demmler, Marcel; Zeuner, Michael; Luca, Alfonz; Dunger, Thoralf; Rost, Dirk; Kiontke, Sven; Krüger, Marcus

    2011-03-01

    Silicon lenses are widely used for infrared applications. Especially for portable devices the size and weight of the optical system are very important factors. The use of aspherical silicon lenses instead of spherical silicon lenses results in a significant reduction of weight and size. The manufacture of silicon lenses is more challenging than the manufacture of standard glass lenses. Typically conventional methods like diamond turning, grinding and polishing are used. However, due to the high hardness of silicon, diamond turning is very difficult and requires a lot of experience. To achieve surfaces of a high quality a polishing step is mandatory within the manufacturing process. Nevertheless, the required surface form accuracy cannot be achieved through the use of conventional polishing methods because of the unpredictable behavior of the polishing tools, which leads to an unstable removal rate. To overcome these disadvantages a method called Ion Beam Figuring can be used to manufacture silicon lenses with high surface form accuracies. The general advantage of the Ion Beam Figuring technology is a contactless polishing process without any aging effects of the tool. Due to this an excellent stability of the removal rate without any mechanical surface damage is achieved. The related physical process - called sputtering - can be applied to any material and is therefore also applicable to materials of high hardness like Silicon (SiC, WC). The process is realized through the commercially available ion beam figuring system IonScan 3D. During the process, the substrate is moved in front of a focused broad ion beam. The local milling rate is controlled via a modulated velocity profile, which is calculated specifically for each surface topology in order to mill the material at the associated positions to the target geometry. The authors will present aspherical silicon lenses with very high surface form accuracies compared to conventionally manufactured lenses.

  10. Global optimization of silicon nanowires for efficient parametric processes

    DEFF Research Database (Denmark)

    Vukovic, Dragana; Xu, Jing; Mørk, Jesper

    2013-01-01

    We present a global optimization of silicon nanowires for parametric single-pump mixing. For the first time, the effect of surface roughness-induced loss is included in the analysis, significantly influencing the optimum waveguide dimensions.......We present a global optimization of silicon nanowires for parametric single-pump mixing. For the first time, the effect of surface roughness-induced loss is included in the analysis, significantly influencing the optimum waveguide dimensions....

  11. Additive advantage in characteristics of MIMCAPs on flexible silicon (100) fabric with release-first process

    KAUST Repository

    Ghoneim, Mohamed T.; Rojas, Jhonathan Prieto; Hussain, Aftab M.; Hussain, Muhammad Mustafa

    2013-01-01

    We report the inherent increase in capacitance per unit planar area of state-of-the art high-κ integrated metal/insulator/metal capacitors (MIMCAPs) fabricated on flexible silicon fabric with release-first process. We methodically study and show

  12. Impact of the brewing process on the concentration of silicon in lager beer

    Czech Academy of Sciences Publication Activity Database

    Krausová, Ivana; Cejnar, R.; Kučera, Jan; Dostálek, P.

    2014-01-01

    Roč. 120, č. 4 (2014), s. 433-437 ISSN 0046-9750 R&D Projects: GA MŠk 1M0570; GA MŠk(XE) LM2011019; GA ČR(CZ) GBP108/12/G108 Institutional support: RVO:61389005 Keywords : beer * brewing * hops * INAA * malt * silicon Subject RIV: GM - Food Processing Impact factor: 1.240, year: 2014

  13. Optical signal processing by silicon photonics

    CERN Document Server

    Ahmed, Jameel; Adeel, Freeha; Hussain, Ashiq

    2014-01-01

    The main objective of this book is to make respective graduate students understand the nonlinear effects inside SOI waveguide and possible applications of SOI waveguides in this emerging research area of optical fibre communication. This book focuses on achieving successful optical frequency shifting by Four Wave Mixing (FWM) in silicon-on-insulator (SOI) waveguide by exploiting a nonlinear phenomenon.

  14. Highly efficient silicon light emitting diode

    NARCIS (Netherlands)

    Le Minh, P.; Holleman, J.; Wallinga, Hans

    2002-01-01

    In this paper, we describe the fabrication, using standard silicon processing techniques, of silicon light-emitting diodes (LED) that efficiently emit photons with energy around the silicon bandgap. The improved efficiency had been explained by the spatial confinement of charge carriers due to a

  15. Oxide film assisted dopant diffusion in silicon carbide

    Energy Technology Data Exchange (ETDEWEB)

    Tin, Chin-Che, E-mail: cctin@physics.auburn.ed [Department of Physics, Auburn University, Alabama 36849 (United States); Mendis, Suwan [Department of Physics, Auburn University, Alabama 36849 (United States); Chew, Kerlit [Department of Electrical and Electronic Engineering, Faculty of Engineering and Science, Universiti Tunku Abdul Rahman, Kuala Lumpur (Malaysia); Atabaev, Ilkham; Saliev, Tojiddin; Bakhranov, Erkin [Physical Technical Institute, Uzbek Academy of Sciences, 700084 Tashkent (Uzbekistan); Atabaev, Bakhtiyar [Institute of Electronics, Uzbek Academy of Sciences, 700125 Tashkent (Uzbekistan); Adedeji, Victor [Department of Chemistry, Geology and Physics, Elizabeth City State University, North Carolina 27909 (United States); Rusli [School of Electrical and Electronic Engineering, Nanyang Technological University (Singapore)

    2010-10-01

    A process is described to enhance the diffusion rate of impurities in silicon carbide so that doping by thermal diffusion can be done at lower temperatures. This process involves depositing a thin film consisting of an oxide of the impurity followed by annealing in an oxidizing ambient. The process uses the lower formation energy of silicon dioxide relative to that of the impurity-oxide to create vacancies in silicon carbide and to promote dissociation of the impurity-oxide. The impurity atoms then diffuse from the thin film into the near-surface region of silicon carbide.

  16. Oxide film assisted dopant diffusion in silicon carbide

    International Nuclear Information System (INIS)

    Tin, Chin-Che; Mendis, Suwan; Chew, Kerlit; Atabaev, Ilkham; Saliev, Tojiddin; Bakhranov, Erkin; Atabaev, Bakhtiyar; Adedeji, Victor; Rusli

    2010-01-01

    A process is described to enhance the diffusion rate of impurities in silicon carbide so that doping by thermal diffusion can be done at lower temperatures. This process involves depositing a thin film consisting of an oxide of the impurity followed by annealing in an oxidizing ambient. The process uses the lower formation energy of silicon dioxide relative to that of the impurity-oxide to create vacancies in silicon carbide and to promote dissociation of the impurity-oxide. The impurity atoms then diffuse from the thin film into the near-surface region of silicon carbide.

  17. A comparison of real-time radiography results and visual characterization results with emphasis on WIPP WAC and TRAMPAC compliance issues

    International Nuclear Information System (INIS)

    Hailey, S.M.

    1994-01-01

    Visual characterization provides a means of confirming the real-time radiography (RTR) certification process and process knowledge. RTR and visual characterization have been conducted on thirty-three drums containing transuranic (TRU) waste in support of the Waste Isolation Pilot Plant (WIPP) Experimental Test Program (WETP) at the Idaho National Engineering Laboratory. Argonne National Laboratory - West (ANL-W) detected a small can of liquid in one of these drums during the visual examination, resulting in a WIPP Waste Acceptance Criteria (WIPP-WAC) miscertification. The remaining thirty-two drums were certified correctly by the RTR system at the Stored Waste Examination Pilot Plant (SWEPP) for WIPP-WAC and TRUPACT-II Authorized Methods for Payload Control (TRAMPAC) requirements. TRAMPAC contains restrictions on the weights of specific materials allowed in the waste, based on the shipping category. Items on the restricted list for a given shipping category are allowed in quantities less than 1 percent of the weight of the waste. RTR can estimate the weights of certain broad categories in homogeneous waste forms, however, the capability to estimate weights at the 1 percent level is not presently realistic. Process knowledge forms the basis of conformance to these weight requirements. Visual characterization suggests process knowledge is not completely adequate at this level

  18. Methods To Determine the Silicone Oil Layer Thickness in Sprayed-On Siliconized Syringes.

    Science.gov (United States)

    Loosli, Viviane; Germershaus, Oliver; Steinberg, Henrik; Dreher, Sascha; Grauschopf, Ulla; Funke, Stefanie

    2018-01-01

    The silicone lubricant layer in prefilled syringes has been investigated with regards to siliconization process performance, prefilled syringe functionality, and drug product attributes, such as subvisible particle levels, in several studies in the past. However, adequate methods to characterize the silicone oil layer thickness and distribution are limited, and systematic evaluation is missing. In this study, white light interferometry was evaluated to close this gap in method understanding. White light interferometry demonstrated a good accuracy of 93-99% for MgF 2 coated, curved standards covering a thickness range of 115-473 nm. Thickness measurements for sprayed-on siliconized prefilled syringes with different representative silicone oil distribution patterns (homogeneous, pronounced siliconization at flange or needle side, respectively) showed high instrument (0.5%) and analyst precision (4.1%). Different white light interferometry instrument parameters (autofocus, protective shield, syringe barrel dimensions input, type of non-siliconized syringe used as base reference) had no significant impact on the measured average layer thickness. The obtained values from white light interferometry applying a fully developed method (12 radial lines, 50 mm measurement distance, 50 measurements points) were in agreement with orthogonal results from combined white and laser interferometry and 3D-laser scanning microscopy. The investigated syringe batches (lot A and B) exhibited comparable longitudinal silicone oil layer thicknesses ranging from 170-190 nm to 90-100 nm from flange to tip and homogeneously distributed silicone layers over the syringe barrel circumference (110- 135 nm). Empty break-loose (4-4.5 N) and gliding forces (2-2.5 N) were comparably low for both analyzed syringe lots. A silicone oil layer thickness of 100-200 nm was thus sufficient for adequate functionality in this particular study. Filling the syringe with a surrogate solution including short

  19. Flat-plate solar array project. Volume 2: Silicon material

    Science.gov (United States)

    Lutwack, R.

    1986-10-01

    The goal of the Silicon Material Task, a part of the Flat Plate Solar Array (FSA) Project, was to develop and demonstate the technology for the low cost production of silicon of suitable purity to be used as the basic material for the manufacture of terrestrial photovoltaic solar cells. Summarized are 11 different processes for the production of silicon that were investigated and developed to varying extent by industrial, university, and Government researchers. The silane production section of the Union Carbide Corp. (UCC) silane process was developed completely in this program. Coupled with Siemens-type chemical vapor deposition reactors, the process was carried through the pilot stage. The overall UCC process involves the conversion of metallurgical-grade silicon to silane followed by decomposition of the silane to purified silicon. The other process developments are described to varying extents. Studies are reported on the effects of impurities in silicon on both silicon-material properties and on solar cell performance. These studies on the effects of impurities yielded extensive information and models for relating specific elemental concentrations to levels of deleterious effects.

  20. Flat-plate solar array project. Volume 2: Silicon material

    Science.gov (United States)

    Lutwack, R.

    1986-01-01

    The goal of the Silicon Material Task, a part of the Flat Plate Solar Array (FSA) Project, was to develop and demonstate the technology for the low cost production of silicon of suitable purity to be used as the basic material for the manufacture of terrestrial photovoltaic solar cells. Summarized are 11 different processes for the production of silicon that were investigated and developed to varying extent by industrial, university, and Government researchers. The silane production section of the Union Carbide Corp. (UCC) silane process was developed completely in this program. Coupled with Siemens-type chemical vapor deposition reactors, the process was carried through the pilot stage. The overall UCC process involves the conversion of metallurgical-grade silicon to silane followed by decomposition of the silane to purified silicon. The other process developments are described to varying extents. Studies are reported on the effects of impurities in silicon on both silicon-material properties and on solar cell performance. These studies on the effects of impurities yielded extensive information and models for relating specific elemental concentrations to levels of deleterious effects.

  1. Preservative loss from silicone tubing during filling processes.

    Science.gov (United States)

    Saller, Verena; Matilainen, Julia; Rothkopf, Christian; Serafin, Daniel; Bechtold-Peters, Karoline; Mahler, Hanns-Christian; Friess, Wolfgang

    2017-03-01

    Significant loss of preservative was observed during filling of drug products during filling line stops. This study evaluated the losses of three commonly used preservatives in protein drugs, i.e. benzyl alcohol, phenol, and m-cresol. Concentration losses during static incubation were quantified and interpreted with regard to the potential driving forces for the underlying sorption, diffusion, and desorption steps. Partitioning from the solution into the silicone polymer was identified as the most decisive parameter for the extent of preservative loss. Additionally, the influence of tubing inner diameter, starting concentration as well as silicone tubing type was evaluated. Theoretical calculations assuming equilibrium between solution and tubing inner surface and one-directional diffusion following Fick's first law were used to approximate experimental data. Since significant losses were found already after few minutes, adequate measures must be taken to avoid deviations during filling of preservative-containing protein solutions that may impact product quality or antimicrobial efficacy. As a possible alternative to the highly permeable silicone tubing, a specific make of fluoropolymer tubing was identified being suitable for peristaltic pumps and not showing any preservative losses. Copyright © 2016 Elsevier B.V. All rights reserved.

  2. Integrated porous-silicon light-emitting diodes: A fabrication process using graded doping profiles

    International Nuclear Information System (INIS)

    Barillaro, G.; Diligenti, A.; Pieri, F.; Fuso, F.; Allegrini, M.

    2001-01-01

    A fabrication process, compatible with an industrial bipolar+complementary metal - oxide - semiconductor (MOS)+diffusion MOS technology, has been developed for the fabrication of efficient porous-silicon-based light-emitting diodes. The electrical contact is fabricated with a double n + /p doping, achieving a high current injection efficiency and thus lower biasing voltages. The anodization is performed as the last step of the process, thus reducing potential incompatibilities with industrial processes. The fabricated devices show yellow-orange electroluminescence, visible with the naked eye in room lighting. A spectral characterization of light emission is presented and briefly discussed. [copyright] 2001 American Institute of Physics

  3. Fabrication and Characterisation of Silicon Waveguides for High-Speed Optical Signal Processing

    DEFF Research Database (Denmark)

    Jensen, Asger Sellerup

    This Ph.D. thesis treats various aspects of silicon photonics. From the limitations of silicon as a linear and nonlinear waveguide medium to its synergy with other waveguide materials. Various methods for reducing sidewall roughness and line edge roughness of silicon waveguides are attempted...... was too high for any practical applications. It is speculated that the attempt at creating a material with low density of dangling bonds was unsuccessful. Nevertheless, linear losses of 2.4dB/cm at 1550nm wavelength in the silicon waveguides remained sufficiently low that high speed nonlinear optical...

  4. 10th Workshop on Crystalline Silicon Solar Cell Materials and Processes: Extended Abstracts and Papers from the Workshop, Copper Mountain Resort; August 14-16, 2000

    Energy Technology Data Exchange (ETDEWEB)

    Sopori, B.L.; Gee, J.; Kalejs, J.; Saitoh, R.; Stavola, M.; Swanson, D.; Tan, T.; Weber, E.; Werner, J.

    2000-08-11

    The 10th Workshop provided a forum for an informal exchange of technical and scientific information between international researchers in the photovoltaic and non-photovoltaic fields. Discussions included the various aspects of impurities and defects in silicon-their properties, the dynamics during device processing, and their application for developing low-cost processes for manufacturing high-efficiency silicon solar cells. Sessions and panel discussions also reviewed thin-film crystalline-silicon PV, advanced cell structures, new processes and process characterization techniques, and future manufacturing requirements to meet the ambitious expansion goals described in the recently released US PV Industry Roadmap. The Workshop also provided an excellent opportunity for researchers in private industry and at universities to recognize a mutual need for future collaborative research. The three-day workshop consisted of presentations by invited speakers, followed by discussion sessions. In addition, there was two poster sessions presenting the latest research and development results. The subjects discussed included: solar cell processing, light-induced degradation, gettering and passivation, crystalline silicon growth, thin-film silicon solar cells, and impurities and defects. Two special sessions featured at this workshop: advanced metallization and interconnections, and characterization methods.

  5. Silicon Processors Using Organically Reconfigurable Techniques (SPORT)

    Science.gov (United States)

    2014-05-19

    AFRL-OSR-VA-TR-2014-0132 SILICON PROCESSORS USING ORGANICALLY RECONFIGURABLE TECHNIQUES ( SPORT ) Dennis Prather UNIVERSITY OF DELAWARE Final Report 05...5a. CONTRACT NUMBER Silicon Processes for Organically Reconfigurable Techniques ( SPORT ) 5b. GRANT NUMBER FA9550-10-1-0363 5c...Contract: Silicon Processes for Organically Reconfigurable Techniques ( SPORT ) Contract #: FA9550-10-1-0363 Reporting Period: 1 July 2010 – 31 December

  6. Graphitized silicon carbide microbeams: wafer-level, self-aligned graphene on silicon wafers

    International Nuclear Information System (INIS)

    Cunning, Benjamin V; Ahmed, Mohsin; Mishra, Neeraj; Kermany, Atieh Ranjbar; Iacopi, Francesca; Wood, Barry

    2014-01-01

    Currently proven methods that are used to obtain devices with high-quality graphene on silicon wafers involve the transfer of graphene flakes from a growth substrate, resulting in fundamental limitations for large-scale device fabrication. Moreover, the complex three-dimensional structures of interest for microelectromechanical and nanoelectromechanical systems are hardly compatible with such transfer processes. Here, we introduce a methodology for obtaining thousands of microbeams, made of graphitized silicon carbide on silicon, through a site-selective and wafer-scale approach. A Ni-Cu alloy catalyst mediates a self-aligned graphitization on prepatterned SiC microstructures at a temperature that is compatible with silicon technologies. The graphene nanocoating leads to a dramatically enhanced electrical conductivity, which elevates this approach to an ideal method for the replacement of conductive metal films in silicon carbide-based MEMS and NEMS devices. (paper)

  7. Characterization of oxygen dimer-enriched silicon detectors

    CERN Document Server

    Boisvert, V; Moll, M; Murin, L I; Pintilie, I

    2005-01-01

    Various types of silicon material and silicon p+n diodes have been treated to increase the concentration of the oxygen dimer (O2i) defect. This was done by exposing the bulk material and the diodes to 6 MeV electrons at a temperature of about 350 °C. FTIR spectroscopy has been performed on the processed material confirming the formation of oxygen dimer defects in Czochralski silicon pieces. We also show results from TSC characterization on processed diodes. Finally, we investigated the influence of the dimer enrichment process on the depletion voltage of silicon diodes and performed 24 GeV/c proton irradiations to study the evolution of the macroscopic diode characteristics as a function of fluence.

  8. Electron beam silicon purification

    Energy Technology Data Exchange (ETDEWEB)

    Kravtsov, Anatoly [SIA ' ' KEPP EU' ' , Riga (Latvia); Kravtsov, Alexey [' ' KEPP-service' ' Ltd., Moscow (Russian Federation)

    2014-11-15

    Purification of heavily doped electronic grade silicon by evaporation of N-type impurities with electron beam heating was investigated in process with a batch weight up to 50 kilos. Effective temperature of the melt, an indicative parameter suitable for purification process characterization was calculated and appeared to be stable for different load weight processes. Purified material was successfully approbated in standard CZ processes of three different companies. Each company used its standard process and obtained CZ monocrystals applicable for photovoltaic application. These facts enable process to be successfully scaled up to commercial volumes (150-300 kg) and yield solar grade silicon. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Neuromorphic photonic networks using silicon photonic weight banks.

    Science.gov (United States)

    Tait, Alexander N; de Lima, Thomas Ferreira; Zhou, Ellen; Wu, Allie X; Nahmias, Mitchell A; Shastri, Bhavin J; Prucnal, Paul R

    2017-08-07

    Photonic systems for high-performance information processing have attracted renewed interest. Neuromorphic silicon photonics has the potential to integrate processing functions that vastly exceed the capabilities of electronics. We report first observations of a recurrent silicon photonic neural network, in which connections are configured by microring weight banks. A mathematical isomorphism between the silicon photonic circuit and a continuous neural network model is demonstrated through dynamical bifurcation analysis. Exploiting this isomorphism, a simulated 24-node silicon photonic neural network is programmed using "neural compiler" to solve a differential system emulation task. A 294-fold acceleration against a conventional benchmark is predicted. We also propose and derive power consumption analysis for modulator-class neurons that, as opposed to laser-class neurons, are compatible with silicon photonic platforms. At increased scale, Neuromorphic silicon photonics could access new regimes of ultrafast information processing for radio, control, and scientific computing.

  10. A Mechanochemical Approach to Porous Silicon Nanoparticles Fabrication

    Directory of Open Access Journals (Sweden)

    Luca De Stefano

    2011-06-01

    Full Text Available Porous silicon samples have been reduced in nanometric particles by a well known industrial mechanical process, the ball grinding in a planetary mill; the process has been extended to crystalline silicon for comparison purposes. The silicon nanoparticles have been studied by X-ray diffraction, infrared spectroscopy, gas porosimetry and transmission electron microscopy. We have estimated crystallites size from about 50 nm for silicon to 12 nm for porous silicon. The specific surface area of the powders analyzed ranges between 100 m2/g to 29 m2/g depending on the milling time, ranging from 1 to 20 h. Electron microscopy confirms the nanometric size of the particles and reveals a porous structure in the powders obtained by porous silicon samples which has been preserved by the fabrication conditions. Chemical functionalization during the milling process by a siloxane compound has also been demonstrated.

  11. Method of fabricating porous silicon carbide (SiC)

    Science.gov (United States)

    Shor, Joseph S. (Inventor); Kurtz, Anthony D. (Inventor)

    1995-01-01

    Porous silicon carbide is fabricated according to techniques which result in a significant portion of nanocrystallites within the material in a sub 10 nanometer regime. There is described techniques for passivating porous silicon carbide which result in the fabrication of optoelectronic devices which exhibit brighter blue luminescence and exhibit improved qualities. Based on certain of the techniques described porous silicon carbide is used as a sacrificial layer for the patterning of silicon carbide. Porous silicon carbide is then removed from the bulk substrate by oxidation and other methods. The techniques described employ a two-step process which is used to pattern bulk silicon carbide where selected areas of the wafer are then made porous and then the porous layer is subsequently removed. The process to form porous silicon carbide exhibits dopant selectivity and a two-step etching procedure is implemented for silicon carbide multilayers.

  12. Application of hydrogen-plasma technology for property modification of silicon and producing the silicon-based structures

    International Nuclear Information System (INIS)

    Fedotov, A.K.; Mazanik, A.V.; Ul'yashin, A.G.; Dzhob, R; Farner, V.R.

    2000-01-01

    Effects of atomic hydrogen on the properties of Czochralski-grown single crystal silicon as well as polycrystalline shaped silicon have been investigated. It was established that the buried defect layers created by high-energy hydrogen or helium ion implantation act as a good getter centers for hydrogen atoms introduced in silicon in the process of hydrogen plasma hydrogenation. Atomic hydrogen was shown to be active as a catalyzer significantly enhancing the rate of thermal donors formation in p-type single crystal silicon. This effect can be used for n-p- and p-n-p-silicon based device structures producing [ru

  13. Plasma monitoring and PECVD process control in thin film silicon-based solar cell manufacturing

    Directory of Open Access Journals (Sweden)

    Gabriel Onno

    2014-02-01

    Full Text Available A key process in thin film silicon-based solar cell manufacturing is plasma enhanced chemical vapor deposition (PECVD of the active layers. The deposition process can be monitored in situ by plasma diagnostics. Three types of complementary diagnostics, namely optical emission spectroscopy, mass spectrometry and non-linear extended electron dynamics are applied to an industrial-type PECVD reactor. We investigated the influence of substrate and chamber wall temperature and chamber history on the PECVD process. The impact of chamber wall conditioning on the solar cell performance is demonstrated.

  14. Method of forming buried oxide layers in silicon

    Science.gov (United States)

    Sadana, Devendra Kumar; Holland, Orin Wayne

    2000-01-01

    A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.

  15. Superacid Passivation of Crystalline Silicon Surfaces.

    Science.gov (United States)

    Bullock, James; Kiriya, Daisuke; Grant, Nicholas; Azcatl, Angelica; Hettick, Mark; Kho, Teng; Phang, Pheng; Sio, Hang C; Yan, Di; Macdonald, Daniel; Quevedo-Lopez, Manuel A; Wallace, Robert M; Cuevas, Andres; Javey, Ali

    2016-09-14

    The reduction of parasitic recombination processes commonly occurring within the silicon crystal and at its surfaces is of primary importance in crystalline silicon devices, particularly in photovoltaics. Here we explore a simple, room temperature treatment, involving a nonaqueous solution of the superacid bis(trifluoromethane)sulfonimide, to temporarily deactivate recombination centers at the surface. We show that this treatment leads to a significant enhancement in optoelectronic properties of the silicon wafer, attaining a level of surface passivation in line with state-of-the-art dielectric passivation films. Finally, we demonstrate its advantage as a bulk lifetime and process cleanliness monitor, establishing its compatibility with large area photoluminescence imaging in the process.

  16. On-chip photonic microsystem for optical signal processing based on silicon and silicon nitride platforms

    Science.gov (United States)

    Li, Yu; Li, Jiachen; Yu, Hongchen; Yu, Hai; Chen, Hongwei; Yang, Sigang; Chen, Minghua

    2018-04-01

    The explosive growth of data centers, cloud computing and various smart devices is limited by the current state of microelectronics, both in terms of speed and heat generation. Benefiting from the large bandwidth, promising low power consumption and passive calculation capability, experts believe that the integrated photonics-based signal processing and transmission technologies can break the bottleneck of microelectronics technology. In recent years, integrated photonics has become increasingly reliable and access to the advanced fabrication process has been offered by various foundries. In this paper, we review our recent works on the integrated optical signal processing system. We study three different kinds of on-chip signal processors and use these devices to build microsystems for the fields of microwave photonics, optical communications and spectrum sensing. The microwave photonics front receiver was demonstrated with a signal processing range of a full-band (L-band to W-band). A fully integrated microwave photonics transceiver without the on-chip laser was realized on silicon photonics covering the signal frequency of up 10 GHz. An all-optical orthogonal frequency division multiplexing (OFDM) de-multiplier was also demonstrated and used for an OFDM communication system with the rate of 64 Gbps. Finally, we show our work on the monolithic integrated spectrometer with a high resolution of about 20 pm at the central wavelength of 1550 nm. These proposed on-chip signal processing systems potential applications in the fields of radar, 5G wireless communication, wearable devices and optical access networks.

  17. Thermal processing of strained silicon-on-insulator for atomically precise silicon device fabrication

    International Nuclear Information System (INIS)

    Lee, W.C.T.; Bishop, N.; Thompson, D.L.; Xue, K.; Scappucci, G.; Cederberg, J.G.; Gray, J.K.; Han, S.M.; Celler, G.K.; Carroll, M.S.; Simmons, M.Y.

    2013-01-01

    Highlights: ► Strained silicon-on-insulator (sSOI) samples were flash-annealed at high temperature under ultra-high vacuum conditions. ► The extend of surface strain relaxation depends on the annealing temperature with no strain relaxation observed below 1020 °C. ► A 2 × 1 reconstructed surface with low defect density can be achieved. ► The annealed sSOI surface shows enhanced step undulations due to the unique energetics caused by surface strain. - Abstract: We investigate the ability to reconstruct strained silicon-on-insulator (sSOI) substrates in ultra-high vacuum for use in atomic scale device fabrication. Characterisation of the starting sSOI substrate using μRaman shows an average tensile strain of 0.8%, with clear strain modulation in a crosshatch pattern across the surface. The surfaces were heated in ultra-high vacuum from temperatures of 900 °C to 1100 °C and subsequently imaged using scanning tunnelling microscopy (STM). The initial strain modulation on the surface is observed to promote silicon migration and the formation of crosshatched surface features whose height and pitch increases with increasing annealing temperature. STM images reveal alternating narrow straight S A steps and triangular wavy S B steps attributed to the spontaneous faceting of S B and preferential adatom attachment on S B under biaxial tensile strain. Raman spectroscopy shows that despite these high temperature anneals no strain relaxation of the substrate is observed up to temperatures of 1020 °C. Above 1100 °C, strain relaxation is evident but is confined to the surface.

  18. CMOS compatible generic batch process towards flexible memory on bulk monocrystalline silicon (100)

    KAUST Repository

    Ghoneim, Mohamed T.

    2014-12-01

    Today\\'s mainstream flexible electronics research is geared towards replacing silicon either totally, by having organic devices on organic substrates, or partially, by transferring inorganic devices onto organic substrates. In this work, we present a pragmatic approach combining the desired flexibility of organic substrates and the ultra-high integration density, inherent in silicon semiconductor industry, to transform bulk/inflexible silicon into an ultra-thin mono-crystalline fabric. We also show the effectiveness of this approach in achieving fully flexible electronic systems. Furthermore, we provide a progress report on fabricating various memory devices on flexible silicon fabric and insights for completely flexible memory modules on silicon fabric.

  19. CMOS compatible generic batch process towards flexible memory on bulk monocrystalline silicon (100)

    KAUST Repository

    Ghoneim, Mohamed T.; Rojas, Jhonathan Prieto; Kutbee, Arwa T.; Hanna, Amir; Hussain, Muhammad Mustafa

    2014-01-01

    Today's mainstream flexible electronics research is geared towards replacing silicon either totally, by having organic devices on organic substrates, or partially, by transferring inorganic devices onto organic substrates. In this work, we present a pragmatic approach combining the desired flexibility of organic substrates and the ultra-high integration density, inherent in silicon semiconductor industry, to transform bulk/inflexible silicon into an ultra-thin mono-crystalline fabric. We also show the effectiveness of this approach in achieving fully flexible electronic systems. Furthermore, we provide a progress report on fabricating various memory devices on flexible silicon fabric and insights for completely flexible memory modules on silicon fabric.

  20. Influence of hydrogen effusion from hydrogenated silicon nitride layers on the regeneration of boron-oxygen related defects in crystalline silicon

    International Nuclear Information System (INIS)

    Wilking, S.; Ebert, S.; Herguth, A.; Hahn, G.

    2013-01-01

    The degradation effect boron doped and oxygen-rich crystalline silicon materials suffer from under illumination can be neutralized in hydrogenated silicon by the application of a regeneration process consisting of a combination of slightly elevated temperature and carrier injection. In this paper, the influence of variations in short high temperature steps on the kinetics of the regeneration process is investigated. It is found that hotter and longer firing steps allowing an effective hydrogenation from a hydrogen-rich silicon nitride passivation layer result in an acceleration of the regeneration process. Additionally, a fast cool down from high temperature to around 550 °C seems to be crucial for a fast regeneration process. It is suggested that high cooling rates suppress hydrogen effusion from the silicon bulk in a temperature range where the hydrogenated passivation layer cannot release hydrogen in considerable amounts. Thus, the hydrogen content of the silicon bulk after the complete high temperature step can be increased resulting in a faster regeneration process. Hence, the data presented here back up the theory that the regeneration process might be a hydrogen passivation of boron-oxygen related defects

  1. Silicon scaffolds promoting three-dimensional neuronal web of cytoplasmic processes.

    Science.gov (United States)

    Papadopoulou, Evie L; Samara, Athina; Barberoglou, Marios; Manousaki, Aleka; Pagakis, Stamatis N; Anastasiadou, Ema; Fotakis, Costas; Stratakis, Emmanuel

    2010-06-01

    Primary neurons were grown on structured silicon (Si) substrates, in the absence of chemotropic factors or synthetic extracellular matrix. The Si substrates used for the study comprise hierarchical structures in the micro- and nanolength scales. The substrates were structured via femtosecond laser irradiation of the Si wafer, in a reactive SF(6) environment. Electron microscopy revealed that the neurons formed an elaborate web of cytoplasmic processes in the absence of glial elements. The neuronal cytoplasm autografted the depth of the spikes, and the neurite sprouting took place over the spikes surface. Here we demonstrate how microfabrication of a Si surface provides an excellent platform for multifaceted studies of neuronal specimens.

  2. Low cost solar array project cell and module formation research area: Process research of non-CZ silicon material

    Science.gov (United States)

    1981-01-01

    Liquid diffusion masks and liquid applied dopants to replace the CVD Silox masking and gaseous diffusion operations specified for forming junctions in the Westinghouse baseline process sequence for producing solar cells from dendritic web silicon were investigated. The baseline diffusion masking and drive processes were compared with those involving direct liquid applications to the dendritic web silicon strips. Attempts were made to control the number of variables by subjecting dendritic web strips cut from a single web crystal to both types of operations. Data generated reinforced earlier conclusions that efficiency levels at least as high as those achieved with the baseline back junction formation process can be achieved using liquid diffusion masks and liquid dopants. The deliveries of dendritic web sheet material and solar cells specified by the current contract were made as scheduled.

  3. Thin film silicon solar cells: advanced processing and characterization - Final report

    Energy Technology Data Exchange (ETDEWEB)

    Ballif, Ch.

    2008-04-15

    This final report elaborated for the Swiss Federal Office of Energy (SFOE) takes a look at the results of a project carried out at the photovoltaics laboratory at the University of Neuchatel in Switzerland. The project aimed to demonstrate the production of high-efficiency thin-film silicon devices on flexible substrates using low cost processes. New ways of improving processing and characterisation are examined. The process and manufacturing know-how necessary to provide support for industrial partners within the framework of further projects is discussed. The authors state that the efficiency of most devices was significantly improved, both on glass substrates and on flexible plastic foils. The process reproducibility was also improved and the interactions between the different layers in the device are now said to be better understood. The report presents the results obtained and discusses substrate materials, transparent conductors, defect analyses and new characterisation tools. Finally, the laboratory infrastructure is described.

  4. Numerical and experimental study of a solid pellet feed continuous Czochralski growth process for silicon single crystals

    Science.gov (United States)

    Anselmo, A.; Prasad, V.; Koziol, J.; Gupta, K. P.

    1993-07-01

    A polysilicon pellets (≅1 mm diameter) feed continuous Czochralski (CCZ) growth process for silicon single crystals is proposed and investigated. Experiments in an industrial puller (14-18 inch diameter crucible) successfully demonstrate the feasibility of this process. The advantages of the proposed scheme are: a steady state growth process, a low aspect ratio melt, uniformity of heat addition and a growth apparatus with single crucible and no baffle(s). The addition of dopant with the solid charge will allow a better control of oxygen concentration leading to crystals of uniform properties and better quality. This paper presents theoretical results on melting of fully and partially immersed silicon spheres and numerical solutions on temperature and flow fields in low aspect ration melts with and without the addition of solid pellets. The theoretical and experimental results obtained thus far show a great promise for the proposed scheme.

  5. Hybrid Integrated Platforms for Silicon Photonics

    Science.gov (United States)

    Liang, Di; Roelkens, Gunther; Baets, Roel; Bowers, John E.

    2010-01-01

    A review of recent progress in hybrid integrated platforms for silicon photonics is presented. Integration of III-V semiconductors onto silicon-on-insulator substrates based on two different bonding techniques is compared, one comprising only inorganic materials, the other technique using an organic bonding agent. Issues such as bonding process and mechanism, bonding strength, uniformity, wafer surface requirement, and stress distribution are studied in detail. The application in silicon photonics to realize high-performance active and passive photonic devices on low-cost silicon wafers is discussed. Hybrid integration is believed to be a promising technology in a variety of applications of silicon photonics.

  6. DOE applied to study the effect of process parameters on silicon spacing in lost foam Al-Si-Cu alloy casting

    International Nuclear Information System (INIS)

    Shayganpour, A; Izman, S; Idris, M H; Jafari, H

    2012-01-01

    Lost foam casting as a relatively new manufacturing process is extensively employed to produce sound complicated castings. In this study, an experimental investigation on lost foam casting of an Al-Si-Cu aluminium cast alloy was conducted. The research was aimed in evaluating the effect of different pouring temperatures, slurry viscosities, vibration durations and sand grain sizes on eutectic silicon spacing of thin-wall castings. A stepped-pattern was used in the study and the focus of the investigations was at the thinnest 3 mm section. A full two-level factorial design experimental technique was used to plan the experiments and afterwards identify the significant factors affecting casting silicon spacing. The results showed that pouring temperature and its interaction with vibration time have pronounced effect on eutectic silicon phase size. Increasing pouring temperature coarsened the eutectic silicon spacing while the higher vibration time diminished coarsening effect. Moreover, no significant effects on silicon spacing were found with variation of sand size and slurry viscosity.

  7. 11th Workshop on Crystalline Silicon Solar Cell Materials and Processes, Extended Abstracts and Papers, 19-22 August 2001, Estes Park, Colorado

    Energy Technology Data Exchange (ETDEWEB)

    Sopori, B.

    2001-08-16

    The 11th Workshop will provide a forum for an informal exchange of technical and scientific information between international researchers in the photovoltaic and non-photovoltaic fields. Discussions will include the various aspects of impurities and defects in silicon--their properties, the dynamics during device processing, and their application for developing low-cost processes for manufacturing high-efficiency silicon solar cells. Sessions and panel discussions will review impurities and defects in crystalline-silicon PV, advanced cell structures, new processes and process characterization techniques, and future manufacturing demands. The workshop will emphasize some of the promising new technologies in Si solar cell fabrication that can lower PV energy costs and meet the throughput demands of the future. The three-day workshop will consist of presentations by invited speakers, followed by discussion sessions. Topics to be discussed are: Si Mechanical properties and Wafer Handling, Advanced Topics in PV Fundamentals, Gettering and Passivation, Impurities and Defects, Advanced Emitters, Crystalline Silicon Growth, and Solar Cell Processing. The workshop will also include presentations by NREL subcontractors who will review the highlights of their research during the current subcontract period. In addition, there will be two poster sessions presenting the latest research and development results. Some presentations will address recent technologies in the microelectronics field that may have a direct bearing on PV.

  8. Efficiency Enhancement of Silicon Solar Cells by Porous Silicon Technology

    Directory of Open Access Journals (Sweden)

    Eugenijus SHATKOVSKIS

    2012-09-01

    Full Text Available Silicon solar cells produced by a usual technology in p-type, crystalline silicon wafer were investigated. The manufactured solar cells were of total thickness 450 mm, the junction depth was of 0.5 mm – 0.7 mm. Porous silicon technologies were adapted to enhance cell efficiency. The production of porous silicon layer was carried out in HF: ethanol = 1 : 2 volume ratio electrolytes, illuminating by 50 W halogen lamps at the time of processing. The etching current was computer-controlled in the limits of (6 ÷ 14 mA/cm2, etching time was set in the interval of (10 ÷ 20 s. The characteristics and performance of the solar cells samples was carried out illuminating by Xenon 5000 K lamp light. Current-voltage characteristic studies have shown that porous silicon structures produced affect the extent of dark and lighting parameters of the samples. Exactly it affects current-voltage characteristic and serial resistance of the cells. It has shown, the formation of porous silicon structure causes an increase in the electric power created of solar cell. Conversion efficiency increases also respectively to the initial efficiency of cell. Increase of solar cell maximum power in 15 or even more percent is found. The highest increase in power have been observed in the spectral range of Dl @ (450 ÷ 850 nm, where ~ 60 % of the A1.5 spectra solar energy is located. It has been demonstrated that porous silicon technology is effective tool to improve the silicon solar cells performance.DOI: http://dx.doi.org/10.5755/j01.ms.18.3.2428

  9. Silicon web process development. [for low cost solar cells

    Science.gov (United States)

    Duncan, C. S.; Hopkins, R. H.; Seidensticker, R. G.; Mchugh, J. P.; Hill, F. E.; Heimlich, M. E.; Driggers, J. M.

    1979-01-01

    Silicon dendritic web, a single crystal ribbon shaped during growth by crystallographic forces and surface tension (rather than dies), is a highly promising base material for efficient low cost solar cells. The form of the product smooth, flexible strips 100 to 200 microns thick, conserves expensive silicon and facilitates automation of crystal growth and the subsequent manufacturing of solar cells. These characteristics, coupled with the highest demonstrated ribbon solar cell efficiency-15.5%-make silicon web a leading candidate to achieve, or better, the 1986 Low Cost Solar Array (LSA) Project cost objective of 50 cents per peak watt of photovoltaic output power. The main objective of the Web Program, technology development to significantly increase web output rate, and to show the feasibility for simultaneous melt replenishment and growth, have largely been accomplished. Recently, web output rates of 23.6 sq cm/min, nearly three times the 8 sq cm/min maximum rate of a year ago, were achieved. Webs 4 cm wide or greater were grown on a number of occassions.

  10. CHARACTERIZATION OF THE ELECTROPHYSICAL PROPERTIES OF SILICON-SILICON DIOXIDE INTERFACE USING PROBE ELECTROMETRY METHODS

    Directory of Open Access Journals (Sweden)

    V. А. Pilipenko

    2017-01-01

    Full Text Available Introduction of submicron design standards into microelectronic industry and a decrease of the gate dielectric thickness raise the importance of the analysis of microinhomogeneities in the silicon-silicon dioxide system. However, there is very little to no information on practical implementation of probe electrometry methods, and particularly scanning Kelvin probe method, in the interoperational control of real semiconductor manufacturing process. The purpose of the study was the development of methods for nondestructive testing of semiconductor wafers based on the determination of electrophysical properties of the silicon-silicon dioxide interface and their spatial distribution over wafer’s surface using non-contact probe electrometry methods.Traditional C-V curve analysis and scanning Kelvin probe method were used to characterize silicon- silicon dioxide interface. The samples under testing were silicon wafers of KEF 4.5 and KDB 12 type (orientation <100>, diameter 100 mm.Probe electrometry results revealed uniform spatial distribution of wafer’s surface potential after its preliminary rapid thermal treatment. Silicon-silicon dioxide electric potential values were also higher after treatment than before it. This potential growth correlates with the drop in interface charge density. At the same time local changes in surface potential indicate changes in surface layer structure.Probe electrometry results qualitatively reflect changes of interface charge density in silicon-silicon dioxide structure during its technological treatment. Inhomogeneities of surface potential distribution reflect inhomogeneity of damaged layer thickness and can be used as a means for localization of interface treatment defects.

  11. Bias-assisted KOH etching of macroporous silicon membranes

    International Nuclear Information System (INIS)

    Mathwig, K; Geilhufe, M; Müller, F; Gösele, U

    2011-01-01

    This paper presents an improved technique to fabricate porous membranes from macroporous silicon as a starting material. A crucial step in the fabrication process is the dissolution of silicon from the backside of the porous wafer by aqueous potassium hydroxide to open up the pores. We improved this step by biasing the silicon wafer electrically against the KOH. By monitoring the current–time characteristics a good control of the process is achieved and the yield is improved. Also, the etching can be stopped instantaneously and automatically by short-circuiting Si and KOH. Moreover, the bias-assisted etching allows for the controlled fabrication of silicon dioxide tube arrays when the silicon pore walls are oxidized and inverted pores are released.

  12. Towards Ordered Silicon Nanostructures through Self-Assembling Mechanisms and Processes

    Directory of Open Access Journals (Sweden)

    R. A. Puglisi

    2015-01-01

    Full Text Available The design and development of innovative architectures for memory storage and energy conversion devices are at the forefront of current research efforts driving us towards a sustainable future. However, issues related to the cost, efficiency, and reliability of current technologies are still severely limiting their overtake of the standard designs. The use of ordered nanostructured silicon is expected to overcome these limitations and push the advancement of the alternative technologies. Specifically, self-assembling of block copolymers has been recognized as a promising and cost-effective approach to organize silicon nanostructures. This work reviews some of the most important findings on block copolymer self-assembling and complements those with the results of new experimental studies. First of all, a quantitative analysis is presented on the ordering and fluctuations expected in the synthesis of silicon nanostructures by using standard synthesis methods like chemical vapour deposition. Then the effects of the several parameters guiding the ordering mechanisms in the block copolymer systems, such as film thickness, molecular weight, annealing conditions, solvent, and substrate topography are discussed. Finally, as a proof of concept, an in-house developed example application to solar cells is presented, based on silicon nanostructures resulting from self-assembling of block copolymers.

  13. Low Cost Solar Array Project. Feasibility of the silane process for producing semiconductor-grade silicon. Final report, October 1975-March 1979

    Energy Technology Data Exchange (ETDEWEB)

    1979-06-01

    The commercial production of low-cost semiconductor-grade silicon is an essential requirement of the JPL/DOE (Department of Energy) Low-Cost Solar Array (LSA) Project. A 1000-metric-ton-per-year commercial facility using the Union Carbide Silane Process will produce molten silicon for an estimated price of $7.56/kg (1975 dollars, private financing), meeting the DOE goal of less than $10/kg. Conclusions and technology status are reported for both contract phases, which had the following objectives: (1) establish the feasibility of Union Carbide's Silane Process for commercial application, and (2) develop an integrated process design for an Experimental Process System Development Unit (EPSDU) and a commercial facility, and estimate the corresponding commercial plant economic performance. To assemble the facility design, the following work was performed: (a) collection of Union Carbide's applicable background technology; (b) design, assembly, and operation of a small integrated silane-producing Process Development Unit (PDU); (c) analysis, testing, and comparison of two high-temperature methods for converting pure silane to silicon metal; and (d) determination of chemical reaction equilibria and kinetics, and vapor-liquid equilibria for chlorosilanes.

  14. Hybrid Integrated Platforms for Silicon Photonics

    Directory of Open Access Journals (Sweden)

    John E. Bowers

    2010-03-01

    Full Text Available A review of recent progress in hybrid integrated platforms for silicon photonics is presented. Integration of III-V semiconductors onto silicon-on-insulator substrates based on two different bonding techniques is compared, one comprising only inorganic materials, the other technique using an organic bonding agent. Issues such as bonding process and mechanism, bonding strength, uniformity, wafer surface requirement, and stress distribution are studied in detail. The application in silicon photonics to realize high-performance active and passive photonic devices on low-cost silicon wafers is discussed. Hybrid integration is believed to be a promising technology in a variety of applications of silicon photonics.

  15. Investigating the Effect of Thermal Annealing Process on the Photovoltaic Performance of the Graphene-Silicon Solar Cell

    Directory of Open Access Journals (Sweden)

    Lifei Yang

    2015-01-01

    Full Text Available Graphene-silicon (Gr-Si Schottky solar cell has attracted much attention recently as promising candidate for low-cost photovoltaic application. For the fabrication of Gr-Si solar cell, the Gr film is usually transferred onto the Si substrate by wet transfer process. However, the impurities induced by this process at the graphene/silicon (Gr/Si interface, such as H2O and O2, degrade the photovoltaic performance of the Gr-Si solar cell. We found that the thermal annealing process can effectively improve the photovoltaic performance of the Gr-Si solar cell by removing these impurities at the Gr/Si interface. More interestingly, the photovoltaic performance of the Gr-Si solar cell can be improved, furthermore, when exposed to air environment after the thermal annealing process. Through investigating the characteristics of the Gr-Si solar cell and the properties of the Gr film (carrier density and sheet resistance, we point out that this phenomenon is caused by the natural doping effect of the Gr film.

  16. Influence of silicon on hot-dip aluminizing process and subsequent oxidation for preparing hydrogen/tritium permeation barrier

    Energy Technology Data Exchange (ETDEWEB)

    Han, Shilei; Li, Hualing; Wang, Shumao; Jiang, Lijun; Liu, Xiaopeng [Energy Materials and Technology Research Institute, General Research Institute for Nonferrous Metals, Beijing 100088 (China)

    2010-04-15

    The development of the International Thermonuclear Experimental Reactor (ITER) requires the production of a material capable of acting as a hydrogen/tritium permeation barrier on low activation steel. It is well known that thin alumina layer can reduce the hydrogen permeation rate by several orders of magnitude. A technology is introduced here to form a ductile Fe/Al intermetallic layer on the steel with an alumina over-layer. This technology, consisting of two main steps, hot-dip aluminizing (HDA) and subsequent oxidation behavior, seems to be a promising coating method to fulfill the required goals. According to the experiments that have been done in pure Al, the coatings were inhomogeneous and too thick. Additionally, a large number of cracks and porous band could be observed. In order to solve these problems, the element silicon was added to the aluminum melt with a nominal composition. The influence of silicon on the aluminizing and following oxidation process was investigated. With the addition of silicon into the aluminum melt, the coating became thinner and more homogeneous. The effort of the silicon on the oxidation behavior was observed as well concerning the suppression of porous band and cracks. (author)

  17. Movable MEMS Devices on Flexible Silicon

    KAUST Repository

    Ahmed, Sally

    2013-05-05

    Flexible electronics have gained great attention recently. Applications such as flexible displays, artificial skin and health monitoring devices are a few examples of this technology. Looking closely at the components of these devices, although MEMS actuators and sensors can play critical role to extend the application areas of flexible electronics, fabricating movable MEMS devices on flexible substrates is highly challenging. Therefore, this thesis reports a process for fabricating free standing and movable MEMS devices on flexible silicon substrates; MEMS flexure thermal actuators have been fabricated to illustrate the viability of the process. Flexure thermal actuators consist of two arms: a thin hot arm and a wide cold arm separated by a small air gap; the arms are anchored to the substrate from one end and connected to each other from the other end. The actuator design has been modified by adding etch holes in the anchors to suit the process of releasing a thin layer of silicon from the bulk silicon substrate. Selecting materials that are compatible with the release process was challenging. Moreover, difficulties were faced in the fabrication process development; for example, the structural layer of the devices was partially etched during silicon release although it was protected by aluminum oxide which is not attacked by the releasing gas . Furthermore, the thin arm of the thermal actuator was thinned during the fabrication process but optimizing the patterning and etching steps of the structural layer successfully solved this problem. Simulation was carried out to compare the performance of the original and the modified designs for the thermal actuators and to study stress and temperature distribution across a device. A fabricated thermal actuator with a 250 μm long hot arm and a 225 μm long cold arm separated by a 3 μm gap produced a deflection of 3 μm before silicon release, however, the fabrication process must be optimized to obtain fully functioning

  18. Silicon nanostructures produced by laser direct etching

    DEFF Research Database (Denmark)

    Müllenborn, Matthias; Dirac, Paul Andreas Holger; Petersen, Jon Wulff

    1995-01-01

    A laser direct-write process has been applied to structure silicon on a nanometer scale. In this process, a silicon substrate, placed in a chlorine ambience, is locally heated above its melting point by a continuous-wave laser and translated by high-resolution direct-current motor stages. Only...

  19. The influence of processing conditions on the microstructure and the mechanical properties of reaction sintered silicon nitride

    International Nuclear Information System (INIS)

    Heinrich, J.

    1979-09-01

    The microstructure of reaction sintered silicon nitride (RBSN) was changed in a wide range of varying green density, grain size of the silicon starting powder, nitriding conditions, and by introducing artificial pores. The influence of single microstructural parameters on mechanical properties like room temperature strength, creep behaviour, and resistance to thermal shock has been investigated. The essential factors influencing these properties were found to be total porosity, pore size distribution, and the fractions of α- and β-Si 3 N 4 . In view of high temperature engineering applications of RBSN possibilities to optimize the material's properties by controlled processing are discussed. (orig.) [de

  20. Low cost solar array project. Cell and module formation research area. Process research of non-CZ silicon material

    Science.gov (United States)

    1983-01-01

    Liquid diffusion masks and liquid dopants to replace the more expensive CVD SiO2 mask and gaseous diffusion processes were investigated. Silicon pellets were prepared in the silicon shot tower; and solar cells were fabricated using web grown where the pellets were used as a replenishment material. Verification runs were made using the boron dopant and liquid diffusion mask materials. The average of cells produced in these runs was 13%. The relationship of sheet resistivity, temperature, gas flows, and gas composition for the diffusion of the P-8 liquid phosphorus solution was investigated. Solar cells processed from web grown from Si shot material were evaluated, and results qualified the use of the material produced in the shot tower for web furnace feed stock.

  1. Porous silicon technology for integrated microsystems

    Science.gov (United States)

    Wallner, Jin Zheng

    With the development of micro systems, there is an increasing demand for integrable porous materials. In addition to those conventional applications, such as filtration, wicking, and insulating, many new micro devices, including micro reactors, sensors, actuators, and optical components, can benefit from porous materials. Conventional porous materials, such as ceramics and polymers, however, cannot meet the challenges posed by micro systems, due to their incompatibility with standard micro-fabrication processes. In an effort to produce porous materials that can be used in micro systems, porous silicon (PS) generated by anodization of single crystalline silicon has been investigated. In this work, the PS formation process has been extensively studied and characterized as a function of substrate type, crystal orientation, doping concentration, current density and surfactant concentration and type. Anodization conditions have been optimized for producing very thick porous silicon layers with uniform pore size, and for obtaining ideal pore morphologies. Three different types of porous silicon materials: meso porous silicon, macro porous silicon with straight pores, and macro porous silicon with tortuous pores, have been successfully produced. Regular pore arrays with controllable pore size in the range of 2mum to 6mum have been demonstrated as well. Localized PS formation has been achieved by using oxide/nitride/polysilicon stack as masking materials, which can withstand anodization in hydrofluoric acid up to twenty hours. A special etching cell with electrolytic liquid backside contact along with two process flows has been developed to enable the fabrication of thick macro porous silicon membranes with though wafer pores. For device assembly, Si-Au and In-Au bonding technologies have been developed. Very low bonding temperature (˜200°C) and thick/soft bonding layers (˜6mum) have been achieved by In-Au bonding technology, which is able to compensate the potentially

  2. Dephosphorization of Levitated Silicon-Iron Droplets for Production of Solar-Grade Silicon

    Science.gov (United States)

    Le, Katherine; Yang, Yindong; Barati, Mansoor; McLean, Alexander

    2018-05-01

    The treatment of relatively inexpensive silicon-iron alloys is a potential refining route in order to generate solar-grade silicon. Phosphorus is one of the more difficult impurity elements to remove by conventional processing. In this study, electromagnetic levitation was used to investigate phosphorus behavior in silicon-iron alloy droplets exposed to H2-Ar gas mixtures under various experimental conditions including, refining time, temperature (1723 K to 1993 K), gas flow rate, iron content, and initial phosphorus concentration in the alloy. Thermodynamic modeling of the dephosphorization reaction permitted prediction of the various gaseous products and indicated that diatomic phosphorus is the dominant species formed.

  3. Amorphous silicon crystalline silicon heterojunction solar cells

    CERN Document Server

    Fahrner, Wolfgang Rainer

    2013-01-01

    Amorphous Silicon/Crystalline Silicon Solar Cells deals with some typical properties of heterojunction solar cells, such as their history, the properties and the challenges of the cells, some important measurement tools, some simulation programs and a brief survey of the state of the art, aiming to provide an initial framework in this field and serve as a ready reference for all those interested in the subject. This book helps to "fill in the blanks" on heterojunction solar cells. Readers will receive a comprehensive overview of the principles, structures, processing techniques and the current developmental states of the devices. Prof. Dr. Wolfgang R. Fahrner is a professor at the University of Hagen, Germany and Nanchang University, China.

  4. 15th Workshop on Crystalline Silicon Solar Cells and Modules: Materials and Processes; Extended Abstracts and Papers

    Energy Technology Data Exchange (ETDEWEB)

    Sopori, B. L.

    2005-11-01

    The National Center for Photovoltaics sponsored the 15th Workshop on Crystalline Silicon Solar Cells & Modules: Materials and Processes, held in Vail, CO, August 7-10, 2005. This meeting provided a forum for an informal exchange of technical and scientific information between international researchers in the photovoltaic and relevant non-photovoltaic fields. The workshop addressed the fundamental properties of PV silicon, new solar cell designs, and advanced solar cell processing techniques. A combination of oral presentations by invited speakers, poster sessions, and discussion sessions reviewed recent advances in crystal growth, new cell designs, new processes and process characterization techniques, and cell fabrication approaches suitable for future manufacturing demands. The theme of this year's meeting was 'Providing the Scientific Basis for Industrial Success.' Specific sessions during the workshop included: Advances in crystal growth and material issues; Impurities and defects in Si; Advanced processing; High-efficiency Si solar cells; Thin Si solar cells; and Cell design for efficiency and reliability module operation. The topic for the Rump Session was ''Si Feedstock: The Show Stopper'' and featured a panel discussion by representatives from various PV companies.

  5. Micro-Raman spectroscopy as a tool for the characterization of silicon carbide in power semiconductor material processing

    Science.gov (United States)

    De Biasio, M.; Kraft, M.; Schultz, M.; Goller, B.; Sternig, D.; Esteve, R.; Roesner, M.

    2017-05-01

    Silicon carbide (SiC) is a wide band-gap semi-conductor material that is used increasingly for high voltage power devices, since it has a higher breakdown field strength and better thermal conductivity than silicon. However, in particular its hardness makes wafer processing difficult and many standard semi-conductor processes have to be specially adapted. We measure the effects of (i) mechanical processing (i.e. grinding of the backside) and (ii) chemical and thermal processing (i.e. doping and annealing), using confocal microscopy to measure the surface roughness of ground wafers and micro-Raman spectroscopy to measure the stresses induced in the wafers by grinding. 4H-SiC wafers with different dopings were studied before and after annealing, using depth-resolved micro-Raman spectroscopy to observe how doping and annealing affect: i.) the damage and stresses induced on the crystalline structure of the samples and ii.) the concentration of free electrical carriers. Our results show that mechanical, chemical and thermal processing techniques have effects on this semiconductor material that can be observed and characterized using confocal microscopy and high resolution micro Raman spectroscopy.

  6. High-density oxidized porous silicon

    International Nuclear Information System (INIS)

    Gharbi, Ahmed; Souifi, Abdelkader; Remaki, Boudjemaa; Halimaoui, Aomar; Bensahel, Daniel

    2012-01-01

    We have studied oxidized porous silicon (OPS) properties using Fourier transform infraRed (FTIR) spectroscopy and capacitance–voltage C–V measurements. We report the first experimental determination of the optimum porosity allowing the elaboration of high-density OPS insulators. This is an important contribution to the research of thick integrated electrical insulators on porous silicon based on an optimized process ensuring dielectric quality (complete oxidation) and mechanical and chemical reliability (no residual pores or silicon crystallites). Through the measurement of the refractive indexes of the porous silicon (PS) layer before and after oxidation, one can determine the structural composition of the OPS material in silicon, air and silica. We have experimentally demonstrated that a porosity approaching 56% of the as-prepared PS layer is required to ensure a complete oxidation of PS without residual silicon crystallites and with minimum porosity. The effective dielectric constant values of OPS materials determined from capacitance–voltage C–V measurements are discussed and compared to FTIR results predictions. (paper)

  7. Using a novel spectroscopic reflectometer to optimize a radiation-hardened submicron silicon-on-sapphire CMOS process

    International Nuclear Information System (INIS)

    Do, N.T.; Zawaideh, E.; Vu, T.Q.; Warren, G.; Mead, D.; Do, N.T.; Li, G.P.; Tsai, C.S.

    1999-01-01

    A radiation-hardened sub-micron silicon-on-sapphire CMOS process is monitored and optimized using a novel optical technique based on spectroscopic reflectometry. Quantitative measurements of the crystal quality, surface roughness, and device radiation hardness show excellent correlation between this technique and the Atomic Force Microscopy. (authors)

  8. Single Side Electrolytic In-Process Dressing (ELID) Grinding with Lapping Kinematics of Silicon Carbide

    Science.gov (United States)

    Khoshaim, Ahmed Bakr

    The demand for Silicon Carbide ceramics (SiC) has increased significantly in the last decade due to its reliable physical and chemical properties. The silicon carbide is widely used for aerospace segments in addition to many uses in the industry. Sometimes, a single side grinding is preferable than conventional grinding, for it has the ability to produce flat ceramics. However, the manufacturing cost is still high because of the high tool wear and long machining time. Part of the solution is to use electrolytic in process dressing (ELID) to reduce the processing time. The study on ELID single side grinding of ceramics has never been attempted before. The study involves four variables with three levels each. One of the variables, which is the eccentricity, is being investigated for the first time on ceramics. A full factorial design, for both the surface roughness and material removal rate, guides to calculate mathematical models that can predict future results. Three grinding wheel mesh sizes are used. An investigation of the influence of different grain size on the results can then be evaluated. The kinematics of the process was studied based on eccentricity in order to optimize the pattern of the diamond grains. The experiment is performed with the assist of the proposed specialized ELID fluid, TRIM C270E.

  9. Fabrication of detectors and transistors on high-resistivity silicon

    International Nuclear Information System (INIS)

    Holland, S.

    1988-06-01

    A new process for the fabrication of silicon p-i-n diode radiation detectors is described. The utilization of backside gettering in the fabrication process results in the actual physical removal of detrimental impurities from critical device regions. This reduces the sensitivity of detector properties to processing variables while yielding low diode reverse-leakage currents. In addition, gettering permits the use of processing temperatures compatible with integrated-circuit fabrication. P-channel MOSFETs and silicon p-i-n diodes have been fabricated simultaneously on 10 kΩ/centerreverse arrowdot/cm silicon using conventional integrated-circuit processing techniques. 25 refs., 5 figs

  10. Construction process and read-out electronics of amorphous silicon position detectors for multipoint alignment monitoring

    Energy Technology Data Exchange (ETDEWEB)

    Koehler, C.; Schubert, M.B.; Lutz, B.; Werner, J.H. [Steinbeis-Transferzentrum fuer Angewandte Photovoltaik und Duennschichttechnik, Stuttgart (Germany); Alberdi, J.; Arce, P.; Barcala, J.M.; Calvo, E. [CIEMAT, Madrid (Spain); Ferrando, A. [CIEMAT, Madrid (Spain)], E-mail: antonio.ferrando@ciemat.es; Josa, M.I.; Molinero, A.; Navarrete, J.; Oller, J.C.; Yuste, C. [CIEMAT, Madrid (Spain); Calderon, A.; Fernandez, M.G.; Gomez, G.; Gonzalez-Sanchez, F.J.; Martinez-Rivero, C.; Matorras, F. [Instituto de Fisica de Cantabria IFCA/CSIC-University of Cantabria, Santander (Spain)] (and others)

    2009-09-01

    We describe the construction process of large-area high-performance transparent amorphous silicon position detecting sensors. Details about the characteristics of the associated local electronic board (LEB), specially designed for these sensors, are given. In addition we report on the performance of a multipoint alignment monitoring application of 12 sensors in a 13 m long light path.

  11. Material Properties of Laser-Welded Thin Silicon Foils

    Directory of Open Access Journals (Sweden)

    M. T. Hessmann

    2013-01-01

    Full Text Available An extended monocrystalline silicon base foil offers a great opportunity to combine low-cost production with high efficiency silicon solar cells on a large scale. By overcoming the area restriction of ingot-based monocrystalline silicon wafer production, costs could be decreased to thin film solar cell range. The extended monocrystalline silicon base foil consists of several individual thin silicon wafers which are welded together. A comparison of three different approaches to weld 50 μm thin silicon foils is investigated here: (1 laser spot welding with low constant feed speed, (2 laser line welding, and (3 keyhole welding. Cross-sections are prepared and analyzed by electron backscatter diffraction (EBSD to reveal changes in the crystal structure at the welding side after laser irradiation. The treatment leads to the appearance of new grains and boundaries. The induced internal stress, using the three different laser welding processes, was investigated by micro-Raman analysis. We conclude that the keyhole welding process is the most favorable to produce thin silicon foils.

  12. Industrial Silicon Wafer Solar Cells

    OpenAIRE

    Neuhaus, Dirk-Holger; Münzer, Adolf

    2007-01-01

    In 2006, around 86% of all wafer-based silicon solar cells were produced using screen printing to form the silver front and aluminium rear contacts and chemical vapour deposition to grow silicon nitride as the antireflection coating onto the front surface. This paper reviews this dominant solar cell technology looking into state-of-the-art equipment and corresponding processes for each process step. The main efficiency losses of this type of solar cell are analyzed to demonstrate the future e...

  13. Interaction between rare-earth ions and amorphous silicon nanoclusters produced at low processing temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Meldrum, A. [Department of Physics, University of Alberta, Edmonton, T6G2J1 (Canada)]. E-mail: ameldrum@ualberta.ca; Hryciw, A. [Department of Physics, University of Alberta, Edmonton, T6G2J1 (Canada); MacDonald, A.N. [Department of Physics, University of Alberta, Edmonton, T6G2J1 (Canada); Blois, C. [Department of Physics, University of Alberta, Edmonton, T6G2J1 (Canada); Clement, T. [Department of Electrical and Computer Engineering, University of Alberta, Edmonton, T6G2V4 (Canada); De Corby, R. [Department of Electrical and Computer Engineering, University of Alberta, Edmonton, T6G2V4 (Canada); Wang, J. [Department of Physics, Chinese University of Hong Kong, Shatin, Hong Kong (China); Li Quan [Department of Physics, Chinese University of Hong Kong, Shatin, Hong Kong (China)

    2006-12-15

    Temperatures of 1000 deg. C and higher are a significant problem for the incorporation of erbium-doped silicon nanocrystal devices into standard silicon technology, and make the fabrication of contacts and reflectors in light emitting devices difficult. In the present work, we use energy-filtered TEM imaging techniques to show the formation of size-controlled amorphous silicon nanoclusters in SiO films annealed between 400 and 500 deg. C. The PL properties of such films are characteristic of amorphous silicon, and the spectrum can be controlled via a statistical size effect-as opposed to quantum confinement-that has previously been proposed for porous amorphous silicon. Finally, we show that amorphous nanoclusters sensitize the luminescence from the rare-earth ions Er, Nd, Yb, and Tm with excitation cross-sections similar in magnitude to erbium-doped silicon nanocrystal composites, and with a similar nonresonant energy transfer mechanism.

  14. Silicon Alloying On Aluminium Based Alloy Surface

    International Nuclear Information System (INIS)

    Suryanto

    2002-01-01

    Silicon alloying on surface of aluminium based alloy was carried out using electron beam. This is performed in order to enhance tribological properties of the alloy. Silicon is considered most important alloying element in aluminium alloy, particularly for tribological components. Prior to silicon alloying. aluminium substrate were painted with binder and silicon powder and dried in a furnace. Silicon alloying were carried out in a vacuum chamber. The Silicon alloyed materials were assessed using some techniques. The results show that silicon alloying formed a composite metal-non metal system in which silicon particles are dispersed in the alloyed layer. Silicon content in the alloyed layer is about 40% while in other place is only 10.5 %. The hardness of layer changes significantly. The wear properties of the alloying alloys increase. Silicon surface alloying also reduced the coefficient of friction for sliding against a hardened steel counter face, which could otherwise be higher because of the strong adhesion of aluminium to steel. The hardness of the silicon surface alloyed material dropped when it underwent a heating cycle similar to the ion coating process. Hence, silicon alloying is not a suitable choice for use as an intermediate layer for duplex treatment

  15. "Silicon millefeuille": From a silicon wafer to multiple thin crystalline films in a single step

    Science.gov (United States)

    Hernández, David; Trifonov, Trifon; Garín, Moisés; Alcubilla, Ramon

    2013-04-01

    During the last years, many techniques have been developed to obtain thin crystalline films from commercial silicon ingots. Large market applications are foreseen in the photovoltaic field, where important cost reductions are predicted, and also in advanced microelectronics technologies as three-dimensional integration, system on foil, or silicon interposers [Dross et al., Prog. Photovoltaics 20, 770-784 (2012); R. Brendel, Thin Film Crystalline Silicon Solar Cells (Wiley-VCH, Weinheim, Germany 2003); J. N. Burghartz, Ultra-Thin Chip Technology and Applications (Springer Science + Business Media, NY, USA, 2010)]. Existing methods produce "one at a time" silicon layers, once one thin film is obtained, the complete process is repeated to obtain the next layer. Here, we describe a technology that, from a single crystalline silicon wafer, produces a large number of crystalline films with controlled thickness in a single technological step.

  16. Strained silicon as a new electro-optic material

    DEFF Research Database (Denmark)

    Jacobsen, Rune Shim; Andersen, Karin Nordström; Borel, Peter Ingo

    2006-01-01

    For decades, silicon has been the material of choice for mass fabrication of electronics. This is in contrast to photonics, where passive optical components in silicon have only recently been realized1, 2. The slow progress within silicon optoelectronics, where electronic and optical...... functionalities can be integrated into monolithic components based on the versatile silicon platform, is due to the limited active optical properties of silicon3. Recently, however, a continuous-wave Raman silicon laser was demonstrated4; if an effective modulator could also be realized in silicon, data...... processing and transmission could potentially be performed by all-silicon electronic and optical components. Here we have discovered that a significant linear electro-optic effect is induced in silicon by breaking the crystal symmetry. The symmetry is broken by depositing a straining layer on top...

  17. Making Porous Luminescent Regions In Silicon Wafers

    Science.gov (United States)

    Fathauer, Robert W.; Jones, Eric W.

    1994-01-01

    Regions damaged by ion implantation stain-etched. Porous regions within single-crystal silicon wafers fabricated by straightforward stain-etching process. Regions exhibit visible photoluminescence at room temperature and might constitute basis of novel class of optoelectronic devices. Stain-etching process has advantages over recently investigated anodic-etching process. Process works on both n-doped and p-doped silicon wafers. Related development reported in article, "Porous Si(x)Ge(1-x) Layers Within Single Crystals of Si," (NPO-18836).

  18. Laser wafering for silicon solar

    International Nuclear Information System (INIS)

    Friedmann, Thomas Aquinas; Sweatt, William C.; Jared, Bradley Howell

    2011-01-01

    Current technology cuts solar Si wafers by a wire saw process, resulting in 50% 'kerf' loss when machining silicon from a boule or brick into a wafer. We want to develop a kerf-free laser wafering technology that promises to eliminate such wasteful wire saw processes and achieve up to a ten-fold decrease in the g/W p (grams/peak watt) polysilicon usage from the starting polysilicon material. Compared to today's technology, this will also reduce costs (∼20%), embodied energy, and green-house gas GHG emissions (∼50%). We will use short pulse laser illumination sharply focused by a solid immersion lens to produce subsurface damage in silicon such that wafers can be mechanically cleaved from a boule or brick. For this concept to succeed, we will need to develop optics, lasers, cleaving, and high throughput processing technologies capable of producing wafers with thicknesses < 50 (micro)m with high throughput (< 10 sec./wafer). Wafer thickness scaling is the 'Moore's Law' of silicon solar. Our concept will allow solar manufacturers to skip entire generations of scaling and achieve grid parity with commercial electricity rates. Yet, this idea is largely untested and a simple demonstration is needed to provide credibility for a larger scale research and development program. The purpose of this project is to lay the groundwork to demonstrate the feasibility of laser wafering. First, to design and procure on optic train suitable for producing subsurface damage in silicon with the required damage and stress profile to promote lateral cleavage of silicon. Second, to use an existing laser to produce subsurface damage in silicon, and third, to characterize the damage using scanning electron microscopy and confocal Raman spectroscopy mapping.

  19. Laser wafering for silicon solar.

    Energy Technology Data Exchange (ETDEWEB)

    Friedmann, Thomas Aquinas; Sweatt, William C.; Jared, Bradley Howell

    2011-03-01

    Current technology cuts solar Si wafers by a wire saw process, resulting in 50% 'kerf' loss when machining silicon from a boule or brick into a wafer. We want to develop a kerf-free laser wafering technology that promises to eliminate such wasteful wire saw processes and achieve up to a ten-fold decrease in the g/W{sub p} (grams/peak watt) polysilicon usage from the starting polysilicon material. Compared to today's technology, this will also reduce costs ({approx}20%), embodied energy, and green-house gas GHG emissions ({approx}50%). We will use short pulse laser illumination sharply focused by a solid immersion lens to produce subsurface damage in silicon such that wafers can be mechanically cleaved from a boule or brick. For this concept to succeed, we will need to develop optics, lasers, cleaving, and high throughput processing technologies capable of producing wafers with thicknesses < 50 {micro}m with high throughput (< 10 sec./wafer). Wafer thickness scaling is the 'Moore's Law' of silicon solar. Our concept will allow solar manufacturers to skip entire generations of scaling and achieve grid parity with commercial electricity rates. Yet, this idea is largely untested and a simple demonstration is needed to provide credibility for a larger scale research and development program. The purpose of this project is to lay the groundwork to demonstrate the feasibility of laser wafering. First, to design and procure on optic train suitable for producing subsurface damage in silicon with the required damage and stress profile to promote lateral cleavage of silicon. Second, to use an existing laser to produce subsurface damage in silicon, and third, to characterize the damage using scanning electron microscopy and confocal Raman spectroscopy mapping.

  20. Seventh workshop on the role of impurities and defects in silicon device processing

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1997-08-01

    This workshop is the latest in a series which has looked at technological issues related to the commercial development and success of silicon based photovoltaic (PV) modules. PV modules based on silicon are the most common at present, but face pressure from other technologies in terms of cell performance and cell cost. This workshop addresses a problem which is a factor in the production costs of silicon based PV modules.

  1. Processing and characterization of diatom nanoparticles and microparticles as potential source of silicon for bone tissue engineering

    Energy Technology Data Exchange (ETDEWEB)

    Le, Thi Duy Hanh [Department of Industrial Engineering, University of Trento, Trento (Italy); BIOtech Research Center and European Institute of Excellence on Tissue Engineering and Regenerative Medicine, Trento (Italy); Bonani, Walter [Department of Industrial Engineering, University of Trento, Trento (Italy); BIOtech Research Center and European Institute of Excellence on Tissue Engineering and Regenerative Medicine, Trento (Italy); Interuniversity Consortium for Science and Technology of Materials, Trento Research Unit, Trento (Italy); Speranza, Giorgio [Center for Materials and Microsystems, PAM-SE, Fondazione Bruno Kessler, Trento (Italy); Sglavo, Vincenzo; Ceccato, Riccardo [Department of Industrial Engineering, University of Trento, Trento (Italy); Maniglio, Devid; Motta, Antonella [Department of Industrial Engineering, University of Trento, Trento (Italy); BIOtech Research Center and European Institute of Excellence on Tissue Engineering and Regenerative Medicine, Trento (Italy); Interuniversity Consortium for Science and Technology of Materials, Trento Research Unit, Trento (Italy); Migliaresi, Claudio, E-mail: claudio.migliaresi@unitn.it [Department of Industrial Engineering, University of Trento, Trento (Italy); BIOtech Research Center and European Institute of Excellence on Tissue Engineering and Regenerative Medicine, Trento (Italy); Interuniversity Consortium for Science and Technology of Materials, Trento Research Unit, Trento (Italy)

    2016-02-01

    Silicon plays an important role in bone formation and maintenance, improving osteoblast cell function and inducing mineralization. Often, bone deformation and long bone abnormalities have been associated with silica/silicon deficiency. Diatomite, a natural deposit of diatom skeleton, is a cheap and abundant source of biogenic silica. The aim of the present study is to validate the potential of diatom particles derived from diatom skeletons as silicon-donor materials for bone tissue engineering applications. Raw diatomite (RD) and calcined diatomite (CD) powders were purified by acid treatments, and diatom microparticles (MPs) and nanoparticles (NPs) were produced by fragmentation of purified diatoms under alkaline conditions. The influence of processing on the surface chemical composition of purified diatomites was evaluated by X-ray photoelectron spectroscopy (XPS). Diatoms NPs were also characterized in terms of morphology and size distribution by transmission electron microscopy (TEM) and Dynamic light scattering (DLS), while diatom MPs morphology was analyzed by scanning electron microscopy (SEM). Surface area and microporosity of the diatom particles were evaluated by nitrogen physisorption methods. Release of silicon ions from diatom-derived particles was demonstrated using inductively coupled plasma optical emission spectrometry (ICP/OES); furthermore, silicon release kinetic was found to be influenced by diatomite purification method and particle size. Diatom-derived microparticles (MPs) and nanoparticles (NPs) showed limited or no cytotoxic effect in vitro depending on the administration conditions. - Highlights: • Diatomite is a natural source of silica and has a potential as silicon-donor for bone regenerative applications. • Diatom particles derived from purified diatom skeletons were prepared by fragmentation under extreme alkaline condition. • Dissolution of diatom particles derived from diatom skeletons in DI water depend on purification method

  2. Development of a Self Aligned CMOS Process for Flash Lamp Annealed Polycrystalline Silicon TFTs

    Science.gov (United States)

    Bischoff, Paul

    The emerging active matrix liquid crystal (AMLCD) display market requires a high performing semiconductor material to meet rising standards of operation. Currently amorphous silicon (a-Si) dominates the market but it does not have the required mobility for it to be used in AMLCD manufacturing. Other materials have been developed including crystallizing a-Si into poly-silicon. A new approach to crystallization through the use of flash lamp annealing (FLA) decreases manufacturing time and greatly improves carrier mobility. Previous work on FLA silicon for the use in CMOS transistors revealed significant lateral dopant diffusion into the channel greatly increasing the minimum channel length required for a working device. This was further confounded by the gate overlap due to misalignment during lithography patterning steps. Through the use of furnace dopant activation instead of FLA dopant activation and a self aligned gate the minimum size transistor can be greatly reduced. A new lithography mask and process flow were developed for the furnace annealing and self aligned gate. Fabrication of the self aligned devices resulted in oxidation of the Molybdenum self aligned gate. Further development is needed to successfully manufacture these devices. Non-self aligned transistors were made simultaneously with self aligned devices and used the furnace activation. These devices showed an increase in sheet resistance from 250 O to 800 O and lower mobility from 380 to 40.2 V/cm2s. The lower mobility can be contributed to an increase in implanted trap density indicating furnace annealing is an inferior activation method over FLA. The minimum transistor size however was reduced from 20 to 5 mum. With improvements in the self aligned process high performing small devices can be manufactured.

  3. Inverse Raman scattering in silicon: A free-carrier enhanced effect

    International Nuclear Information System (INIS)

    Solli, D. R.; Koonath, P.; Jalali, B.

    2009-01-01

    Stimulated Raman scattering has been harnessed to produce the first silicon lasers and amplifiers. The Raman effect can also produce intensity-dependent nonlinear loss through a corollary process, inverse Raman scattering (IRS). This process has never been observed in a semiconductor. We demonstrate IRS in silicon--a process that is substantially modified by optically generated free carriers--achieving attenuation levels >15 dB with a pump intensity of 4 GW/cm 2 . Surprisingly, free-carrier absorption, the detrimental effect that generally suppresses nonlinear effects in silicon, actually facilitates IRS by delaying the onset of contamination from coherent anti-Stokes Raman scattering. Silicon-based IRS could be a valuable tool for chip-scale signal processing.

  4. N-Type delta Doping of High-Purity Silicon Imaging Arrays

    Science.gov (United States)

    Blacksberg, Jordana; Hoenk, Michael; Nikzad, Shouleh

    2005-01-01

    A process for n-type (electron-donor) delta doping has shown promise as a means of modifying back-illuminated image detectors made from n-doped high-purity silicon to enable them to detect high-energy photons (ultraviolet and x-rays) and low-energy charged particles (electrons and ions). This process is applicable to imaging detectors of several types, including charge-coupled devices, hybrid devices, and complementary metal oxide/semiconductor detector arrays. Delta doping is so named because its density-vs.-depth characteristic is reminiscent of the Dirac delta function (impulse function): the dopant is highly concentrated in a very thin layer. Preferably, the dopant is concentrated in one or at most two atomic layers in a crystal plane and, therefore, delta doping is also known as atomic-plane doping. The use of doping to enable detection of high-energy photons and low-energy particles was reported in several prior NASA Tech Briefs articles. As described in more detail in those articles, the main benefit afforded by delta doping of a back-illuminated silicon detector is to eliminate a "dead" layer at the back surface of the silicon wherein high-energy photons and low-energy particles are absorbed without detection. An additional benefit is that the delta-doped layer can serve as a back-side electrical contact. Delta doping of p-type silicon detectors is well established. The development of the present process addresses concerns specific to the delta doping of high-purity silicon detectors, which are typically n-type. The present process involves relatively low temperatures, is fully compatible with other processes used to fabricate the detectors, and does not entail interruption of those processes. Indeed, this process can be the last stage in the fabrication of an imaging detector that has, in all other respects, already been fully processed, including metallized. This process includes molecular-beam epitaxy (MBE) for deposition of three layers, including

  5. Fabrication of a silicon oxide stamp by edge lithography reinforced with silicon nitride for nanoimprint lithography

    NARCIS (Netherlands)

    Zhao, Yiping; Berenschot, Johan W.; de Boer, M.; de Boer, Meint J.; Jansen, Henricus V.; Tas, Niels Roelof; Huskens, Jurriaan; Elwenspoek, Michael Curt

    2008-01-01

    The fabrication of a stamp reinforced with silicon nitride is presented for its use in nanoimprint lithography. The fabrication process is based on edge lithography using conventional optical lithography and wet anisotropic etching of 110 silicon wafers. SiO2 nano-ridges of 20 nm in width were

  6. Influence of Chemical Composition and Structure in Silicon Dielectric Materials on Passivation of Thin Crystalline Silicon on Glass.

    Science.gov (United States)

    Calnan, Sonya; Gabriel, Onno; Rothert, Inga; Werth, Matteo; Ring, Sven; Stannowski, Bernd; Schlatmann, Rutger

    2015-09-02

    In this study, various silicon dielectric films, namely, a-SiOx:H, a-SiNx:H, and a-SiOxNy:H, grown by plasma enhanced chemical vapor deposition (PECVD) were evaluated for use as interlayers (ILs) between crystalline silicon and glass. Chemical bonding analysis using Fourier transform infrared spectroscopy showed that high values of oxidant gases (CO2 and/or N2), added to SiH4 during PECVD, reduced the Si-H and N-H bond density in the silicon dielectrics. Various three layer stacks combining the silicon dielectric materials were designed to minimize optical losses between silicon and glass in rear side contacted heterojunction pn test cells. The PECVD grown silicon dielectrics retained their functionality despite being subjected to harsh subsequent processing such as crystallization of the silicon at 1414 °C or above. High values of short circuit current density (Jsc; without additional hydrogen passivation) required a high density of Si-H bonds and for the nitrogen containing films, additionally, a high N-H bond density. Concurrently high values of both Jsc and open circuit voltage Voc were only observed when [Si-H] was equal to or exceeded [N-H]. Generally, Voc correlated with a high density of [Si-H] bonds in the silicon dielectric; otherwise, additional hydrogen passivation using an active plasma process was required. The highest Voc ∼ 560 mV, for a silicon acceptor concentration of about 10(16) cm(-3), was observed for stacks where an a-SiOxNy:H film was adjacent to the silicon. Regardless of the cell absorber thickness, field effect passivation of the buried silicon surface by the silicon dielectric was mandatory for efficient collection of carriers generated from short wavelength light (in the vicinity of the glass-Si interface). However, additional hydrogen passivation was obligatory for an increased diffusion length of the photogenerated carriers and thus Jsc in solar cells with thicker absorbers.

  7. Silicon radiation detectors: materials and applications

    International Nuclear Information System (INIS)

    Walton, J.T.; Haller, E.E.

    1982-10-01

    Silicon nuclear radiation detectors are available today in a large variety of sizes and types. This profusion has been made possible by the ever increasing quality and diameter silicon single crystals, new processing technologies and techniques, and innovative detector design. The salient characteristics of the four basic detector groups, diffused junction, ion implanted, surface barrier, and lithium drift are reviewed along with the silicon crystal requirements. Results of crystal imperfections detected by lithium ion compensation are presented. Processing technologies and techniques are described. Two recent novel position-sensitive detector designs are discussed - one in high-energy particle track reconstruction and the other in x-ray angiography. The unique experimental results obtained with these devices are presented

  8. Reduction of absorption loss in multicrystalline silicon via combination of mechanical grooving and porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Ben Rabha, Mohamed; Mohamed, Seifeddine Belhadj; Dimassi, Wissem; Gaidi, Mounir; Ezzaouia, Hatem; Bessais, Brahim [Laboratoire de Photovoltaique, Centre de Recherches et des Technologies de l' Energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia)

    2011-03-15

    Surface texturing of silicon wafer is a key step to enhance light absorption and to improve the solar cell performances. While alkaline-texturing of single crystalline silicon wafers was well established, no efficient chemical solution has been successfully developed for multicrystalline silicon wafers. Thus, the use of alternative new methods for effective texturization of multicrystalline silicon is worth to be investigated. One of the promising texturing techniques of multicrystalline silicon wafers is the use of mechanical grooves. However, most often, physical damages occur during mechanical grooves of the wafer surface, which in turn require an additional step of wet processing-removal damage. Electrochemical surface treatment seems to be an adequate solution for removing mechanical damage throughout porous silicon formation. The topography of untreated and porous silicon-treated mechanically textured surface was investigated using scanning electron microscopy (SEM). As a result of the electrochemical surface treatment, the total reflectivity drops to about 5% in the 400-1000 nm wavelength range and the effective minority carrier diffusion length enhances from 190 {mu}m to about 230 {mu}m (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Photoluminescence and electrical properties of silicon oxide and silicon nitride superlattices containing silicon nanocrystals

    International Nuclear Information System (INIS)

    Shuleiko, D V; Ilin, A S

    2016-01-01

    Photoluminescence and electrical properties of superlattices with thin (1 to 5 nm) alternating silicon-rich silicon oxide or silicon-rich silicon nitride, and silicon oxide or silicon nitride layers containing silicon nanocrystals prepared by plasma-enhanced chemical vapor deposition with subsequent annealing were investigated. The entirely silicon oxide based superlattices demonstrated photoluminescence peak shift due to quantum confinement effect. Electrical measurements showed the hysteresis effect in the vicinity of zero voltage due to structural features of the superlattices from SiOa 93 /Si 3 N 4 and SiN 0 . 8 /Si 3 N 4 layers. The entirely silicon nitride based samples demonstrated resistive switching effect, comprising an abrupt conductivity change at about 5 to 6 V with current-voltage characteristic hysteresis. The samples also demonstrated efficient photoluminescence with maximum at ∼1.4 eV, due to exiton recombination in silicon nanocrystals. (paper)

  10. Electroless porous silicon formation applied to fabrication of boron-silica-glass cantilevers

    DEFF Research Database (Denmark)

    Teva, Jordi; Davis, Zachary James; Hansen, Ole

    2010-01-01

    This work describes the characterization and optimization of anisotropic formation of porous silicon in large volumes (0.5-1 mm3) of silicon by an electroless wet etching technique. The main goal is to use porous silicon as a sacrificial volume for bulk micromachining processes, especially in cases...... where etching of the full wafer thickness is needed. The porous silicon volume is formed by a metal-assisted etching in a wet chemical solution composed of hydrogen peroxide (30%), hydrofluoric acid (40%) and ethanol. This paper focuses on optimizing the etching conditions in terms of maximizing...... for bio-chemical sensors. The porous silicon volume is formed in an early step of the fabrication process, allowing easy handling of the wafer during all of the micromachining processes in the process flow. In the final process step, the porous silicon is quickly etched by immersing the wafer in a KOH...

  11. Process research of non-cz silicon material. Low cost solar array project, cell and module formation research area

    Science.gov (United States)

    1982-01-01

    Liquid diffusion masks and liquid applied dopants to replace the CVD Silox masking and gaseous diffusion operations specified for forming junctions in the Westinghouse baseline process sequence for producing solar cells from dendritic web silicon were investigated.

  12. Quantum mechanical theory of epitaxial transformation of silicon to silicon carbide

    International Nuclear Information System (INIS)

    Kukushkin, S A; Osipov, A V

    2017-01-01

    The paper focuses on the study of transformation of silicon crystal into silicon carbide crystal via substitution reaction with carbon monoxide gas. As an example, the Si(1 0 0) surface is considered. The cross section of the potential energy surface of the first stage of transformation along the reaction pathway is calculated by the method of nudged elastic bands. It is found that in addition to intermediate states associated with adsorption of CO and SiO molecules on the surface, there is also an intermediate state in which all the atoms are strongly bonded to each other. This intermediate state significantly reduces the activation barrier of transformation down to 2.6 eV. The single imaginary frequencies corresponding to the two transition states of this transformation are calculated, one of which is reactant-like, whereas the other is product-like. By methods of quantum chemistry of solids, the second stage of this transformation is described, namely, the transformation of precarbide silicon into silicon carbide. Energy reduction per one cell is calculated for this ‘collapse’ process, and bond breaking energy is also found. Hence, it is concluded that the smallest size of the collapsing islet is 30 nm. It is shown that the chemical bonds of the initial silicon crystal are coordinately replaced by the bonds between Si and C in silicon carbide, which leads to a high quality of epitaxy and a low concentration of misfit dislocations. (paper)

  13. Lifetime of Nano-Structured Black Silicon for Photovoltaic Applications

    DEFF Research Database (Denmark)

    Plakhotnyuk, Maksym; Davidsen, Rasmus Schmidt; Schmidt, Michael Stenbæk

    2016-01-01

    In this work, we present recent results of lifetime optimization for nano-structured black silicon and its photovoltaic applications. Black silicon nano-structures provide significant reduction of silicon surface reflection due to highly corrugated nanostructures with excellent light trapping pro......, respectively. This is promising for use of black silicon RIE nano-structuring in a solar cell process flow......In this work, we present recent results of lifetime optimization for nano-structured black silicon and its photovoltaic applications. Black silicon nano-structures provide significant reduction of silicon surface reflection due to highly corrugated nanostructures with excellent light trapping...

  14. 18th Workshop on Crystalline Silicon Solar Cells and Modules: Materials and Processes; Workshop Proceedings, 3-6 August 2008, Vail, Colorado

    Energy Technology Data Exchange (ETDEWEB)

    Sopori, B. L.

    2008-09-01

    The National Center for Photovoltaics sponsored the 18th Workshop on Crystalline Silicon Solar Cells & Modules: Materials and Processes, held in Vail, CO, August 3-6, 2008. This meeting provided a forum for an informal exchange of technical and scientific information between international researchers in the photovoltaic and relevant non-photovoltaic fields. The theme of this year's meeting was 'New Directions for Rapidly Growing Silicon Technologies.'

  15. Development of an SU-8 MEMS process with two metal electrodes using amorphous silicon as a sacrificial material

    KAUST Repository

    Ramadan, Khaled S.

    2013-02-08

    This work presents an SU-8 surface micromachining process using amorphous silicon as a sacrificial material, which also incorporates two metal layers for electrical excitation. SU-8 is a photo-patternable polymer that is used as a structural layer for MEMS and microfluidic applications due to its mechanical properties, biocompatibility and low cost. Amorphous silicon is used as a sacrificial layer in MEMS applications because it can be deposited in large thicknesses, and can be released in a dry method using XeF2, which alleviates release-based stiction problems related to MEMS applications. In this work, an SU-8 MEMS process was developed using ;-Si as a sacrificial layer. Two conductive metal electrodes were integrated in this process to allow out-of-plane electrostatic actuation for applications like MEMS switches and variable capacitors. In order to facilitate more flexibility for MEMS designers, the process can fabricate dimples that can be conductive or nonconductive. Additionally, this SU-8 process can fabricate SU-8 MEMS structures of a single layer of two different thicknesses. Process parameters were optimized for two sets of thicknesses: thin (5-10 m) and thick (130 m). The process was tested fabricating MEMS switches, capacitors and thermal actuators. © 2013 IOP Publishing Ltd.

  16. Naturally occurring 32 Si and low-background silicon dark matter detectors

    Energy Technology Data Exchange (ETDEWEB)

    Orrell, John L.; Arnquist, Isaac J.; Bliss, Mary; Bunker, Raymond; Finch, Zachary S.

    2018-05-01

    The naturally occurring radioisotope Si-32 represents a potentially limiting background in future dark matter direct-detection experiments. We investigate sources of Si-32 and the vectors by which it comes to reside in silicon crystals used for fabrication of radiation detectors. We infer that the Si-32 concentration in commercial single-crystal silicon is likely variable, dependent upon the specific geologic and hydrologic history of the source (or sources) of silicon “ore” and the details of the silicon-refinement process. The silicon production industry is large, highly segmented by refining step, and multifaceted in terms of final product type, from which we conclude that production of Si-32-mitigated crystals requires both targeted silicon material selection and a dedicated refinement-through-crystal-production process. We review options for source material selection, including quartz from an underground source and silicon isotopically reduced in Si-32. To quantitatively evaluate the Si-32 content in silicon metal and precursor materials, we propose analytic methods employing chemical processing and radiometric measurements. Ultimately, it appears feasible to produce silicon-based detectors with low levels of Si-32, though significant assay method development is required to validate this claim and thereby enable a quality assurance program during an actual controlled silicon-detector production cycle.

  17. Naturally occurring 32Si and low-background silicon dark matter detectors

    Science.gov (United States)

    Orrell, John L.; Arnquist, Isaac J.; Bliss, Mary; Bunker, Raymond; Finch, Zachary S.

    2018-05-01

    The naturally occurring radioisotope 32Si represents a potentially limiting background in future dark matter direct-detection experiments. We investigate sources of 32Si and the vectors by which it comes to reside in silicon crystals used for fabrication of radiation detectors. We infer that the 32Si concentration in commercial single-crystal silicon is likely variable, dependent upon the specific geologic and hydrologic history of the source (or sources) of silicon "ore" and the details of the silicon-refinement process. The silicon production industry is large, highly segmented by refining step, and multifaceted in terms of final product type, from which we conclude that production of 32Si-mitigated crystals requires both targeted silicon material selection and a dedicated refinement-through-crystal-production process. We review options for source material selection, including quartz from an underground source and silicon isotopically reduced in 32Si. To quantitatively evaluate the 32Si content in silicon metal and precursor materials, we propose analytic methods employing chemical processing and radiometric measurements. Ultimately, it appears feasible to produce silicon detectors with low levels of 32Si, though significant assay method development is required to validate this claim and thereby enable a quality assurance program during an actual controlled silicon-detector production cycle.

  18. Black Silicon formation using dry etching for solar cells applications

    International Nuclear Information System (INIS)

    Murias, D.; Reyes-Betanzo, C.; Moreno, M.; Torres, A.; Itzmoyotl, A.; Ambrosio, R.; Soriano, M.; Lucas, J.; Cabarrocas, P. Roca i

    2012-01-01

    A study on the formation of Black Silicon on crystalline silicon surface using SF 6 /O 2 and SF 6 /O 2 /CH 4 based plasmas in a reactive ion etching (RIE) system is presented. The effect of the RF power, chamber pressure, process time, gas flow rates, and gas mixtures on the texture of silicon surface has been analyzed. Completely Black Silicon surfaces containing pyramid like structures have been obtained, using an optimized mask-free plasma process. Moreover, the Black Silicon surfaces have demonstrated average values of 1% and 4% for specular and diffuse reflectance respectively, feature that is suitable for the fabrication of low cost solar cells.

  19. Densification of silicon and zirconium carbides by a new process: spark plasma sintering

    International Nuclear Information System (INIS)

    Guillard, F.

    2006-12-01

    Materials research for suitable utilization in 4. generation nuclear plants needs new ways to densify testing components. Two carbides, silicon and zirconium carbide seems to be the most suitable choice due to their mechanical, thermal and neutron-transparency properties against next nuclear plant specifications. Nevertheless one main difficulty remains, which is densifying them even at high temperature. Spark Plasma Sintering a new metal-, ceramic- and composite-sintering process has been used to densify both SiC and ZrC. Understanding bases of mass transport mechanisms in SPS have been studied. Composites and interfaces have been processed and analyzed. This manuscript reports original results on SiC and ZrC ceramics sintered with commercial powder started, without additives. (author)

  20. Phosphorous Doping of Nanostructured Crystalline Silicon

    DEFF Research Database (Denmark)

    Plakhotnyuk, Maksym; Davidsen, Rasmus Schmidt; Steckel, André

    Nano-textured silicon, known as black silicon (bSi), is attractive with excellent photon trapping properties. bSi can be produced using simple one-step fabrication reactive ion etching (RIE) technique. However, in order to use bSi in photovoltaics doping process should be developed. Due to high s...

  1. Development of deep silicon plasma etching for 3D integration technology

    Directory of Open Access Journals (Sweden)

    Golishnikov А. А.

    2014-02-01

    Full Text Available Plasma etch process for thought-silicon via (TSV formation is one of the most important technological operations in the field of metal connections creation between stacked circuits in 3D assemble technology. TSV formation strongly depends on parameters such as Si-wafer thickness, aspect ratio, type of metallization material, etc. The authors investigate deep silicon plasma etch process for formation of TSV with controllable profile. The influence of process parameters on plasma etch rate, silicon etch selectivity to photoresist and the structure profile are researched in this paper. Technology with etch and passivation steps alternation was used as a method of deep silicon plasma etching. Experimental tool «Platrane-100» with high-density plasma reactor based on high-frequency ion source with transformer coupled plasma was used for deep silicon plasma etching. As actuation gases for deep silicon etching were chosen the following gases: SF6 was used for the etch stage and CHF3 was applied on the polymerization stage. As a result of research, the deep plasma etch process has been developed with the following parameters: silicon etch rate 6 µm/min, selectivity to photoresist 60 and structure profile 90±2°. This process provides formation of TSV 370 µm deep and about 120 µm in diameter.

  2. 3D active edge silicon sensors: Device processing, yield and QA for the ATLAS-IBL production

    Energy Technology Data Exchange (ETDEWEB)

    Da Vià, Cinzia; Boscardil, Maurizio; Dalla Betta, GianFranco; Darbo, Giovanni; Fleta, Celeste; Gemme, Claudia; Giacomini, Gabriele; Grenier, Philippe; Grinstein, Sebastian; Hansen, Thor-Erik; Hasi, Jasmine; Kenney, Christopher; Kok, Angela; La Rosa, Alessandro; Micelli, Andrea; Parker, Sherwood; Pellegrini, Giulio; Pohl, David-Leon; Povoli, Marco; Vianello, Elisa; Zorzi, Nicola; Watts, S. J.

    2013-01-01

    3D silicon sensors, where plasma micromachining is used to etch deep narrow apertures in the silicon substrate to form electrodes of PIN junctions, were successfully manufactured in facilities in Europe and USA. In 2011 the technology underwent a qualification process to establish its maturity for a medium scale production for the construction of a pixel layer for vertex detection, the Insertable B-Layer (IBL) at the CERN-LHC ATLAS experiment. The IBL collaboration, following that recommendation from the review panel, decided to complete the production of planar and 3D sensors and endorsed the proposal to build enough modules for a mixed IBL sensor scenario where 25% of 3D modules populate the forward and backward part of each stave. The production of planar sensors will also allow coverage of 100% of the IBL, in case that option was required. This paper will describe the processing strategy which allowed successful 3D sensor production, some of the Quality Assurance (QA) tests performed during the pre-production phase and the production yield to date.

  3. 3D active edge silicon sensors: Device processing, yield and QA for the ATLAS-IBL production

    Energy Technology Data Exchange (ETDEWEB)

    Da Vià, Cinzia, E-mail: cinzia.da.via@cern.ch [School of Physics and Astronomy, The University of Manchester, Oxford Road, M13 9PL Manchester (United Kingdom); Boscardil, Maurizio [Fondazione Bruno Kessler, FBK-CMM, Via Sommarive 18, I-38123 Trento (Italy); Dalla Betta, GianFranco [DISI, Università degli Studi di Trento and INFN, Via Sommarive 14, I-38123 Trento (Italy); Darbo, Giovanni [INFN Sezione di Genova, Via Dodecaneso 33, I-14146 Genova (Italy); Fleta, Celeste [Centro Nacional de Microelectronica, CNM-IMB (CSIC), Barcelona E-08193 (Spain); Gemme, Claudia [INFN Sezione di Genova, Via Dodecaneso 33, I-14146 Genova (Italy); Giacomini, Gabriele [Fondazione Bruno Kessler, FBK-CMM, Via Sommarive 18, I-38123 Trento (Italy); Grenier, Philippe [SLAC National Accelerator Laboratory, 2575 Sand Hill Rd, Menlo Park, CA 94025 (United States); Grinstein, Sebastian [Institut de Fisica d' Altes Energies (IFAE) and ICREA, Universitat Autonoma de Barcelona (UAB) E-08193, Bellaterra, Barcelona (Spain); Hansen, Thor-Erik [SINTEF MiNaLab, Blindern, N-0314 Oslo (Norway); Hasi, Jasmine; Kenney, Christopher [SLAC National Accelerator Laboratory, 2575 Sand Hill Rd, Menlo Park, CA 94025 (United States); Kok, Angela [SINTEF MiNaLab, Blindern, N-0314 Oslo (Norway); La Rosa, Alessandro [CERN CH 1211, Geneva 23 (Switzerland); Micelli, Andrea [Tne University of Udine and INFN, via del Cotonificio 108, 33100 Udine (Italy); Parker, Sherwood [University of Hawaii, c/o Lawrence Berkeley Laboratory, Berkeley, CA 94720 (United States); Pellegrini, Giulio [Centro Nacional de Microelectronica, CNM-IMB (CSIC), Barcelona E-08193 (Spain); Pohl, David-Leon [Physikalisches Institut der Universität Bonn, Nußallee 12 D-53115, Bonn, Federal Republic of Germany (Germany); Povoli, Marco [DISI, Università degli Studi di Trento and INFN, Via Sommarive 14, I-38123 Trento (Italy); and others

    2013-01-21

    3D silicon sensors, where plasma micromachining is used to etch deep narrow apertures in the silicon substrate to form electrodes of PIN junctions, were successfully manufactured in facilities in Europe and USA. In 2011 the technology underwent a qualification process to establish its maturity for a medium scale production for the construction of a pixel layer for vertex detection, the Insertable B-Layer (IBL) at the CERN-LHC ATLAS experiment. The IBL collaboration, following that recommendation from the review panel, decided to complete the production of planar and 3D sensors and endorsed the proposal to build enough modules for a mixed IBL sensor scenario where 25% of 3D modules populate the forward and backward part of each stave. The production of planar sensors will also allow coverage of 100% of the IBL, in case that option was required. This paper will describe the processing strategy which allowed successful 3D sensor production, some of the Quality Assurance (QA) tests performed during the pre-production phase and the production yield to date.

  4. Excellent Silicon Surface Passivation Achieved by Industrial Inductively Coupled Plasma Deposited Hydrogenated Intrinsic Amorphous Silicon Suboxide

    Directory of Open Access Journals (Sweden)

    Jia Ge

    2014-01-01

    Full Text Available We present an alternative method of depositing a high-quality passivation film for heterojunction silicon wafer solar cells, in this paper. The deposition of hydrogenated intrinsic amorphous silicon suboxide is accomplished by decomposing hydrogen, silane, and carbon dioxide in an industrial remote inductively coupled plasma platform. Through the investigation on CO2 partial pressure and process temperature, excellent surface passivation quality and optical properties are achieved. It is found that the hydrogen content in the film is much higher than what is commonly reported in intrinsic amorphous silicon due to oxygen incorporation. The observed slow depletion of hydrogen with increasing temperature greatly enhances its process window as well. The effective lifetime of symmetrically passivated samples under the optimal condition exceeds 4.7 ms on planar n-type Czochralski silicon wafers with a resistivity of 1 Ωcm, which is equivalent to an effective surface recombination velocity of less than 1.7 cms−1 and an implied open-circuit voltage (Voc of 741 mV. A comparison with several high quality passivation schemes for solar cells reveals that the developed inductively coupled plasma deposited films show excellent passivation quality. The excellent optical property and resistance to degradation make it an excellent substitute for industrial heterojunction silicon solar cell production.

  5. Method of production of hollow silicon nitride articles

    International Nuclear Information System (INIS)

    Parr, N.L.; Brown, R.L.

    1971-01-01

    The hollow articles prepared according to the invention have a high density, exhibit no internal stresses and correspond to high demands of tolerance and surface quality. One obtains these by flame spraying silicon powder on a pre-heated form designed with separating agent - e.g. NaCl. After removing the form, the silicon is nitridated to silicon nitride by heating in N 2 or in an atmosphere of ammonia. This process can be interrupted if the article is also to be mechanically processed, and then the nitridation can be completed. (Hoe/LH) [de

  6. Subsurface damage mechanism of high speed grinding process in single crystal silicon revealed by atomistic simulations

    International Nuclear Information System (INIS)

    Li, Jia; Fang, Qihong; Zhang, Liangchi; Liu, Youwen

    2015-01-01

    Highlights: • Molecular dynamic model of nanoscale high speed grinding of silicon workpiece has been established. • The effect of grinding speed on subsurface damage and grinding surface integrity by analyzing the chip, dislocation movement, and phase transformation during high speed grinding process are thoroughly investigated. • Subsurface damage is studied by the evolution of surface area at first time for more obvious observation on transition from ductile to brittle. • The hydrostatic stress and von Mises stress by the established analytical model are studied subsurface damage mechanism during nanoscale grinding. - Abstract: Three-dimensional molecular dynamics (MD) simulations are performed to investigate the nanoscale grinding process of single crystal silicon using diamond tool. The effect of grinding speed on subsurface damage and grinding surface integrity by analyzing the chip, dislocation movement, and phase transformation are studied. We also establish an analytical model to calculate several important stress fields including hydrostatic stress and von Mises stress for studying subsurface damage mechanism, and obtain the dislocation density on the grinding subsurface. The results show that a higher grinding velocity in machining brittle material silicon causes a larger chip and a higher temperature, and reduces subsurface damage. However, when grinding velocity is above 180 m s −1 , subsurface damage thickness slightly increases because a higher grinding speed leads to the increase in grinding force and temperature, which accelerate dislocation nucleation and motion. Subsurface damage is studied by the evolution of surface area at first time for more obvious observation on transition from ductile to brittle, that provides valuable reference for machining nanometer devices. The von Mises stress and the hydrostatic stress play an important role in the grinding process, and explain the subsurface damage though dislocation mechanism under high

  7. Thin silicon foils produced by epoxy-induced spalling of silicon for high efficiency solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Martini, R., E-mail: roberto.martini@imec.be [Department of Electrical Engineering, KU Leuven, Kasteelpark 10, 3001 Leuven (Belgium); imec, Kapeldreef 75, 3001 Leuven (Belgium); Kepa, J.; Stesmans, A. [Department of Physics, KU Leuven, Celestijnenlaan 200 D, 3001 Leuven (Belgium); Debucquoy, M.; Depauw, V.; Gonzalez, M.; Gordon, I. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Poortmans, J. [Department of Electrical Engineering, KU Leuven, Kasteelpark 10, 3001 Leuven (Belgium); imec, Kapeldreef 75, 3001 Leuven (Belgium); Universiteit Hasselt, Martelarenlaan 42, B-3500 Hasselt (Belgium)

    2014-10-27

    We report on the drastic improvement of the quality of thin silicon foils produced by epoxy-induced spalling. In the past, researchers have proposed to fabricate silicon foils by spalling silicon substrates with different stress-inducing materials to manufacture thin silicon solar cells. However, the reported values of effective minority carrier lifetime of the fabricated foils remained always limited to ∼100 μs or below. In this work, we investigate epoxy-induced exfoliated foils by electron spin resonance to analyze the limiting factors of the minority carrier lifetime. These measurements highlight the presence of disordered dangling bonds and dislocation-like defects generated by the exfoliation process. A solution to remove these defects compatible with the process flow to fabricate solar cells is proposed. After etching off less than 1 μm of material, the lifetime of the foil increases by more than a factor of 4.5, reaching a value of 461 μs. This corresponds to a lower limit of the diffusion length of more than 7 times the foil thickness. Regions with different lifetime correlate well with the roughness of the crack surface which suggests that the lifetime is now limited by the quality of the passivation of rough surfaces. The reported values of the minority carrier lifetime show a potential for high efficiency (>22%) thin silicon solar cells.

  8. Thin silicon foils produced by epoxy-induced spalling of silicon for high efficiency solar cells

    International Nuclear Information System (INIS)

    Martini, R.; Kepa, J.; Stesmans, A.; Debucquoy, M.; Depauw, V.; Gonzalez, M.; Gordon, I.; Poortmans, J.

    2014-01-01

    We report on the drastic improvement of the quality of thin silicon foils produced by epoxy-induced spalling. In the past, researchers have proposed to fabricate silicon foils by spalling silicon substrates with different stress-inducing materials to manufacture thin silicon solar cells. However, the reported values of effective minority carrier lifetime of the fabricated foils remained always limited to ∼100 μs or below. In this work, we investigate epoxy-induced exfoliated foils by electron spin resonance to analyze the limiting factors of the minority carrier lifetime. These measurements highlight the presence of disordered dangling bonds and dislocation-like defects generated by the exfoliation process. A solution to remove these defects compatible with the process flow to fabricate solar cells is proposed. After etching off less than 1 μm of material, the lifetime of the foil increases by more than a factor of 4.5, reaching a value of 461 μs. This corresponds to a lower limit of the diffusion length of more than 7 times the foil thickness. Regions with different lifetime correlate well with the roughness of the crack surface which suggests that the lifetime is now limited by the quality of the passivation of rough surfaces. The reported values of the minority carrier lifetime show a potential for high efficiency (>22%) thin silicon solar cells.

  9. Silicon Nanocrystal Synthesis in Microplasma Reactor

    Science.gov (United States)

    Nozaki, Tomohiro; Sasaki, Kenji; Ogino, Tomohisa; Asahi, Daisuke; Okazaki, Ken

    Nanocrystalline silicon particles with grains smaller than 5 nm are widely recognized as a key material in optoelectronic devices, lithium battery electrodes, and bio-medical labels. Another important characteristic is that silicon is an environmentally safe material that is used in numerous silicon technologies. To date, several synthesis methods such as sputtering, laser ablation, and plasma-enhanced chemical vapor deposition (PECVD) based on low-pressure silane chemistry (SiH4) have been developed for precise control of size and density distributions of silicon nanocrystals. In this study, we explore the possibility of microplasma technologies for efficient production of mono-dispersed nanocrystalline silicon particles on a micrometer-scale, continuous-flow plasma reactor operated at atmospheric pressure. Mixtures of argon, hydrogen, and silicon tetrachloride were activated using a very-high-frequency (144 MHz) power source in a capillary glass tube with volume of less than 1 μl. Fundamental plasma parameters of the microplasma were characterized using optical emission spectroscopy, which respectively indicated electron density of 1015 cm-3, argon excitation temperature of 5000 K, and rotational temperature of 1500 K. Such high-density non-thermal reactive plasma can decompose silicon tetrachloride into atomic silicon to produce supersaturated silicon vapor, followed by gas-phase nucleation via three-body collision: particle synthesis in high-density plasma media is beneficial for promoting nucleation processes. In addition, further growth of silicon nuclei can be terminated in a short-residence-time reactor. Micro-Raman scattering spectra showed that as-deposited particles are mostly amorphous silicon with a small fraction of silicon nanocrystals. Transmission electron micrography confirmed individual 3-15 nm silicon nanocrystals. Although particles were not mono-dispersed, they were well separated and not coagulated.

  10. Nanostructured silicon for thermoelectric

    Science.gov (United States)

    Stranz, A.; Kähler, J.; Waag, A.; Peiner, E.

    2011-06-01

    Thermoelectric modules convert thermal energy into electrical energy and vice versa. At present bismuth telluride is the most widely commercial used material for thermoelectric energy conversion. There are many applications where bismuth telluride modules are installed, mainly for refrigeration. However, bismuth telluride as material for energy generation in large scale has some disadvantages. Its availability is limited, it is hot stable at higher temperatures (>250°C) and manufacturing cost is relatively high. An alternative material for energy conversion in the future could be silicon. The technological processing of silicon is well advanced due to the rapid development of microelectronics in recent years. Silicon is largely available and environmentally friendly. The operating temperature of silicon thermoelectric generators can be much higher than of bismuth telluride. Today silicon is rarely used as a thermoelectric material because of its high thermal conductivity. In order to use silicon as an efficient thermoelectric material, it is necessary to reduce its thermal conductivity, while maintaining high electrical conductivity and high Seebeck coefficient. This can be done by nanostructuring into arrays of pillars. Fabrication of silicon pillars using ICP-cryogenic dry etching (Inductive Coupled Plasma) will be described. Their uniform height of the pillars allows simultaneous connecting of all pillars of an array. The pillars have diameters down to 180 nm and their height was selected between 1 micron and 10 microns. Measurement of electrical resistance of single silicon pillars will be presented which is done in a scanning electron microscope (SEM) equipped with nanomanipulators. Furthermore, measurement of thermal conductivity of single pillars with different diameters using the 3ω method will be shown.

  11. Al transmon qubits on silicon-on-insulator for quantum device integration

    Science.gov (United States)

    Keller, Andrew J.; Dieterle, Paul B.; Fang, Michael; Berger, Brett; Fink, Johannes M.; Painter, Oskar

    2017-07-01

    We present the fabrication and characterization of an aluminum transmon qubit on a silicon-on-insulator substrate. Key to the qubit fabrication is the use of an anhydrous hydrofluoric vapor process which selectively removes the lossy silicon oxide buried underneath the silicon device layer. For a 5.6 GHz qubit measured dispersively by a 7.1 GHz resonator, we find T1 = 3.5 μs and T2* = 2.2 μs. This process in principle permits the co-fabrication of silicon photonic and mechanical elements, providing a route towards chip-scale integration of electro-opto-mechanical transducers for quantum networking of superconducting microwave quantum circuits. The additional processing steps are compatible with established fabrication techniques for aluminum transmon qubits on silicon.

  12. Efficiency measurements for 3D silicon strip detectors

    Energy Technology Data Exchange (ETDEWEB)

    Parzefall, Ulrich, E-mail: ulrich.parzefall@physik.uni-freiburg.d [Physikalisches Institut, Universitaet Freiburg, Hermann-Herder-Str. 3, D-79104 Freiburg (Germany); Dalla Betta, Gian-Franco [INFN Trento and Universita di Trento, via Sommarive 14, 38050 Povo di Trento (Italy); Boscardin, Maurizio [FBK-irst, Center for Materials and Microsystems, via Sommarive 18, 38050 Povo di Trento (Italy); Eckert, Simon [Physikalisches Institut, Universitaet Freiburg, Hermann-Herder-Str. 3, D-79104 Freiburg (Germany); Eklund, Lars; Fleta, Celeste [University of Glasgow, Department of Physics and Astronomy, Glasgow G12 8QQ (United Kingdom); Jakobs, Karl; Koehler, Michael; Kuehn, Susanne; Pahn, Gregor [Physikalisches Institut, Universitaet Freiburg, Hermann-Herder-Str. 3, D-79104 Freiburg (Germany); Parkes, Chris; Pennicard, David [University of Glasgow, Department of Physics and Astronomy, Glasgow G12 8QQ (United Kingdom); Ronchin, Sabina [FBK-irst, Center for Materials and Microsystems, via Sommarive 18, 38050 Povo di Trento (Italy); Zoboli, Andrea [INFN Trento and Universita di Trento, via Sommarive 14, 38050 Povo di Trento (Italy); Zorzi, Nicola [FBK-irst, Center for Materials and Microsystems, via Sommarive 18, 38050 Povo di Trento (Italy)

    2010-11-01

    Silicon strip detectors are widely used as part of the inner tracking layers in particle physics experiments. For applications at the luminosity upgrade of the Large Hadron Collider (LHC), the sLHC, silicon detectors with extreme radiation hardness are required. The 3D detector design, where electrodes are processed from underneath the strips into the silicon bulk material, provides a way to enhance the radiation tolerance of standard planar silicon strip detectors. Detectors with several innovative 3D designs that constitute a simpler and more cost-effective processing than the 3D design initially proposed were connected to read-out electronics from LHC experiments and subsequently tested. Results on the amount of charge collected, the noise and the uniformity of charge collection are given.

  13. Design and Fabrication of Silicon-on-Silicon-Carbide Substrates and Power Devices for Space Applications

    Directory of Open Access Journals (Sweden)

    Gammon P.M.

    2017-01-01

    Full Text Available A new generation of power electronic semiconductor devices are being developed for the benefit of space and terrestrial harsh-environment applications. 200-600 V lateral transistors and diodes are being fabricated in a thin layer of silicon (Si wafer bonded to silicon carbide (SiC. This novel silicon-on-silicon-carbide (Si/SiC substrate solution promises to combine the benefits of silicon-on-insulator (SOI technology (i.e device confinement, radiation tolerance, high and low temperature performance with that of SiC (i.e. high thermal conductivity, radiation hardness, high temperature performance. Details of a process are given that produces thin films of silicon 1, 2 and 5 μm thick on semi-insulating 4H-SiC. Simulations of the hybrid Si/SiC substrate show that the high thermal conductivity of the SiC offers a junction-to-case temperature ca. 4× less that an equivalent SOI device; reducing the effects of self-heating, and allowing much greater power density. Extensive electrical simulations are used to optimise a 600 V laterally diffused metal-oxide-semiconductor field-effect transistor (LDMOSFET implemented entirely within the silicon thin film, and highlight the differences between Si/SiC and SOI solutions.

  14. Vacuum-plasma-sprayed silicon coatings

    International Nuclear Information System (INIS)

    Varacalle, D.J. Jr.; Herman, H.; Bancke, G.A.; Burchell, T.D.; Romanoski, G.R.

    1991-01-01

    Vacuum plasma spraying produces well-bonded dense stress-free coatings for a variety of materials on a wide range of substrates. The process is used in many industries for the excellent wear, corrosion resistance and high temperature behavior of the fabricated coatings. In this study, silicon metal was deposited on graphite to study the feasibility of preventing corrosion and oxidation of graphite components for nuclear reactors. Operating parameters were varied in a Taguchi design of experiments to display the range of the plasma processing conditions and their effect on the measured coating characteristics. The coating attributes evaluated were thickness, porosity, microhardness and phase content. This paper discusses the influence of the processing parameters on as-sprayed coating qualities. The paper also discusses the effect of thermal cycling on silicon samples in an inert helium atmosphere. The diffraction spectrum for a sample that experienced a 1600degC temperature cycle indicated that more than 99% of the coating transformed to β-SiC. The silicon coatings protected the graphite substrates from oxidation in one experiment. (orig.)

  15. Production of Solar Grade (SoG) Silicon by Refining Liquid Metallurgical Grade (MG) Silicon: Final Report, 19 April 2001; FINAL

    International Nuclear Information System (INIS)

    Khattack, C. P.; Joyce, D. B.; Schmid, F.

    2001-01-01

    This report summarizes the results of the developed technology for producing SoG silicon by upgrading MG silicon with a cost goal of$20/kg in large-scale production. A Heat Exchanger Method (HEM) furnace originally designed to produce multicrystalline ingots was modified to refine molten MG silicon feedstock prior to directional solidification. Based on theoretical calculations, simple processing techniques, such as gas blowing through the melt, reaction with moisture, and slagging have been used to remove B from molten MG silicon. The charge size was scaled up from 1 kg to 300 kg in incremental steps and effective refining was achieved. After the refining parameters were established, improvements to increase the impurity reduction rates were emphasized. With this approach, 50 kg of commercially available as-received MG silicon was processed for a refining time of about 13 hours. A half life of and lt;2 hours was achieved, and the B concentration was reduced to 0.3 ppma and P concentration to 10 ppma from the original values of 20 to 60 ppma, and all other impurities to and lt;0.1 ppma. Achieving and lt;1 ppma B by this simple refining technique is a breakthrough towards the goal of achieving low-cost SoG silicon for PV applications. While the P reduction process was being optimized, the successful B reduction process was applied to a category of electronics industry silicon scrap previously unacceptable for PV feedstock use because of its high B content (50-400 ppma). This material after refining showed that its B content was reduced by several orders of magnitude, to(approx)1 ppma (0.4 ohm-cm, or about 5x1016 cm-3). NREL's Silicon Materials Research team grew and wafered small and lt;100 and gt; dislocation-free Czochralski (Cz) crystals from the new feedstock material for diagnostic tests of electrical properties, C and O impurity levels, and PV performance relative to similar crystals grown from EG feedstock and commercial Cz wafers. The PV conversion

  16. Preparation of silicon carbide nanowires via a rapid heating process

    International Nuclear Information System (INIS)

    Li Xintong; Chen Xiaohong; Song Huaihe

    2011-01-01

    Silicon carbide (SiC) nanowires were fabricated in a large quantity by a rapid heating carbothermal reduction of a novel resorcinol-formaldehyde (RF)/SiO 2 hybrid aerogel in this study. SiC nanowires were grown at 1500 deg. C for 2 h in an argon atmosphere without any catalyst via vapor-solid (V-S) process. The β-SiC nanowires were characterized by field-emission scanning electron microscope (FE-SEM), X-ray diffraction (XRD), transmission electron microscope (TEM), high-resolution transmission electron microscope (HRTEM) equipped with energy dispersive X-ray (EDX) facility, Fourier transformed infrared spectroscopy (FTIR), and thermogravimetric analysis (TGA). The analysis results show that the aspect ratio of the SiC nanowires via the rapid heating process is much larger than that of the sample produced via gradual heating process. The SiC nanowires are single crystalline β-SiC phase with diameters of about 20-80 nm and lengths of about several tens of micrometers, growing along the [1 1 1] direction with a fringe spacing of 0.25 nm. The role of the interpenetrating network of RF/SiO 2 hybrid aerogel in the carbothermal reduction was discussed and the possible growth mechanism of the nanowires is analyzed.

  17. Solar cells with gallium phosphide/silicon heterojunction

    Science.gov (United States)

    Darnon, Maxime; Varache, Renaud; Descazeaux, Médéric; Quinci, Thomas; Martin, Mickaël; Baron, Thierry; Muñoz, Delfina

    2015-09-01

    One of the limitations of current amorphous silicon/crystalline silicon heterojunction solar cells is electrical and optical losses in the front transparent conductive oxide and amorphous silicon layers that limit the short circuit current. We propose to grow a thin (5 to 20 nm) crystalline Gallium Phosphide (GaP) by epitaxy on silicon to form a more transparent and more conducting emitter in place of the front amorphous silicon layers. We show that a transparent conducting oxide (TCO) is still necessary to laterally collect the current with thin GaP emitter. Larger contact resistance of GaP/TCO increases the series resistance compared to amorphous silicon. With the current process, losses in the IR region associated with silicon degradation during the surface preparation preceding GaP deposition counterbalance the gain from the UV region. A first cell efficiency of 9% has been obtained on ˜5×5 cm2 polished samples.

  18. Plasma deposition of microcrystalline silicon solar cells. Looking beyond the glass

    Energy Technology Data Exchange (ETDEWEB)

    Donker, M.N. van den

    2006-07-01

    Microcrystalline silicon emerged in the past decade as highly interesting material for application in efficient and stable thin film silicon solar cells. It consists of nanometer-sized crystallites embedded in a micrometer-sized columnar structure, which gradually evolves during the SiH{sub 4} based deposition process starting from an amorphous incubation layer. Understanding of and control over this transient and multi-scale growth process is essential in the route towards low-cost microcrystalline silicon solar cells. This thesis presents an experimental study on the technologically relevant high rate (5-10 Aa s{sup -1}) parallel plate plasma deposition process of state-of-the-art microcrystalline silicon solar cells. The objective of the work was to explore and understand the physical limits of the plasma deposition process as well as to develop diagnostics suitable for process control in eventual solar cell production. Among the developed non-invasive process diagnostics were a pyrometer, an optical spectrometer, a mass spectrometer and a voltage probe. Complete thin film silicon solar cells and modules were deposited and characterized. (orig.)

  19. Silicon Quantum Dots for Quantum Information Processing

    Science.gov (United States)

    2013-11-01

    S. Lai, C. Tahan, A. Morello and A. S. Dzurak, Electron Spin lifetimes in multi-valley sil- icon quantum dots, S3NANO Winter School Few spin solid...lifetimes in multi-valley sil- icon quantum dots, International Workshop on Silicon Quantum Electronics, Grenoble, France, February 2012 (Poster). C...typically plunger gates), PMMA A5 is spun at 5000 rpm for 30 seconds, resulting in a 280 nm resist thickness. The resists are baked for 90 seconds at 180

  20. Current-voltage characteristics of porous-silicon structures

    International Nuclear Information System (INIS)

    Diligenti, A.; Nannini, A.; Pennelli, G.; Pieri, F.; Fuso, F.; Allegrini, M.

    1996-01-01

    I-V DC characteristics have been measured on metal/porous-silicon structures. In particular, the measurements on metal/free-standing porous-silicon film/metal devices confirmed the result, already obtained, that the metal/porous-silicon interface plays a crucial role in the transport of any device. Four-contacts measurements on free-standing layers showed that the current linearly depends on the voltage and that the conduction process is thermally activated, the activation energy depending on the porous silicon film production parameters. Finally, annealing experiments performed in order to improve the conduction of rectifying contacts, are described

  1. Second-harmonic generation in substoichiometric silicon nitride layers

    Science.gov (United States)

    Pecora, Emanuele; Capretti, Antonio; Miano, Giovanni; Dal Negro, Luca

    2013-03-01

    Harmonic generation in optical circuits offers the possibility to integrate wavelength converters, light amplifiers, lasers, and multiple optical signal processing devices with electronic components. Bulk silicon has a negligible second-order nonlinear optical susceptibility owing to its crystal centrosymmetry. Silicon nitride has its place in the microelectronic industry as an insulator and chemical barrier. In this work, we propose to take advantage of silicon excess in silicon nitride to increase the Second Harmonic Generation (SHG) efficiency. Thin films have been grown by reactive magnetron sputtering and their nonlinear optical properties have been studied by femtosecond pumping over a wide range of excitation wavelengths, silicon nitride stoichiometry and thermal processes. We demonstrate SHG in the visible range (375 - 450 nm) using a tunable 150 fs Ti:sapphire laser, and we optimize the SH emission at a silicon excess of 46 at.% demonstrating a maximum SHG efficiency of 4x10-6 in optimized films. Polarization properties, generation efficiency, and the second order nonlinear optical susceptibility are measured for all the investigated samples and discussed in terms of an effective theoretical model. Our findings show that the large nonlinear optical response demonstrated in optimized Si-rich silicon nitride materials can be utilized for the engineering of nonlinear optical functions and devices on a Si chip.

  2. Rapid Prototyping of Nanofluidic Slits in a Silicone Bilayer

    Science.gov (United States)

    Kole, Thomas P.; Liao, Kuo-Tang; Schiffels, Daniel; Ilic, B. Robert; Strychalski, Elizabeth A.; Kralj, Jason G.; Liddle, J. Alexander; Dritschilo, Anatoly; Stavis, Samuel M.

    2015-01-01

    This article reports a process for rapidly prototyping nanofluidic devices, particularly those comprising slits with microscale widths and nanoscale depths, in silicone. This process consists of designing a nanofluidic device, fabricating a photomask, fabricating a device mold in epoxy photoresist, molding a device in silicone, cutting and punching a molded silicone device, bonding a silicone device to a glass substrate, and filling the device with aqueous solution. By using a bilayer of hard and soft silicone, we have formed and filled nanofluidic slits with depths of less than 400 nm and aspect ratios of width to depth exceeding 250 without collapse of the slits. An important attribute of this article is that the description of this rapid prototyping process is very comprehensive, presenting context and details which are highly relevant to the rational implementation and reliable repetition of the process. Moreover, this process makes use of equipment commonly found in nanofabrication facilities and research laboratories, facilitating the broad adaptation and application of the process. Therefore, while this article specifically informs users of the Center for Nanoscale Science and Technology (CNST) at the National Institute of Standards and Technology (NIST), we anticipate that this information will be generally useful for the nanofabrication and nanofluidics research communities at large, and particularly useful for neophyte nanofabricators and nanofluidicists. PMID:26958449

  3. HRTEM analysis of the nanostructure of porous silicon

    International Nuclear Information System (INIS)

    Martin-Palma, R.J.; Pascual, L.; Landa-Canovas, A.R.; Herrero, P.; Martinez-Duart, J.M.

    2006-01-01

    The nanometric structure of porous silicon makes this material to be very suitable for its use in many different fields, including optoelectronics and biological applications. In the present work, the structure of porous silicon was investigated in detail by means of cross-sectional high-resolution transmission electron microscopy and digital image processing, together with electron energy loss spectroscopy. The structure of the Si/porous silicon interface and that of the silicon nanocrystals that compose porous silicon have been analyzed in detail. A strong strain contrast in the Si/porous silicon interface caused by high stresses was observed. Accordingly, dislocation pairs are found to be a possible mechanism of lattice matching between porous silicon and the Si substrate. Finally, high relative concentration of oxygen in the porous silicon layer was observed, together with low relative electron concentration in the conduction band when compared to Si

  4. Processing development for ceramic structural components: the influence of a presintering of silicon on the final properties of reaction bonded silicon nitride. Final technical report

    Energy Technology Data Exchange (ETDEWEB)

    1982-03-01

    The influence of a presintering of silicon on the final properties of reaction bonded silicon nitride has been studied using scanning electron and optical microscopy, x-ray diffraction analysis, 4 pt. bend test, and mecury intrusion porosimetry. It has been shown that presintering at 1050/sup 0/C will not affect the final nitrided properties. At 1200/sup 0/C, the oxide layer is removed, promoting the formation of B-phase silicon nitride. Presintering at 1200/sup 0/C also results in compact weight loss due to the volatilization of silicon, and the formation of large pores which severely reduce nitrided strength. The development of the structure of sintered silicon compacts appears to involve a temperature gradient, with greater sintering observed near the surface.

  5. Fabrication of functional structures on thin silicon nitride membranes

    NARCIS (Netherlands)

    Ekkels, P.; Tjerkstra, R.W.; Krijnen, Gijsbertus J.M.; Berenschot, Johan W.; Brugger, J.P.; Elwenspoek, Michael Curt

    A process to fabricate functional polysilicon structures above large (4×4 mm2) thin (200 nm), very flat LPCVD silicon rich nitride membranes was developed. Key features of this fabrication process are the use of low-stress LPCVD silicon nitride, sacrificial layer etching, and minimization of

  6. 3D characterisation of tool wear whilst diamond turning silicon

    OpenAIRE

    Durazo-Cardenas, Isidro Sergio; Shore, Paul; Luo, X.; Jacklin, T.; Impey, S. A.; Cox, A.

    2006-01-01

    Nanometrically smooth infrared silicon optics can be manufactured by the diamond turning process. Due to its relatively low density, silicon is an ideal optical material for weight sensitive infrared (IR) applications. However, rapid diamond tool edge degradation and the effect on the achieved surface have prevented significant exploitation. With the aim of developing a process model to optimise the diamond turning of silicon optics, a series of experimental trials were devi...

  7. Environmentally benign silicon solar cell manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Tsuo, Y.S. [National Renewable Energy Lab., Golden, CO (United States); Gee, J.M. [Sandia National Labs., Albuquerque, NM (United States); Menna, P. [National Agency for New Technologies Energy and Environment, Portici (Italy); Strebkov, D.S.; Pinov, A.; Zadde, V. [Intersolarcenter, Moscow (Russian Federation)

    1998-09-01

    The manufacturing of silicon devices--from polysilicon production, crystal growth, ingot slicing, wafer cleaning, device processing, to encapsulation--requires many steps that are energy intensive and use large amounts of water and toxic chemicals. In the past two years, the silicon integrated-circuit (IC) industry has initiated several programs to promote environmentally benign manufacturing, i.e., manufacturing practices that recover, recycle, and reuse materials resources with a minimal consumption of energy. Crystalline-silicon solar photovoltaic (PV) modules, which accounted for 87% of the worldwide module shipments in 1997, are large-area devices with many manufacturing steps similar to those used in the IC industry. Obviously, there are significant opportunities for the PV industry to implement more environmentally benign manufacturing approaches. Such approaches often have the potential for significant cost reduction by reducing energy use and/or the purchase volume of new chemicals and by cutting the amount of used chemicals that must be discarded. This paper will review recent accomplishments of the IC industry initiatives and discuss new processes for environmentally benign silicon solar-cell manufacturing.

  8. Photonic integration and photonics-electronics convergence on silicon platform

    CERN Document Server

    Liu, Jifeng; Baba, Toshihiko; Vivien, Laurent; Xu, Dan-Xia

    2015-01-01

    Silicon photonics technology, which has the DNA of silicon electronics technology, promises to provide a compact photonic integration platform with high integration density, mass-producibility, and excellent cost performance. This technology has been used to develop and to integrate various photonic functions on silicon substrate. Moreover, photonics-electronics convergence based on silicon substrate is now being pursued. Thanks to these features, silicon photonics will have the potential to be a superior technology used in the construction of energy-efficient cost-effective apparatuses for various applications, such as communications, information processing, and sensing. Considering the material characteristics of silicon and difficulties in microfabrication technology, however, silicon by itself is not necessarily an ideal material. For example, silicon is not suitable for light emitting devices because it is an indirect transition material. The resolution and dynamic range of silicon-based interference de...

  9. Quantum conductance in silicon quantum wires

    CERN Document Server

    Bagraev, N T; Klyachkin, L E; Malyarenko, A M; Gehlhoff, W; Ivanov, V K; Shelykh, I A

    2002-01-01

    The results of investigations of electron and hole quantum conductance staircase in silicon quantum wires are presented. The characteristics of self-ordering quantum wells of n- and p-types, which from on the silicon (100) surface in the nonequilibrium boron diffusion process, are analyzed. The results of investigations of the quantum conductance as the function of temperature, carrier concentration and modulation degree of silicon quantum wires are given. It is found out, that the quantum conductance of the one-dimensional channels is observed, for the first time, at an elevated temperature (T >= 77 K)

  10. SiNTO EWT silicon solar cells

    OpenAIRE

    Fallisch, A.; Keding, R.; Kästner, G.; Bartsch, J.; Werner, S.; Stüwe, D.; Specht, J.; Preu, R.; Biro, D.

    2010-01-01

    In this work we combine the SiNTO cell process with the EWT cell concept. All masking steps are performed by inkjet printing technology. The via-holes and laser-fired contacts are created by high-speed laser drilling. A new polishing process, which is suitable for inkjet masking, to pattern the interdigitated grid on the rear side is developed. For passivation purposes a thermal silicon oxide is used for the rear surface and a silicon nitride antireflection coating for the front surface. An e...

  11. Silicone metalization

    Energy Technology Data Exchange (ETDEWEB)

    Maghribi, Mariam N. (Livermore, CA); Krulevitch, Peter (Pleasanton, CA); Hamilton, Julie (Tracy, CA)

    2008-12-09

    A system for providing metal features on silicone comprising providing a silicone layer on a matrix and providing a metal layer on the silicone layer. An electronic apparatus can be produced by the system. The electronic apparatus comprises a silicone body and metal features on the silicone body that provide an electronic device.

  12. Formation of porous silicon oxide from substrate-bound silicon rich silicon oxide layers by continuous-wave laser irradiation

    Science.gov (United States)

    Wang, Nan; Fricke-Begemann, Th.; Peretzki, P.; Ihlemann, J.; Seibt, M.

    2018-03-01

    Silicon nanocrystals embedded in silicon oxide that show room temperature photoluminescence (PL) have great potential in silicon light emission applications. Nanocrystalline silicon particle formation by laser irradiation has the unique advantage of spatially controlled heating, which is compatible with modern silicon micro-fabrication technology. In this paper, we employ continuous wave laser irradiation to decompose substrate-bound silicon-rich silicon oxide films into crystalline silicon particles and silicon dioxide. The resulting microstructure is studied using transmission electron microscopy techniques with considerable emphasis on the formation and properties of laser damaged regions which typically quench room temperature PL from the nanoparticles. It is shown that such regions consist of an amorphous matrix with a composition similar to silicon dioxide which contains some nanometric silicon particles in addition to pores. A mechanism referred to as "selective silicon ablation" is proposed which consistently explains the experimental observations. Implications for the damage-free laser decomposition of silicon-rich silicon oxides and also for controlled production of porous silicon dioxide films are discussed.

  13. Charge transfer processes in hybrid solar cells composed of amorphous silicon and organic materials

    Energy Technology Data Exchange (ETDEWEB)

    Schaefer, Sebastian; Neher, Dieter [Universitaet Potsdam, Inst. Physik u. Astronomie, Karl-Liebknecht-Strasse 24/25, 14467 Potsdam-Golm (Germany); Schulze, Tim; Korte, Lars [Helmholtz Zentrum Berlin, Inst. fuer Silizium Photovoltaik, Kekulestrasse 5, 12489 Berlin (Germany)

    2011-07-01

    The efficiency of hybrid solar cells composed of organic materials and amorphous hydrogenated silicon (a-Si:H) strongly depends upon the efficiency of charge transfer processes at the inorganic-organic interface. We investigated the performance of devices comprising an ITO/a-Si:H(n-type)/a-Si:H(intrinsic)/organic/metal multilayer structure and using two different organic components: zinc phthalocyanine (ZnPc) and poly(3-hexylthiophene) (P3HT). The results show higher power conversion- and quantum efficiencies for the P3HT based cells, compared to ZnPc. This can be explained by larger energy-level offset at the interface between the organic layer and a-Si:H, which facilitates hole transfer from occupied states in the valence band tail to the HOMO of the organic material and additionally promotes exciton splitting. The performance of the a-Si:H/P3HT cells can be further improved by treatment of the amorphous silicon surface with hydrofluoric acid (HF) and p-type doping of P3HT with F4TCNQ. The improved cells reached maximum power conversion efficiencies of 1%.

  14. Broadband dielectric spectroscopy of oxidized porous silicon

    International Nuclear Information System (INIS)

    Axelrod, Ekaterina; Urbach, Benayahu; Sa'ar, Amir; Feldman, Yuri

    2006-01-01

    Dielectric measurements accompanied by infrared absorption and photoluminescence (PL) spectroscopy were used to investigate the electrical and optical properties of oxidized porous silicon (PS). As opposed to non-oxidized PS, only high temperature relaxation processes could be resolved for oxidized PS. Two relaxation processes have been observed. The first process is related to dc-conductivity that dominates at high temperatures and low frequencies. After subtraction of dc-conductivity we could analyse a second high-temperature relaxation process that is related to interface polarization induced by charge carriers trapped at the host matrix-pore interfaces. We found that, while the main effect of the oxidation on the PL appears to be a size reduction in the silicon nanocrystals that gives rise to a blue shift of the PL spectrum, its main contribution to the dielectric properties turns out to be blocking of transport channels in the host tissue and activation of hopping conductivity between silicon nanocrystals

  15. Broadband dielectric spectroscopy of oxidized porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Axelrod, Ekaterina [Department of Applied Physics, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel); Urbach, Benayahu [Racah Institute of Physics and the Center for Nanoscience and Nanotechnology, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel); Sa' ar, Amir [Racah Institute of Physics and the Center for Nanoscience and Nanotechnology, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel); Feldman, Yuri [Department of Applied Physics, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel)

    2006-04-07

    Dielectric measurements accompanied by infrared absorption and photoluminescence (PL) spectroscopy were used to investigate the electrical and optical properties of oxidized porous silicon (PS). As opposed to non-oxidized PS, only high temperature relaxation processes could be resolved for oxidized PS. Two relaxation processes have been observed. The first process is related to dc-conductivity that dominates at high temperatures and low frequencies. After subtraction of dc-conductivity we could analyse a second high-temperature relaxation process that is related to interface polarization induced by charge carriers trapped at the host matrix-pore interfaces. We found that, while the main effect of the oxidation on the PL appears to be a size reduction in the silicon nanocrystals that gives rise to a blue shift of the PL spectrum, its main contribution to the dielectric properties turns out to be blocking of transport channels in the host tissue and activation of hopping conductivity between silicon nanocrystals.

  16. Using silicon nanostructures for the improvement of silicon solar cells' efficiency

    International Nuclear Information System (INIS)

    Torre, J. de la; Bremond, G.; Lemiti, M.; Guillot, G.; Mur, P.; Buffet, N.

    2006-01-01

    Silicon nanostructures (ns-Si) show interesting optical and electrical properties as a result of the band gap widening caused by quantum confinement effects. Along with their potential utilization for silicon-based light emitters' fabrication, they could also represent an appealing option for the improvement of energy conversion efficiency in silicon-based solar cells whether by using their luminescence properties (photon down-conversion) or the excess photocurrent produced by an improved high-energy photon's absorption. In this work, we report on the morphological and optical studies of non-stoichiometric silica (SiO x ) and silicon nitride (SiN x ) layers containing silicon nanostructures (ns-Si) in view of their application for solar cell's efficiency improvement. The morphological studies of the samples performed by transmission electron microscopy (TEM) unambiguously show the presence of ns-Si in a crystalline form for high temperature-annealed SiO x layers and for low temperature deposition of SiN x layers. The photoluminescence emission (PL) shows a rather high efficiency in both kind of layers with an intensity of only a factor ∼ 100 lower than that of porous silicon (pi-Si). The photocurrent spectroscopy (PC) shows a significant increase of absorption at high photon energy excitation most probably related to photon absorption within ns-Si quantized states. Moreover, the absorption characteristics obtained from PC spectra show a good agreement with the PL emission states unambiguously demonstrating a same origin, related to Q-confined excitons within ns-Si. Finally, the major asset of this material is the possibility to incorporate it to solar cells manufacturing processing for an insignificant cost

  17. Flat-plate solar array project process development area: Process research of non-CZ silicon material

    Science.gov (United States)

    Campbell, R. B.

    1986-01-01

    Several different techniques to simultaneously diffuse the front and back junctions in dendritic web silicon were investigated. A successful simultaneous diffusion reduces the cost of the solar cell by reducing the number of processing steps, the amount of capital equipment, and the labor cost. The three techniques studied were: (1) simultaneous diffusion at standard temperatures and times using a tube type diffusion furnace or a belt furnace; (2) diffusion using excimer laser drive-in; and (3) simultaneous diffusion at high temperature and short times using a pulse of high intensity light as the heat source. The use of an excimer laser and high temperature short time diffusion experiment were both more successful than the diffusion at standard temperature and times. The three techniques are described in detail and a cost analysis of the more successful techniques is provided.

  18. Effect of additive gases and injection methods on chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F2 remote plasmas

    International Nuclear Information System (INIS)

    Yun, Y. B.; Park, S. M.; Kim, D. J.; Lee, N.-E.; Kim, K. S.; Bae, G. H.

    2007-01-01

    The authors investigated the effects of various additive gases and different injection methods on the chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F 2 remote plasmas. N 2 and N 2 +O 2 gases in the F 2 /Ar/N 2 and F 2 /Ar/N 2 /O 2 remote plasmas effectively increased the etch rate of the layers. The addition of direct-injected NO gas increased the etch rates most significantly. NO radicals generated by the addition of N 2 and N 2 +O 2 or direct-injected NO molecules contributed to the effective removal of nitrogen and oxygen in the silicon nitride and oxide layers, by forming N 2 O and NO 2 by-products, respectively, and thereby enhancing SiF 4 formation. As a result of the effective removal of the oxygen, nitrogen, and silicon atoms in the layers, the chemical dry etch rates were enhanced significantly. The process regime for the etch rate enhancement of the layers was extended at elevated temperature

  19. Electrical properties of pressure quenched silicon by thermal spraying

    International Nuclear Information System (INIS)

    Tan, S.Y.; Gambino, R.J.; Sampath, S.; Herman, H.

    2007-01-01

    High velocity thermal spray deposition of polycrystalline silicon film onto single crystal substrates, yields metastable high pressure forms of silicon in nanocrystalline form within the deposit. The phases observed in the deposit include hexagonal diamond-Si, R-8, BC-8 and Si-IX. The peculiar attribute of this transformation is that it occurs only on orientation silicon substrate. The silicon deposits containing the high pressure phases display a substantially higher electrical conductivity. The resistivity profile of the silicon deposit containing shock induced metastable silicon phases identified by X-ray diffraction patterns. The density of the pressure induced polymorphic silicon is higher at deposit/substrate interface. A modified two-layer model is presented to explain the resistivity of the deposit impacted by the pressure induced polymorphic silicon generated by the thermal spraying process. The pressure quenched silicon deposits on the p - silicon substrate, with or without metastable phases, display the barrier potential of about 0.72 eV. The measured hall mobility value of pressure quenched silicon deposits is in the range of polycrystalline silicon. The significance of this work lies in the fact that the versatility of thermal spray may enable applications of these high pressure forms of silicon

  20. 17th Workshop on Crystalline Silicon Solar Cells and Modules: Materials and Processes; Workshop Proceedings

    Energy Technology Data Exchange (ETDEWEB)

    Sopori, B. L.

    2007-08-01

    The National Center for Photovoltaics sponsored the 17th Workshop on Crystalline Silicon Solar Cells & Modules: Materials and Processes, held in Vail, CO, August 5-8, 2007. This meeting provided a forum for an informal exchange of technical and scientific information between international researchers in the photovoltaic and relevant non-photovoltaic fields. The theme of this year's meeting was 'Expanding Technology for a Future Powered by Si Photovoltaics.'

  1. Vibrational modes of porous silicon

    International Nuclear Information System (INIS)

    Sabra, M.; Naddaf, M.

    2012-01-01

    On the basis of theoretical and experimental investigations, the origin of room temperature photoluminescence (PL) from porous silicon is found to related to chemical complexes constituted the surface, in particular, SiHx, SiOx and SiOH groups. Ab initio atomic and molecular electronic structure calculations on select siloxane compounds were used for imitation of infrared (IR) spectra of porous silicon. These are compared to the IR spectra of porous silicon recorded by using Fourier Transform Infrared Spectroscopy (FTIR). In contrast to linear siloxane, the suggested circular siloxane terminated with linear siloxane structure is found to well-imitate the experimental spectra. These results are augmented with EDX (energy dispersive x-ray spectroscopy) measurements, which showed that the increase of SiOx content in porous silicon due to rapid oxidation process results in considerable decrease in PL peak intensity and a blue shift in the peak position. (author)

  2. Low-cost silicon wafer dicing using a craft cutter

    KAUST Repository

    Fan, Yiqiang

    2014-05-20

    This paper reports a low-cost silicon wafer dicing technique using a commercial craft cutter. The 4-inch silicon wafers were scribed using a crafter cutter with a mounted diamond blade. The pre-programmed automated process can reach a minimum die feature of 3 mm by 3 mm. We performed this scribing process on the top polished surface of a silicon wafer; we also created a scribing method for the back-unpolished surface in order to protect the structures on the wafer during scribing. Compared with other wafer dicing methods, our proposed dicing technique is extremely low cost (lower than $1,000), and suitable for silicon wafer dicing in microelectromechanical or microfluidic fields, which usually have a relatively large die dimension. The proposed dicing technique is also usable for dicing multiple project wafers, a process where dies of different dimensions are diced on the same wafer.

  3. Crystalline silicon films sputtered on molybdenum A study of the silicon-molybdenum interface

    Energy Technology Data Exchange (ETDEWEB)

    Reinig, P.; Fenske, F.; Fuhs, W.; Schoepke, A.; Selle, B

    2003-04-15

    Polycrystalline silicon films were grown on molybdenum (Mo)-coated substrates at high deposition rate using the pulsed magnetron sputtering technique. Our study investigates the silicon-molybdenum interface of these films to elucidate stimulating mechanisms for an ordered crystalline silicon thin film growth. Both Auger electron spectroscopy and Rutherford backscattering reveal that at a substrate temperature as low as T{sub S}=450 deg. C during the deposition process intermixing of Si and Mo at the Si-Mo interface takes place leading to a compositional ratio Mo:Si of about 1:2. By Raman spectroscopy hexagonal {beta}-MoSi{sub 2} could be identified as the dominant phase in this intermixed region. The dependence of the resulting thickness of the reacted interface layer on the deposition conditions is not fully understood yet.

  4. Crystalline silicon films sputtered on molybdenum A study of the silicon-molybdenum interface

    International Nuclear Information System (INIS)

    Reinig, P.; Fenske, F.; Fuhs, W.; Schoepke, A.; Selle, B.

    2003-01-01

    Polycrystalline silicon films were grown on molybdenum (Mo)-coated substrates at high deposition rate using the pulsed magnetron sputtering technique. Our study investigates the silicon-molybdenum interface of these films to elucidate stimulating mechanisms for an ordered crystalline silicon thin film growth. Both Auger electron spectroscopy and Rutherford backscattering reveal that at a substrate temperature as low as T S =450 deg. C during the deposition process intermixing of Si and Mo at the Si-Mo interface takes place leading to a compositional ratio Mo:Si of about 1:2. By Raman spectroscopy hexagonal β-MoSi 2 could be identified as the dominant phase in this intermixed region. The dependence of the resulting thickness of the reacted interface layer on the deposition conditions is not fully understood yet

  5. Silicon germanium as a novel mask for silicon deep reactive ion etching

    KAUST Repository

    Serry, Mohamed Y.

    2013-10-01

    This paper reports on the use of p-type polycrystalline silicon germanium (poly-Si1-xGex) thin films as a new masking material for the cryogenic deep reactive ion etching (DRIE) of silicon. We investigated the etching behavior of various poly-Si1-xGex:B (0silicon, silicon oxide, and photoresist was determined at different etching temperatures, ICP and RF powers, and SF6 to O2 ratios. The study demonstrates that the etching selectivity of the SiGe mask for silicon depends strongly on three factors: Ge content; boron concentration; and etching temperature. Compared to conventional SiO2 and SiN masks, the proposed SiGe masking material exhibited several advantages, including high etching selectivity to silicon (>1:800). Furthermore, the SiGe mask was etched in SF6/O2 plasma at temperatures ≥ - 80°C and at rates exceeding 8 μm/min (i.e., more than 37 times faster than SiO2 or SiN masks). Because of the chemical and thermodynamic stability of the SiGe film as well as the electronic properties of the mask, it was possible to deposit the proposed film at CMOS backend compatible temperatures. The paper also confirms that the mask can easily be dry-removed after the process with high etching-rate by controlling the ICP and RF power and the SF6 to O2 ratios, and without affecting the underlying silicon substrate. Using low ICP and RF power, elevated temperatures (i.e., > - 80°C), and an adjusted O2:SF6 ratio (i.e., ~6%), we were able to etch away the SiGe mask without adversely affecting the final profile. Ultimately, we were able to develop deep silicon- trenches with high aspect ratio etching straight profiles. © 1992-2012 IEEE.

  6. Crystal growth for high-efficiency silicon solar cells workshop: Summary

    Science.gov (United States)

    Dumas, K. A.

    1985-01-01

    The state of the art in the growth of silicon crystals for high-efficiency solar cells are reviewed, sheet requirements are defined, and furture areas of research are identified. Silicon sheet material characteristics that limit cell efficiencies and yields were described as well as the criteria for the ideal sheet-growth method. The device engineers wish list to the material engineer included: silicon sheet with long minority carrier lifetime that is uniform throughout the sheet, and which doesn't change during processing; and sheet material that stays flat throughout device processing, has uniform good mechanical strength, and is low cost. Impurities in silicon solar cells depreciate cell performance by reducing diffusion length and degrading junctions. The impurity behavior, degradation mechanisms, and variations in degradation threshold with diffusion length for silicon solar cells were described.

  7. Electroless porous silicon formation applied to fabrication of boron–silica–glass cantilevers

    International Nuclear Information System (INIS)

    Teva, J; Davis, Z J; Hansen, O

    2010-01-01

    This work describes the characterization and optimization of anisotropic formation of porous silicon in large volumes (0.5–1 mm 3 ) of silicon by an electroless wet etching technique. The main goal is to use porous silicon as a sacrificial volume for bulk micromachining processes, especially in cases where etching of the full wafer thickness is needed. The porous silicon volume is formed by a metal-assisted etching in a wet chemical solution composed of hydrogen peroxide (30%), hydrofluoric acid (40%) and ethanol. This paper focuses on optimizing the etching conditions in terms of maximizing the etching rate and reproducibility of the etching. In addition to that, a study of the morphology of the pore that is obtained by this technique is presented. The results from the characterization of the process are applied to the fabrication of boron–silica–glass cantilevers that serve as a platform for bio-chemical sensors. The porous silicon volume is formed in an early step of the fabrication process, allowing easy handling of the wafer during all of the micromachining processes in the process flow. In the final process step, the porous silicon is quickly etched by immersing the wafer in a KOH solution

  8. Self-diffusion in single crystalline silicon nanowires

    Science.gov (United States)

    Südkamp, T.; Hamdana, G.; Descoins, M.; Mangelinck, D.; Wasisto, H. S.; Peiner, E.; Bracht, H.

    2018-04-01

    Self-diffusion experiments in single crystalline isotopically controlled silicon nanowires with diameters of 70 and 400 nm at 850 and 1000 °C are reported. The isotope structures were first epitaxially grown on top of silicon substrate wafers. Nanowires were subsequently fabricated using a nanosphere lithography process in combination with inductively coupled plasma dry reactive ion etching. Three-dimensional profiling of the nanosized structure before and after diffusion annealing was performed by means of atom probe tomography (APT). Self-diffusion profiles obtained from APT analyses are accurately described by Fick's law for self-diffusion. Data obtained for silicon self-diffusion in nanowires are equal to the results reported for bulk silicon crystals, i.e., finite size effects and high surface-to-volume ratios do not significantly affect silicon self-diffusion. This shows that the properties of native point defects determined from self-diffusion in bulk crystals also hold for nanosized silicon structures with diameters down to 70 nm.

  9. Semiconductors and semimetals oxygen in silicon

    CERN Document Server

    Willardson, Robert K; Beer, Albert C; Shimura, Fumio

    1994-01-01

    This volume reviews the latest understanding of the behavior and roles of oxygen in silicon, which will carry the field into the ULSI era from the experimental and theoretical points of view. The fourteen chapters, written by recognized authorities representing industrial and academic institutions, cover thoroughly the oxygen related phenomena from the crystal growth to device fabrication processes, as well as indispensable diagnostic techniques for oxygen.Key Features* Comprehensive study of the behavior of oxygen in silicon* Discusses silicon crystals for VLSI and ULSI applications* Thorough coverage from crystal growth to device fabrication* Edited by technical experts in the field* Written by recognized authorities from industrial and academic institutions* Useful to graduate students, scientists in other disciplines, and active participants in the arena of silicon-based microelectronics research* 297 original line drawings

  10. Application of CMOS Technology to Silicon Photomultiplier Sensors

    Science.gov (United States)

    D’Ascenzo, Nicola; Zhang, Xi; Xie, Qingguo

    2017-01-01

    We use the 180 nm GLOBALFOUNDRIES (GF) BCDLite CMOS process for the production of a silicon photomultiplier prototype. We study the main characteristics of the developed sensor in comparison with commercial SiPMs obtained in custom technologies and other SiPMs developed with CMOS-compatible processes. We support our discussion with a transient modeling of the detection process of the silicon photomultiplier as well as with a series of static and dynamic experimental measurements in dark and illuminated environments. PMID:28946675

  11. Formation of porous surface layers in reaction bonded silicon nitride during processing

    Science.gov (United States)

    Shaw, N. J.; Glasgow, T. K.

    1979-01-01

    Microstructural examination of reaction bonded silicon nitride (RBSN) has shown that there is often a region adjacent to the as-nitrided surfaces that is even more porous than the interior of this already quite porous material. Because this layer of large porosity is considered detrimental to both the strength and oxidation resistance of RBSN, a study was undertaken to determine if its formation could be prevented during processing. All test bars studied were made from a single batch of Si powder which was milled for 4 hours in heptane in a vibratory mill using high density alumina cylinders as the grinding media. After air drying the powder, bars were compacted in a single acting die and hydropressed.

  12. Diorganosilacetylene-alt-diorganosilvinylene polymers and a process densifying porous silicon-carbide bodies

    Science.gov (United States)

    Barton, Thomas J.; Ijadi-Maghsoodi, Sina; Pang, Yi

    1994-05-17

    The present invention provides linear organosilicon polymers including acetylene and vinylene moieties, and a process for their preparation. These diorganosilacetylene-alt-diorganosilvinylene linear polymers can be represented by the formula: --[--(R.sup.1)(R.sup.2)Si--C.tbd.C--(R.sup.3)(R.sup.4)Si--CH=CH--].sub.n-- , wherein n.gtoreq.2; and each R.sup.1, R.sup.2, R.sup.3, and R.sup.4 is independently selected from the group consisting of hydrogen, halogen, alkyl, alkenyl, aryl, and aralkyl radicals. The polymers are soluble in organic solvents, air stable, and can be pulled into fibers or cast into films. They can be thermally converted into silicon carbide ceramic materials.

  13. Soft chemical synthesis of silicon nanosheets and their applications

    Energy Technology Data Exchange (ETDEWEB)

    Nakano, Hideyuki; Ikuno, Takashi [Toyota Central R& D Labs., Inc., 41-1 Yokomichi, Nagakute, Aichi 480-1192 (Japan)

    2016-12-15

    Two-dimensional silicon nanomaterials are expected to show different properties from those of bulk silicon materials by virtue of surface functionalization and quantum size effects. Since facile fabrication processes of large area silicon nanosheets (SiNSs) are required for practical applications, a development of soft chemical synthesis route without using conventional vacuum processes is a challenging issue. We have recently succeeded to prepare SiNSs with sub-nanometer thicknesses by exfoliating layered silicon compounds, and they are found to be composed of crystalline single-atom-thick silicon layers. In this review, we present the synthesis and modification methods of SiNSs. These SiNSs have atomically flat and smooth surfaces due to dense coverage of organic moieties, and they are easily self-assembled in a concentrated state to form a regularly stacked structure. We have also characterized the electron transport properties and the electronic structures of SiNSs. Finally, the potential applications of these SiNSs and organic modified SiNSs are also reviewed.

  14. Porous silicon based anode material formed using metal reduction

    Science.gov (United States)

    Anguchamy, Yogesh Kumar; Masarapu, Charan; Deng, Haixia; Han, Yongbong; Venkatachalam, Subramanian; Kumar, Sujeet; Lopez, Herman A.

    2015-09-22

    A porous silicon based material comprising porous crystalline elemental silicon formed by reducing silicon dioxide with a reducing metal in a heating process followed by acid etching is used to construct negative electrode used in lithium ion batteries. Gradual temperature heating ramp(s) with optional temperature steps can be used to perform the heating process. The porous silicon formed has a high surface area from about 10 m.sup.2/g to about 200 m.sup.2/g and is substantially free of carbon. The negative electrode formed can have a discharge specific capacity of at least 1800 mAh/g at rate of C/3 discharged from 1.5V to 0.005V against lithium with in some embodiments loading levels ranging from about 1.4 mg/cm.sup.2 to about 3.5 mg/cm.sup.2. In some embodiments, the porous silicon can be coated with a carbon coating or blended with carbon nanofibers or other conductive carbon material.

  15. Separation of Nuclear Fuel Surrogates from Silicon Carbide Inert Matrix

    International Nuclear Information System (INIS)

    Baney, Ronald

    2008-01-01

    The objective of this project has been to identify a process for separating transuranic species from silicon carbide (SiC). Silicon carbide has become one of the prime candidates for the matrix in inert matrix fuels, (IMF) being designed to reduce plutonium inventories and the long half-lives actinides through transmutation since complete reaction is not practical it become necessary to separate the non-transmuted materials from the silicon carbide matrix for ultimate reprocessing. This work reports a method for that required process

  16. Porosity-dependent fractal nature of the porous silicon surface

    Energy Technology Data Exchange (ETDEWEB)

    Rahmani, N.; Dariani, R. S., E-mail: dariani@alzahra.ac.ir [Department of Physics, Alzahra University, Tehran, 1993893973 (Iran, Islamic Republic of)

    2015-07-15

    Porous silicon films with porosity ranging from 42% to 77% were fabricated by electrochemical anodization under different current density. We used atomic force microscopy and dynamic scaling theory for deriving the surface roughness profile and processing the topography of the porous silicon layers, respectively. We first compared the topography of bare silicon surface with porous silicon and then studied the effect of the porosity of porous silicon films on their scaling behavior by using their self-affinity nature. Our work demonstrated that silicon compared to the porous silicon films has the highest Hurst parameter, indicating that the formation of porous layer due to the anodization etching of silicon surface leads to an increase of its roughness. Fractal analysis revealed that the evolution of the nanocrystallites’ fractal dimension along with porosity. Also, we found that both interface width and Hurst parameter are affected by the increase of porosity.

  17. MOS structures containing silicon nanoparticles for memory device applications

    International Nuclear Information System (INIS)

    Nedev, N; Zlatev, R; Nesheva, D; Manolov, E; Levi, Z; Brueggemann, R; Meier, S

    2008-01-01

    Metal-oxide-silicon structures containing layers with amorphous or crystalline silicon nanoparticles in a silicon oxide matrix are fabricated by sequential physical vapour deposition of SiO x (x = 1.15) and RF sputtering of SiO 2 on n-type crystalline silicon, followed by high temperature annealing in an inert gas ambient. Depending on the annealing temperature, 700 deg. C or 1000 deg. C, amorphous or crystalline silicon nanoparticles are formed in the silicon oxide matrix. The annealing process is used not only for growing nanoparticles but also to form a dielectric layer with tunnelling thickness at the silicon/insulator interface. High frequency C-V measurements demonstrate that both types of structures can be charged negatively or positively by applying a positive or negative voltage on the gate. The structures with amorphous silicon nanoparticles show several important advantages compared to the nanocrystal ones, such as lower defect density at the interface between the crystalline silicon wafer and the tunnel silicon oxide, better retention characteristics and better reliability

  18. A study on the development of silicon carbide materials for nuclear application

    International Nuclear Information System (INIS)

    Won, Dong Yeon; Kim, Chan Jung; Lee, Jae Choon; Kim, Joon Hyung; Lim, Kyung Soo; Kim, Ki Baik

    1987-12-01

    Silicon carbide was synthesized by reaction sintering process from carbon and silicon powders as starting materials. The effects of two processing parameters, i.e., heat treatment time and temperature, were examined (to characterize the reaction sintering process) in terms of the degree of reaction and phase developed during heat treatment. The final products after reaction of silicon and carbon powders were identified as β-SiC having ZnS crystal structure. Sintering of cordierite ceramics which was used as an high temperature inorganic binder to fabricate ceramically bound silicon carbide, and phase identification of the sintered ceramics by X-ray powder diffraction techniques. (Author)

  19. Ninth workshop on crystalline silicon solar cell materials and processes: Summary discussion sessions

    International Nuclear Information System (INIS)

    Sopori, B.; Tan, T.; Swanson, D.; Rosenblum, M.; Sinton, R.

    1999-01-01

    This report is a summary of the panel discussions included with the Ninth Workshop on Crystalline Silicon Solar Cell Materials and Processes. The theme for the workshop was ''R and D Challenges and Opportunities in Si Photovoltaics''. This theme was chosen because it appropriately reflects a host of challenges that the growing production of Si photovoltaics will be facing in the new millennium. The anticipated challenges will arise in developing strategies for cost reduction, increased production, higher throughput per manufacturing line, new sources of low-cost Si, and the introduction of new manufacturing processes for cell production. At the same time, technologies based on CdTe and CIS will come on line posing new competition. With these challenges come new opportunities for Si PV to wean itself from the microelectronics industry, to embark on a more aggressive program in thin-film Si solar cells, and to try new approaches to process monitoring

  20. Mechanically flexible optically transparent porous mono-crystalline silicon substrate

    KAUST Repository

    Rojas, Jhonathan Prieto; Syed, Ahad A.; Hussain, Muhammad Mustafa

    2012-01-01

    For the first time, we present a simple process to fabricate a thin (≥5μm), mechanically flexible, optically transparent, porous mono-crystalline silicon substrate. Relying only on reactive ion etching steps, we are able to controllably peel off a thin layer of the original substrate. This scheme is cost favorable as it uses a low-cost silicon <100> wafer and furthermore it has the potential for recycling the remaining part of the wafer that otherwise would be lost and wasted during conventional back-grinding process. Due to its porosity, it shows see-through transparency and potential for flexible membrane applications, neural probing and such. Our process can offer flexible, transparent silicon from post high-thermal budget processed device wafer to retain the high performance electronics on flexible substrates. © 2012 IEEE.

  1. Hydrothermal deposition and characterization of silicon oxide nanospheres

    International Nuclear Information System (INIS)

    Pei, L.Z.

    2008-01-01

    Silicon oxide nanospheres with the average diameter of about 100 nm have been synthesized by hydrothermal deposition process using silicon and silica as the starting materials. The silicon oxide nanospheres were characterized by field emission scanning electron microscopy (FESEM), energy dispersive X-ray spectrum (EDS), transmission electron microscopy (TEM), high-resolution transmission electron microscopy (HRTEM) and photoluminescence (PL) spectrum, respectively. The results show that large scale silicon oxide nanospheres with the uniform size are composed of Si and O showing the amorphous structure. Strong PL peak at 435 nm is observed demonstrating the good blue light emission property

  2. Implantation damage in silicon devices

    International Nuclear Information System (INIS)

    Nicholas, K.H.

    1977-01-01

    Ion implantation, is an attractive technique for producing doped layers in silicon devices but the implantation process involves disruption of the lattice and defects are formed, which can degrade device properties. Methods of minimizing such damage are discussed and direct comparisons made between implantation and diffusion techniques in terms of defects in the final devices and the electrical performance of the devices. Defects are produced in the silicon lattice during implantation but they are annealed to form secondary defects even at room temperature. The annealing can be at a low temperature ( 0 C) when migration of defects in silicon in generally small, or at high temperature when they can grow well beyond the implanted region. The defect structures can be complicated by impurity atoms knocked into the silicon from surface layers by the implantation. Defects can also be produced within layers on top of the silicon and these can be very important in device fabrication. In addition to affecting the electrical properties of the final device, defects produced during fabrication may influence the chemical properties of the materials. The use of these properties to improve devices are discussed as well as the degradation they can cause. (author)

  3. Investigation of Low-Cost Surface Processing Techniques for Large-Size Multicrystalline Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Yuang-Tung Cheng

    2010-01-01

    Full Text Available The subject of the present work is to develop a simple and effective method of enhancing conversion efficiency in large-size solar cells using multicrystalline silicon (mc-Si wafer. In this work, industrial-type mc-Si solar cells with area of 125×125 mm2 were acid etched to produce simultaneously POCl3 emitters and silicon nitride deposition by plasma-enhanced chemical vapor deposited (PECVD. The study of surface morphology and reflectivity of different mc-Si etched surfaces has also been discussed in this research. Using our optimal acid etching solution ratio, we are able to fabricate mc-Si solar cells of 16.34% conversion efficiency with double layers silicon nitride (Si3N4 coating. From our experiment, we find that depositing double layers silicon nitride coating on mc-Si solar cells can get the optimal performance parameters. Open circuit (Voc is 616 mV, short circuit current (Jsc is 34.1 mA/cm2, and minority carrier diffusion length is 474.16 μm. The isotropic texturing and silicon nitride layers coating approach contribute to lowering cost and achieving high efficiency in mass production.

  4. Silicon epitaxy on textured double layer porous silicon by LPCVD

    International Nuclear Information System (INIS)

    Cai Hong; Shen Honglie; Zhang Lei; Huang Haibin; Lu Linfeng; Tang Zhengxia; Shen Jiancang

    2010-01-01

    Epitaxial silicon thin film on textured double layer porous silicon (DLPS) was demonstrated. The textured DLPS was formed by electrochemical etching using two different current densities on the silicon wafer that are randomly textured with upright pyramids. Silicon thin films were then grown on the annealed DLPS, using low-pressure chemical vapor deposition (LPCVD). The reflectance of the DLPS and the grown silicon thin films were studied by a spectrophotometer. The crystallinity and topography of the grown silicon thin films were studied by Raman spectroscopy and SEM. The reflectance results show that the reflectance of the silicon wafer decreases from 24.7% to 11.7% after texturing, and after the deposition of silicon thin film the surface reflectance is about 13.8%. SEM images show that the epitaxial silicon film on textured DLPS exhibits random pyramids. The Raman spectrum peaks near 521 cm -1 have a width of 7.8 cm -1 , which reveals the high crystalline quality of the silicon epitaxy.

  5. Endogenous Plasma Erythropoietin, Cardiovascular Mortality and All-Cause Mortality in Renal Transplant Recipients

    NARCIS (Netherlands)

    Sinkeler, S. J.; Zelle, D. M.; van der Heide, J. J. Homan; Gans, R. O. B.; Navis, G.; Bakker, S. J. L.

    Cardiovascular disease (CVD) is the main cause of mortality in renal transplant recipients (RTR). Classical factors only partly explain the excess risk. We hypothesized that high EPO-a marker for inflammation, angiogenesis and hypoxia-is associated with CVD in RTR. A total of 568 RTR (51 +/- 12

  6. Characterization of electrical and optical properties of silicon based materials

    Energy Technology Data Exchange (ETDEWEB)

    Jia, Guobin

    2009-12-04

    In this work, the electrical and luminescence properties of a series of silicon based materials used for photovoltaics, microelectronics and nanoelectronics have been investigated by means of electron beam induced current (EBIC), cathodoluminescence (CL), photoluminescence (PL) and electroluminescence (EL) methods. Photovoltaic materials produced by block casting have been investigated by EBIC on wafers sliced from different parts of the ingot. Various solar cell processings have been compared in parallel wafers by means of EBIC collection efficiency measurements and contrast-temperature C(T) behaviors of the extended defects, i. e. dislocations and grain boundaries (GBs). It was found that the solar cell processing with phosphorus diffusion gettering (PDG) followed with a SiN firing greatly reduces the recombination activity of extended defects at room temperature, and improves the bulk property simultaneously. A remaining activity of the dislocations indicates the limitation of the PDG at extended defects. Abnormal behavior of the dislocation activity after certain solar cell processes was also observed in the region with high dislocation density, the dislocations are activated after certain solar cell processings. In order to evaluate the properties of a thin polycrystalline silicon layer prepared by Al-induced layer exchange (Alile) technique, epitaxially layer grown on silicon substrate with different orientations was used as a model system to investigate the impact by the process temperature and the substrates. EBIC energy dependent collection efficiency measurements reveal an improvement of the epilayer quality with increasing substrate temperature during the growth from 450 C to 650 C, and a decrease of epilayer quality at 700 C. PL measurements on the epitaxially grown Si layer on silicon substrates revealed no characteristic dislocation-related luminescence (DRL) lines at room temperature and 77 K, while in the samples prepared by Alile process, intense

  7. Synthesis of Silicon Nanocrystals in Microplasma Reactor

    Science.gov (United States)

    Nozaki, Tomohiro; Sasaki, Kenji; Ogino, Tomohisa; Asahi, Daisuke; Okazaki, Ken

    Nanocrystalline silicon particles with a grain size of at least less than 10 nm are widely recognized as one of the key materials in optoelectronic devices, electrodes of lithium battery, bio-medical labels. There is also important character that silicon is safe material to the environment and easily gets involved in existing silicon technologies. To date, several synthesis methods such as sputtering, laser ablation, and plasma enhanced chemical vapor deposition (PECVD) based on low-pressure silane chemistry (SiH4) have been developed for precise control of size and density distributions of silicon nanocrystals. We explore the possibility of microplasma technologies for the efficient production of mono-dispersed nanocrystalline silicon particles in a micrometer-scale, continuous-flow plasma reactor operated at atmospheric pressure. Mixtures of argon, hydrogen, and silicon tetrachloride were activated using very high frequency (VHF = 144 MHz) power source in a capillary glass tube with a volume of less than 1 μ-liter. Fundamental plasma parameters of VHF capacitively coupled microplasma were characterized by optical emission spectroscopy, showing electron density of approximately 1015 cm-3 and rotational temperature of 1500 K, respectively. Such high-density non-thermal reactive plasma has a capability of decomposing silicon tetrachloride into atomic silicon to produce supersaturated atomic silicon vapor, followed by gas phase nucleation via three-body collision. The particle synthesis in high-density plasma media is beneficial for promoting nucleation process. In addition, further growth of silicon nuclei was able to be favorably terminated in a short-residence time reactor. Micro Raman scattering spectrum showed that as-deposited particles were mostly amorphous silicon with small fraction of silicon nanocrystals. Transmission electron micrograph confirmed individual silicon nanocrystals of 3-15 nm size. Although those particles were not mono-dispersed, they were

  8. An all-silicon passive optical diode.

    Science.gov (United States)

    Fan, Li; Wang, Jian; Varghese, Leo T; Shen, Hao; Niu, Ben; Xuan, Yi; Weiner, Andrew M; Qi, Minghao

    2012-01-27

    A passive optical diode effect would be useful for on-chip optical information processing but has been difficult to achieve. Using a method based on optical nonlinearity, we demonstrate a forward-backward transmission ratio of up to 28 decibels within telecommunication wavelengths. Our device, which uses two silicon rings 5 micrometers in radius, is passive yet maintains optical nonreciprocity for a broad range of input power levels, and it performs equally well even if the backward input power is higher than the forward input. The silicon optical diode is ultracompact and is compatible with current complementary metal-oxide semiconductor processing.

  9. Silicon fabric for multi-functional applications

    KAUST Repository

    Sevilla, Galo T.; Rojas, Jhonathan Prieto; Ahmed, Sally; Hussain, Aftab M.; Inayat, Salman Bin; Hussain, Muhammad Mustafa

    2013-01-01

    This paper reports a generic process flow to fabricate mechanically flexible and optically semi-transparent thermoelectric generators (TEGs), micro lithium-ion batteries (μLIB) and metal-oxide-semiconductor capacitors (MOSCAPs) on mono-crystalline silicon fabric platforms from standard bulk silicon (100) wafers. All the fabricated devices show outstanding mechanical flexibility and performance, making an important step towards monolithic integration of Energy Chip (self-powered devices) including energy harvesters and electronic devices on flexible platforms. We also report a recyclability process for the remaining bulk substrate after release, allowing us to achieve a low cost flexible platform for high performance applications. © 2013 IEEE.

  10. Silicon fabric for multi-functional applications

    KAUST Repository

    Sevilla, Galo T.

    2013-06-01

    This paper reports a generic process flow to fabricate mechanically flexible and optically semi-transparent thermoelectric generators (TEGs), micro lithium-ion batteries (μLIB) and metal-oxide-semiconductor capacitors (MOSCAPs) on mono-crystalline silicon fabric platforms from standard bulk silicon (100) wafers. All the fabricated devices show outstanding mechanical flexibility and performance, making an important step towards monolithic integration of Energy Chip (self-powered devices) including energy harvesters and electronic devices on flexible platforms. We also report a recyclability process for the remaining bulk substrate after release, allowing us to achieve a low cost flexible platform for high performance applications. © 2013 IEEE.

  11. Thermal processing and native oxidation of silicon nanoparticles

    International Nuclear Information System (INIS)

    Winters, Brandon J.; Holm, Jason; Roberts, Jeffrey T.

    2011-01-01

    In this study, Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS), and electron energy loss spectroscopy (EELS) were used to investigate in-air oxidation of silicon nanoparticles ca. 11 nm in diameter. Particle samples were prepared first by extracting them from an RF plasma synthesis reactor, and then heating them in an inert carrier gas stream. The resulting particles had varying surface hydrogen coverages and relative amounts of SiH x (x = 1, 2, and 3), depending on the temperature to which they had been heated. The particles were allowed to oxidize in-air for several weeks. FTIR, XPS, and EELS analyses that were performed during this period clearly establish that adsorbed hydrogen retards oxidation, although in complex ways. In particular, particles that have been heated to intermediate hydrogen coverages oxidize more slowly in air than do freshly generated particles that have a much higher hydrogen content. In addition, the loss of surface hydride species at high processing temperatures results in fast initial oxidation and the formation of a self-limiting oxide layer. Analogous measurements made on deuterium-covered particles show broadly similar behavior; i.e., that oxidation is the slowest at some intermediate coverage of adsorbed deuterium.

  12. Processes and applications of silicon carbide nanocomposite fibers

    International Nuclear Information System (INIS)

    Shin, D G; Cho, K Y; Riu, D H; Jin, E J

    2011-01-01

    Various types of SiC such as nanowires, thin films, foam, and continuous fibers have been developed since the early 1980s, and their applications have been expanded into several new applications, such as for gas-fueled radiation heater, diesel particulate filter (DPF), ceramic fiber separators and catalyst/catalyst supports include for the military, aerospace, automobile and electronics industries. For these new applications, high specific surface area is demanded and it has been tried by reducing the diameter of SiC fiber. Furthermore, functional nanocomposites show potentials in various harsh environmental applications. In this study, silicon carbide fiber was prepared through electrospinning of the polycarbosilane (PCS) with optimum molecular weight distribution which was synthesized by new method adopting solid acid catalyst such as ZSM-5 and γ-Al 2 O 3 . Functional elements such as aluminum, titanium, tungsten and palladium easily doped in the precursor fiber and remained in the SiC fiber after pyrolysis. The uniform SiC fibers were produced at the condition of spinning voltage over 20 kV from the PCS solution as the concentration of 1.3 g/ml in DMF/Toluene (3:7) and pyrolysis at 1200deg. C. Pyrolyzed products were processed into several interesting applications such as thermal batteries, hydrogen sensors and gas filters.

  13. Processes and applications of silicon carbide nanocomposite fibers

    Energy Technology Data Exchange (ETDEWEB)

    Shin, D G; Cho, K Y; Riu, D H [Nanomaterials Team, Korea Institute of Ceramic Engineering and Technology, 233-5 Gasan-dong, Guemcheon-gu, Seoul 153-801 (Korea, Republic of); Jin, E J, E-mail: dhriu15@seoultech.ac.kr [Battelle-Korea Laborotary, Korea University, Anamdong, Seongbuk-gu, Seoul (Korea, Republic of)

    2011-10-29

    Various types of SiC such as nanowires, thin films, foam, and continuous fibers have been developed since the early 1980s, and their applications have been expanded into several new applications, such as for gas-fueled radiation heater, diesel particulate filter (DPF), ceramic fiber separators and catalyst/catalyst supports include for the military, aerospace, automobile and electronics industries. For these new applications, high specific surface area is demanded and it has been tried by reducing the diameter of SiC fiber. Furthermore, functional nanocomposites show potentials in various harsh environmental applications. In this study, silicon carbide fiber was prepared through electrospinning of the polycarbosilane (PCS) with optimum molecular weight distribution which was synthesized by new method adopting solid acid catalyst such as ZSM-5 and {gamma}-Al{sub 2}O{sub 3}. Functional elements such as aluminum, titanium, tungsten and palladium easily doped in the precursor fiber and remained in the SiC fiber after pyrolysis. The uniform SiC fibers were produced at the condition of spinning voltage over 20 kV from the PCS solution as the concentration of 1.3 g/ml in DMF/Toluene (3:7) and pyrolysis at 1200deg. C. Pyrolyzed products were processed into several interesting applications such as thermal batteries, hydrogen sensors and gas filters.

  14. Processes and applications of silicon carbide nanocomposite fibers

    Science.gov (United States)

    Shin, D. G.; Cho, K. Y.; Jin, E. J.; Riu, D. H.

    2011-10-01

    Various types of SiC such as nanowires, thin films, foam, and continuous fibers have been developed since the early 1980s, and their applications have been expanded into several new applications, such as for gas-fueled radiation heater, diesel particulate filter (DPF), ceramic fiber separators and catalyst/catalyst supports include for the military, aerospace, automobile and electronics industries. For these new applications, high specific surface area is demanded and it has been tried by reducing the diameter of SiC fiber. Furthermore, functional nanocomposites show potentials in various harsh environmental applications. In this study, silicon carbide fiber was prepared through electrospinning of the polycarbosilane (PCS) with optimum molecular weight distribution which was synthesized by new method adopting solid acid catalyst such as ZSM-5 and γ-Al2O3. Functional elements such as aluminum, titanium, tungsten and palladium easily doped in the precursor fiber and remained in the SiC fiber after pyrolysis. The uniform SiC fibers were produced at the condition of spinning voltage over 20 kV from the PCS solution as the concentration of 1.3 g/ml in DMF/Toluene (3:7) and pyrolysis at 1200°C. Pyrolyzed products were processed into several interesting applications such as thermal batteries, hydrogen sensors and gas filters.

  15. Formation of iron disilicide on amorphous silicon

    Science.gov (United States)

    Erlesand, U.; Östling, M.; Bodén, K.

    1991-11-01

    Thin films of iron disilicide, β-FeSi 2 were formed on both amorphous silicon and on crystalline silicon. The β-phase is reported to be semiconducting with a direct band-gap of about 0.85-0.89 eV. This phase is known to form via a nucleation-controlled growth process on crystalline silicon and as a consequence a rather rough silicon/silicide interface is usually formed. In order to improve the interface a bilayer structure of amorphous silicon and iron was sequentially deposited on Czochralski silicon in an e-gun evaporation system. Secondary ion mass spectrometry profiling (SIMS) and scanning electron micrographs revealed an improvement of the interface sharpness. Rutherford backscattering spectrometry (RBS) and X-ray diffractiometry showed β-FeSi 2 formation already at 525°C. It was also observed that the silicide growth was diffusion-controlled, similar to what has been reported for example in the formation of NiSi 2 for the reaction of nickel on amorphous silicon. The kinetics of the FeSi 2 formation in the temperature range 525-625°C was studied by RBS and the activation energy was found to be 1.5 ± 0.1 eV.

  16. Integration of mask and silicon metrology in DFM

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2009-03-01

    We have developed a highly integrated method of mask and silicon metrology. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. We have inspected the high accuracy, stability and reproducibility in the experiments of integration. The accuracy is comparable with that of the mask and silicon CD-SEM metrology. In this report, we introduce the experimental results and the application. As shrinkage of design rule for semiconductor device advances, OPC (Optical Proximity Correction) goes aggressively dense in RET (Resolution Enhancement Technology). However, from the view point of DFM (Design for Manufacturability), the cost of data process for advanced MDP (Mask Data Preparation) and mask producing is a problem. Such trade-off between RET and mask producing is a big issue in semiconductor market especially in mask business. Seeing silicon device production process, information sharing is not completely organized between design section and production section. Design data created with OPC and MDP should be linked to process control on production. But design data and process control data are optimized independently. Thus, we provided a solution of DFM: advanced integration of mask metrology and silicon metrology. The system we propose here is composed of followings. 1) Design based recipe creation: Specify patterns on the design data for metrology. This step is fully automated since they are interfaced with hot spot coordinate information detected by various verification methods. 2) Design based image acquisition: Acquire the images of mask and silicon automatically by a recipe based on the pattern design of CD-SEM.It is a robust automated step because a wide range of design data is used for the image acquisition. 3) Contour profiling and GDS data generation: An image profiling process is applied to the acquired image based

  17. Subsurface oxidation for micropatterning silicon (SOMS).

    Science.gov (United States)

    Zhang, Feng; Sautter, Ken; Davis, Robert C; Linford, Matthew R

    2009-02-03

    Here we present a straightforward patterning technique for silicon: subsurface oxidation for micropatterning silicon (SOMS). In this method, a stencil mask is placed above a silicon surface. Radio-frequency plasma oxidation of the substrate creates a pattern of thicker oxide in the exposed regions. Etching with HF or KOH produces very shallow or much higher aspect ratio features on silicon, respectively, where patterning is confirmed by atomic force microscopy, scanning electron microscopy, and optical microscopy. The oxidation process itself is studied under a variety of reaction conditions, including higher and lower oxygen pressures (2 and 0.5 Torr), a variety of powers (50-400 W), different times and as a function of reagent purity (99.5 or 99.994% oxygen). SOMS can be easily executed in any normal chemistry laboratory with a plasma generator. Because of its simplicity, it may have industrial viability.

  18. Endogenous plasma erythropoietin, cardiovascular mortality and all-cause mortality in renal transplant recipients

    NARCIS (Netherlands)

    Sinkeler, S. J.; Zelle, D. M.; Homan van der Heide, J. J.; Gans, R. O. B.; Navis, G.; Bakker, S. J. L.

    2012-01-01

    Cardiovascular disease (CVD) is the main cause of mortality in renal transplant recipients (RTR). Classical factors only partly explain the excess risk. We hypothesized that high EPO--a marker for inflammation, angiogenesis and hypoxia--is associated with CVD in RTR. A total of 568 RTR (51±12 years;

  19. Quasimetallic silicon micromachined photonic crystals

    International Nuclear Information System (INIS)

    Temelkuran, B.; Bayindir, Mehmet; Ozbay, E.; Kavanaugh, J. P.; Sigalas, M. M.; Tuttle, G.

    2001-01-01

    We report on fabrication of a layer-by-layer photonic crystal using highly doped silicon wafers processed by semiconductor micromachining techniques. The crystals, built using (100) silicon wafers, resulted in an upper stop band edge at 100 GHz. The transmission and defect characteristics of these structures were found to be analogous to metallic photonic crystals. We also investigated the effect of doping concentration on the defect characteristics. The experimental results agree well with predictions of the transfer matrix method simulations

  20. Microencapsulation of silicon cavities using a pulsed excimer laser

    KAUST Repository

    Sedky, Sherif M.; Tawfik, Hani H.; Ashour, Mohamed; Graham, Andrew B.; Provine, John W.; Wang, Qingxiao; Zhang, Xixiang; Howe, Roger T.

    2012-01-01

    This work presents a novel low thermal-budget technique for sealing micromachined cavities in silicon. Cavities are sealed without deposition, similar to the silicon surface-migration sealing process. In contrast to the 1100°C furnace anneal

  1. Supercritical fluid extraction of silicone oil from uranate microspheres prepared by sol-gel process

    International Nuclear Information System (INIS)

    Kumar, R.; Venkatakrishnan, R.; Sivaraman, N.; Srinivasan, T.G.; Vasudeva Rao, P.R.

    2005-01-01

    Supercritical fluid extraction of silicone oil from urania microspheres prepared through sol-gel route was investigated. The influence of pressure, temperature, and flow rate on the extraction efficiency was studied. Experimental conditions were optimised for the complete removal of silicone oil from urania microspheres. (author)

  2. White-light emission from porous-silicon-aluminium Schottky junctions

    International Nuclear Information System (INIS)

    Masini, G.; La Monica, S.; Maiello, G.

    1996-01-01

    Porous-silicon-based white-light-emitting devices are presented. The fabrication process on different substrates is described. The peculiarities of technological steps for device fabrication (porous-silicon formation and aluminium treatment) are underlined. Doping profile of the porous layer, current-voltage characteristics, time response, lifetime tests and electroluminescence emission spectrum of the device are presented. A model for electrical behaviour of Al/porous silicon Schottky junction is presented. Electroluminescence spectrum of the presented devices showed strong similarities with white emission from crystalline silicon junctions in the breakdown region

  3. High resolution deep level transient spectroscopy and process-induced defects in silicon

    International Nuclear Information System (INIS)

    Evans-Freeman, J.H.; Emiroglu, D.; Vernon-Parry, K.D.

    2004-01-01

    High resolution, or Laplace, deep level transient spectroscopy (LDLTS) enables the identification of very closely spaced energetic levels in a semiconductor bandgap. DLTS may resolve peaks with a separation of tens of electron volts, but LDLTS can resolve defect energy separations as low as a few MeV. In this paper, we present results from LDLTS applied to ion implantation-induced defects in silicon, with particular emphasis on characterisation of end-of-range interstitial type defects. Silicon was implanted with a variety of ions from mass 28 to 166. A combination of LDLTS and direct capture cross-section measurements was employed to show that electrically active small extended defects were present in the as-implanted samples. Larger dislocations were then generated in Si by oxygenation to act as a control sample. These stacking faults had typical lengths of microns, and their electrical activity was subsequently characterised by LDLTS. This was to establish the sensitivity of LDLTS to defects whose carrier capture is characterised by a non-exponential filling process and an evolving band structure as carrier capture proceeds. The LDLTS spectra show several components in capacitance transients originating from both the end-of-range defects, and the stacking faults, and also clearly show that the carrier emission rates reduce as these extended defects fill with carriers. The end-of-range defects and the stacking faults are shown to have the same electrical behaviour

  4. Tailorable stimulated Brillouin scattering in nanoscale silicon waveguides.

    Science.gov (United States)

    Shin, Heedeuk; Qiu, Wenjun; Jarecki, Robert; Cox, Jonathan A; Olsson, Roy H; Starbuck, Andrew; Wang, Zheng; Rakich, Peter T

    2013-01-01

    Nanoscale modal confinement is known to radically enhance the effect of intrinsic Kerr and Raman nonlinearities within nanophotonic silicon waveguides. By contrast, stimulated Brillouin-scattering nonlinearities, which involve coherent coupling between guided photon and phonon modes, are stifled in conventional nanophotonics, preventing the realization of a host of Brillouin-based signal-processing technologies in silicon. Here we demonstrate stimulated Brillouin scattering in silicon waveguides, for the first time, through a new class of hybrid photonic-phononic waveguides. Tailorable travelling-wave forward-stimulated Brillouin scattering is realized-with over 1,000 times larger nonlinearity than reported in previous systems-yielding strong Brillouin coupling to phonons from 1 to 18 GHz. Experiments show that radiation pressures, produced by subwavelength modal confinement, yield enhancement of Brillouin nonlinearity beyond those of material nonlinearity alone. In addition, such enhanced and wideband coherent phonon emission paves the way towards the hybridization of silicon photonics, microelectromechanical systems and CMOS signal-processing technologies on chip.

  5. Tailorable stimulated Brillouin scattering in nanoscale silicon waveguides

    Science.gov (United States)

    Shin, Heedeuk; Qiu, Wenjun; Jarecki, Robert; Cox, Jonathan A.; Olsson, Roy H.; Starbuck, Andrew; Wang, Zheng; Rakich, Peter T.

    2013-01-01

    Nanoscale modal confinement is known to radically enhance the effect of intrinsic Kerr and Raman nonlinearities within nanophotonic silicon waveguides. By contrast, stimulated Brillouin-scattering nonlinearities, which involve coherent coupling between guided photon and phonon modes, are stifled in conventional nanophotonics, preventing the realization of a host of Brillouin-based signal-processing technologies in silicon. Here we demonstrate stimulated Brillouin scattering in silicon waveguides, for the first time, through a new class of hybrid photonic–phononic waveguides. Tailorable travelling-wave forward-stimulated Brillouin scattering is realized—with over 1,000 times larger nonlinearity than reported in previous systems—yielding strong Brillouin coupling to phonons from 1 to 18 GHz. Experiments show that radiation pressures, produced by subwavelength modal confinement, yield enhancement of Brillouin nonlinearity beyond those of material nonlinearity alone. In addition, such enhanced and wideband coherent phonon emission paves the way towards the hybridization of silicon photonics, microelectromechanical systems and CMOS signal-processing technologies on chip. PMID:23739586

  6. Porous silicon: Synthesis and optical properties

    International Nuclear Information System (INIS)

    Naddaf, M.; Awad, F.

    2006-01-01

    Formation of porous silicon by electrochemical etching method of both p and n-type single crystal silicon wafers in HF based solutions has been performed by using three different modes. In addition to DC and pulsed voltage, a novel etching mode is developed to prepare light-emitting porous silicon by applying and holding-up a voltage in gradient steps form periodically, between the silicon wafer and a graphite electrode. Under same equivalent etching conditions, periodic gradient steps voltage etching can yield a porous silicon layer with stronger photoluminescence intensity and blue shift than the porous silicon layer prepared by DC or pulsed voltage etching. It has been found that the holding-up of the applied voltage during the etching process for defined interval of time is another significant future of this method, which highly affects the blue shift. This can be used for tailoring a porous layer with novel properties. The actual mechanism behind the blue shift is not clear exactly, even the experimental observation of atomic force microscope and purist measurements in support with quantum confinement model. It has been seen also from Fourier Transform Infrared study that interplays between O-Si-H and Si-H bond intensities play key role in deciding the efficiency of photoluminescence emission. Study of relative humidity sensing and photonic crystal properties of pours silicon samples has confirmed the advantages of the new adopted etching mode. The sensitivity at room temperature of porous silicon prepared by periodic gradient steps voltage etching was found to be about 70% as compared to 51% and 45% for the porous silicon prepared by DC and pulsed voltage etching, respectively. (author)

  7. Porous silicon: Synthesis and optical properties

    International Nuclear Information System (INIS)

    Naddaf, M.; Awad, F.

    2006-06-01

    Formation of porous silicon by electrochemical etching method of both p and n-type single crystal silicon wafers in HF based solutions has been performed by using three different modes. In addition to DC and pulsed voltage, a novel etching mode is developed to prepare light-emitting porous silicon by applying and holding-up a voltage in gradient steps form periodically, between the silicon wafer and a graphite electrode. Under same equivalent etching conditions, periodic gradient steps voltage etching can yield a porous silicon layer with stronger photoluminescence intensity and blue shift than the porous silicon layer prepared by DC or pulsed voltage etching. It has been found that the holding-up of the applied voltage during the etching process for defined interval of time is another significant future of this method, which highly affects the blue shift. This can be used for tailoring a porous layer with novel properties. The actual mechanism behind the blue shift is not clear exactly, even the experimental observation of atomic force microscope and purist measurements in support with quantum confinement model. It has been seen also from Fourier Transform Infrared study that interplays between O-Si-H and Si-H bond intensities play key role in deciding the efficiency of photoluminescence emission. Study of relative humidity sensing and photonic crystal properties of pours silicon samples has confirmed the advantages of the new adopted etching mode. The sensitivity at room temperature of porous silicon prepared by periodic gradient steps voltage etching was found to be about 70% as compared to 51% and 45% for the porous silicon prepared by DC and pulsed voltage etching, respectively. (author)

  8. Silicone Resin Applications for Ceramic Precursors and Composites

    Directory of Open Access Journals (Sweden)

    Masaki Narisawa

    2010-06-01

    Full Text Available This article reviews the applications of silicone resins as ceramic precursors. The historical background of silicone synthesis chemistry is introduced to explain the production costs and supply availability of various silicones. Thermal degradation processes of silicones are classified in terms of the main chain structure and cyclic oligomer expulsion process, which determine the resulting ceramic yield and the chemical composition. The high temperature decomposition of Si-O-C beyond 1,400 °C in an inert atmosphere and formation of a protective silica layer on material surfaces beyond 1,200 °C in an oxidative atmosphere are discussed from the viewpoints of the wide chemical composition of the Si-O-C materials. Applications of the resins for binding agents, as starting materials for porous ceramics, matrix sources with impregnation, fiber spinning and ceramic adhesions are introduced. The recent development of the process of filler or cross-linking agent additions to resin compounds is also introduced. Such resin compounds are useful for obtaining thick coatings, MEMS parts and bulk ceramics, which are difficult to obtain by pyrolysis of simple organometallic precursors without additives.

  9. Numerical analysis of thermal stress and dislocation density distributions in large size multi-crystalline silicon ingots during the seeded growth process

    Science.gov (United States)

    Nguyen, Thi Hoai Thu; Chen, Jyh-Chen; Hu, Chieh; Chen, Chun-Hung; Huang, Yen-Hao; Lin, Huang-Wei; Yu, Andy; Hsu, Bruce

    2017-06-01

    In this study, a global transient numerical simulation of silicon growth from the beginning of the solidification process until the end of the cooling process is carried out modeling the growth of an 800 kg ingot in an industrial seeded directional solidification furnace. The standard furnace is modified by the addition of insulating blocks in the hot zone. The simulation results show that there is a significant decrease in the thermal stress and dislocation density in the modified model as compared to the standard one (a maximal decrease of 23% and 75% along the center line of ingot for thermal stress and dislocation density, respectively). This modification reduces the heating power consumption for solidification of the silicon melt by about 17% and shortens the growth time by about 2.5 h. Moreover, it is found that adjusting the operating conditions of modified model to obtain the lower growth rate during the early stages of the solidification process can lower dislocation density and total heater power.

  10. Effects of a modular two-step ozone-water and annealing process on silicon carbide graphene

    Energy Technology Data Exchange (ETDEWEB)

    Webb, Matthew J., E-mail: matthew.webb@cantab.net; Lundstedt, Anna; Grennberg, Helena [Department of Chemistry—BMC, Uppsala University, Box 576, SE-751 23 Uppsala (Sweden); Polley, Craig; Niu, Yuran; Zakharov, Alexei A.; Balasubramanian, Thiagarajan [MAX IV Laboratory, Lund University, 22100 Lund (Sweden); Dirscherl, Kai [DFM—Danish Fundamental Metrology, Matematiktorvet 307, DK-2800 Lyngby (Denmark); Burwell, Gregory; Guy, Owen J. [College of Engineering, Faraday Tower, Singleton Park, Swansea University, Swansea SA2 8PP (United Kingdom); Palmgren, Pål [VG Scienta Scientific AB, Box 15120, Vallongatan 1, SE-750 15 Uppsala (Sweden); Yakimova, Rositsa [Department of Physics, Chemistry, and Biology, Linköping University, SE-581 83 Linköping (Sweden)

    2014-08-25

    By combining ozone and water, the effect of exposing epitaxial graphene on silicon carbide to an aggressive wet-chemical process has been evaluated after high temperature annealing in ultra high vacuum. The decomposition of ozone in water produces a number of oxidizing species, however, despite long exposure times to the aqueous-ozone environment, no graphene oxide was observed after the two-step process. The systems were comprehensively characterized before and after processing using Raman spectroscopy, core level photoemission spectroscopy, and angle resolved photoemission spectroscopy together with low energy electron diffraction, low energy electron microscopy, and atomic force microscopy. In spite of the chemical potential of the aqueous-ozone reaction environment, the graphene domains were largely unaffected raising the prospect of employing such simple chemical and annealing protocols to clean or prepare epitaxial graphene surfaces.

  11. Double side multicrystalline silicon passivation by one step stain etching-based porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Mohamed, Seifeddine Belhadj; Ben Rabha, Mohamed; Bessais, Brahim [Laboratoire de Photovoltaique, Centre de Recherches et des Technologies de l' Energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia)

    2012-10-15

    In this paper, we investigate the effect of stain etching-based porous silicon on the double side multicrystalline silicon. Special attention is given to the use of the stain etched PS as an antireflection coating as well as for surface passivating capabilities. Stain etching of double side multicrystalline silicon leads to the formation of PS nanostructures, that dramatically decrease the surface reflectivity from 30% to about 7% and increase the effective lifetime from 1 {mu}s to 10 {mu}s at a minority carrier density ({Delta}n) of 10{sup 15} cm{sup -3}. These results let us correlate the rise of the lifetime values to the photoluminescence intensity to the hydrogen and oxide passivation as shown by FTIR analysis. This low-cost PS formation process can be applied in the photovoltaic cell technology as a standard procedure (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Varying temperature and silicon content in nanodiamond growth: effects on silicon-vacancy centres.

    Science.gov (United States)

    Choi, Sumin; Leong, Victor; Davydov, Valery A; Agafonov, Viatcheslav N; Cheong, Marcus W O; Kalashnikov, Dmitry A; Krivitsky, Leonid A

    2018-02-28

    Nanodidamonds containing colour centres open up many applications in quantum information processing, metrology, and quantum sensing. However, controlling the synthesis of nanodiamonds containing silicon vacancy (SiV) centres is still not well understood. Here we study nanodiamonds produced by a high-pressure high-temperature method without catalyst metals, focusing on two samples with clear SiV signatures. Different growth temperatures and relative content of silicon in the initial compound between the samples altered their nanodiamond size distributions and abundance of SiV centres. Our results show that nanodiamond growth can be controlled and optimised for different applications.

  13. Fluorescence and thermoluminescence in silicon oxide films rich in silicon; Fluorescencia y termoluminiscencia en peliculas de oxido de silicio rico en silicio

    Energy Technology Data Exchange (ETDEWEB)

    Berman M, D.; Piters, T. M. [Centro de Investigacion en Fisica, Universidad de Sonora, Apdo. Postal 5-088, Hermosillo 83190, Sonora (Mexico); Aceves M, M.; Berriel V, L. R. [Instituto Nacional de Astrofisica, Optica y Electronica, Apdo. Postal 51, Puebla 72000, Puebla (Mexico); Luna L, J. A. [CIDS, Benemerita Universidad Autonoma de Puebla, Apdo. Postal 1651, Puebla 72000, Puebla (Mexico)

    2009-10-15

    In this work we determined the fluorescence and thermoluminescence (TL) creation spectra of silicon rich oxide films (SRO) with three different silicon excesses. To study the TL of SRO, 550 nm of SRO film were deposited by Low Pressure Chemical Vapor Deposition technique on N-type silicon substrates with resistivity in the order of 3 to 5 {omega}-cm with silicon excess controlled by the ratio of the gases used in the process, SRO films with Ro= 10, 20 and 30 (12-6% silicon excess) were obtained. Then, they were thermally treated in N{sub 2} at high temperatures to diffuse and homogenize the silicon excess. In the fluorescence spectra two main emission regions are observed, one around 400 nm and one around 800 nm. TL creation spectra were determined by plotting the integrated TL intensity as function of the excitation wavelength. (Author)

  14. A silicon-based electrical source for surface plasmon polaritons

    NARCIS (Netherlands)

    Walters, Robert J.; van Loon, Rob V.A.; Brunets, I.; Schmitz, Jurriaan; Polman, Albert

    2009-01-01

    This work demonstrates the fabrication of a silicon-based electrical source for surface plasmon polaritons (SPPs) at low temperatures using silicon nanocrystal doped alumina within a metal-insulator-metal (MIM) waveguide geometry. The fabrication method uses established microtechnology processes

  15. Optical characterization of nanocrystals in silicon rich oxide superlattices and porous silicon

    International Nuclear Information System (INIS)

    Agocs, E.; Petrik, P.; Milita, S.; Vanzetti, L.; Gardelis, S.; Nassiopoulou, A.G.; Pucker, G.; Balboni, R.; Fried, M.

    2011-01-01

    We propose to analyze ellipsometry data by using effective medium approximation (EMA) models. Thanks to EMA, having nanocrystalline reference dielectric functions and generalized critical point (GCP) model the physical parameters of two series of samples containing silicon nanocrystals, i.e. silicon rich oxide (SRO) superlattices and porous silicon layers (PSL), have been determined. The superlattices, consisting of ten SRO/SiO 2 layer pairs, have been prepared using plasma enhanced chemical vapor deposition. The porous silicon layers have been prepared using short monopulses of anodization current in the transition regime between porous silicon formation and electropolishing, in a mixture of hydrofluoric acid and ethanol. The optical modeling of both structures is similar. The effective dielectric function of the layer is calculated by EMA using nanocrystalline components (nc-Si and GCP) in a dielectric matrix (SRO) or voids (PSL). We discuss the two major problems occurring when modeling such structures: (1) the modeling of the vertically non-uniform layer structures (including the interface properties like nanoroughness at the layer boundaries) and (2) the parameterization of the dielectric function of nanocrystals. We used several techniques to reduce the large number of fit parameters of the GCP models. The obtained results are in good agreement with those obtained by X-ray diffraction and electron microscopy. We investigated the correlation of the broadening parameter and characteristic EMA components with the nanocrystal size and the sample preparation conditions, such as the annealing temperatures of the SRO superlattices and the anodization current density of the porous silicon samples. We found that the broadening parameter is a sensitive measure of the nanocrystallinity of the samples, even in cases, where the nanocrystals are too small to be visible for X-ray scattering. Major processes like sintering, phase separation, and intermixing have been

  16. Colloidal characterization of ultrafine silicon carbide and silicon nitride powders

    Science.gov (United States)

    Whitman, Pamela K.; Feke, Donald L.

    1986-01-01

    The effects of various powder treatment strategies on the colloid chemistry of aqueous dispersions of silicon carbide and silicon nitride are examined using a surface titration methodology. Pretreatments are used to differentiate between the true surface chemistry of the powders and artifacts resulting from exposure history. Silicon nitride powders require more extensive pretreatment to reveal consistent surface chemistry than do silicon carbide powders. As measured by titration, the degree of proton adsorption from the suspending fluid by pretreated silicon nitride and silicon carbide powders can both be made similar to that of silica.

  17. Defects in silicon effect on device performance and relationship to crystal growth conditions

    Science.gov (United States)

    Jastrzebski, L.

    1985-01-01

    A relationship between material defects in silicon and the performance of electronic devices will be described. A role which oxygen and carbon in silicon play during the defects generation process will be discussed. The electronic properties of silicon are a strong function of the oxygen state in the silicon. This state controls mechanical properties of silicon efficiency for internal gettering and formation of defects in the device's active area. In addition, to temperature, time, ambience, and the cooling/heating rates of high temperature treatments, the oxygen state is a function of the crystal growth process. The incorporation of carbon and oxygen into silicon crystal is controlled by geometry and rotation rates applied to crystal and crucible during crystal growths. Also, formation of nucleation centers for oxygen precipitation is influenced by the growth process, although there is still a controversy which parameters play a major role. All these factors will be reviewed with special emphasis on areas which are still ambiguous and controversial.

  18. Understanding the microwave annealing of silicon

    Directory of Open Access Journals (Sweden)

    Chaochao Fu

    2017-03-01

    Full Text Available Though microwave annealing appears to be very appealing due to its unique features, lacking an in-depth understanding and accurate model hinder its application in semiconductor processing. In this paper, the physics-based model and accurate calculation for the microwave annealing of silicon are presented. Both thermal effects, including ohmic conduction loss and dielectric polarization loss, and non-thermal effects are thoroughly analyzed. We designed unique experiments to verify the mechanism and extract relevant parameters. We also explicitly illustrate the dynamic interaction processes of the microwave annealing of silicon. This work provides an in-depth understanding that can expedite the application of microwave annealing in semiconductor processing and open the door to implementing microwave annealing for future research and applications.

  19. Stable configurations of graphene on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Javvaji, Brahmanandam; Shenoy, Bhamy Maithry [Department of Aerospace Engineering, Indian Institute of Science, Bangalore 560012 (India); Mahapatra, D. Roy, E-mail: droymahapatra@aero.iisc.ernet.in [Department of Aerospace Engineering, Indian Institute of Science, Bangalore 560012 (India); Ravikumar, Abhilash [Department of Metallurgical and Materials Engineering, National Institute of Technology Karnataka, Surathkal 575025 (India); Hegde, G.M. [Center for Nano Science and Engineering, Indian Institute of Science, Bangalore 560012 (India); Rizwan, M.R. [Department of Metallurgical and Materials Engineering, National Institute of Technology Karnataka, Surathkal 575025 (India)

    2017-08-31

    Highlights: • Simulations of epitaxial growth process for silicon–graphene system is performed. • Identified the most favourable orientation of graphene sheet on silicon substrate. • Atomic local strain due to the silicon–carbon bond formation is analyzed. - Abstract: Integration of graphene on silicon-based nanostructures is crucial in advancing graphene based nanoelectronic device technologies. The present paper provides a new insight on the combined effect of graphene structure and silicon (001) substrate on their two-dimensional anisotropic interface. Molecular dynamics simulations involving the sub-nanoscale interface reveal a most favourable set of temperature independent orientations of the monolayer graphene sheet with an angle of ∽15° between its armchair direction and [010] axis of the silicon substrate. While computing the favorable stable orientations, both the translation and the rotational vibrations of graphene are included. The possible interactions between the graphene atoms and the silicon atoms are identified from their coordination. Graphene sheet shows maximum bonding density with bond length 0.195 nm and minimum bond energy when interfaced with silicon substrate at 15° orientation. Local deformation analysis reveals probability distribution with maximum strain levels of 0.134, 0.047 and 0.029 for 900 K, 300 K and 100 K, respectively in silicon surface for 15° oriented graphene whereas the maximum probable strain in graphene is about 0.041 irrespective of temperature. Silicon–silicon dimer formation is changed due to silicon–carbon bonding. These results may help further in band structure engineering of silicon–graphene lattice.

  20. Antireflection/Passivation Step For Silicon Cell

    Science.gov (United States)

    Crotty, Gerald T.; Kachare, Akaram H.; Daud, Taher

    1988-01-01

    New process excludes usual silicon oxide passivation. Changes in principal electrical parameters during two kinds of processing suggest antireflection treatment almost as effective as oxide treatment in passivating cells. Does so without disadvantages of SiOx passivation.

  1. Interactions of structural defects with metallic impurities in multicrystalline silicon

    International Nuclear Information System (INIS)

    McHugo, S.A.; Thompson, A.C.; Hieslmair, H.

    1997-01-01

    Multicrystalline silicon is one of the most promising materials for terrestrial solar cells. It is critical to getter impurities from the material as well as inhibit contamination during growth and processing. Standard processing steps such as, phosphorus in-diffusion for p-n junction formation and aluminum sintering for backside ohmic contact fabrication, intrinsically possess gettering capabilities. These processes have been shown to improve L n values in regions of multicrystalline silicon with low structural defect densities but not in highly dislocated regions. Recent Deep Level Transient Spectroscopy (DLTS) results indirectly reveal higher concentrations of iron in highly dislocated regions while further work suggests that the release of impurities from structural defects, such as dislocations, is the rate limiting step for gettering in multicrystalline silicon. The work presented here directly demonstrates the relationship between metal impurities, structural defects and solar cell performance in multicrystalline silicon. Edge-defined Film-fed Growth (EFG) multicrystalline silicon in the as-grown state and after full solar cell processing was used in this study. Standard solar cell processing steps were carried out at ASE Americas Inc. Metal impurity concentrations and distributions were determined by use of the x-ray fluorescence microprobe (beamline 10.3.1) at the Advanced Light Source, Lawrence Berkeley National Laboratory. The sample was at atmosphere so only elements with Z greater than silicon could be detected, which includes all metal impurities of interest. Structural defect densities were determined by preferential etching and surface analysis using a Scanning Electron Microscope (SEM) in secondary electron mode. Mapped areas were exactly relocated between the XRF and SEM to allow for direct comparison of impurity and structural defect distributions

  2. Suppression of interfacial voids formation during silane (SiH4)-based silicon oxide bonding with a thin silicon nitride capping layer

    Science.gov (United States)

    Lee, Kwang Hong; Bao, Shuyu; Wang, Yue; Fitzgerald, Eugene A.; Seng Tan, Chuan

    2018-01-01

    The material properties and bonding behavior of silane-based silicon oxide layers deposited by plasma-enhanced chemical vapor deposition were investigated. Fourier transform infrared spectroscopy was employed to determine the chemical composition of the silicon oxide films. The incorporation of hydroxyl (-OH) groups and moisture absorption demonstrates a strong correlation with the storage duration for both as-deposited and annealed silicon oxide films. It is observed that moisture absorption is prevalent in the silane-based silicon oxide film due to its porous nature. The incorporation of -OH groups and moisture absorption in the silicon oxide films increase with the storage time (even in clean-room environments) for both as-deposited and annealed silicon oxide films. Due to silanol condensation and silicon oxidation reactions that take place at the bonding interface and in the bulk silicon, hydrogen (a byproduct of these reactions) is released and diffused towards the bonding interface. The trapped hydrogen forms voids over time. Additionally, the absorbed moisture could evaporate during the post-bond annealing of the bonded wafer pair. As a consequence, defects, such as voids, form at the bonding interface. To address the problem, a thin silicon nitride capping film was deposited on the silicon oxide layer before bonding to serve as a diffusion barrier to prevent moisture absorption and incorporation of -OH groups from the ambient. This process results in defect-free bonded wafers.

  3. Copper-assisted, anti-reflection etching of silicon surfaces

    Science.gov (United States)

    Toor, Fatima; Branz, Howard

    2014-08-26

    A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.

  4. Micropatterned arrays of porous silicon: toward sensory biointerfaces.

    Science.gov (United States)

    Flavel, Benjamin S; Sweetman, Martin J; Shearer, Cameron J; Shapter, Joseph G; Voelcker, Nicolas H

    2011-07-01

    We describe the fabrication of arrays of porous silicon spots by means of photolithography where a positive photoresist serves as a mask during the anodization process. In particular, photoluminescent arrays and porous silicon spots suitable for further chemical modification and the attachment of human cells were created. The produced arrays of porous silicon were chemically modified by means of a thermal hydrosilylation reaction that facilitated immobilization of the fluorescent dye lissamine, and alternatively, the cell adhesion peptide arginine-glycine-aspartic acid-serine. The latter modification enabled the selective attachment of human lens epithelial cells on the peptide functionalized regions of the patterns. This type of surface patterning, using etched porous silicon arrays functionalized with biological recognition elements, presents a new format of interfacing porous silicon with mammalian cells. Porous silicon arrays with photoluminescent properties produced by this patterning strategy also have potential applications as platforms for in situ monitoring of cell behavior.

  5. Modeling of hydrogen passivation process of silicon for solar cells applications

    International Nuclear Information System (INIS)

    Kuznicki, Z.T.; Ciach, R.; Gorley, P.M.; Voznyy, M.V.

    2001-01-01

    In this paper, results of investigation of evolution equations' system describing hydrogen passivation of silicon are presented. Using Lie group theory the classification of invariant solutions and initial system reduction to systems of ordinary differential equations (ODEs) is carried out for admissible infinitesimal operators under constant hydrogen atoms diffusivity in the sample. Possibility of analytical solution of passivation problem is shown. Analysis of system behavior taking into account diffusion and dissociation mechanisms is performed. It is ascertained that free hydrogen atoms diffusion in the sample and 'defect-hydrogen' dissociation spoil passivation. Analytical dependences obtained make it possible to predict spatial and time defect distribution under hydrogen passivation of silicon depending on experimental conditions

  6. Growth of carbon nanotubes by Fe-catalyzed chemical vapor processes on silicon-based substrates

    Science.gov (United States)

    Angelucci, Renato; Rizzoli, Rita; Vinciguerra, Vincenzo; Fortuna Bevilacqua, Maria; Guerri, Sergio; Corticelli, Franco; Passini, Mara

    2007-03-01

    In this paper, a site-selective catalytic chemical vapor deposition synthesis of carbon nanotubes on silicon-based substrates has been developed in order to get horizontally oriented nanotubes for field effect transistors and other electronic devices. Properly micro-fabricated silicon oxide and polysilicon structures have been used as substrates. Iron nanoparticles have been obtained both from a thin Fe film evaporated by e-gun and from iron nitrate solutions accurately dispersed on the substrates. Single-walled nanotubes with diameters as small as 1 nm, bridging polysilicon and silicon dioxide “pillars”, have been grown. The morphology and structure of CNTs have been characterized by SEM, AFM and Raman spectroscopy.

  7. Optimization of silver-assisted nano-pillar etching process in silicon

    Science.gov (United States)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-12-01

    In this study, a respond surface methodology (RSM) model is developed using three-level Box-Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H2O2), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H2O2 concentration and etching time. The predicted model is in good agreement with the experimental data where R2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time. This lack of uniformity could be attributed to the surface condition of the wafer. Optimization of the process parameters show adequate accuracy of the model with acceptable percentage errors of 6%, 59%, 1.8%, 38% and 61% for determination of the height, separation, size, the pore size and the etching rate respectively.

  8. Solidification and properties of photovoltaic silicon

    International Nuclear Information System (INIS)

    Anon.

    2007-01-01

    Strenuous efforts are being made to develop an economical process for purifying liquid metallurgical-grade silicon, in response to the growing shortages in high-purity silicon for use in manufacturing photovoltaic cells. A research project is studying this issue at C.E. Saclay, Gif-sur-Yvette, France, co-funded by ADEME (the French Environment and Energy Management Agency) and CEA-INSTN (French Atomic Energy Commission National Institute for Nuclear Science and Technology). (authors)

  9. Control of back surface reflectance from aluminum alloyed contacts on silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Cudzinovic, M.; Sopori, B. [National Renewable Energy Lab., Golden, CO (United States)

    1996-05-01

    A process for forming highly reflective aluminum back contacts with low contact resistance to silicon solar cells is described. By controlling the process conditions, it is possible to vary the silicon/aluminum interface from a specular to a diffuse reflector while maintaining a high interface reflectance. The specular interface is found to be a uniform silicon/aluminum alloy layer a few angstroms thick that has epitaxially regrown on the silicon. The diffuse interface consists of randomly distributed (111) pyramids produced by crystallographic out-diffusion of the bulk silicon. The light trapping ability of the diffuse contact is found to be close to the theoretical limit. Both types of contacts are found to have specific contact resistivities of 10{sup {minus}5} {Omega}-cm{sup 2}. The process for forming the contacts involves illuminating the devices with tungsten halogen lamps. The process is rapid (under 100 s) and low temperature (peak temperature < 580{degrees}C), making it favorable for commercial solar cell fabrication.

  10. Doping efficiency analysis of highly phosphorous doped epitaxial/amorphous silicon emitters grown by PECVD for high efficiency silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    El-Gohary, H.G.; Sivoththaman, S. [Waterloo Univ., ON (Canada). Dept. of Electrical and Computer Engineering

    2008-08-15

    The efficient doping of hydrogenated amorphous and crystalline silicon thin films is a key factor in the fabrication of silicon solar cells. The most popular method for developing those films is plasma enhanced chemical vapor deposition (PECVD) because it minimizes defect density and improves doping efficiency. This paper discussed the preparation of different structure phosphorous doped silicon emitters ranging from epitaxial to amorphous films at low temperature. Phosphine (PH{sub 3}) was employed as the doping gas source with the same gas concentration for both epitaxial and amorphous silicon emitters. The paper presented an analysis of dopant activation by applying a very short rapid thermal annealing process (RTP). A spreading resistance profile (SRP) and SIMS analysis were used to detect both the active dopant and the dopant concentrations, respectively. The paper also provided the results of a structural analysis for both bulk and cross-section at the interface using high-resolution transmission electron microscopy and Raman spectroscopy, for epitaxial and amorphous films. It was concluded that a unity doping efficiency could be achieved in epitaxial layers by applying an optimized temperature profile using short time processing rapid thermal processing technique. The high quality, one step epitaxial layers, led to both high conductive and high doping efficiency layers.

  11. Arsenic implantation into polycrystalline silicon and diffusion to silicon substrate

    International Nuclear Information System (INIS)

    Tsukamoto, K.; Akasaka, Y.; Horie, K.

    1977-01-01

    Arsenic implantation into polycrystalline silicon and drive-in diffusion to silicon substrate have been investigated by MeV He + backscattering analysis and also by electrical measurements. The range distributions of arsenic implanted into polycrystalline silicon are well fitted to Gaussian distributions over the energy range 60--350 keV. The measured values of R/sub P/ and ΔR/sub P/ are about 10 and 20% larger than the theoretical predictions, respectively. The effective diffusion coefficient of arsenic implanted into polycrystalline silicon is expressed as D=0.63 exp[(-3.22 eV/kT)] and is independent of the arsenic concentration. The drive-in diffusion of arsenic from the implanted polycrystalline silicon layer into the silicon substrate is significantly affected by the diffusion atmosphere. In the N 2 atmosphere, a considerable amount of arsenic atoms diffuses outward to the ambient. The outdiffusion can be suppressed by encapsulation with Si 3 N 4 . In the oxidizing atmosphere, arsenic atoms are driven inward by growing SiO 2 due to the segregation between SiO 2 and polycrystalline silicon, and consequently the drive-in diffusion of arsenic is enhanced. At the interface between the polycrystalline silicon layer and the silicon substrate, arsenic atoms are likely to segregate at the polycrystalline silicon side

  12. Porous silicon: silicon quantum dots for photonic applications

    International Nuclear Information System (INIS)

    Pavesi, L.; Guardini, R.

    1996-01-01

    Porous silicon formation and structure characterization are briefly illustrated. Its luminescence properties rae presented and interpreted on the basis of exciton recombination in quantum dot structures: the trap-controlled hopping mechanism is used to describe the recombination dynamics. Porous silicon application to photonic devices is considered: porous silicon multilayer in general, and micro cavities in particular are described. The present situation in the realization of porous silicon LEDs is considered, and future developments in this field of research are suggested. (author). 30 refs., 30 figs., 13 tabs

  13. Silicon-based metallic micro grid for electron field emission

    International Nuclear Information System (INIS)

    Kim, Jaehong; Jeon, Seok-Gy; Kim, Jung-Il; Kim, Geun-Ju; Heo, Duchang; Shin, Dong Hoon; Sun, Yuning; Lee, Cheol Jin

    2012-01-01

    A micro-scale metal grid based on a silicon frame for application to electron field emission devices is introduced and experimentally demonstrated. A silicon lattice containing aperture holes with an area of 80 × 80 µm 2 and a thickness of 10 µm is precisely manufactured by dry etching the silicon on one side of a double-polished silicon wafer and by wet etching the opposite side. Because a silicon lattice is more rigid than a pure metal lattice, a thin layer of Au/Ti deposited on the silicon lattice for voltage application can be more resistant to the geometric stress caused by the applied electric field. The micro-fabrication process, the images of the fabricated grid with 88% geometric transparency and the surface profile measurement after thermal feasibility testing up to 700 °C are presented. (paper)

  14. Towards a utilisation of transient processing in the technology of high efficiency silicon solar cells

    International Nuclear Information System (INIS)

    Eichhammer, W.

    1989-01-01

    The utilization of transient processing in the technology of high efficient silicon solar cells is investigated. An ultraviolet laser (an ArF pulsed excimer laser working at 193 nm) is applied. Laser processing induces only a short superficial melting of the material and does not modify the transport properties in the base of the material. This mode of processing associated to ion implantation to form the junction as well as an oxide layer in an atmosphere of oxygen. The volume was left entirely cold in this process. The results of the investigation show: that an entirely cold process of solar cell fabrication needs a thermal treatment at a temperature around 600 C; that the oxides obtained are not satisfying as passivating layers; and that the Rapid Thermal Processing (RTP) induced recombination centers are not directly related to the quenching step but a consequence of the presence of metal impurities. The utilisation of transient processing in the adiabatic regime (laser) and in the rapid isothermal regime (RTP) are possible as two complementary techniques for the realization of high efficiency solar cells

  15. Mechanisms and characteristics of silicon combustion in nitrogen

    Energy Technology Data Exchange (ETDEWEB)

    Mukasian, A.S.; Martynenko, V.M.; Merzhanov, A.G.; Borovinskaia, I.P.; Blinov, M.IU.

    1986-10-01

    An experimental study is made of the principal characteristics of combustion in the system silicon-nitrogen associated with phase transitions of the first kind (silicon melting and silicon nitride dissociation). Concepts of the combustion mechanism are developed on the basis of elementary models of combustion of the second kind and filtering combustion theory. In particular, it is shown that, in the pressure range studied (10-20 MPa), filtering does not limit the combustion process. Details of the experimental procedure and results are presented. 22 references.

  16. Custom 3D Printable Silicones with Tunable Stiffness.

    Science.gov (United States)

    Durban, Matthew M; Lenhardt, Jeremy M; Wu, Amanda S; Small, Ward; Bryson, Taylor M; Perez-Perez, Lemuel; Nguyen, Du T; Gammon, Stuart; Smay, James E; Duoss, Eric B; Lewicki, James P; Wilson, Thomas S

    2018-02-01

    Silicone elastomers have broad versatility within a variety of potential advanced materials applications, such as soft robotics, biomedical devices, and metamaterials. A series of custom 3D printable silicone inks with tunable stiffness is developed, formulated, and characterized. The silicone inks exhibit excellent rheological behavior for 3D printing, as observed from the printing of porous structures with controlled architectures. Herein, the capability to tune the stiffness of printable silicone materials via careful control over the chemistry, network formation, and crosslink density of the ink formulations in order to overcome the challenging interplay between ink development, post-processing, material properties, and performance is demonstrated. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Texture evolution of experimental silicon steel grades. Part I: Hot rolling

    Energy Technology Data Exchange (ETDEWEB)

    Sandoval Robles, J.A., E-mail: jsandoval.uanl@yahoo.com [Universidad Autónoma de Nuevo León, Facultad de Ingeniería Mecánica y Eléctrica, Ave. Universidad S/N, Cd. Universitaria, San Nicolás de los Garza, Nuevo León C.P. 66450 (Mexico); Salas Zamarripa, A.; Guerrero Mata, M.P. [Universidad Autónoma de Nuevo León, Facultad de Ingeniería Mecánica y Eléctrica, Ave. Universidad S/N, Cd. Universitaria, San Nicolás de los Garza, Nuevo León C.P. 66450 (Mexico); Cabrera, J. [Universitat Politècnica de Catalunya, Departament de Ciència dels Materials I Enginyeria Metal-lúrgica, Av. Diagonal 647, Barcelona 08028 (Spain)

    2017-05-01

    The metallurgical understanding of the deformation processes during the fabrication of non-oriented electrical steels plays a key role in improving their final properties. Texture control and optimization is critical in these steels for the enhancement of their magnetic properties. The aim of the present work is to study the texture evolution of six non-oriented experimental silicon steel grades during hot rolling. These steels were low carbon steel with a silicon content from 0.5 to 3.0 wt%. The first rolling schedule was performed in the austenitic (γ-Fe) region for the steel with a 0.5 wt% of silicon content, while the 1.0 wt% silicon steel was rolled in the two-phase (α+γ) region. Steels with higher silicon content were rolled in the ferritic (α-Fe) region. The second rolling schedule was performed in the α-Fe region. Samples of each stage were analyzed by means of Electron Backscatter Diffraction (EBSD). Findings showed that the texture was random and heterogeneous in all samples after 60% of rolling reduction, which is due to the low deformation applied during rolling. After the second rolling program, localized deformation and substructured grains near to surface were observed in all samples. The Goss {110}<001>texture-component was found in the 0.5 and 1.0 wt.-%silicon steels. This is due to the thermomechanical conditions and the corresponding hot band microstructure obtained after the first program. Moreover, the α<110>//RD and the γ <111>//ND fiber components of the texture presented a considerable increment as the silicon content increases. Future research to be published soon will be related to the texture evolution during the cold-work rolling process. - Highlights: • We analyze six silicon steel experimental grades alloys trough the rolling process. • Material was subjected to a hot deformation process in the α-γ region. • No recrystalization was observed during-after the rolling schedules. • Rise of the magnetic texture components

  18. Amorphous silicon as high index photonic material

    Science.gov (United States)

    Lipka, T.; Harke, A.; Horn, O.; Amthor, J.; Müller, J.

    2009-05-01

    Silicon-on-Insulator (SOI) photonics has become an attractive research topic within the area of integrated optics. This paper aims to fabricate SOI-structures for optical communication applications with lower costs compared to standard fabrication processes as well as to provide a higher flexibility with respect to waveguide and substrate material choice. Amorphous silicon is deposited on thermal oxidized silicon wafers with plasma-enhanced chemical vapor deposition (PECVD). The material is optimized in terms of optical light transmission and refractive index. Different a-Si:H waveguides with low propagation losses are presented. The waveguides were processed with CMOS-compatible fabrication technologies and standard DUV-lithography enabling high volume production. To overcome the large mode-field diameter mismatch between incoupling fiber and sub-μm waveguides three dimensional, amorphous silicon tapers were fabricated with a KOH etched shadow mask for patterning. Using ellipsometric and Raman spectroscopic measurements the material properties as refractive index, layer thickness, crystallinity and material composition were analyzed. Rapid thermal annealing (RTA) experiments of amorphous thin films and rib waveguides were performed aiming to tune the refractive index of the deposited a-Si:H waveguide core layer after deposition.

  19. Correlation between surface microstructure and optical properties of porous silicon

    Directory of Open Access Journals (Sweden)

    Saeideh Rhramezani Sani

    2007-12-01

    Full Text Available   We have studied the effect of increasing porosity and its microstructure surface variation on the optical and dielectric properties of porous silicon. It seems that porosity, as the surface roughness within the range of a few microns, shows quantum effect in the absorption and reflection process of porous silicon. Optical constants of porous silicon at normal incidence of light with wavelength in the range of 250-3000 nm have been calculated by Kramers-Kroning method. Our experimental analysis shows that electronic structure and dielectric properties of porous silicon are totally different from silicon. Also, it shows that porous silicon has optical response in the visible region. This difference was also verified by effective media approximation (EMA.

  20. Thin Single Crystal Silicon Solar Cells on Ceramic Substrates: November 2009 - November 2010

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, A.; Ravi, K. V.

    2011-06-01

    In this program we have been developing a technology for fabricating thin (< 50 micrometres) single crystal silicon wafers on foreign substrates. We reverse the conventional approach of depositing or forming silicon on foreign substrates by depositing or forming thick (200 to 400 micrometres) ceramic materials on high quality single crystal silicon films ~ 50 micrometres thick. Our key innovation is the fabrication of thin, refractory, and self-adhering 'handling layers or substrates' on thin epitaxial silicon films in-situ, from powder precursors obtained from low cost raw materials. This 'handling layer' has sufficient strength for device and module processing and fabrication. Successful production of full sized (125 mm X 125 mm) silicon on ceramic wafers with 50 micrometre thick single crystal silicon has been achieved and device process flow developed for solar cell fabrication. Impurity transfer from the ceramic to the silicon during the elevated temperature consolidation process has resulted in very low minority carrier lifetimes and resulting low cell efficiencies. Detailed analysis of minority carrier lifetime, metals analysis and device characterization have been done. A full sized solar cell efficiency of 8% has been demonstrated.

  1. Fifth workshop on the role of impurities and defects in silicon device processing. Extended abstracts

    Energy Technology Data Exchange (ETDEWEB)

    Sopori, B.L.; Luque, A.; Sopori, B.; Swanson, D.; Gee, J.; Kalejs, J.; Jastrzebski, L.; Tan, T.

    1995-08-01

    This workshop dealt with engineering aspects and material properties of silicon electronic devices. Crystalline silicon growth, modeling, and properties are discussed in general and as applied to solar cells. Topics considered in discussions of silicon growth include: casting, string ribbons, Al backside contacts, ion implantation, gettering, passivation, and ultrasound treatments. Properties studies include: Electronic properties of defects and impurities, dopant and carrier concentrations, structure and bonding, nitrogen effects, degradation of bulk diffusion length, and recombination parameters. Individual papers from the workshop are indexed separately on the Energy Data Bases.

  2. Dislocation dynamics of web type silicon ribbon

    Energy Technology Data Exchange (ETDEWEB)

    Dillon, Jr, O W; Tsai, C T; DeAngelis, R J

    1987-03-01

    Silicon ribbon grown by the dendritic web process passes through a rapidly changing thermal profile in the growth direction. This rapidly changing profile induces stresses which produce changes in the dislocation density in the ribbon. A viscoplastic material response function (Haasen-Sumino model) is used herein to calculate the stresses and the dislocation density at each point in the silicon ribbon. The residual stresses are also calculated.

  3. Melting of Grey Cast Iron Based on Steel Scrap Using Silicon Carbide

    Directory of Open Access Journals (Sweden)

    Stojczew A.

    2014-08-01

    Full Text Available The paper presents the issue of synthetic cast iron production in the electric induction furnace exclusively on the steel scrap base. Silicon carbide and synthetic graphite were used as carburizers. The carburizers were introduced with solid charge or added on the liquid metal surface. The chemical analysis of the produced cast iron, the carburization efficiency and microstructure features were presented in the paper. It was stated that ferrosilicon can be replaced by silicon carbide during the synthetic cast iron melting process. However, due to its chemical composition (30% C and 70% Si which causes significant silicon content in iron increase, the carbon deficit can be partly compensated by the carburizer introduction. Moreover it was shown that the best carbon and silicon assimilation rate is obtained where the silicon carbide is being introduced together with solid charge. When it is thrown onto liquid alloy surface the efficiency of the process is almost two times less and the melting process lasts dozen minutes long. The microstructure of the cast iron produced with the silicon carbide shows more bulky graphite flakes than inside the microstructure of cast iron produced on the pig iron base.

  4. Waveguide silicon nitride grating coupler

    Science.gov (United States)

    Litvik, Jan; Dolnak, Ivan; Dado, Milan

    2016-12-01

    Grating couplers are one of the most used elements for coupling of light between optical fibers and photonic integrated components. Silicon-on-insulator platform provides strong confinement of light and allows high integration. In this work, using simulations we have designed a broadband silicon nitride surface grating coupler. The Fourier-eigenmode expansion and finite difference time domain methods are utilized in design optimization of grating coupler structure. The fully, single etch step grating coupler is based on a standard silicon-on-insulator wafer with 0.55 μm waveguide Si3N4 layer. The optimized structure at 1550 nm wavelength yields a peak coupling efficiency -2.6635 dB (54.16%) with a 1-dB bandwidth up to 80 nm. It is promising way for low-cost fabrication using complementary metal-oxide- semiconductor fabrication process.

  5. Silica encapsulation of luminescent silicon nanoparticles: stable and biocompatible nanohybrids

    Energy Technology Data Exchange (ETDEWEB)

    Maurice, Vincent [CEA Saclay, DSM/IRAMIS/SPAM-LFP (France); Rivolta, Ilaria [University of Milano-Bicocca, Department of Experimental Medicine (DIMS) (Italy); Vincent, Julien [CEA Saclay, DSM/IRAMIS/SPAM-LFP (France); Raccurt, Olivier [CEA Grenoble, Department of Nano Materials, NanoChemistry and NanoSafety Laboratory (DRT/LITEN/DTNM/LCSN) (France); Rouzaud, Jean-Noel [Ecole Normale superieure de Paris, Laboratoire de Geologie (France); Miserrochi, Giuseppe [University of Milano-Bicocca, Department of Experimental Medicine (DIMS) (Italy); Doris, Eric [CEA, Service de Chimie Bioorganique et de Marquage, iBiTecS (France); Reynaud, Cecile; Herlin-Boime, Nathalie, E-mail: nathalie.herlin@cea.fr [CEA Saclay, DSM/IRAMIS/SPAM-LFP (France)

    2012-02-15

    This article presents a process for surface coating and functionalization of luminescent silicon nanoparticles. The particles were coated with silica using a microemulsion process that was adapted to the fragile silicon nanoparticles. The as-produced core-shell particles have a mean diameter of 35 nm and exhibit the intrinsic photoluminescence of the silicon core. The silica layer protects the core from aqueous oxidation for several days, thus allowing the use of the nanoparticles for biological applications. The nanoparticles were further coated with amines and functionalized with polyethylene glycol chains and the toxicity of the particles has been evaluated at the different stages of the process. The core-shell nanoparticles exhibit no acute toxicity towards lung cells, which is promising for further development.

  6. Future application of Czochralski crystal pulling for silicon

    Science.gov (United States)

    Matlcok, J. H.

    1985-08-01

    Czochralski (Cz) crystal pulling has been the predominant method used for preparing silicon single crystal for the past twenty years. The fundamental technology used has changed little. However, great strides have been made in learning how to make the crystals bigger and of better quality at ever increasing productivity rates. Currently charge sizes of 50 kg of polycrystal silicon are being used for production and crystals up to ten inches in diameter have been grown without major difficulty. The largest material actually being processed in silicon wafer form is 150 mm (6 inches) in diameter. Growing of crystals in a magnetic field has proved to be particularly useful for microscopic impurity control. Major developments in past years on equipment for Cz crystal pulling have included the automatic growth control of the diameter as well as the starting core of the crystal, the use of magnetic fields and around the crystal puller to supress convection, various recharging schemes for dopant control and the use of continuous liquid feed in the crystal puller. The latter, while far from being a reliable production process, is ideal in concept for major improvement in Cz crystal pulling. The Czochralski process will maintain its dominance of silicon crystal production for many years.

  7. Warpage Characteristics and Process Development of Through Silicon Via-Less Interconnection Technology.

    Science.gov (United States)

    Shen, Wen-Wei; Lin, Yu-Min; Wu, Sheng-Tsai; Lee, Chia-Hsin; Huang, Shin-Yi; Chang, Hsiang-Hung; Chang, Tao-Chih; Chen, Kuan-Neng

    2018-08-01

    In this study, through silicon via (TSV)-less interconnection using the fan-out wafer-level-packaging (FO-WLP) technology and a novel redistribution layer (RDL)-first wafer level packaging are investigated. Since warpage of molded wafer is a critical issue and needs to be optimized for process integration, the evaluation of the warpage issue on a 12-inch wafer using finite element analysis (FEA) at various parameters is presented. Related parameters include geometric dimension (such as chip size, chip number, chip thickness, and mold thickness), materials' selection and structure optimization. The effect of glass carriers with various coefficients of thermal expansion (CTE) is also discussed. Chips are bonded onto a 12-inch reconstituted wafer, which includes 2 RDL layers, 3 passivation layers, and micro bumps, followed by using epoxy molding compound process. Furthermore, an optical surface inspector is adopted to measure the surface profile and the results are compared with the results from simulation. In order to examine the quality of the TSV-less interconnection structure, electrical measurement is conducted and the respective results are presented.

  8. Process Characterization of Electrical Discharge Machining of Highly Doped Silicon

    Science.gov (United States)

    2012-06-01

    of mechanism is shown in Figure 2 showing the wire feed panel where the spool of 5 (DiBitonto, et...Uno High efficiency boring of monocrystalline silicon ingot by EDM RAM Si (P-type) conductivity 0.01 ohm-cm 1mm Cu pipe electrode, rotating at

  9. TOPICAL REVIEW Textured silicon nitride: processing and anisotropic properties

    Directory of Open Access Journals (Sweden)

    Xinwen Zhu and Yoshio Sakka

    2008-01-01

    Full Text Available Textured silicon nitride (Si3N4 has been intensively studied over the past 15 years because of its use for achieving its superthermal and mechanical properties. In this review we present the fundamental aspects of the processing and anisotropic properties of textured Si3N4, with emphasis on the anisotropic and abnormal grain growth of β-Si3N4, texture structure and texture analysis, processing methods and anisotropic properties. On the basis of the texturing mechanisms, the processing methods described in this article have been classified into two types: hot-working (HW and templated grain growth (TGG. The HW method includes the hot-pressing, hot-forging and sinter-forging techniques, and the TGG method includes the cold-pressing, extrusion, tape-casting and strong magnetic field alignment techniques for β-Si3N4 seed crystals. Each processing technique is thoroughly discussed in terms of theoretical models and experimental data, including the texturing mechanisms and the factors affecting texture development. Also, methods of synthesizing the rodlike β-Si3N4 single crystals are presented. Various anisotropic properties of textured Si3 N4 and their origins are thoroughly described and discussed, such as hardness, elastic modulus, bending strength, fracture toughness, fracture energy, creep behavior, tribological and wear behavior, erosion behavior, contact damage behavior and thermal conductivity. Models are analyzed to determine the thermal anisotropy by considering the intrinsic thermal anisotropy, degree of orientation and various microstructure factors. Textured porous Si3N4 with a unique microstructure composed of oriented elongated β-Si3N4 and anisotropic pores is also described for the first time, with emphasis on its unique mechanical and thermal-mechanical properties. Moreover, as an important related material, textured α-Sialon is also reviewed, because the presence of elongated α-Sialon grains allows the production of textured

  10. Formation mechanism of a silicon carbide coating for a reinforced carbon-carbon composite

    Science.gov (United States)

    Rogers, D. C.; Shuford, D. M.; Mueller, J. I.

    1975-01-01

    Results are presented for a study to determine the mechanisms involved in a high-temperature pack cementation process which provides a silicon carbide coating on a carbon-carbon composite. The process and materials used are physically and chemically analyzed. Possible reactions are evaluated using the results of these analytical data. The coating is believed to develop in two stages. The first is a liquid controlled phase process in which silicon carbide is formed due to reactions between molten silicon metal and the carbon. The second stage is a vapor transport controlled reaction in which silicon vapors react with the carbon. There is very little volume change associated with the coating process. The original thickness changes by less than 0.7%. This indicates that the coating process is one of reactive penetration. The coating thickness can be increased or decreased by varying the furnace cycle process time and/or temperature to provide a wide range of coating thicknesses.

  11. Structurally controlled deposition of silicon onto nanowires

    Science.gov (United States)

    Wang, Weijie; Liu, Zuqin; Han, Song; Bornstein, Jonathan; Stefan, Constantin Ionel

    2018-03-20

    Provided herein are nanostructures for lithium ion battery electrodes and methods of fabrication. In some embodiments, a nanostructure template coated with a silicon coating is provided. The silicon coating may include a non-conformal, more porous layer and a conformal, denser layer on the non-conformal, more porous layer. In some embodiments, two different deposition processes, e.g., a PECVD layer to deposit the non-conformal layer and a thermal CVD process to deposit the conformal layer, are used. Anodes including the nanostructures have longer cycle lifetimes than anodes made using either a PECVD or thermal CVD method alone.

  12. Selective etching of n-type silicon in pn junction structure in hydrofluoric acid and its application in silicon nanowire fabrication

    International Nuclear Information System (INIS)

    Wang Huiquan; Jin Zhonghe; Zheng Yangming; Ma Huilian; Wang Yuelin; Li Tie

    2008-01-01

    Boron is selectively implanted on the surface of an n-type silicon wafer to form a p-type area surrounded by an n-type area. The wafer is then put into a buffered oxide etch solution. It is found that the n-type area can be selectively etched without illumination, with an etching rate lower than 1 nm min -1 , while the p-type area can be selectively etched under illumination with a much higher etching rate. The possible mechanism of the etching phenomenon is discussed. A simple fabrication process of silicon nanowires is proposed according to the above phenomenon. In this process only traditional micro-electromechanical system technology is used. Dimensions of the fabricated nanowire can be controlled well. A 50 nm wide and 50 nm thick silicon nanowire has been formed using this method

  13. A deep etching mechanism for trench-bridging silicon nanowires.

    Science.gov (United States)

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Alaca, B Erdem

    2016-03-04

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  14. A deep etching mechanism for trench-bridging silicon nanowires

    International Nuclear Information System (INIS)

    Tasdemir, Zuhal; Alaca, B Erdem; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf

    2016-01-01

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping. (paper)

  15. A deep etching mechanism for trench-bridging silicon nanowires

    Science.gov (United States)

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Erdem Alaca, B.

    2016-03-01

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  16. Study of the processes of degradation of the optical properties of mesoporous and macroporous silicon upon exposure to simulated solar radiation

    Energy Technology Data Exchange (ETDEWEB)

    Levitskii, V. S., E-mail: lev-vladimir@yandex.ru [St. Petersburg State Electrotechnical University “LETI” (Russian Federation); Lenshin, A. S., E-mail: lenshinas@phys.vsu.ru; Seredin, P. V. [Voronezh State University (Russian Federation); Terukov, E. I. [St. Petersburg State Electrotechnical University “LETI” (Russian Federation)

    2015-11-15

    The effect of solar radiation on the surface composition of mesoporous and macroporous silicon is studied by infrared spectroscopy, Raman spectroscopy, and photoluminescence measurements in order to analyze the possibility of using these materials as a material for solar-power engineering. The studies are conducted in the laboratory environment, with the use of a solar-radiation simulator operating under conditions close to the working conditions of standard silicon solar cells. The studies show that, in general, the materials meet the requirements of solar-power engineering, if it is possible to preclude harmful effects associated with the presence of heat-sensitive and photosensitive bonds at the nanomaterial surface by standard processing methods.

  17. Erbium doped stain etched porous silicon

    International Nuclear Information System (INIS)

    Gonzalez-Diaz, B.; Diaz-Herrera, B.; Guerrero-Lemus, R.; Mendez-Ramos, J.; Rodriguez, V.D.; Hernandez-Rodriguez, C.; Martinez-Duart, J.M.

    2008-01-01

    In this work a simple erbium doping process applied to stain etched porous silicon layers (PSLs) is proposed. This doping process has been developed for application in porous silicon solar cells, where conventional erbium doping processes are not affordable because of the high processing cost and technical difficulties. The PSLs were formed by immersion in a HF/HNO 3 solution to properly adjust the porosity and pore thickness to an optimal doping of the porous structure. After the formation of the porous structure, the PSLs were analyzed by means of nitrogen BET (Brunauer, Emmett and Teller) area measurements and scanning electron microscopy. Subsequently, the PSLs were immersed in a saturated erbium nitrate solution in order to cover the porous surface. Then, the samples were subjected to a thermal process to activate the Er 3+ ions. Different temperatures and annealing times were used in this process. The photoluminescence of the PSLs was evaluated before and after the doping processes and the composition was analyzed by Fourier transform IR spectroscopy

  18. Calcinosis Cutis Long after Rhinoplasty with Silicone

    Directory of Open Access Journals (Sweden)

    Yuki Honda

    2014-12-01

    Full Text Available Rhinoplasty is a plastic surgery procedure to reconstruct the nose. Silicone alloplastic materials are most widely used as implants for rhinoplasty, but calcification on the surface occurs with long-term usage. Herein, we report a case of gruel-like calcification approximately 50 years after silicone implant rhinoplasty. In this case, calcification on the silicone surface might have transformed into gruel-like deposits, which presented as a subcutaneous mass at the dorsal area of the nose. The precise mechanism is unclear; a pH change in the tissue might have occurred during the process of inflammation, leading to the dissolution of calcified deposits.

  19. Incorporation, diffusion and segregation of impurities in polycrystalline silicon

    Energy Technology Data Exchange (ETDEWEB)

    Deville, J.P.; Soltani, M.L. (Universite Louis Pasteur, 67 - Strasbourg (France)); Quesada, J. (Laboratoire de Metallurgie-Chimie des Materiaux, E.N.S.A.I.S., 67 - Strasbourg (France))

    1982-01-01

    We studied by means of X-Ray photoelectron Spectroscopy the nature, distribution and, when possible, the chemical bond of impurities at the surface of polycrystalline silicon samples grown on a carbon ribbon. Besides main impurities (carbon and oxygen), always present at concentrations around their limit of solubility in silicon, metal impurities have been found: their nature varies from one sample to another. Their spatial distribution is not random: some are strictly confined at the surface (sodium), whereas others are in the superficial oxidized layer (calcium, magnesium) or localized at the oxide-bulk silicon interface (iron). Metal impurities are coming from the carbon ribbon and are incorporated to silicon during the growth process. It is not yet possible to give a model of diffusion processes of impurities since they are too numerous and interact one with the other. However oxygen seems to play a leading role in the spatial distribution of metal impurities.

  20. Heterogeneous silicon mesostructures for lipid-supported bioelectric interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Yuanwen; Carvalho-de-Souza, João L.; Wong, Raymond C. S.; Luo, Zhiqiang; Isheim, Dieter; Zuo, Xiaobing; Nicholls, Alan W.; Jung, Il Woong; Yue, Jiping; Liu, Di-Jia; Wang, Yucai; De Andrade, Vincent; Xiao, Xianghui; Navrazhnykh, Luizetta; Weiss, Dara E.; Wu, Xiaoyang; Seidman, David N.; Bezanilla, Francisco; Tian, Bozhi

    2016-06-27

    Silicon-based materials have widespread application as biophysical tools and biomedical devices. Here we introduce a biocompatible and degradable mesostructured form of silicon with multi-scale structural and chemical heterogeneities. The material was synthesized using mesoporous silica as a template through a chemical vapour deposition process. It has an amorphous atomic structure, an ordered nanowire-based framework and random submicrometre voids, and shows an average Young’s modulus that is 2–3 orders of magnitude smaller than that of single-crystalline silicon. In addition, we used the heterogeneous silicon mesostructures to design a lipid-bilayer-supported bioelectric interface that is remotely controlled and temporally transient, and that permits non-genetic and subcellular optical modulation of the electrophysiology dynamics in single dorsal root ganglia neurons. Our findings suggest that the biomimetic expansion of silicon into heterogeneous and deformable forms can open up opportunities in extracellular biomaterial or bioelectric systems.

  1. Enhancing the far-UV sensitivity of silicon CMOS imaging arrays

    Science.gov (United States)

    Retherford, K. D.; Bai, Yibin; Ryu, Kevin K.; Gregory, J. A.; Welander, Paul B.; Davis, Michael W.; Greathouse, Thomas K.; Winter, Gregory S.; Suntharalingam, Vyshnavi; Beletic, James W.

    2014-07-01

    We report our progress toward optimizing backside-illuminated silicon PIN CMOS devices developed by Teledyne Imaging Sensors (TIS) for far-UV planetary science applications. This project was motivated by initial measurements at Southwest Research Institute (SwRI) of the far-UV responsivity of backside-illuminated silicon PIN photodiode test structures described in Bai et al., SPIE, 2008, which revealed a promising QE in the 100-200 nm range as reported in Davis et al., SPIE, 2012. Our effort to advance the capabilities of thinned silicon wafers capitalizes on recent innovations in molecular beam epitaxy (MBE) doping processes. Key achievements to date include: 1) Representative silicon test wafers were fabricated by TIS, and set up for MBE processing at MIT Lincoln Laboratory (LL); 2) Preliminary far-UV detector QE simulation runs were completed to aid MBE layer design; 3) Detector fabrication was completed through the pre-MBE step; and 4) Initial testing of the MBE doping process was performed on monitoring wafers, with detailed quality assessments. Early results suggest that potential challenges in optimizing the UV-sensitivity of silicon PIN type CMOS devices, compared with similar UV enhancement methods established for CCDs, have been mitigated through our newly developed methods. We will discuss the potential advantages of our approach and briefly describe future development steps.

  2. Processing of Phosphorus Slag with Recovery of Rare Earth Metals and Obtaining Silicon Containing Cake

    Science.gov (United States)

    Karshigina, Zaure; Abisheva, Zinesh; Bochevskaya, Yelena; Akcil, Ata; Sharipova, Aynash; Sargelova, Elmira

    2016-10-01

    The present research is devoted to the processing of slag generating during the yellow phosphorus production. In this paper are presented studies on leaching of phosphorus production slag by nitric acid with recovery of rare earth metals (REMs) into solution. REMs recovery into the solution achieved 98 % during the leaching process with using 7.5 mol/L of HNO3, liquid-to-solid ratio is 2.6:1, temperature is 60°C, process duration is 1 hour and stirrer speed is 500 rpm. Behaviour during the leaching of associated components such as calcium, aluminium, and iron was studied. After the leaching cake contains ∼⃒75-85 % of SiO2 and it might be useful for obtaining of precipitated silicon dioxide. With the purpose of separation from the impurities, recovery and concentrating of REMs, the obtained solution after leaching was subjected to extraction processing methods. The influence of ratio of organic and aqueous phases (O: A) on the extraction of rare earth metals by tributyl phosphate (TBP) with concentrations from 20 up to 100 % was studied. The REMs extraction with increasing TBP concentration under changes O:A ratio from 1:20 down to 1:1 into the organic phase from the solutions after nitric acid leaching increased from 22.2 up to 99.3%. The duration effect of REMs extraction process was studied by tributyl phosphate. It is revealed that with increasing of duration of the extraction process from 10 to 30 minutes REMs recovery into the organic phase almost did not changed. The behaviour of iron in the extraction process by TBP was studied. It was found that such accompanying components as calcium and aluminium by tributyl phosphate didn't extracted. To construct isotherm of REMs extraction of by tributyl phosphate was used variable volume method. It was calculated three-step extraction is needed for REMs recovery from the solutions after nitric acid leaching of phosphorus production slag. The process of the three-steps counter current extraction of rare earth

  3. Atomic-Layer-Deposited Transparent Electrodes for Silicon Heterojunction Solar Cells

    International Nuclear Information System (INIS)

    Demaurex, Benedicte; Seif, Johannes P.; Smit, Sjoerd; Macco, Bart; Kessels, W. M.; Geissbuhler, Jonas; De Wolf, Stefaan; Ballif, Christophe

    2014-01-01

    We examine damage-free transparent-electrode deposition to fabricate high-efficiency amorphous silicon/crystalline silicon heterojunction solar cells. Such solar cells usually feature sputtered transparent electrodes, the deposition of which may damage the layers underneath. Using atomic layer deposition, we insert thin protective films between the amorphous silicon layers and sputtered contacts and investigate their effect on device operation. We find that a 20-nm-thick protective layer suffices to preserve, unchanged, the amorphous silicon layers beneath. Insertion of such protective atomic-layer-deposited layers yields slightly higher internal voltages at low carrier injection levels. However, we identify the presence of a silicon oxide layer, formed during processing, between the amorphous silicon and the atomic-layer-deposited transparent electrode that acts as a barrier, impeding hole and electron collection

  4. Eighth workshop on crystalline silicon solar cell materials and processes: Extended abstracts and papers

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-08-01

    The theme of this workshop is Supporting the Transition to World Class Manufacturing. This workshop provides a forum for an informal exchange of information between researchers in the photovoltaic and non-photovoltaic fields on various aspects of impurities and defects in silicon, their dynamics during device processing, and their application in defect engineering. This interaction helps establish a knowledge base that can be used for improving device fabrication processes to enhance solar-cell performance and reduce cell costs. It also provides an excellent opportunity for researchers from industry and universities to recognize mutual needs for future joint research. The workshop format features invited review presentations, panel discussions, and two poster sessions. The poster sessions create an opportunity for both university and industrial researchers to present their latest results and provide a natural forum for extended discussions and technical exchanges.

  5. Ninth Workshop on Crystalline Silicon Solar Cell Materials and Processes: Extended Abstracts and Papers of the Workshop, 9-11 August 1999, Breckenridge, Colorado

    Energy Technology Data Exchange (ETDEWEB)

    Sopori, B.L.; Gee, J.; Kalejs, J.; Saitoh, R.; Stavola, M.; Swanson, D.; Tan, T.; Weber, E.; Werner, J.

    2000-08-04

    Since 1997, the PV sales have exceeded 100 MW/yr with > 85% of the production coming from silicon photovoltaics (Si-PV). As the PV demands increase in the new millennium, there will be a host of challenges to Si-PV. The challenges will arise in developing strategies for cost reduction, increased production, higher throughput per manufacturing line, new sources of low-cost Si, and introduction of new manufacturing processes for cell fabrication. At the same time, newer thin-film technologies, based on CdTe and CIS, will come on board posing new competition. With these challenges come new opportunities for the Si-PV-to detach itself from the microelectronics industry, to embark on an aggressive program in thin-film Si solar cells, and to try new approaches to process monitoring. The 9th Workshop on Crystalline Silicon Solar Cell Materials and Processes addressed these issues in a number of sessions. In addition to covering the usual topics of impurity gettering, defects, passivation, and solar cell processing, there were sessions on poly feedstock, mechanical properties of Si, metallization, and process monitoring.

  6. Shrinking of silicon nanocrystals embedded in an amorphous silicon oxide matrix during rapid thermal annealing in a forming gas atmosphere

    Science.gov (United States)

    van Sebille, M.; Fusi, A.; Xie, L.; Ali, H.; van Swaaij, R. A. C. M. M.; Leifer, K.; Zeman, M.

    2016-09-01

    We report the effect of hydrogen on the crystallization process of silicon nanocrystals embedded in a silicon oxide matrix. We show that hydrogen gas during annealing leads to a lower sub-band gap absorption, indicating passivation of defects created during annealing. Samples annealed in pure nitrogen show expected trends according to crystallization theory. Samples annealed in forming gas, however, deviate from this trend. Their crystallinity decreases for increased annealing time. Furthermore, we observe a decrease in the mean nanocrystal size and the size distribution broadens, indicating that hydrogen causes a size reduction of the silicon nanocrystals.

  7. 12th Workshop on Crystalline Silicon Solar Cell Materials and Processes: Extended Abstracts and Papers, August 11-14, 2002, Breckenridge, Colorado

    Energy Technology Data Exchange (ETDEWEB)

    Sopori, B. L.

    2002-08-01

    The 12th Workshop will provide a forum for an informal exchange of technical and scientific information between international researchers in the photovoltaic and relevant non-photovoltaic fields. Discussions will include various aspects of impurities and defects in silicon-their properties, the dynamics during processing, and their application for developing low-cost processes for manufacturing high-efficiency silicon solar cells. The workshop will emphasize some of the promising new technologies in Si solar cell fabrication that can lower PV energy costs and meet the production demands of the future. It will also provide an excellent opportunity for researchers, in private industry and at universities, to prioritize mutual needs for future collaborative research. Sessions and panel discussions will review recent advances in crystal growth, new cell structures, new processes and process characterization techniques, and manufacturing approaches suitable for future manufacturing demands . Some presentations will address recent technologies in the microelectronics field that may have a direct bearing on PV. The three-day workshop will consist of presentations by invited speakers, followed by discussion sessions. In addition, there will be two poster sessions presenting the latest research and development results.

  8. Electroless siliconizing Fe-3% Cr-3% Si alloy

    International Nuclear Information System (INIS)

    Nurlina, Enung; Darmono, Budy; Purwadaria, Sunara

    2000-01-01

    In this research Fe-3%Cr-3%Mo-3%Si and Fe-3%Cr-3%Cu-3%Si alloys had been coated by silicon metal without electricity current which knows as electroless siliconizing. Coating was conducted by immersed sampler into melt fluoride-chloride salt bath at temperature of 750 o C for certain period. The layer consisted of Fe3Si phase. Observation by microscope optic and EDAX showed that the silicide layer were thick enough, adherent, free for crack and had silicon content on the surface more than 15%. The growth rate of silicide layer followed parabolic rate law, where the process predominantly controlled by interdiffusion rate in the solid phase. Key words : electroless siliconizing, the melt fluoride- chloride salt mix, silicide layer

  9. Performance characterization of silicon pore optics

    Science.gov (United States)

    Collon, M. J.; Kraft, S.; Günther, R.; Maddox, E.; Beijersbergen, M.; Bavdaz, M.; Lumb, D.; Wallace, K.; Krumrey, M.; Cibik, L.; Freyberg, M.

    2006-06-01

    The characteristics of the latest generation of assembled silicon pore X-ray optics are discussed in this paper. These very light, stiff and modular high performance pore optics (HPO) have been developed [1] for the next generation of astronomical X-ray telescopes, which require large collecting areas whilst achieving angular resolutions better than 5 arcseconds. The suitability of 12 inch silicon wafers as high quality optical mirrors and the automated assembly process are discussed elsewhere in this conference. HPOs with several tens of ribbed silicon plates are assembled by bending the plates into an accurate cylindrical shape and directly bonding them on top of each other. The achievable figure accuracy is measured during assembly and in test campaigns at X-ray testing facilities like BESSY-II and PANTER. Pencil beam measurements allow gaining information on the quality achieved by the production process with high spatial resolution. In combination with full beam illumination a complete picture of the excellent performance of these optics can be derived. Experimental results are presented and discussed in detail. The results of such campaigns are used to further improve the production process in order to match the challenging XEUS requirements [2] for imaging resolution and mass.

  10. Back-contacted back-junction silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Mangersnes, Krister

    2010-10-15

    Conventional silicon solar cells have a front-side contacted emitter. Back-contacted back-junction (BC-BJ) silicon solar cells, on the other hand, have both the complete metallization and the active diffused regions of both polarities on the backside. World-record efficiencies have already been demonstrated for this type of cell design in production, both on cell and module level. However, the production of these cells is both complex and costly, and a further cost reduction in fabrication is needed to make electricity from BC-BJ silicon solar cells cost-competitive with electricity on the grid ('grid-parity'). During the work with this thesis, we have investigated several important issues regarding BC-BJ silicon solar cells. The aim has been to reduce production cost and complexity while at the same time maintaining, or increasing, the already high conversion efficiencies demonstrated elsewhere. This has been pursued through experimental work as well as through numerical simulations and modeling. Six papers are appended to this thesis, two of which are still under review in scientific journals. In addition, two patents have been filed based on the work presented herein. Experimentally, we have focused on investigating and optimizing single, central processing steps. A laser has been the key processing tool during most of the work. We have used the same laser both to structure the backside of the cell and to make holes in a double-layer of passivating amorphous silicon and silicon oxide, where the holes were opened with the aim of making local contact to the underlying silicon. The processes developed have the possibility of using a relatively cheap and industrially proven laser and obtain results better than most state-of-the-art laser technologies. During the work with the laser, we also developed a thermodynamic model that was able to predict the outcome from laser interaction with amorphous and crystalline silicon. Alongside the experimental work, we

  11. Nonlinear behavior of photoluminescence from silicon particles under two-photon excitation

    International Nuclear Information System (INIS)

    Xu Xingsheng; Yokoyama, Shiyoshi

    2011-01-01

    Two-photon excited fluorescence (TPEF) under continuous-wave excitation from silicon particles produced by a pulsed laser is investigated. Spectra and images of TPEF from silicon particles are studied under different excitation intensities and operation modes (continuous wave or pulse). It is found that the photoluminescence depends superlinearly on the excitation intensity and that the spectral shape and peaks vary with different silicon particles. The above phenomena show the nonlinear behavior of TPEF from silicon particles, and stimulated emission is a possible process.

  12. Energy Levels of Defects Created in Silicon Supersaturated with Transition Metals

    Science.gov (United States)

    García, H.; Castán, H.; Dueñas, S.; García-Hemme, E.; García-Hernansaz, R.; Montero, D.; González-Díaz, G.

    2018-03-01

    Intermediate-band semiconductors have attracted much attention for use in silicon-based solar cells and infrared detectors. In this work, n-Si substrates have been implanted with very high doses (1013 cm-2 and 1014 cm-2) of vanadium, which gives rise to a supersaturated layer inside the semiconductor. However, the Mott limit was not exceeded. The energy levels created in the supersaturated silicon were studied in detail by means of thermal admittance spectroscopy. We found a single deep center at energy near E C - 200 meV. This value agrees with one of the levels found for vanadium in silicon. The capture cross-section values of the deep levels were also calculated, and we found a relationship between the capture cross-section and the energy position of the deep levels which follows the Meyer-Neldel rule. This process usually appears in processes involving multiple excitations. The Meyer-Neldel energy values agree with those previously obtained for silicon supersaturated with titanium and for silicon contaminated with iron.

  13. Flat-plate solar array project. Volume 3: Silicon sheet: Wafers and ribbons

    Science.gov (United States)

    Briglio, A.; Dumas, K.; Leipold, M.; Morrison, A.

    1986-01-01

    The primary objective of the Silicon Sheet Task of the Flat-Plate Solar Array (FSA) Project was the development of one or more low cost technologies for producing silicon sheet suitable for processing into cost-competitive solar cells. Silicon sheet refers to high purity crystalline silicon of size and thickness for fabrication into solar cells. Areas covered in the project were ingot growth and casting, wafering, ribbon growth, and other sheet technologies. The task made and fostered significant improvements in silicon sheet including processing of both ingot and ribbon technologies. An additional important outcome was the vastly improved understanding of the characteristics associated with high quality sheet, and the control of the parameters required for higher efficiency solar cells. Although significant sheet cost reductions were made, the technology advancements required to meet the task cost goals were not achieved.

  14. Silicon germanium as a novel mask for silicon deep reactive ion etching

    KAUST Repository

    Serry, Mohamed Y.; Rubin, Andrew; Ibrahem, Mohammed Aziz; Sedky, Sherif M.

    2013-01-01

    -removed after the process with high etching-rate by controlling the ICP and RF power and the SF6 to O2 ratios, and without affecting the underlying silicon substrate. Using low ICP and RF power, elevated temperatures (i.e., > - 80°C), and an adjusted O2:SF6

  15. Neutron Transmutation Doping of Silicon at Research Reactors

    International Nuclear Information System (INIS)

    2012-05-01

    This publication details the processes and history of neutron transmutation doping of silicon, particularly its commercial pathway, followed by the requirements for a technologically modern and economically viable production scheme and the current trends in the global market for semiconductor products. It should serve as guidelines on the technical requirements, involved processes and required quality standards for the transmission of sound practices and advice for research reactor managers and operators planning commercial scale production of silicon. Furthermore, a detailed and specific database of most of the world's research reactor facilities in this domain is included, featuring their characteristics for irradiation capabilities, associated production capacities and processing.

  16. a Study of Oxygen Precipitation in Heavily Doped Silicon.

    Science.gov (United States)

    Graupner, Robert Kurt

    Gettering of impurities with oxygen precipitates is widely used during the fabrication of semiconductors to improve the performance and yield of the devices. Since the effectiveness of the gettering process is largely dependent on the initial interstitial oxygen concentration, accurate measurements of this parameter are of considerable importance. Measurements of interstitial oxygen following thermal cycles are required for development of semiconductor fabrication processes and for research into the mechanisms of oxygen precipitate nucleation and growth. Efforts by industrial associations have led to the development of standard procedures for the measurement of interstitial oxygen in wafers. However practical oxygen measurements often do not satisfy the requirements of such standard procedures. An additional difficulty arises when the silicon wafer has a low resitivity (high dopant concentration). In such cases the infrared light used for the measurement is severely attenuated by the electrons of holes introduced by the dopant. Since such wafers are the substrates used for the production of widely used epitaxial wafers, this measurement problem is economically important. Alternative methods such as Secondary Ion Mass Spectroscopy or Gas Fusion Analysis have been developed to measure oxygen in these cases. However, neither of these methods is capable of distinguishing interstitial oxygen from precipitated oxygen as required for precipitation studies. In addition to the commercial interest in heavily doped silicon substrates, they are also of interest for research into the role of point defects in nucleation and precipitation processes. Despite considerable research effort, there is still disagreement concerning the type of point defect and its role in semiconductor processes. Studies of changes in the interstitial oxygen concentration of heavily doped and lightly doped silicon wafers could help clarify the role of point defects in oxygen nucleation and precipitation

  17. High Surface Area of Porous Silicon Drives Desorption of Intact Molecules

    Science.gov (United States)

    Northen, Trent R.; Woo, Hin-Koon; Northen, Michael T.; Nordström, Anders; Uritboonthail, Winnie; Turner, Kimberly L.; Siuzdak, Gary

    2007-01-01

    The surface structure of porous silicon used in desorption/ionization on porous silicon (DIOS) mass analysis is known to play a primary role in the desorption/ionization (D/I) process. In this study, mass spectrometry and scanning electron microscopy (SEM) are used to examine the correlation between intact ion generation with surface ablation, and surface morphology. The DIOS process is found to be highly laser energy dependent and correlates directly with the appearance of surface ions (Sin+ and OSiH+). A threshold laser energy for DIOS is observed (10 mJ/cm2), which supports that DIOS is driven by surface restructuring and is not a strictly thermal process. In addition, three DIOS regimes are observed which correspond to surface restructuring and melting. These results suggest that higher surface area silicon substrates may enhance DIOS performance. A recent example which fits into this mechanism is silicon nanowires surface which have a high surface energy and concomitantly requires lower laser energy for analyte desorpton. PMID:17881245

  18. Impact ionization dynamics in silicon by MV/cm THz fields

    DEFF Research Database (Denmark)

    Tarekegne, Abebe Tilahun; Hirori, Hideki; Tanaka, Koichiro

    2017-01-01

    We investigate the dynamics of the impact ionization (IMI) process in silicon in extremely high fields in the MV/cm range and at low initial carrier concentrations; conditions that are not accessible with conventional transport measurements. We use ultrafast measurements with high-intensity terah......We investigate the dynamics of the impact ionization (IMI) process in silicon in extremely high fields in the MV/cm range and at low initial carrier concentrations; conditions that are not accessible with conventional transport measurements. We use ultrafast measurements with high......-intensity terahertz pulses to show that IMI is significantly more efficient at lower than at higher initial carrier densities. Specifically, in the case of silicon with an intrinsic carrier concentration (∼1010 cm−3), the carrier multiplication process can generate more than 108 electrons from just a single free...

  19. Extrinsic doping in silicon revisited

    KAUST Repository

    Schwingenschlö gl, Udo; Chroneos, Alexander; Grimes, R. W.; Schuster, Cosima

    2010-01-01

    Both n-type and p-type doping of silicon is at odds with the charge transfer predicted by Pauling electronegativities and can only be reconciled if we no longer regarding dopant species as isolated atoms but rather consider them as clusters consisting of the dopant and its four nearest neighbor silicon atoms. The process that gives rise to n-type and p-type effects is the charge redistribution that occurs between the dopant and its neighbors, as we illustrate here using electronic structure calculations. This view point is able to explain why conventional substitutional n-type doping of carbon has been so difficult.

  20. Extrinsic doping in silicon revisited

    KAUST Repository

    Schwingenschlögl, Udo

    2010-06-17

    Both n-type and p-type doping of silicon is at odds with the charge transfer predicted by Pauling electronegativities and can only be reconciled if we no longer regarding dopant species as isolated atoms but rather consider them as clusters consisting of the dopant and its four nearest neighbor silicon atoms. The process that gives rise to n-type and p-type effects is the charge redistribution that occurs between the dopant and its neighbors, as we illustrate here using electronic structure calculations. This view point is able to explain why conventional substitutional n-type doping of carbon has been so difficult.

  1. Solar breeder: Energy payback time for silicon photovoltaic systems

    Science.gov (United States)

    Lindmayer, J.

    1977-01-01

    The energy expenditures of the prevailing manufacturing technology of terrestrial photovoltaic cells and panels were evaluated, including silicon reduction, silicon refinement, crystal growth, cell processing and panel building. Energy expenditures include direct energy, indirect energy, and energy in the form of equipment and overhead expenses. Payback times were development using a conventional solar cell as a test vehicle which allows for the comparison of its energy generating capability with the energies expended during the production process. It was found that the energy payback time for a typical solar panel produced by the prevailing technology is 6.4 years. Furthermore, this value drops to 3.8 years under more favorable conditions. Moreover, since the major energy use reductions in terrestrial manufacturing have occurred in cell processing, this payback time directly illustrates the areas where major future energy reductions can be made -- silicon refinement, crystal growth, and panel building.

  2. Silicon pore optics for future x-ray telescopes

    DEFF Research Database (Denmark)

    Wille, Eric; Bavdaz, Marcos; Wallace, Kotska

    2017-01-01

    arcsec or better. These specifications can only be achieved with a novel technology like Silicon Pore Optics, which is being developed by ESA together with a consortium of European industry. Silicon Pore Optics are made of commercial Si wafers using process technology adapted from the semiconductor...... industry. We present the recent upgrades made to the manufacturing processes and equipment, ranging from the manufacture of single mirror plates towards complete focusing mirror modules mounted in flight configuration, and results from first vibration tests. The performance of the mirror modules is tested...

  3. Silicon heterojunction transistor

    International Nuclear Information System (INIS)

    Matsushita, T.; Oh-uchi, N.; Hayashi, H.; Yamoto, H.

    1979-01-01

    SIPOS (Semi-insulating polycrystalline silicon) which is used as a surface passivation layer for highly reliable silicon devices constitutes a good heterojunction for silicon. P- or B-doped SIPOS has been used as the emitter material of a heterojunction transistor with the base and collector of silicon. An npn SIPOS-Si heterojunction transistor showing 50 times the current gain of an npn silicon homojunction transistor has been realized by high-temperature treatments in nitrogen and low-temperature annealing in hydrogen or forming gas

  4. Achievement report for fiscal 1999 on the development of silicon manufacturing process rationalizing energy utilization. Research and study on analysis to put silicon raw material manufacturing technology for solar cells into practical use; 1999 nendo energy shiyo gorika silicon seizo process kaihatsu seika hokokusho. Taiyo denchi silicon genryo seizo gijutsu no jitsuyoka kaiseki ni kansuru chosa kenkyu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    In order to support the development and practical application of a mass production technology for manufacturing silicon raw materials for solar cells, research and study were performed on trends of developing the related technologies, and movements in markets and industries. This paper reports the achievements thereof in fiscal 1999. Markets for solar cells are growing favorably, and the worldwide solar cell production in 1999 was 200 MWp, of which 80% or more is occupied by crystalline silicon solar cell. While development of the manufacturing technology for SOG-Si mass-production is in the stage of operation research of pilot plants, it has been verified that problems of impurity contamination was resolved, and high-purity silicon can be manufactured. In developing the silicon scrap utilization technology and a technology to integrate silicon refinement with casting, a conversion efficiency of 14% or higher was acquired in prototype sample substrates. It has been verified that a variety of raw materials can be dealt with by using the above technology, which has a possibility of cost reduction. In developing a substrate manufacturing technology, a great progress has been made in enhancing the productivity and reducing the cost by developing the continuous casting in the electromagnetic casting and the automation technology. (NEDO)

  5. Microtextured Silicon Surfaces for Detectors, Sensors & Photovoltaics

    Energy Technology Data Exchange (ETDEWEB)

    Carey, JE; Mazur, E

    2005-05-19

    With support from this award we studied a novel silicon microtexturing process and its application in silicon-based infrared photodetectors. By irradiating the surface of a silicon wafer with intense femtosecond laser pulses in the presence of certain gases or liquids, the originally shiny, flat surface is transformed into a dark array of microstructures. The resulting microtextured surface has near-unity absorption from near-ultraviolet to infrared wavelengths well below the band gap. The high, broad absorption of microtextured silicon could enable the production of silicon-based photodiodes for use as inexpensive, room-temperature multi-spectral photodetectors. Such detectors would find use in numerous applications including environmental sensors, solar energy, and infrared imaging. The goals of this study were to learn about microtextured surfaces and then develop and test prototype silicon detectors for the visible and infrared. We were extremely successful in achieving our goals. During the first two years of this award, we learned a great deal about how microtextured surfaces form and what leads to their remarkable optical properties. We used this knowledge to build prototype detectors with high sensitivity in both the visible and in the near-infrared. We obtained room-temperature responsivities as high as 100 A/W at 1064 nm, two orders of magnitude higher than standard silicon photodiodes. For wavelengths below the band gap, we obtained responsivities as high as 50 mA/W at 1330 nm and 35 mA/W at 1550 nm, close to the responsivity of InGaAs photodiodes and five orders of magnitude higher than silicon devices in this wavelength region.

  6. Electrical behavior of free-standing porous silicon layers

    International Nuclear Information System (INIS)

    Bazrafkan, I.; Dariani, R.S.

    2009-01-01

    The electrical behavior of porous silicon (PS) layers has been investigated on one side of p-type silicon with various anodization currents and electrolytes. The two contact I-V characteristic is assigned by the metal/porous silicon rectifying interface, whereas, by using the van der Pauw technique, a nonlinear dependence of the current vs voltage was found. By using Dimethylformamide (DMF) in electrolyte, regular structures and columns were formed and porosity increased. Our results showed that by using DMF, surface resistivity of PS samples increased and became double for free-standing porous silicon (FPS). The reason could be due to increasing surface area and adsorbing some more gas molecules. Activation energy of PS samples was also increased from 0.31 to 0.34 eV and became 0.35 eV for FPS. The changes induced by storage are attributed to the oxidation process of the internal surface of free-standing porous silicon layers.

  7. Compositional analysis of silicon oxide/silicon nitride thin films

    Directory of Open Access Journals (Sweden)

    Meziani Samir

    2016-06-01

    Full Text Available Hydrogen, amorphous silicon nitride (SiNx:H abbreviated SiNx films were grown on multicrystalline silicon (mc-Si substrate by plasma enhanced chemical vapour deposition (PECVD in parallel configuration using NH3/SiH4 gas mixtures. The mc-Si wafers were taken from the same column of Si cast ingot. After the deposition process, the layers were oxidized (thermal oxidation in dry oxygen ambient environment at 950 °C to get oxide/nitride (ON structure. Secondary ion mass spectroscopy (SIMS, Rutherford backscattering spectroscopy (RBS, Auger electron spectroscopy (AES and energy dispersive X-ray analysis (EDX were employed for analyzing quantitatively the chemical composition and stoichiometry in the oxide-nitride stacked films. The effect of annealing temperature on the chemical composition of ON structure has been investigated. Some species, O, N, Si were redistributed in this structure during the thermal oxidation of SiNx. Indeed, oxygen diffused to the nitride layer into Si2O2N during dry oxidation.

  8. Vertical integration of high-Q silicon nitride microresonators into silicon-on-insulator platform.

    Science.gov (United States)

    Li, Qing; Eftekhar, Ali A; Sodagar, Majid; Xia, Zhixuan; Atabaki, Amir H; Adibi, Ali

    2013-07-29

    We demonstrate a vertical integration of high-Q silicon nitride microresonators into the silicon-on-insulator platform for applications at the telecommunication wavelengths. Low-loss silicon nitride films with a thickness of 400 nm are successfully grown, enabling compact silicon nitride microresonators with ultra-high intrinsic Qs (~ 6 × 10(6) for 60 μm radius and ~ 2 × 10(7) for 240 μm radius). The coupling between the silicon nitride microresonator and the underneath silicon waveguide is based on evanescent coupling with silicon dioxide as buffer. Selective coupling to a desired radial mode of the silicon nitride microresonator is also achievable using a pulley coupling scheme. In this work, a 60-μm-radius silicon nitride microresonator has been successfully integrated into the silicon-on-insulator platform, showing a single-mode operation with an intrinsic Q of 2 × 10(6).

  9. Analytical and Experimental Evaluation of Joining Silicon Carbide to Silicon Carbide and Silicon Nitride to Silicon Nitride for Advanced Heat Engine Applications Phase II

    Energy Technology Data Exchange (ETDEWEB)

    Sundberg, G.J.

    1994-01-01

    Techniques were developed to produce reliable silicon nitride to silicon nitride (NCX-5101) curved joins which were used to manufacture spin test specimens as a proof of concept to simulate parts such as a simple rotor. Specimens were machined from the curved joins to measure the following properties of the join interlayer: tensile strength, shear strength, 22 C flexure strength and 1370 C flexure strength. In parallel, extensive silicon nitride tensile creep evaluation of planar butt joins provided a sufficient data base to develop models with accurate predictive capability for different geometries. Analytical models applied satisfactorily to the silicon nitride joins were Norton's Law for creep strain, a modified Norton's Law internal variable model and the Monkman-Grant relationship for failure modeling. The Theta Projection method was less successful. Attempts were also made to develop planar butt joins of siliconized silicon carbide (NT230).

  10. Surface etching technologies for monocrystalline silicon wafer solar cells

    Science.gov (United States)

    Tang, Muzhi

    With more than 200 GW of accumulated installations in 2015, photovoltaics (PV) has become an important green energy harvesting method. The PV market is dominated by solar cells made from crystalline silicon wafers. The engineering of the wafer surfaces is critical to the solar cell cost reduction and performance enhancement. Therefore, this thesis focuses on the development of surface etching technologies for monocrystalline silicon wafer solar cells. It aims to develop a more efficient alkaline texturing method and more effective surface cleaning processes. Firstly, a rapid, isopropanol alcohol free texturing method is successfully demonstrated to shorten the process time and reduce the consumption of chemicals. This method utilizes the special chemical properties of triethylamine, which can form Si-N bonds with wafer surface atoms. Secondly, a room-temperature anisotropic emitter etch-back process is developed to improve the n+ emitter passivation. Using this method, 19.0% efficient screen-printed aluminium back surface field solar cells are developed that show an efficiency gain of 0.15% (absolute) compared with conventionally made solar cells. Finally, state-of-the-art silicon surface passivation results are achieved using hydrogen plasma etching as a dry alternative to the classical hydrofluoric acid wet-chemical process. The effective native oxide removal and the hydrogenation of the silicon surface are shown to be the reasons for the excellent level of surface passivation achieved with this novel method.

  11. Strong white light emission from a processed porous silicon and its photoluminescence mechanism

    International Nuclear Information System (INIS)

    Karacali, T.; Cicek, K.

    2011-01-01

    We have prepared various porous silicon (PS) structures with different surface conditions (any combination of oxidation, carbonization as well as thermal annealing) to increase the intensity of photoluminescence (PL) spectrum in the visible range. Strong white light (similar to day-light) emission was achieved by carrying out thermal annealing at 1100 deg. C after surface modification with 1-decene of anodic oxidized PS structures. Temperature-dependent PL measurements were first performed by gradually increasing the sample temperature from 10 to 300 K inside a cryostat. Then, we analyzed the measured spectrum of all prepared samples. After the analysis, we note that throughout entire measured spectrum, only two main peaks corresponding to blue and green-orange emission lines (which can be interpreted by quantum size effect and/or configuration coordinate model) were seem to be predominant for all temperature range. To further reveal and analysis these peaks, finally, measured data were inputted into the formula of activation energy of thermal excitation. We found that activation energies of blue and green-orange lines were approximately 49.3 and 44.6 meV, respectively. - Highlights: →Light emitting devices based on silicon technology are of great interest in illumination and display applications. → We have achieved strong white light (similar to day-light) emission from porous silicon. → The most important impact of carbonization on porous silicon and post annealing is the enhancement of room temperature luminescence.

  12. Silicon Micromachined Microlens Array for THz Antennas

    Science.gov (United States)

    Lee, Choonsup; Chattopadhyay, Goutam; Mehdi, IImran; Gill, John J.; Jung-Kubiak, Cecile D.; Llombart, Nuria

    2013-01-01

    5 5 silicon microlens array was developed using a silicon micromachining technique for a silicon-based THz antenna array. The feature of the silicon micromachining technique enables one to microfabricate an unlimited number of microlens arrays at one time with good uniformity on a silicon wafer. This technique will resolve one of the key issues in building a THz camera, which is to integrate antennas in a detector array. The conventional approach of building single-pixel receivers and stacking them to form a multi-pixel receiver is not suited at THz because a single-pixel receiver already has difficulty fitting into mass, volume, and power budgets, especially in space applications. In this proposed technique, one has controllability on both diameter and curvature of a silicon microlens. First of all, the diameter of microlens depends on how thick photoresist one could coat and pattern. So far, the diameter of a 6- mm photoresist microlens with 400 m in height has been successfully microfabricated. Based on current researchers experiences, a diameter larger than 1-cm photoresist microlens array would be feasible. In order to control the curvature of the microlens, the following process variables could be used: 1. Amount of photoresist: It determines the curvature of the photoresist microlens. Since the photoresist lens is transferred onto the silicon substrate, it will directly control the curvature of the silicon microlens. 2. Etching selectivity between photoresist and silicon: The photoresist microlens is formed by thermal reflow. In order to transfer the exact photoresist curvature onto silicon, there needs to be etching selectivity of 1:1 between silicon and photoresist. However, by varying the etching selectivity, one could control the curvature of the silicon microlens. The figure shows the microfabricated silicon microlens 5 x5 array. The diameter of the microlens located in the center is about 2.5 mm. The measured 3-D profile of the microlens surface has a

  13. Electrical leakage phenomenon in heteroepitaxial cubic silicon carbide on silicon

    Science.gov (United States)

    Pradeepkumar, Aiswarya; Zielinski, Marcin; Bosi, Matteo; Verzellesi, Giovanni; Gaskill, D. Kurt; Iacopi, Francesca

    2018-06-01

    Heteroepitaxial 3C-SiC films on silicon substrates are of technological interest as enablers to integrate the excellent electrical, electronic, mechanical, thermal, and epitaxial properties of bulk silicon carbide into well-established silicon technologies. One critical bottleneck of this integration is the establishment of a stable and reliable electronic junction at the heteroepitaxial interface of the n-type SiC with the silicon substrate. We have thus investigated in detail the electrical and transport properties of heteroepitaxial cubic silicon carbide films grown via different methods on low-doped and high-resistivity silicon substrates by using van der Pauw Hall and transfer length measurements as test vehicles. We have found that Si and C intermixing upon or after growth, particularly by the diffusion of carbon into the silicon matrix, creates extensive interstitial carbon traps and hampers the formation of a stable rectifying or insulating junction at the SiC/Si interface. Although a reliable p-n junction may not be realistic in the SiC/Si system, we can achieve, from a point of view of the electrical isolation of in-plane SiC structures, leakage suppression through the substrate by using a high-resistivity silicon substrate coupled with deep recess etching in between the SiC structures.

  14. Oxygen and carbon transfer during solidification of semiconductor grade silicon in different processes

    Science.gov (United States)

    Ribeyron, P. J.; Durand, F.

    2000-03-01

    A model is established for comparing the solute distribution resulting from four solidification processes currently applied to semiconductor grade silicon: Czochralski pulling (CZ), floating zone (FZ), 1D solidification and electromagnetic continuous pulling (EMCP). This model takes into account solid-liquid interface exchange, evaporation to or contamination by the gas phase, container dissolution, during steady-state solidification, and in the preliminary preparation of the melt. For simplicity, the transfers are treated in the crude approximation of perfectly mixed liquid and boundary layers. As a consequence, only the axial ( z) distribution can be represented. Published data on oxygen and carbon transfer give a set of acceptable values for the thickness of the boundary layers. In the FZ and EMCP processes, oxygen evaporation can change the asymptotic behaviour of the reference Pfann law. In CZ and in 1D-solidification, a large variety of solute profile curves can be obtained, because they are very sensitive to the balance between crucible dissolution and evaporation. The CZ process clearly brings supplementary degrees of freedom via the geometry of the crucible, important for the dissolution phenomena, and via the rotation rate of the crystal and of the crucible, important for acting on transfer kinetics.

  15. Elaboration and characterization of metallurgical silicon for photovoltaic applications

    International Nuclear Information System (INIS)

    Barbouche, M; Hajji, M; Krout, F; Ezzaouia, H

    2015-01-01

    There is a small quantity of participants in the global market of silicon, mainly from the developed countries. It should be noticed also that production of metallurgical silicon Mg-Si is among the most important steps to produce solar grade silicon and photovoltaic panels. Therefore, in this paper we focused on the growth of Mg-Si by carbothermal reduction of silica. An investigation was made using FT-IR characterization to study the effect of process conditions (temperature, atmosphere, duration) in Mg-Si production. Raman spectroscopy was used to investigate the produced Mg-Si. Based on these results, we established a pilot line production of metallurgical silicon at the 'CRTEn' in Tunisia

  16. Development of processing procedures for advanced silicon solar cells. [antireflection coatings and short circuit currents

    Science.gov (United States)

    Scott-Monck, J. A.; Stella, P. M.; Avery, J. E.

    1975-01-01

    Ten ohm-cm silicon solar cells, 0.2 mm thick, were produced with short circuit current efficiencies up to thirteen percent and using a combination of recent technical advances. The cells were fabricated in conventional and wraparound contact configurations. Improvement in cell collection efficiency from both the short and long wavelengths region of the solar spectrum was obtained by coupling a shallow junction and an optically transparent antireflection coating with back surface field technology. Both boron diffusion and aluminum alloying techniques were evaluated for forming back surface field cells. The latter method is less complicated and is compatible with wraparound cell processing.

  17. Porous silicon damage enhanced phosphorus and aluminium gettering of p-type Czochralski silicon

    International Nuclear Information System (INIS)

    Hassen, M.; Ben Jaballah, A.; Hajji, M.; Rahmouni, H.; Selmi, A.; Ezzaouia, H.

    2005-01-01

    In this work, porous silicon damage (PSD) is presented as a simple sequence for efficient external purification techniques. The method consists of using thin nanoporous p-type silicon on both sides of the silicon substrates with randomly hemispherical voids. Then, two main sample types are processed. In the first type, thin aluminium layers (≥1 μm) are thermally evaporated followed by photo-thermal annealing treatments in N 2 atmosphere at one of several temperatures ranging between 600 and 800 deg. C. In the second type, phosphorus is continually diffused in N 2 /O 2 ambient in a solid phase from POCl 3 solution during heating at one of several temperatures ranging between 750 and 1000 deg. C for 1 h. Hall Effect and Van Der Pauw methods prove the existence of an optimum temperature in the case of phosphorus gettering at 900 deg. C yielding a Hall mobility of about 982 cm 2 V -1 s -1 . However, in the case of aluminium gettering, there is no gettering limit in the as mentioned temperature range. Metal/Si Schottky diodes are elaborated to clarify these improvements. In this study, we demonstrate that enhanced metal solubility model cannot explain the gettering effect. The solid solubility of aluminium is higher than that of P atoms in silicon; however, the device yield confirms the effectiveness of phosphorus as compared to aluminium

  18. Additive Manufacturing of Overhang Structures Using Moisture-Cured Silicone with Support Material

    Directory of Open Access Journals (Sweden)

    Mohan Muthusamy

    2018-04-01

    Full Text Available Additive manufacturing (AM of soft materials has a wide variety of applications, such as customized or wearable devices. Silicone is one popular material for these applications given its favorable material properties. However, AM of silicone parts with overhang structures remains challenging due to the soft nature of the material. Overhang structures are the areas where there is no underlying structure. Typically, a support material is used and built in the underlying space so that the overhang structures can be built upon it. Currently, there is no support structure that has been used for AM of silicone. The goal of this study is to develop an AM process to fabricate silicone parts with overhang structures. We first identified and confirmed poly-vinyl alcohol (PVA, a water-soluble material, as a suitable support material for silicone by evaluating the adhesion strength between silicone and PVA. Process parameters for the support material, including critical overhang angle and minimum infill density for the support material, are identified. However, overhang angle alone is not the only determining factor for support material. As silicone is a soft material, it deflects due to its own weight when the height of the overhang structure increases. A finite element model is developed to estimate the critical overhang height paired with different overhang angles to determine whether the use of support material is needed. Finally, parts with overhang structures are printed to demonstrate the capability of the developed process.

  19. Silicon transport in sputter-deposited tantalum layers grown under ion bombardment

    International Nuclear Information System (INIS)

    Gallais, P.; Hantzpergue, J.J.; Remy, J.C.; Roptin, D.

    1988-01-01

    Tantalum was sputter deposited on (111) Si substrate under low-energy ion bombardment in order to study the effects of the ion energy on the silicon transport into the Ta layer. The Si substrate was heated up to 500 0 C during growth. For ion energies up to 180 eV silicon is not transported into tantalum and the growth temperature has no effect. An ion bombardment energy of 280 eV enhances the transport of silicon throughout the tantalum layer. Growth temperatures up to 300 0 C have no effect on the silicon transport which is mainly enhanced by the ion bombardment. For growth temperatures between 300 and 500 0 C, the silicon transport is also enhanced by the thermal diffusion. The experimental depth distribution of silicon is similar to the theoretical depth distribution calculated for the case of an interdiffusion. The ion-enhanced process of silicon transport is characterized by an activation energy of 0.4 eV. Silicon into the layers as-grown at 500 0 C is in both states, amorphous silicide and microcrystalline cubic silicon

  20. The fabrication of quantum wires in silicon utilising the characteristics of solid phase epitaxial regrowth of crystalline silicon

    International Nuclear Information System (INIS)

    Liu, A.C.Y.; McCallum, J.C.

    1998-01-01

    The process of solid phase epitaxy (SPE) in semiconductor materials is one which has been intensively researched due to possible applications in the semiconductor industry. SPE is a solid phase transformation, in which an amorphous layer can be recrystallized either through heating or a combination of heating and ion bombardment. The transformation is believed to occur exclusively at the interface between the amorphous and crystalline layers, with individual atoms from the amorphous phase being incorporated into the crystalline phase by some point defect mechanism. The process has been observed to follow an Arrhenius temperature dependence. A wafer silicon was subjected to a multi-energy silicon implant through a fine nickel grid to amorphise region to a depth of 5μm creating an array of amorphous wells. Metal impurity atoms were then implanted in this region at energy of 500 keV. Samples were examined using an optical microscope and the Alphastep profiler at RMIT. It was confirmed that burgeoning wells were about 2 μm wide and rose about 0.01 μm above the silicon substrate

  1. Safety procedures used during the manufacturing of amorphous silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Dickson, C R

    1987-01-01

    The Solarex Thin Film Division is a leader in the manufacturing of amorphous-silicon products for sale in domestic and foreign markets. Similarly, Solarex assumes a leadership role in recognizing the importance of safety in a manufacturing environment. Although many of the safety issues are similar to those in the semiconductor industry, this paper presents topics specific to amorphous silicon technology and the manufacturing ,f amorphous-silicon products. These topics are deposition of conducting transparent oxides (CTOs), amorphous silicon deposition, laser scribing, processing chemicals, fire prevention and administrative responsibilities.

  2. Nonlinear silicon photonics

    Science.gov (United States)

    Tsia, Kevin K.; Jalali, Bahram

    2010-05-01

    An intriguing optical property of silicon is that it exhibits a large third-order optical nonlinearity, with orders-ofmagnitude larger than that of silica glass in the telecommunication band. This allows efficient nonlinear optical interaction at relatively low power levels in a small footprint. Indeed, we have witnessed a stunning progress in harnessing the Raman and Kerr effects in silicon as the mechanisms for enabling chip-scale optical amplification, lasing, and wavelength conversion - functions that until recently were perceived to be beyond the reach of silicon. With all the continuous efforts developing novel techniques, nonlinear silicon photonics is expected to be able to reach even beyond the prior achievements. Instead of providing a comprehensive overview of this field, this manuscript highlights a number of new branches of nonlinear silicon photonics, which have not been fully recognized in the past. In particular, they are two-photon photovoltaic effect, mid-wave infrared (MWIR) silicon photonics, broadband Raman effects, inverse Raman scattering, and periodically-poled silicon (PePSi). These novel effects and techniques could create a new paradigm for silicon photonics and extend its utility beyond the traditionally anticipated applications.

  3. Direct Growth of Graphene on Silicon by Metal-Free Chemical Vapor Deposition

    Science.gov (United States)

    Tai, Lixuan; Zhu, Daming; Liu, Xing; Yang, Tieying; Wang, Lei; Wang, Rui; Jiang, Sheng; Chen, Zhenhua; Xu, Zhongmin; Li, Xiaolong

    2018-06-01

    The metal-free synthesis of graphene on single-crystal silicon substrates, the most common commercial semiconductor, is of paramount significance for many technological applications. In this work, we report the growth of graphene directly on an upside-down placed, single-crystal silicon substrate using metal-free, ambient-pressure chemical vapor deposition. By controlling the growth temperature, in-plane propagation, edge-propagation, and core-propagation, the process of graphene growth on silicon can be identified. This process produces atomically flat monolayer or bilayer graphene domains, concave bilayer graphene domains, and bulging few-layer graphene domains. This work would be a significant step toward the synthesis of large-area and layer-controlled, high-quality graphene on single-crystal silicon substrates. [Figure not available: see fulltext.

  4. A fabrication guide for planar silicon quantum dot heterostructures

    Science.gov (United States)

    Spruijtenburg, Paul C.; Amitonov, Sergey V.; van der Wiel, Wilfred G.; Zwanenburg, Floris A.

    2018-04-01

    We describe important considerations to create top-down fabricated planar quantum dots in silicon, often not discussed in detail in literature. The subtle interplay between intrinsic material properties, interfaces and fabrication processes plays a crucial role in the formation of electrostatically defined quantum dots. Processes such as oxidation, physical vapor deposition and atomic-layer deposition must be tailored in order to prevent unwanted side effects such as defects, disorder and dewetting. In two directly related manuscripts written in parallel we use techniques described in this work to create depletion-mode quantum dots in intrinsic silicon, and low-disorder silicon quantum dots defined with palladium gates. While we discuss three different planar gate structures, the general principles also apply to 0D and 1D systems, such as self-assembled islands and nanowires.

  5. Excimer laser decomposition of silicone

    International Nuclear Information System (INIS)

    Laude, L.D.; Cochrane, C.; Dicara, Cl.; Dupas-Bruzek, C.; Kolev, K.

    2003-01-01

    Excimer laser irradiation of silicone foils is shown in this work to induce decomposition, ablation and activation of such materials. Thin (100 μm) laminated silicone foils are irradiated at 248 nm as a function of impacting laser fluence and number of pulsed irradiations at 1 s intervals. Above a threshold fluence of 0.7 J/cm 2 , material starts decomposing. At higher fluences, this decomposition develops and gives rise to (i) swelling of the irradiated surface and then (ii) emission of matter (ablation) at a rate that is not proportioned to the number of pulses. Taking into consideration the polymer structure and the foil lamination process, these results help defining the phenomenology of silicone ablation. The polymer decomposition results in two parts: one which is organic and volatile, and another part which is inorganic and remains, forming an ever thickening screen to light penetration as the number of light pulses increases. A mathematical model is developed that accounts successfully for this physical screening effect

  6. New Opportunities in Crystalline Silicon R and D

    International Nuclear Information System (INIS)

    Menna, P.

    1998-01-01

    To support the expected growth of the silicon solar cell industry, we believe that research and development (R ampersand D) activities should be carried out in the following areas: polysilicon feedstock for the PV industry; thin-layer silicon deposition methods, and more environmentally benign cell and module manufacturing processes. For each of these activities, we identify the main issues that needed to be addressed

  7. Role of masking oxide on silicon in processes of defect generation at formation of SIMOX structures

    CERN Document Server

    Askinazi, A Y; Miloglyadova, L V

    2002-01-01

    One investigated into Si-SiO sub 2 structures formed by implantation of oxygen ions into silicon (SIMOX-technology) by means of techniques based on measuring of high-frequency volt-farad characteristics and by means of electroluminescence. One determined existence of electrically active centres and of luminescence centres in the formed oxide layer near boundary with silicon. One clarified the role SiO sub 2 masking layer in silicon in defect generation under formation of the masked oxide layer. One established dependence of concentration of electrically active and luminescence centres on thickness of masking layer

  8. Influence of the Surface Layer on the Electrochemical Deposition of Metals and Semiconductors into Mesoporous Silicon

    Energy Technology Data Exchange (ETDEWEB)

    Chubenko, E. B., E-mail: eugene.chubenko@gmail.com; Redko, S. V.; Sherstnyov, A. I.; Petrovich, V. A.; Kotov, D. A.; Bondarenko, V. P. [Belarusian State University of Information and RadioElectronics (Belarus)

    2016-03-15

    The influence of the surface layer on the process of the electrochemical deposition of metals and semiconductors into porous silicon is studied. It is shown that the surface layer differs in structure and electrical characteristics from the host porous silicon bulk. It is established that a decrease in the conductivity of silicon crystallites that form the surface layer of porous silicon has a positive effect on the process of the filling of porous silicon with metals and semiconductors. This is demonstrated by the example of nickel and zinc oxide. The effect can be used for the formation of nanocomposite materials on the basis of porous silicon and nanostructures with a high aspect ratio.

  9. Influence of the Surface Layer on the Electrochemical Deposition of Metals and Semiconductors into Mesoporous Silicon

    International Nuclear Information System (INIS)

    Chubenko, E. B.; Redko, S. V.; Sherstnyov, A. I.; Petrovich, V. A.; Kotov, D. A.; Bondarenko, V. P.

    2016-01-01

    The influence of the surface layer on the process of the electrochemical deposition of metals and semiconductors into porous silicon is studied. It is shown that the surface layer differs in structure and electrical characteristics from the host porous silicon bulk. It is established that a decrease in the conductivity of silicon crystallites that form the surface layer of porous silicon has a positive effect on the process of the filling of porous silicon with metals and semiconductors. This is demonstrated by the example of nickel and zinc oxide. The effect can be used for the formation of nanocomposite materials on the basis of porous silicon and nanostructures with a high aspect ratio.

  10. Liquid phase epitaxial growth of silicon on porous silicon for photovoltaic applications

    International Nuclear Information System (INIS)

    Berger, S.; Quoizola, S.; Fave, A.; Kaminski, A.; Perichon, S.; Barbier, D.; Laugier, A.

    2001-01-01

    The aim of this experiment is to grow a thin silicon layer ( 2 atmosphere, and finally LPE silicon growth with different temperature profiles in order to obtain a silicon layer on the sacrificial porous silicon (p-Si). We observed a pyramidal growth on the surface of the (100) porous silicon but the coalescence was difficult to obtain. However, on a p-Si (111) oriented wafer, homogeneous layers were obtained. (orig.)

  11. Novel processing of bioglass ceramics from silicone resins containing micro- and nano-sized oxide particle fillers.

    Science.gov (United States)

    Fiocco, L; Bernardo, E; Colombo, P; Cacciotti, I; Bianco, A; Bellucci, D; Sola, A; Cannillo, V

    2014-08-01

    Highly porous scaffolds with composition similar to those of 45S5 and 58S bioglasses were successfully produced by an innovative processing method based on preceramic polymers containing micro- and nano-sized fillers. Silica from the decomposition of the silicone resins reacted with the oxides deriving from the fillers, yielding glass ceramic components after heating at 1000°C. Despite the limited mechanical strength, the obtained samples possessed suitable porous architecture and promising biocompatibility and bioactivity characteristics, as testified by preliminary in vitro tests. © 2013 Wiley Periodicals, Inc.

  12. Dry Phosphorus silicate glass etching and surface conditioning and cleaning for multi-crystalline silicon solar cell processing

    International Nuclear Information System (INIS)

    Kagilik, Ahmed S.

    2014-01-01

    As an alternative to the wet chemical etching method, dry chemical etching processes for Phosphorus silicate glass [PSG} layer removal using Trifluormethane/Sulfur Hexafluoride (CHF 3 / SF 6 ) gas mixture in commercial silicon-nitride plasma enhanced chemical vapour deposition (SiN-PECVD) system is applied. The dependence of the solar cell performance on the etching temperature is investigated and optimized. It is found that the SiN-PECVD system temperature variation has a significant impact on the whole solar cell characteristics. A dry plasma cleaning treatment of the Si wafer surface after the PSG removal step is also investigated and developed. The cleaning step is used to remove the polymer film which is formed during the PSG etching using both oxygen and hydrogen gases. By applying an additional cleaning step, the polymer film deposited on the silicon wafer surface after PSG etching is eliminated. The effect of different plasma cleaning conditions on solar cell performance is investigated. After optimization of the plasma operating conditions, the performance of the solar cell is improved and the overall gain in efficiency of 0.6% absolute is yielded compared to a cell without any further cleaning step. On the other hand, the best solar cell characteristics can reach values close to that achieved by the conventional wet chemical etching processes demonstrating the effectiveness of the additional O 2 /H 2 post cleaning treatment.(author)

  13. Doping of silicon carbide by ion implantation

    International Nuclear Information System (INIS)

    Gimbert, J.

    1999-01-01

    It appeared that in some fields, as the hostile environments (high temperature or irradiation), the silicon compounds showed limitations resulting from the electrical and mechanical properties. Doping of 4H and 6H silicon carbide by ion implantation is studied from a physicochemical and electrical point of view. It is necessary to obtain n-type and p-type material to realize high power and/or high frequency devices, such as MESFETs and Schottky diodes. First, physical and electrical properties of silicon carbide are presented and the interest of developing a process technology on this material is emphasised. Then, physical characteristics of ion implantation and particularly classical dopant implantation, such as nitrogen, for n-type doping, and aluminium and boron, for p-type doping are described. Results with these dopants are presented and analysed. Optimal conditions are extracted from these experiences so as to obtain a good crystal quality and a surface state allowing device fabrication. Electrical conduction is then described in the 4H and 6H-SiC polytypes. Freezing of free carriers and scattering processes are described. Electrical measurements are carried out using Hall effect on Van der Panw test patterns, and 4 point probe method are used to draw the type of the material, free carrier concentrations, resistivity and mobility of the implanted doped layers. These results are commented and compared to the theoretical analysis. The influence of the technological process on electrical conduction is studied in view of fabricating implanted silicon carbide devices. (author)

  14. Silicon-based sleeve devices for chemical reactions

    Science.gov (United States)

    Northrup, M. Allen; Mariella, Jr., Raymond P.; Carrano, Anthony V.; Balch, Joseph W.

    1996-01-01

    A silicon-based sleeve type chemical reaction chamber that combines heaters, such as doped polysilicon for heating, and bulk silicon for convection cooling. The reaction chamber combines a critical ratio of silicon and silicon nitride to the volume of material to be heated (e.g., a liquid) in order to provide uniform heating, yet low power requirements. The reaction chamber will also allow the introduction of a secondary tube (e.g., plastic) into the reaction sleeve that contains the reaction mixture thereby alleviating any potential materials incompatibility issues. The reaction chamber may be utilized in any chemical reaction system for synthesis or processing of organic, inorganic, or biochemical reactions, such as the polymerase chain reaction (PCR) and/or other DNA reactions, such as the ligase chain reaction, which are examples of a synthetic, thermal-cycling-based reaction. The reaction chamber may also be used in synthesis instruments, particularly those for DNA amplification and synthesis.

  15. Effect of impurities and processing on silicon solar cells. Volume 1: Characterization methods for impurities in silicon and impurity effects data base

    Science.gov (United States)

    Hopkins, R. H.; Davis, J. R.; Rohatgi, A.; Campbell, R. B.; Blais, P. D.; Rai-Choudhury, P.; Stapleton, R. E.; Mollenkopf, H. C.; Mccormick, J. R.

    1980-01-01

    Two major topics are treated: methods to measure and evaluate impurity effects in silicon and comprehensive tabulations of data derived during the study. Discussions of deep level spectroscopy, detailed dark I-V measurements, recombination lifetime determination, scanned laser photo-response, conventional solar cell I-V techniques, and descriptions of silicon chemical analysis are presented and discussed. The tabulated data include lists of impurity segregation coefficients, ingot impurity analyses and estimated concentrations, typical deep level impurity spectra, photoconductive and open circuit decay lifetimes for individual metal-doped ingots, and a complete tabulation of the cell I-V characteristics of nearly 200 ingots.

  16. The New Silicon Strip Detectors for the CMS Tracker Upgrade

    CERN Document Server

    Dragicevic, Marko

    2010-01-01

    The first introductory part of the thesis describes the concept of the CMS experiment. The tasks of the various detector systems and their technical implementations in CMS are explained. To facilitate the understanding of the basic principles of silicon strip sensors, the subsequent chapter discusses the fundamentals in semiconductor technology, with particular emphasis on silicon. The necessary process steps to manufacture strip sensors in a so-called planar process are described in detail. Furthermore, the effects of irradiation on silicon strip sensors are discussed. To conclude the introductory part of the thesis, the design of the silicon strip sensors of the CMS Tracker are described in detail. The choice of the substrate material and the complex geometry of the sensors are reviewed and the quality assurance procedures for the production of the sensors are presented. Furthermore the design of the detector modules are described. The main part of this thesis starts with a discussion on the demands on the ...

  17. Thorium fuel for light water reactors - reducing proliferation potential of nuclear power fuel cycle

    Energy Technology Data Exchange (ETDEWEB)

    Galperin, A; Radkowski, A [Ben-Gurion Univ. of the Negev, Beersheba (Israel)

    1996-12-01

    The proliferation potential of the light water reactor fuel cycle may be significantly reduced by utilization of thorium as a fertile component of the nuclear fuel. The main challenge of Th utilization is to design a core and a fuel cycle, which would be proliferation-resistant and economically feasible. This challenge is met by the Radkowsky Thorium Reactor (RTR) concept. So far the concept has been applied to a Russian design of a 1,000 MWe pressurized water reactor, known as a WWER-1000, and designated as VVERT. The following are the main results of the preliminary reference design: * The amount of Pu contained in the RTR spent fuel stockpile is reduced by 80% in comparison with a VVER of a current design. * The isotopic composition of the RTR-Pu greatly increases the probability of pre-initiation and yield degradation of a nuclear explosion. An extremely large Pu-238 content causes correspondingly large heat emission, which would complicate the design of an explosive device based on RTR-Pu. The economic incentive to reprocess and reuse the fissile component of the RTR spent fuel is decreased. The once-through cycle is economically optimal for the RTR core and cycle. To summarize all the items above: the replacement of a standard (U-based) fuel for nuclear reactors of current generation by the RTR fuel will provide an inherent barrier for nuclear weapon proliferation. This inherent barrier, in combination with existing safeguard measures and procedures is adequate to unambiguously disassociate civilian nuclear power from military nuclear power. * The RTR concept is applied to existing power plants to assure its economic feasibility. Reductions in waste disposal requirements, as well as in natural U and fabrication expenses, as compared to a standard WWER fuel, provide approximately 20% reduction in fuel cycle (authors).

  18. Composite silicon nanostructure arrays fabricated on optical fibre by chemical etching of multicrystal silicon film

    International Nuclear Information System (INIS)

    Zuo, Zewen; Zhu, Kai; Ning, Lixin; Cui, Guanglei; Qu, Jun; Huang, Wanxia; Shi, Yi; Liu, Hong

    2015-01-01

    Integrating nanostructures onto optical fibers presents a promising strategy for developing new-fashioned devices and extending the scope of nanodevices’ applications. Here we report the first fabrication of a composite silicon nanostructure on an optical fiber. Through direct chemical etching using an H 2 O 2 /HF solution, multicrystal silicon films with columnar microstructures are etched into a vertically aligned, inverted-cone-like nanorod array embedded in a nanocone array. A faster dissolution rate of the silicon at the void-rich boundary regions between the columns is found to be responsible for the separation of the columns, and thus the formation of the nanostructure array. The morphology of the nanorods primarily depends on the microstructure of the columns in the film. Through controlling the microstructure of the as-grown film and the etching parameters, the structural control of the nanostructure is promising. This fabrication method can be extended to a larger length scale, and it even allows roll-to-roll processing. (paper)

  19. Composite silicon nanostructure arrays fabricated on optical fibre by chemical etching of multicrystal silicon film.

    Science.gov (United States)

    Zuo, Zewen; Zhu, Kai; Ning, Lixin; Cui, Guanglei; Qu, Jun; Huang, Wanxia; Shi, Yi; Liu, Hong

    2015-04-17

    Integrating nanostructures onto optical fibers presents a promising strategy for developing new-fashioned devices and extending the scope of nanodevices' applications. Here we report the first fabrication of a composite silicon nanostructure on an optical fiber. Through direct chemical etching using an H2O2/HF solution, multicrystal silicon films with columnar microstructures are etched into a vertically aligned, inverted-cone-like nanorod array embedded in a nanocone array. A faster dissolution rate of the silicon at the void-rich boundary regions between the columns is found to be responsible for the separation of the columns, and thus the formation of the nanostructure array. The morphology of the nanorods primarily depends on the microstructure of the columns in the film. Through controlling the microstructure of the as-grown film and the etching parameters, the structural control of the nanostructure is promising. This fabrication method can be extended to a larger length scale, and it even allows roll-to-roll processing.

  20. Fabrication of the GLAST Silicon Tracker Readout Electronics

    Energy Technology Data Exchange (ETDEWEB)

    Baldini, Luca; Brez, Alessandro; Himel, Thomas; Johnson, R.P.; Latronico, Luca; Minuti, Massimo; Nelson, David; Sadrozinski, H.F.-W.; Sgro, Carmelo; Spandre, Gloria; Sugizaki, Mutsumi; Tajima, Hiro; Cohen Tanugi, Johann; Young, Charles; Ziegler, Marcus; /Pisa U. /INFN, Pisa /SLAC /UC, Santa Cruz

    2006-03-03

    A unique electronics system has been built and tested for reading signals from the silicon-strip detectors of the Gamma-ray Large Area Space Telescope mission. The system amplifies and processes signals from 884,736 36-cm long silicon strips in a 4 x 4 array of tower modules. An aggressive mechanical design fits the readout electronics in narrow spaces between the tower modules, to minimize dead area. This design and the resulting departures from conventional electronics packaging led to several fabrication challenges and lessons learned. This paper describes the fabrication processes and how the problems peculiar to this design were overcome.

  1. Silicon integrated circuits advances in materials and device research

    CERN Document Server

    Kahng, Dawon

    1981-01-01

    Silicon Integrated Circuits, Part B covers the special considerations needed to achieve high-power Si-integrated circuits. The book presents articles about the most important operations needed for the high-power circuitry, namely impurity diffusion and oxidation; crystal defects under thermal equilibrium in silicon and the development of high-power device physics; and associated technology. The text also describes the ever-evolving processing technology and the most promising approaches, along with the understanding of processing-related areas of physics and chemistry. Physicists, chemists, an

  2. Silicon nanowire hot carrier electroluminescence

    Energy Technology Data Exchange (ETDEWEB)

    Plessis, M. du, E-mail: monuko@up.ac.za; Joubert, T.-H.

    2016-08-31

    Avalanche electroluminescence from silicon pn junctions has been known for many years. However, the internal quantum efficiencies of these devices are quite low due to the indirect band gap nature of the semiconductor material. In this study we have used reach-through biasing and SOI (silicon-on-insulator) thin film structures to improve the internal power efficiency and the external light extraction efficiency. Both continuous silicon thin film pn junctions and parallel nanowire pn junctions were manufactured using a custom SOI technology. The pn junctions are operated in the reach-through mode of operation, thus increasing the average electric field within the fully depleted region. Experimental results of the emission spectrum indicate that the most dominant photon generating mechanism is due to intraband hot carrier relaxation processes. It was found that the SOI nanowire light source external power efficiency is at least an order of magnitude better than the comparable bulk CMOS (Complementary Metal Oxide Semiconductor) light source. - Highlights: • We investigate effect of electric field on silicon avalanche electroluminescence. • With reach-through pn junctions the current and carrier densities are kept constant. • Higher electric fields increase short wavelength radiation. • Higher electric fields decrease long wavelength radiation. • The effect of the electric field indicates intraband transitions as main mechanism.

  3. Optical properties of erbium-doped porous silicon waveguides

    Energy Technology Data Exchange (ETDEWEB)

    Najar, A. [Laboratoire d' Optronique UMR 6082-FOTON, Universite de Rennes 1, 6 rue de Kerampont, B P. 80518, 22305 Lannion Cedex (France); Laboratoire de Spectroscopie Raman, Faculte des Sciences de Tunis, 2092 ElManar, Tunis (Tunisia); Charrier, J. [Laboratoire d' Optronique UMR 6082-FOTON, Universite de Rennes 1, 6 rue de Kerampont, B P. 80518, 22305 Lannion Cedex (France)]. E-mail: joel.charier@univ-rennes1.fr; Ajlani, H. [Laboratoire de Spectroscopie Raman, Faculte des Sciences de Tunis, 2092 ElManar, Tunis (Tunisia); Lorrain, N. [Laboratoire d' Optronique UMR 6082-FOTON, Universite de Rennes 1, 6 rue de Kerampont, B P. 80518, 22305 Lannion Cedex (France); Elhouichet, H. [Laboratoire de Spectroscopie Raman, Faculte des Sciences de Tunis, 2092 ElManar, Tunis (Tunisia); Oueslati, M. [Laboratoire de Spectroscopie Raman, Faculte des Sciences de Tunis, 2092 ElManar, Tunis (Tunisia); Haji, L. [Laboratoire d' Optronique UMR 6082-FOTON, Universite de Rennes 1, 6 rue de Kerampont, B P. 80518, 22305 Lannion Cedex (France)

    2006-12-15

    Planar and buried channel porous silicon waveguides (WG) were prepared from p{sup +}-type silicon substrate by a two-step anodization process. Erbium ions were incorporated into pores of the porous silicon layers by an electrochemical method using ErCl{sub 3}-saturated solution. Erbium concentration of around 10{sup 20} at/cm{sup 3} was determined by energy-dispersive X-ray analysis performed on SEM cross-section. The luminescence properties of erbium ions in the IR range were determined and a luminescence time decay of 420 {mu}s was measured. Optical losses were studied on these WG. The increased losses after doping were discussed.

  4. Imaging, structural, and chemical analysis of silicon nanowires

    International Nuclear Information System (INIS)

    Barsotti, R.J. Jr.; Fischer, J.E.; Lee, C.H.; Mahmood, J.; Adu, C.K.W.; Eklund, P.C.

    2002-01-01

    Laser ablation has been used to grow silicon nanowires with an average silicon crystal core diameter of 6.7 nm±2.9 nm surrounded by an amorphous SiO x sheath of 1-2 nm, the smallest silicon wires reported in the literature. Imaging, chemical, and structural analysis of these wires are reported. Due to the growth temperature and the presence of calcium impurities and trace oxygen, two distinct types of wires are found. They appear to grow by two different processes. One requires a metal catalyst, the other is catalyzed by oxygen. Suggestions for controlled synthesis based on these growth mechanisms are made

  5. Rapid diffusion of molybdenum trace contamination in silicon

    International Nuclear Information System (INIS)

    Tobin, S.P.; Greenwald, A.C.; Wolfson, R.G.; Meier, D.L.; Drevinsky, P.J.

    1985-01-01

    Molybdenum contamination has been detected in silicon epitaxial layers and substrate wafers after processing in any one of several epitaxial silicon reactors. Greatly reduced minority carrier diffusion lengths and lifetimes are consistent with Mo concentrations measured by DLTS in the 10 12 and 10 13 cm -3 ranges. Depth profiling of diffusion length and the Mo deep level show much greater penetration than expected from previous reports of Mo as a slow diffuser. The data indicate a lower limit of 10 -8 cm 2 /sec for the diffusion coefficient of Mo in silicon at 1200 0 C, consistent with high diffusivities measured for other transition metals

  6. Improved reaction sintered silicon nitride. [protective coatings to improve oxidation resistance

    Science.gov (United States)

    Baumgartner, H. R.

    1978-01-01

    Processing treatments were applied to as-nitrided reaction sintered silicon nitride (RSSN) with the purposes of improving strength after processing to above 350 MN/m2 and improving strength after oxidation exposure. The experimental approaches are divided into three broad classifications: sintering of surface-applied powders; impregnation of solution followed by further thermal processing; and infiltration of molten silicon and subsequent carburization or nitridation of the silicon. The impregnation of RSSN with solutions of aluminum nitrate and zirconyl chloride, followed by heating at 1400-1500 C in a nitrogen atmosphere containing silicon monoxide, improved RSSN strength and oxidation resistance. The room temperature bend strength of RSSN was increased nearly fifty percent above the untreated strength with mean absolute strengths up to 420 MN/m2. Strengths of treated samples that were measured after a 12 hour oxidation exposure in air were up to 90 percent of the original as-nitrided strength, as compared to retained strengths in the range of 35 to 60 percent for untreated RSSN after the same oxidation exposure.

  7. Core/shell silicon/polyaniline particles via in-flight plasma-induced polymerization

    International Nuclear Information System (INIS)

    Yasar-Inceoglu, Ozgul; Mangolini, Lorenzo; Zhong, Lanlan

    2015-01-01

    Although silicon nanoparticles have potential applications in many relevant fields, there is often the need for post-processing steps to tune the property of the nanomaterial and to optimize it for targeted applications. In particular surface modification is generally necessary to both tune dispersibility of the particles in desired solvents to achieve optimal coating conditions, and to interface the particles with other materials to realize functional heterostructures. In this contribution we discuss the realization of core/shell silicon/polymer nanoparticles realized using a plasma-initiated in-flight polymerization process. Silicon particles are produced in a non-thermal plasma reactor using silane as a precursor. After synthesis they are aerodynamically injected into a second plasma reactor into which aniline vapor is introduced. The second plasma initiates the polymerization reactor leading to the formation of a 3–4 nm thick polymer shell surrounding the silicon core. The role of processing conditions on the properties of the polymeric shell is discussed. Preliminary results on the testing of this material as an anode for lithium ion batteries are presented. (paper)

  8. Core/shell silicon/polyaniline particles via in-flight plasma-induced polymerization

    Science.gov (United States)

    Yasar-Inceoglu, Ozgul; Zhong, Lanlan; Mangolini, Lorenzo

    2015-08-01

    Although silicon nanoparticles have potential applications in many relevant fields, there is often the need for post-processing steps to tune the property of the nanomaterial and to optimize it for targeted applications. In particular surface modification is generally necessary to both tune dispersibility of the particles in desired solvents to achieve optimal coating conditions, and to interface the particles with other materials to realize functional heterostructures. In this contribution we discuss the realization of core/shell silicon/polymer nanoparticles realized using a plasma-initiated in-flight polymerization process. Silicon particles are produced in a non-thermal plasma reactor using silane as a precursor. After synthesis they are aerodynamically injected into a second plasma reactor into which aniline vapor is introduced. The second plasma initiates the polymerization reactor leading to the formation of a 3-4 nm thick polymer shell surrounding the silicon core. The role of processing conditions on the properties of the polymeric shell is discussed. Preliminary results on the testing of this material as an anode for lithium ion batteries are presented.

  9. Nonlinear silicon photonics

    Science.gov (United States)

    Borghi, M.; Castellan, C.; Signorini, S.; Trenti, A.; Pavesi, L.

    2017-09-01

    Silicon photonics is a technology based on fabricating integrated optical circuits by using the same paradigms as the dominant electronics industry. After twenty years of fervid development, silicon photonics is entering the market with low cost, high performance and mass-manufacturable optical devices. Until now, most silicon photonic devices have been based on linear optical effects, despite the many phenomenologies associated with nonlinear optics in both bulk materials and integrated waveguides. Silicon and silicon-based materials have strong optical nonlinearities which are enhanced in integrated devices by the small cross-section of the high-index contrast silicon waveguides or photonic crystals. Here the photons are made to strongly interact with the medium where they propagate. This is the central argument of nonlinear silicon photonics. It is the aim of this review to describe the state-of-the-art in the field. Starting from the basic nonlinearities in a silicon waveguide or in optical resonator geometries, many phenomena and applications are described—including frequency generation, frequency conversion, frequency-comb generation, supercontinuum generation, soliton formation, temporal imaging and time lensing, Raman lasing, and comb spectroscopy. Emerging quantum photonics applications, such as entangled photon sources, heralded single-photon sources and integrated quantum photonic circuits are also addressed at the end of this review.

  10. Design of experiment characterization of microneedle fabrication processes based on dry silicon etching

    Science.gov (United States)

    Held, J.; Gaspar, J.; Ruther, P.; Hagner, M.; Cismak, A.; Heilmann, A.; Paul, O.

    2010-02-01

    This paper reports on the characterization of dry etching-based processes for the fabrication of silicon microneedles using a design of experiment (DoE) approach. The possibility of using such microneedles as protruding microelectrodes able to electroporate adherently growing cells and record intracellular potentials motivates the systematic analysis of the influence of etching parameters on the needle shape. Two processes are characterized: a fully isotropic etch process and a three-step etching approach. In the first case, the shape of the microneedles is defined by a single etch step. For the stepped method, the structures are realized using the following sequence: a first, isotropic step defines the tip; this is followed by anisotropic etching that increases the height of the needle; a final isotropic procedure thins the microneedle and sharpens its tip. From the various process parameters tested, it is concluded that the isotropic fabrication is influenced mostly by four process parameters, whereas six parameters dominantly govern the outcome of the stepped etching technique. The dependence of the needle shape on the etch mask diameter is also investigated. Microneedles with diameters down to the sub-micrometer range and heights below 10 µm are obtained. The experimental design is performed using the D-optimal method. The resulting geometry, i.e. heights, diameters and radii of curvature measured at different positions, is extracted from scanning electron micrographs of needle cross-sections obtained from cuts by focused ion beam. The process parameters are used as inputs and the geometry features of the microneedles as outputs for the analysis of the process.

  11. Design of experiment characterization of microneedle fabrication processes based on dry silicon etching

    International Nuclear Information System (INIS)

    Held, J; Gaspar, J; Ruther, P; Paul, O; Hagner, M; Cismak, A; Heilmann, A

    2010-01-01

    This paper reports on the characterization of dry etching-based processes for the fabrication of silicon microneedles using a design of experiment (DoE) approach. The possibility of using such microneedles as protruding microelectrodes able to electroporate adherently growing cells and record intracellular potentials motivates the systematic analysis of the influence of etching parameters on the needle shape. Two processes are characterized: a fully isotropic etch process and a three-step etching approach. In the first case, the shape of the microneedles is defined by a single etch step. For the stepped method, the structures are realized using the following sequence: a first, isotropic step defines the tip; this is followed by anisotropic etching that increases the height of the needle; a final isotropic procedure thins the microneedle and sharpens its tip. From the various process parameters tested, it is concluded that the isotropic fabrication is influenced mostly by four process parameters, whereas six parameters dominantly govern the outcome of the stepped etching technique. The dependence of the needle shape on the etch mask diameter is also investigated. Microneedles with diameters down to the sub-micrometer range and heights below 10 µm are obtained. The experimental design is performed using the D-optimal method. The resulting geometry, i.e. heights, diameters and radii of curvature measured at different positions, is extracted from scanning electron micrographs of needle cross-sections obtained from cuts by focused ion beam. The process parameters are used as inputs and the geometry features of the microneedles as outputs for the analysis of the process.

  12. Silicon Microspheres Photonics

    International Nuclear Information System (INIS)

    Serpenguzel, A.

    2008-01-01

    Electrophotonic integrated circuits (EPICs), or alternatively, optoelectronic integrated circuit (OEICs) are the natural evolution of the microelectronic integrated circuit (IC) with the addition of photonic capabilities. Traditionally, the IC industry has been based on group IV silicon, whereas the photonics industry on group III-V semiconductors. However, silicon based photonic microdevices have been making strands in siliconizing photonics. Silicon microspheres with their high quality factor whispering gallery modes (WGMs), are ideal candidates for wavelength division multiplexing (WDM) applications in the standard near-infrared communication bands. In this work, we will discuss the possibility of using silicon microspheres for photonics applications in the near-infrared

  13. ATLAS Silicon Microstrip Tracker Operation and Performance

    CERN Document Server

    Chalupkova, I; The ATLAS collaboration

    2012-01-01

    The Semi-Conductor Tracker (SCT) is a silicon strip detector and one of the key precision tracking devices in the Inner Detector of the ATLAS experiment at CERN LHC. The SCT is constructed of 4088 silicon detector modules for a total of 6.3 million strips. Each module is designed, constructed and tested to operate as a stand-alone unit, mechanically, electrically, optically and thermally. The modules are mounted into two types of structures: one barrel (4 cylinders) and two end-cap systems (9 disks on each end of the barrel). The SCT silicon micro-strip sensors are processed in the planar p-in-n technology. The signals from the strips are processed in the front-end ASICS ABCD3TA, working in the binary readout mode. Data is transferred to the off-detector readout electronics via optical fibers. The completed SCT has been installed inside the ATLAS experimental cavern since 2007 and has been operational since then. Calibration data has been taken regularly and analyzed to determine the noise performance of the ...

  14. ATLAS Silicon Microstrip Tracker Operation and Performance

    CERN Document Server

    NAGAI, K; The ATLAS collaboration

    2012-01-01

    The Semi-Conductor Tracker (SCT) is a silicon strip detector and one of the key precision tracking devices in the Inner Detector of the ATLAS experiment at CERN LHC. The SCT is constructed of 4088 silicon detector modules for a total of 6.3 million strips. Each module is designed, constructed and tested to operate as a stand-alone unit, mechanically, electrically, optically and thermally. The modules are mounted into two types of structures: one barrel (4 cylinders) and two end-cap systems (9 disks on each end of the barrel). The SCT silicon micro-strip sensors are processed in the planar p-in-n technology. The signals from the strips are processed in the front-end ASICS ABCD3TA, working in the binary readout mode. Data is transferred to the off-detector readout electronics via optical fibres. The completed SCT has been installed inside the ATLAS experimental cavern since 2007 and has been operational since then. Calibration data has been taken regularly and analysed to determine the noise performance of the ...

  15. ATLAS Silicon Microstrip Tracker Operation and Performance

    CERN Document Server

    Chalupkova, I; The ATLAS collaboration

    2012-01-01

    The Semi-Conductor Tracker (SCT) is a silicon strip detector and one of the key precision tracking devices in the Inner Detector (ID) of the ATLAS experiment at CERN LHC. The SCT is constructed of 4088 silicon detector modules with a total of 6.3 million strips. Each module is designed, constructed and tested to operate as a stand-alone unit, mechanically, electrically, optically and thermally. The modules are mounted into two types of structures: one barrel (4 cylinders) and two end-cap systems (9 disks on each side of the barrel). The SCT silicon microstrip sensors are processed in the planar p-in-n technology. The signals from the strips are processed in the front-end ASICs ABCD3TA, working in the binary readout mode. Data is transferred to the off-detector readout electronics via optical fibres. SCT has been installed inside the ATLAS experimental cavern since 2007 and has been operational ever since. Calibration data has been taken regularly and analysed to determine the noise performance of the system. ...

  16. Complex boron redistribution kinetics in strongly doped polycrystalline-silicon/nitrogen-doped-silicon thin bi-layers

    Energy Technology Data Exchange (ETDEWEB)

    Abadli, S. [Department of Electrical Engineering, University Aout 1955, Skikda, 21000 (Algeria); LEMEAMED, Department of Electronics, University Mentouri, Constantine, 25000 (Algeria); Mansour, F. [LEMEAMED, Department of Electronics, University Mentouri, Constantine, 25000 (Algeria); Pereira, E. Bedel [CNRS-LAAS, 7 avenue du colonel Roche, 31077 Toulouse (France)

    2012-10-15

    We have investigated the complex behaviour of boron (B) redistribution process via silicon thin bi-layers interface. It concerns the instantaneous kinetics of B transfer, trapping, clustering and segregation during the thermal B activation annealing. The used silicon bi-layers have been obtained by low pressure chemical vapor deposition (LPCVD) method at 480 C, by using in-situ nitrogen-doped-silicon (NiDoS) layer and strongly B doped polycrystalline-silicon (P{sup +}) layer. To avoid long-range B redistributions, thermal annealing was carried out at relatively low-temperatures (600 C and 700 C) for various times ranging between 30 min and 2 h. To investigate the experimental secondary ion mass spectroscopy (SIMS) doping profiles, a redistribution model well adapted to the particular structure of two thin layers and to the effects of strong-concentrations has been established. The good adjustment of the simulated profiles with the experimental SIMS profiles allowed a fundamental understanding about the instantaneous physical phenomena giving and disturbing the complex B redistribution profiles-shoulders. The increasing kinetics of the B peak concentration near the bi-layers interface is well reproduced by the established model. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  17. Monocrystalline silicon solar cells applied in photovoltaic system

    OpenAIRE

    L.A. Dobrzański; A. Drygała; M. Giedroć; M. Macek

    2012-01-01

    Purpose: The aim of the paper is to fabricate the monocrystalline silicon solar cells using the conventional technology by means of screen printing process and to make of them photovoltaic system.Design/methodology/approach: The investigation of current – voltage characteristic to determinate basic electrical properties of monocrystalline silicon solar cells were investigated under Standard Test Condition. Photovoltaic module was produced from solar cells with the largest short-circuit curren...

  18. Construction and test of a silicon drift chamber

    International Nuclear Information System (INIS)

    Holl, P.

    1985-06-01

    The present thesis presents the first fully applicable silicon detectors which work as drift chambers. Four different types of detectors were constructed. By a suitable geometry and electronic lay-out one- and two-dimensional position measurements were made possible. Chapter 2 describes function and construction of the detectors, chapter 3 their fabrication process. In chapter 4 construction and results of the test of a silicon drift chamber under laboratory conditions are described. By variation of the applied voltages the optimal operational conditions could be determined and material properties of the silicon, as for instance the electron mobility measured. A position resolution better than 5 μm at a drift length up to 4 mm was reached. Chapter 5 presents the results of the test of a silicon drift chamber under real experimental conditions in a particle beam of the super proton synchroton (SPS) of CERN. The best position resolution measured there is 10 μm. Chapter 6 summarizes the obtained results and discusses finally application possibilities and improvement proposals for silicon drift chambers. (orig./HSI) [de

  19. Experimental comparison of models for ultrafast impact ionization is silicon

    DEFF Research Database (Denmark)

    Tarekegne, Abebe Tilahun; Iwaszczuk, Krzysztof; Jepsen, Peter Uhd

    2016-01-01

    We compare experimentally the exponential and quadratic (Keldysh formula) impact ionization models using THz induced impact ionization in silicon. We demonstrate that the exponential model offers the best description of impact ionization process for ultrashort electric filed pulses.......We compare experimentally the exponential and quadratic (Keldysh formula) impact ionization models using THz induced impact ionization in silicon. We demonstrate that the exponential model offers the best description of impact ionization process for ultrashort electric filed pulses....

  20. Impact of process parameters on the structural and electrical properties of metal/PZT/Al2O3/silicon gate stack for non-volatile memory applications

    Science.gov (United States)

    Singh, Prashant; Jha, Rajesh Kumar; Singh, Rajat Kumar; Singh, B. R.

    2018-02-01

    In this paper, we present the structural and electrical properties of the Al2O3 buffer layer on non-volatile memory behavior using Metal/PZT/Al2O3/Silicon structures. Metal/PZT/Silicon and Metal/Al2O3/Silicon structures were also fabricated and characterized to obtain capacitance and leakage current parameters. Lead zirconate titanate (PZT::35:65) and Al2O3 films were deposited by sputtering on the silicon substrate. Memory window, PUND, endurance, breakdown voltage, effective charges, flat-band voltage and leakage current density parameters were measured and the effects of process parameters on the structural and electrical characteristics were investigated. X-ray data show dominant (110) tetragonal phase of the PZT film, which crystallizes at 500 °C. The sputtered Al2O3 film annealed at different temperatures show dominant (312) orientation and amorphous nature at 425 °C. Multiple angle laser ellipsometric analysis reveals the temperature dependence of PZT film refractive index and extinction coefficient. Electrical characterization shows the maximum memory window of 3.9 V and breakdown voltage of 25 V for the Metal/Ferroelectric/Silicon (MFeS) structures annealed at 500 °C. With 10 nm Al2O3 layer in the Metal/Ferroelectric/Insulator/Silicon (MFeIS) structure, the memory window and breakdown voltage was improved to 7.21 and 35 V, respectively. Such structures show high endurance with no significant reduction polarization charge for upto 2.2 × 109 iteration cycles.