WorldWideScience

Sample records for rifampin resistance patterns

  1. Genotyping of rifampin-resistant Mycobacterium tuberculosis isolates from Western Turkey

    International Nuclear Information System (INIS)

    Cavasoglu, Cengiz; Bilgic, Altinay; Durmaz, Riza; Gunal, Selami

    2004-01-01

    Although the rate of multiple drug resistance is high there is no published data on the transmission rate of drug-resistant strains of Mycobacterium tuberculosis in the Aegean region of Western Turkey that are based on molecular methods. IS6110 and pTBN12 restriction fragment lengthpolymorphism (RFLP) methods were used for typing Mycobacterium tuberculosis isolated from 26 sputum samples from 26 patients. 19 of rifampin-resistant isolates (73.1%) contained 6 to 11 copies of 156110. Eighteen different IS6110 DNA fingerprint patterns were observed in the 26 rifampin resistant isolates. 23 of the 26 rifampin-resistant isolates were also resistant to isoniazid. When evaluated together, both methods yielded 21 (80.9%) different banding patterns and the level of clustering was 34.6%. The average number per pattern was 1.23 (26/21). IS6110 fingerprinting suggests that the rifampin-resistant isolates obtained from the Aegean region had a relatively high clustering rate and were clonally related. These findings showed that the rifampin-resistant isolates are actively transmitted between patients. Urgent measures should be taken to prevent the spread of these resistant strains. (author)

  2. Structural Implications of Mutations Conferring Rifampin Resistance in Mycobacterium leprae.

    Science.gov (United States)

    Vedithi, Sundeep Chaitanya; Malhotra, Sony; Das, Madhusmita; Daniel, Sheela; Kishore, Nanda; George, Anuja; Arumugam, Shantha; Rajan, Lakshmi; Ebenezer, Mannam; Ascher, David B; Arnold, Eddy; Blundell, Tom L

    2018-03-22

    The rpoB gene encodes the β subunit of RNA polymerase holoenzyme in Mycobacterium leprae (M. leprae). Missense mutations in the rpoB gene were identified as etiological factors for rifampin resistance in leprosy. In the present study, we identified mutations corresponding to rifampin resistance in relapsed leprosy cases from three hospitals in southern India which treat leprosy patients. DNA was extracted from skin biopsies of 35 relapse/multidrug therapy non-respondent leprosy cases, and PCR was performed to amplify the 276 bp rifampin resistance-determining region of the rpoB gene. PCR products were sequenced, and mutations were identified in four out of the 35 cases at codon positions D441Y, D441V, S437L and H476R. The structural and functional effects of these mutations were assessed in the context of three-dimensional comparative models of wild-type and mutant M. leprae RNA polymerase holoenzyme (RNAP), based on the recently solved crystal structures of RNAP of Mycobacterium tuberculosis, containing a synthetic nucleic acid scaffold and rifampin. The resistance mutations were observed to alter the hydrogen-bonding and hydrophobic interactions of rifampin and the 5' ribonucleotide of the growing RNA transcript. This study demonstrates that rifampin-resistant strains of M. leprae among leprosy patients in southern India are likely to arise from mutations that affect the drug-binding site and stability of RNAP.

  3. In vitro testing of daptomycin plus rifampin againstmethicillin-resistant Staphylococcus aureus resistant to rifampin

    International Nuclear Information System (INIS)

    Khaswneh, Faisal A.; Ashcraft, Deborah S.; Pankey, George A.

    2008-01-01

    Objective was to test for synergy between daptomycin (DAP) and rifampin(RIF) against RIF-resistant methicillin-resistant Staphylococcus aureus(MRSA) isolates. Synergy testing using time-kill assay (TKA) was performed on6 clinically and genetically unique RIF-resistant MRSA isolates. The isolateswere identified out of 489 (1.2%) samples collected during April 2003 toAugust 2006, from patients at the Ochsner Medical Center in New Orleans,Louisiana, United States of America. Synergy testing of DAP plus RIF by TKAshowed that 5 isolates were different, but one isolate was antagonistic. Ourin-vitro study failed to demonstrate synergy between DAP plus RIF, againstour RIF-resistant MRSA isolates. Clinical failure of this combination shouldprompt the clinician to consider antagonism as one of the potential causes.(author)

  4. Pyrosequencing for Rapid Detection of Mycobacterium tuberculosis Resistance to Rifampin, Isoniazid, and Fluoroquinolones ▿

    Science.gov (United States)

    Bravo, Lulette Tricia C.; Tuohy, Marion J.; Ang, Concepcion; Destura, Raul V.; Mendoza, Myrna; Procop, Gary W.; Gordon, Steven M.; Hall, Geraldine S.; Shrestha, Nabin K.

    2009-01-01

    After isoniazid and rifampin (rifampicin), the next pivotal drug class in Mycobacterium tuberculosis treatment is the fluoroquinolone class. Mutations in resistance-determining regions (RDR) of the rpoB, katG, and gyrA genes occur with frequencies of 97%, 50%, and 85% among M. tuberculosis isolates resistant to rifampin, isoniazid, and fluoroquinolones, respectively. Sequences are highly conserved, and certain mutations correlate well with phenotypic resistance. We developed a pyrosequencing assay to determine M. tuberculosis genotypic resistance to rifampin, isoniazid, and fluoroquinolones. We characterized 102 M. tuberculosis clinical isolates from the Philippines for susceptibility to rifampin, isoniazid, and ofloxacin by using the conventional submerged-disk proportion method and validated our pyrosequencing assay using these isolates. DNA was extracted and amplified by using PCR primers directed toward the RDR of the rpoB, katG, and gyrA genes, and pyrosequencing was performed on the extracts. The M. tuberculosis H37Rv strain (ATCC 25618) was used as the reference strain. The sensitivities and specificities of pyrosequencing were 96.7% and 97.3%, 63.8% and 100%, and 70.0% and 100% for the detection of resistance to rifampin, isoniazid, and ofloxacin, respectively. Pyrosequencing is thus a rapid and accurate method for detecting M. tuberculosis resistance to these three drugs. PMID:19846642

  5. Second line drug susceptibility testing to inform the treatment of rifampin-resistant tuberculosis: a quantitative perspective

    Directory of Open Access Journals (Sweden)

    Emily A. Kendall

    2017-03-01

    Full Text Available Treatment failure and resistance amplification are common among patients with rifampin-resistant tuberculosis (TB. Drug susceptibility testing (DST for second-line drugs is recommended for these patients, but logistical difficulties have impeded widespread implementation of second-line DST in many settings. To provide a quantitative perspective on the decision to scale up second-line DST, we synthesize literature on the prevalence of second-line drug resistance, the expected clinical and epidemiologic benefits of using second-line DST to ensure that patients with rifampin-resistant TB receive effective regimens, and the costs of implementing (or not implementing second-line DST for all individuals diagnosed with rifampin-resistant TB. We conclude that, in most settings, second-line DST could substantially improve treatment outcomes for patients with rifampin-resistant TB, reduce transmission of drug-resistant TB, prevent amplification of drug resistance, and be affordable or even cost-saving. Given the large investment made in each patient treated for rifampin-resistant TB, these payoffs would come at relatively small incremental cost. These anticipated benefits likely justify addressing the real challenges faced in implementing second-line DST in most high-burden settings.

  6. Detection of rifampin resistance patterns in Mycobacterium tuberculosis strains isolated in Iran by polymerase chain reaction-single-strand conformation polymorphism and direct sequencing methods

    Directory of Open Access Journals (Sweden)

    Bahram Nasr Isfahani

    2006-09-01

    Full Text Available Mutations in the rpoB locus confer conformational changes leading to defective binding of rifampin (RIF to rpoB and consequently resistance in Mycobacterium tuberculosis. Polymerase chain reaction-single-strand conformation polymorphism (PCR-SSCP was established as a rapid screening test for the detection of mutations in the rpoB gene, and direct sequencing has been unambiguously applied to characterize mutations. A total of 37 of Iranian isolates of M. tuberculosis, 16 sensitive and 21 resistant to RIF, were used in this study. A 193-bp region of the rpoB gene was amplified and PCR-SSCP patterns were determined by electrophoresis in 10% acrylamide gel and silver staining. Also, 21 samples of 193-bp rpoB amplicons with different PCR-SSCP patterns from RIFr and 10 from RIFs were sequenced. Seven distinguishable PCR-SSCP patterns were recognized in the 21 Iranian RIFr strains, while 15 out of 16 RIFs isolates demonstrated PCR-SSCP banding patterns similar to that of sensitive standard strain H37Rv. However one of the sensitive isolates demonstrated a different pattern. There were seen six different mutations in the amplified region of rpoB gene: codon 516(GAC/GTC, 523(GGG/GGT, 526(CAC/TAC, 531(TCG/TTG, 511(CTG/TTG, and 512(AGC/TCG. This study demonstrated the high specificity (93.8% and sensitivity (95.2% of PCR-SSCP method for detection of mutation in rpoB gene; 85.7% of RIFr strains showed a single mutation and 14.3% had no mutations. Three strains showed mutations caused polymorphism. Our data support the common notion that rifampin resistance genotypes are generally present mutations in codons 531 and 526, most frequently found in M. tuberculosis populations regardless of geographic origin.

  7. A Case of Acquired Rifampin Resistance in Mycobacterium bovis Bacillus Calmette-Guérin-Induced Cystitis: Necessity for Treatment Guidelines

    Directory of Open Access Journals (Sweden)

    Joyce N Wolfe

    2006-01-01

    Full Text Available A case of presumed bacillus Calmette-Guérin (BCG cystitis in an elderly female patient following direct intravesical BCG instillation treatment for papillary transitional cell carcinoma is reported. The organism cultured from urine samples was eventually identified as a rifampin-resistant Mycobacterium bovis BCG isolate. Because the patient had received rifampin monotherapy during the course of treatment for presumed BCG disease, the clinical picture favoured acquired rifampin resistance. Sequencing of the target gene for rifampin (rpoB confirmed a known mutation responsible for conferring high levels of resistance to both rifampin and rifabutin (Ser531Tyr. To the authors' knowledge, this is the first reported case of M bovis BCG disease in a non-HIV patient where the organism had acquired drug resistance to rifampin, and the second reported case of M bovis BCG that had acquired drug resistance. The present case demonstrates the necessity to re-evaluate appropriate guidelines for the effective treatment of BCG disease.

  8. Antibiotic-Impregnated Central Venous Catheters Do Not Change Antibiotic Resistance Patterns.

    Science.gov (United States)

    Turnbull, Isaiah R; Buckman, Sara A; Horn, Christopher B; Bochicchio, Grant V; Mazuski, John E

    2018-01-01

    Antibiotic-impregnated central venous catheters (CVCs) decrease the incidence of infection in high-risk patients. However, use of these catheters carries the hypothetical risk of inducing antibiotic resistance. We hypothesized that routine use of minocycline and rifampin-impregnated catheters (MR-CVC) in a single intensive care unit (ICU) would change the resistance profile for Staphylococcus aureus. We reviewed antibiotic susceptibilities of S. aureus isolates obtained from blood cultures in a large urban teaching hospital from 2002-2015. Resistance patterns were compared before and after implementation of MR-CVC use in the surgical ICU (SICU) in August 2006. We also compared resistance patterns of S. aureus obtained in other ICUs and in non-ICU patients, in whom MR-CVCs were not used. Data for rifampin, oxacillin, and clindamycin were available for 9,703 cultures; tetracycline resistance data were available for 4,627 cultures. After implementation of MR-CVC use in the SICU, rifampin resistance remained unchanged, with rates the same as in other ICU and non-ICU populations (3%). After six years of use of MR-CVCs in the SICU, the rate of tetracycline resistance was unchanged in all facilities (1%-3%). The use of MR-CVCs was not associated with any change in S. aureus oxacillin-resistance rates in the SICU (66% vs. 60%). However, there was a significant decrease in S. aureus clindamycin resistance (59% vs. 34%; p resistance of S. aureus isolates to rifampin or tetracyclines.

  9. [Application value of Xpert MTB/RIF in diagnosis of spinal tuberculosis and detection of rifampin resistance].

    Science.gov (United States)

    Jin, Yang-Hui; Shi, Shi-Yuan; Zheng, Qi; Shen, Jian; Ying, Xiao-Zhang; Wang, Yi-Fan

    2017-09-25

    To investigate the application value of Xpert MTB/RIF in diagnosis of spinal tuberculosis and detection of rifampin resistance. The 109 pus specimens were obtained from patients who were primaryly diagnosed as spinal tuberculosis. All of the pus specimens were detected by acid-fast stain, liquid fast culturing by BACTEC MGIT 960 and Xpert MTB/RIF assay to definite the differences in sensitivity and specificity of mycobacterium tuberculosis among detecting methods. Pus specimens obtained by different methods were deteceded by MTB/RIF test to analyze the self-influence on Xpert MTB/RIF test. The result of liquid fast culturing by BACTEC MGIT 960 was used as the gold standard; and the value of Xpert MTB/RIF assay in detecting rifampin resistance was analyzed. The sensitivity of acid-fast stain, liquid fast culturing by BACTEC MGIT 960 and Xpert MTB/RIF assay were 25.92%, 48.15%, 77.78%, respectively. The sensitivity of pus specimens obtained from open surgery, ultrasound positioning puncture and biopsy the sensitivity were 83.78%, 76.47%, 44.68% respectively deteceded by MTB/RIF test. According to the gold standard of the results of liquid fast culturing by BACTEC MGIT 960 assay, the sensitivity and specificity of Xpert MTB/RIF assay in detecting rifampin resistance were 80%(4/5) and 90.70%(39/43), respectively. Xpert MTB/RIF assay has higher value in diagnosis of spinal tuberculosi, and also can detect rifampin resistance. The number of mycobacterium tuberculosis in pus specimens has a great influence in the sensitivity of Xpert MTB/RIF assay.

  10. Molecular Identification of Mycobacterium Tuberculosis and Analysis of Its Resistance to Rifampin in Sputa from Tuberculosis Suspected Patients

    International Nuclear Information System (INIS)

    Syaifudin, M.

    2010-01-01

    An accurate identification of different species of Mycobacterium provides to allow appropriate treatment for Mycobacterium tuberculosis infection. Beside that, drug resistance of M. tuberculosis strains to rifampin is not clearly understood in contributing to the spread of tuberculosis in Indonesia. To assess the molecular mechanism of rifampin resistance, a number of clinical specimens of M. tuberculosis were analyzed their molecular nature of a part of the rpoB gene using polymerase chain reaction-single strand conformation polymorphism (PCR-SSCP) methods. DNA's extracted from sputum samples were amplified and 32 P-labeled by PCR with the specific primers and the product was analyzed their mutation conferring resistance by MDE gel electrophoresis. Of the 70 specimens tested, 57 specimens were positive for M. tuberculosis organism only, three specimens contained a mixture of M. tuberculosis and non tuberculosis mycobacteria (NTM), and 10 specimens were negative approved by Duplex PCR. Of these sixty DNA positive samples (thus the sensitivity of PCR was 85.71%), 5 (8.3%) of them suspected to contain mutations in rpoB which were associated with rifampin resistance. Even though the frequency of mutation was low, the results from our study clearly indicate that the molecular mechanism of rifampin resistance in M. tuberculosis isolates from Indonesia involves alterations in the rpoB gene. Molecular diagnosis by PCR which is fast and easy to perform is useful for early and rapid detection of TB in sputum specimen. (author)

  11. In Vitro Synergy of Telavancin and Rifampin Against Enterococcus faecium Resistant to Both Linezolid and Vancomycin.

    Science.gov (United States)

    Pankey, George A; Ashcraft, Deborah S

    2013-01-01

    An emerging pathogen is Enterococcus faecium resistant to both linezolid and vancomycin (LRVRE). Antimicrobial combinations may be required for therapy and need to be evaluated. The combination of daptomycin and rifampin has demonstrated good in vitro activity against gram-positive bacteria, including E faecium. Telavancin, a newer lipoglycopeptide, has shown in vitro activity against E faecium. We evaluated the combination of telavancin and rifampin and compared the results to the combination of daptomycin and rifampin used previously on the same isolates. Twenty-four genetically unique (by pulsed-field gel electrophoresis), clinical LRVRE isolates were collected in the United States from 2001-2004. Etest minimal inhibitory concentrations (MICs) (μg/mL) were 0.064-8 for telavancin, 1-4 for daptomycin, and 0.012 to >32 for rifampin. In vitro synergy testing was performed in triplicate by an Etest MIC:MIC ratio method, and summation fractional inhibitory concentration (ΣFIC) was calculated: synergy ≤0.5; indifference >0.5-4; and antagonism >4. The Etest method showed synergy (ΣFICs of 0.1-0.5) with telavancin + rifampin in 20/24 (83%) isolates and indifference (ΣFICs of 0.6-0.8) in 4/24 (17%) isolates. Similarly, the daptomycin + rifampin combination showed synergy (ΣFICs of 0.1-0.5) in 21/24 (88%) isolates and indifference (ΣFICs of 0.6-1.0) in 3/24 (12%) isolates by the Etest method. No antagonism was found. In vitro synergy with both combinations (rifampin + telavancin or daptomycin) was 83% and 88%, respectively, by Etest against these LRVRE isolates. Although both daptomycin and telavancin in combination with rifampin showed a high incidence of synergistic activity, further in vitro synergy testing with this combination should be performed against additional E faecium isolates. In vitro synergy may or may not translate into in vivo effectiveness.

  12. Clinical Outcome with Oral Linezolid and Rifampin Following Recurrent Methicillin-Resistant Staphylococcus aureus Bacteremia Despite Prolonged Vancomycin Treatment

    Directory of Open Access Journals (Sweden)

    Jon-David Schwalm

    2004-01-01

    Full Text Available Drug-resistant Gram-positive bacteria, especially Staphylococcus aureus, are emerging as the predominant organisms involved in both nosocomial and community-acquired infections. Since the 1980s, vancomycin has been the first-line antibiotic used to treat methicillin-resistant S aureus. However, allergy and intolerance to vancomycin, the increasing number of vancomycin clinical failures and the existence of vancomycin intermediate-susceptible isolates of S aureus suggest that new antibiotics are needed. This paper reports the only known case of a successful clinical outcome with long term oral linezolid and rifampin therapy in the management of recurrent and persistent methicillin-resistant S aureus bacteremia with metastatic infections despite prolonged vancomycin use. More than two years since the initiation of linezolid and rifampin, the study patient has been clinically well with no evidence of adverse drug reactions including cytopenia and hepatic toxicities. Physicians must be aware of the novel developments in antibiotic therapy to treat drug-resistant bacterial infections.

  13. Efficacy of collagen silver-coated polyester and rifampin-soaked vascular grafts to resist infection from MRSA and Escherichia coli in a dog model.

    Science.gov (United States)

    Schneider, Fabrice; O'Connor, Stephen; Becquemin, Jean Pierre

    2008-11-01

    The primary objective of this study was to compare the efficacy of a collagen silver-coated polyester graft, InterGard, with a gelatin-sealed graft, Gelsoft, both soaked in rifampin, for resistance to direct bacterial contamination in an animal model. The second objective was to confirm the lack of inflammation from silver acetate. Vascular grafts, 6 mm in diameter, were implanted in the infrarenal aorta of 28 dogs. Intravenous cefamandole (20 mg/kg) was injected intraoperatively in all dogs. The dogs were divided into three groups. Group I included 12 dogs. Six dogs received silver grafts and six dogs received gelatin-sealed grafts, all soaked with rifampin. Grafts implanted in group I were directly infected with methicillin-resistant Staphylococcus aureus (MRSA). Group II included also six silver grafts and six gelatin-sealed grafts, all soaked with rifampin. Dogs of group II were directly infected with Escherichia coli. Group III comprised four dogs, which received gelatin unsealed grafts, directly infected with MRSA, the control group. All dogs were followed by regular clinical examination, including blood cultures. Grafts in groups I and III and in group II were harvested at 30 days and 10 days, respectively. Bacterial analyses were performed on the explanted grafts. Histology was performed on both the tissue samples and the anastomotic sites of the harvested grafts. In group I, no grafts were infected with MRSA, irrespective of graft type. In group II, no silver grafts were infected with E. coli, whereas one (16.6%) of six gelatin-sealed grafts was infected (p = 0.317). In group III, three (75%) of the four grafts were infected with MRSA. The infection rate in the silver grafts and the gelatin-sealed grafts soaked in rifampin in group I compared with the unsealed gelatin grafts in group III was statistically significantly different (p anastomoses in three (25%) gelsoft grafts of 12 in groups I and II. There were no clinical or biological signs of inflammation

  14. Efficacy of antibiotic treatment of implant-associated Staphylococcus aureus infections with moxifloxacin, flucloxacillin, rifampin, and combination therapy: an animal study.

    Science.gov (United States)

    Greimel, Felix; Scheuerer, Christine; Gessner, Andre; Simon, Michaela; Kalteis, Thomas; Grifka, Joachim; Benditz, Achim; Springorum, Hans-Robert; Schaumburger, Jens

    2017-01-01

    The efficacy of antibiotic monotherapy and combination therapy in the treatment of implant-associated infection by Staphylococcus aureus was evaluated in an animal study. The femoral medullary cavity of 66 male Wistar rats was contaminated with S. aureus (ATCC 29213) and a metal device was implanted, of which 61 could be evaluated. Six treatment groups were studied: flucloxacillin, flucloxacillin in combination with rifampin, moxifloxacin, moxifloxacin in combination with rifampin, rifampin, and a control group with aqua. The treatment was applied for 14 days. After euthanasia, the bacterial counts in the periprosthetic bone, the soft tissue, and the implant-associated biofilm were measured. Both antibiotic combination treatments (moxifloxacin plus rifampin and flucloxacillin plus rifampin) achieved a highly significant decrease in microbial counts in the bone and soft tissue and in the biofilm. Mono-antibiotic treatments with either moxifloxacin or flucloxacillin were unable to achieve a significant decrease in microbial counts in bone and soft tissue or the biofilm, whilst rifampin was able to reduce the counts significantly only in the biofilm. Antibiotic resistance was measured in 1/3 of the cases in the rifampin group, whereas no resistance was measured in all other groups. The results show that combinations of both moxifloxacin and flucloxacillin plus rifampin are adequate for the treatment of periprosthetic infections due to infections with S. aureus , whereas monotherapies are not effective or not applicable due to the rapid development of antibiotic resistance. Therefore, moxifloxacin is an effective alternative in combination with rifampin for the treatment of implant-associated infections.

  15. Efficacy of antibiotic treatment of implant-associated Staphylococcus aureus infections with moxifloxacin, flucloxacillin, rifampin, and combination therapy: an animal study

    Directory of Open Access Journals (Sweden)

    Greimel F

    2017-06-01

    Full Text Available Felix Greimel,1 Christine Scheuerer,1 Andre Gessner,2 Michaela Simon,2 Thomas Kalteis,1 Joachim Grifka,1 Achim Benditz,1 Hans-Robert Springorum,1 Jens Schaumburger1 1Department of Orthopedics, University Medical Center Regensburg, Asklepios Klinikum Bad Abbach, Bad Abbach, 2Institute of Clinical Microbiology and Hygiene, University Medical Center Regensburg, Regensburg, Bavaria, Germany Abstract: The efficacy of antibiotic monotherapy and combination therapy in the treatment of implant-associated infection by Staphylococcus aureus was evaluated in an animal study. The femoral medullary cavity of 66 male Wistar rats was contaminated with S. aureus (ATCC 29213 and a metal device was implanted, of which 61 could be evaluated. Six treatment groups were studied: flucloxacillin, flucloxacillin in combination with rifampin, moxifloxacin, moxifloxacin in combination with rifampin, rifampin, and a control group with aqua. The treatment was applied for 14 days. After euthanasia, the bacterial counts in the periprosthetic bone, the soft tissue, and the implant-associated biofilm were measured. Both antibiotic combination treatments (moxifloxacin plus rifampin and flucloxacillin plus rifampin achieved a highly significant decrease in microbial counts in the bone and soft tissue and in the biofilm. Mono-antibiotic treatments with either moxifloxacin or flucloxacillin were unable to achieve a significant decrease in microbial counts in bone and soft tissue or the biofilm, whilst rifampin was able to reduce the counts significantly only in the biofilm. Antibiotic resistance was measured in 1/3 of the cases in the rifampin group, whereas no resistance was measured in all other groups. The results show that combinations of both moxifloxacin and flucloxacillin plus rifampin are adequate for the treatment of periprosthetic infections due to infections with S. aureus, whereas monotherapies are not effective or not applicable due to the rapid development of

  16. Antibacterial susceptibility patterns and cross-resistance of methicillin resistant and sensitive Staphyloccus aureus isolated from the hospitalized patients in Shiraz, Iran

    Directory of Open Access Journals (Sweden)

    Aziz Japoni

    2010-10-01

    Full Text Available Nosocomial infections caused by methicillin-resistant staphylococci (MRSA pose a serious problem in many countries. This study aimed to determine the antibacterial susceptibility patterns of methicillin sensitive and resistant Staphylococcus aureus isolates from the hospitalized patients. Totally 356 isolates of Staphylococcus aureus (S. aureus including 200, 137 and 19 corresponding to MSSA, MRSA, and intermediate MRSA strains, respectively were isolated. Antibacterial susceptibility patterns of the isolates to 14 antibiotics were examined using Kirby-Bauer method. MICs of 15 antibiotics to 156 MRSA isolates were determined by E test method. Cross-resistances of MRSA isolates (137+19 to the other tested antibiotics were also determined. S.aureus with high frequencies were isolated from the blood, sputum and deep wound samples. All of 200 MSSA isolates were sensitive to oxacillin, vancomycin, tecoplanin, rifampin, linezolid, quinupristin/dalfopristin, mupirocin and fusidic acid. A gradient of reduced susceptibility of MSSA to cephalexin, co-trimoxazole, ciprofloxacin, clindamycin, tetracycline, erythromycin and gentamicin were evident. MRSA isolates were sensitive to vancomycin, tecoplanin, linezolid, quinupristin/dalfopristin, mupirocin and fusidic acid, while reduced susceptibility of them to rifampin, co-trimoxazole, clindamycin, cephalexin, tetracycline, ciprofloxacin, erythromycin and gentamicin were observed. MRSA isolates exhibited a high range of cross-resistance to the eight tested antibiotics. Overall, co-trimoxazole, ciprofloxacin, clindamycin, tetracycline, erythromycin and gentamicin showed low activity against MSSA and MRSA isolates which may indicate they are not suitable to be used in clinical practices. To preserve the effectiveness of antibiotics, rational prescription and concomitant application of preventive measures against the spread of MRSA are recommended.

  17. Antibiotic resistant pattern of methicillin resistant and sensitive Staphylococcus aureus isolated from patients durining 2009-2010, Ahvaz, Iran.

    Directory of Open Access Journals (Sweden)

    N Parhizgari

    2013-12-01

    Full Text Available Abstract Background & aim: Staphylococcus aureus is one of the most important nosocomial infecting agents resistant to commonly used antibiotics. Nowadays, methicillin-resistant S. aureus (MRSA is considered one of the main causes of nosocomial infections. The aim of this study was to identify the antibiotic resistance pattern of methicicllin- resistant and susceptible strains in Ahwaz, Iran. Methods: In the present cross - sectional study, a number of 255 clinically suspected cases of Staphylococcus aureus were collected during a 19 month period. The bacteria were investigated using standard biochemical tests such as catalase, mannitol fermentation, coagulase and Dnase. Sensitive strains were confirmed by disk diffusion method compared to commonly used antibiotics. The collected data were analyzed using descriptive statistical tests. Results: of 255 suspected cases, 180 were confirmed as S.aureus, a total of 59 strains of S. aureus (2/37 percent were resistant to methicillin. Resistance to S. aureus strains resistant to methicillin included: chloramphenicol (3.38%, rifampin (45.76%, norfloxacin (89.83%, gentamicin (89.83%, ciprofloxacin, (91.52%, azithromycin, (88.13%, cotrimoxazole (86.44% and all isolates strains were sensitive to vancomycin and nitrofurantoin. A total of 10 different patterns of antibiotic resistance in methicillin-resistant Staphylococcus aureus strains were identified. Conclusion: Expression of new resistance factor in nosocomial infection is one of the major challenges in treating these infections. This study showed a high prevalence of resistance against some class of antibiotics in MRSA isolated from Imam Khomeini and Golestan hospital of Ahwaz, Iran. Key words: Nosocomial infection, Methicillin Resistant Staphylococcus aureus (MRSA, Antibiotic Resistant Pattern

  18. Oral-Only Linezolid-Rifampin Is Highly Effective Compared with Other Antibiotics for Periprosthetic Joint Infection: Study of a Mouse Model.

    Science.gov (United States)

    Thompson, John M; Saini, Vikram; Ashbaugh, Alyssa G; Miller, Robert J; Ordonez, Alvaro A; Ortines, Roger V; Wang, Yu; Sterling, Robert S; Jain, Sanjay K; Miller, Lloyd S

    2017-04-19

    The medical treatment of periprosthetic joint infection (PJI) involves prolonged systemic antibiotic courses, often with suboptimal clinical outcomes including increased morbidity and health-care costs. Oral and intravenous monotherapies and combination antibiotic regimens were evaluated in a mouse model of methicillin-resistant Staphylococcus aureus (MRSA) PJI. Oral linezolid with or without oral rifampin, intravenous vancomycin with oral rifampin, intravenous daptomycin or ceftaroline with or without oral rifampin, oral doxycycline, or sham treatment were administered at human-exposure doses for 6 weeks in a mouse model of PJI. Bacterial burden was assessed by in vivo bioluminescent imaging and ex vivo counting of colony-forming units (CFUs), and reactive bone changes were evaluated with radiographs and micro-computed tomography (μCT) imaging. Oral-only linezolid-rifampin and all intravenous antibiotic-rifampin combinations resulted in no recoverable bacteria and minimized reactive bone changes. Although oral linezolid was the most effective monotherapy, all oral and intravenous antibiotic monotherapies failed to clear infection or prevent reactive bone changes. Combination antibiotic-rifampin regimens, including oral-only linezolid-rifampin and the newer ceftaroline-rifampin combinations, were highly effective and more efficacious than monotherapies when used against a preclinical MRSA PJI. This study provides important preclinical evidence to better optimize future antibiotic therapy against PJIs. In particular, the oral-only linezolid-rifampin option might reduce venous access complications and health-care costs.

  19. Activity of Colistin in Combination with Meropenem, Tigecycline, Fosfomycin, Fusidic Acid, Rifampin or Sulbactam against Extensively Drug-Resistant Acinetobacter baumannii in a Murine Thigh-Infection Model.

    Directory of Open Access Journals (Sweden)

    Bing Fan

    Full Text Available Few effective therapeutic options are available for treating severe infections caused by extensively drug-resistant Acinetobacter baumannii (XDR-AB. Using a murine thigh-infection model, we examined the in vivo efficacy of colistin in combination with meropenem, tigecycline, fosfomycin, fusidic acid, rifampin, or sulbactam against 12 XDR-AB strains. Colistin, tigecycline, rifampin, and sulbactam monotherapy significantly decreased bacterial counts in murine thigh infections compared with those observed in control mice receiving no treatment. Colistin was the most effective agent tested, displaying bactericidal activity against 91.7% of strains at 48 h post-treatment. With strains showing a relatively low minimum inhibitory concentration (MIC for meropenem (MIC ≤ 32 mg/L, combination therapy with colistin plus meropenem caused synergistic inhibition at both 24 h and 48 h post-treatment. However, when the meropenem MIC was ≥64 mg/L, meropenem did not significantly alter the efficacy of colistin. The addition of rifampin and fusidic acid significantly improved the efficacy of colistin, showing a synergistic effect in 100% and 58.3% of strains after 24 h of treatment, respectively, while the addition of tigecycline, fosfomycin, or sulbactam did not show obvious synergistic activity. No clear differences in activities were observed between colistin-rifampin and colistin-fusidic acid combination therapy with most strains. Overall, our in vivo study showed that administering colistin in combination with rifampin or fusidic acid is more efficacious in treating XDR-AB infections than other combinations. The colistin-meropenem combination may be another appropriate option if the MIC is ≤32 mg/L. Further clinical studies are urgently needed to confirm the relevance of these findings.

  20. Inactivation of rifampin by Nocardia brasiliensis.

    OpenAIRE

    Yazawa, K; Mikami, Y; Maeda, A; Akao, M; Morisaki, N; Iwasaki, S

    1993-01-01

    Rifampin was glycosylated by a pathogenic species of Nocardia, i.e., Nocardia brasiliensis. The structures of two glycosylated compounds (RIP-1 and RIP-2) isolated from the culture broth of the bacterium were determined to be 3-formyl-23-(O-[beta-D-glucopyranosyl])rifamycin SV and 23-(O-[beta-D-glucopyranosyl])rifampin, respectively. Both compounds lacked antimicrobial activity against other gram-positive bacteria as well as the Nocardia species.

  1. Rifampin vs. rifapentine: what is the preferred rifamycin for tuberculosis?

    Science.gov (United States)

    Alfarisi, Omamah; Alghamdi, Wael A; Al-Shaer, Mohammad H; Dooley, Kelly E; Peloquin, Charles A

    2017-10-01

    One-third of the world's population is infected with Mycobacterium tuberculosis (M.tb.). Latent tuberculosis infection (LTBI) can progress to tuberculosis disease, the leading cause of death by infection. Rifamycin antibiotics, like rifampin and rifapentine, have unique sterilizing activity against M.tb. What are the advantages of each for LTBI or tuberculosis treatment? Areas covered: We review studies assessing the pharmacokinetics (PK), pharmacodynamics (PD), drug interaction risk, safety, and efficacy of rifampin and rifapentine and provide basis for comparing them. Expert commentary: Rifampin has shorter half-life, higher MIC against M.tb, lower protein binding, and better distribution into cavitary contents than rifapentine. Drug interactions for the two drugs maybe similar in magnitude. For LTBI, rifapentine is effective as convenient, once-weekly, 12-week course of treatment. Rifampin is also effective for LTBI, but must be given daily for four months, therefore, drug interactions are more problematic. For drug-sensitive tuberculosis disease, rifampin remains the standard of care. Safety profile of rifampin is better-described; adverse events differ somewhat for the two drugs. The registered once-weekly rifapentine regimen is inadequate, but higher doses of either drugs may shorten the treatment duration required for effective management of TB. Results of clinical trials evaluating high-dose rifamycin regimens are eagerly awaited.

  2. A randomized, comparative study of dual therapy (doxycycline-rifampin) versus triple therapy (doxycycline-rifampin-levofloxacin) for treating acute/subacute brucellosis.

    Science.gov (United States)

    Hasanain, Ahmad; Mahdy, Reem; Mohamed, Asmaa; Ali, Mostafa

    2016-01-01

    The aim of this study was to compare both the efficacy and safety profile of the WHO-recommended, dual therapy (doxycycline-rifampin) to a quinolone-based, triple therapy (doxycycline-rifampin-levofloxacin) for treating acute/subacute brucellosis. We studied 107 consecutive, naïve patients with acute/subacute brucellosis admitted to Assiut University Hospital. Patients were randomly allocated to receive the dual therapy of doxycycline-rifampin (group-A) or to receive the triple therapy of doxycycline-rifampin-levofloxacin (group-B). Acute/subacute brucellosis was diagnosed based on the presence of: (1) contact with animals or fresh animal products, (2) suggestive clinical manifestations of less than one-year duration, and (3) positive antibody titer (1:160) by standard tube agglutination test. There was no significant difference between the two groups regarding their demographic data. Fever was the most frequent manifestation (96.3%). Epigastric pain was the most frequent adverse effect of treatment (12.1%). Group-A patients had a significantly higher relapse rate compared to group-B patients (22.6% versus 9.3%, p-value=0.01). The rate of treatment adverse effects was higher among group-B patients, although not reaching statistical significance (20.4% versus 11.3%, p-value=0.059). Adding levofloxacin to the dual therapy for acute/subacute brucellosis (doxycycline-rifampin) may increase its efficacy in terms of lowering the relapse rate of the disease. Further, larger scale studies are needed before considering modifying the standard, dual therapy for brucellosis. Copyright © 2016 Elsevier Editora Ltda. All rights reserved.

  3. Stability of rifampin in SyrSpend SF.

    Science.gov (United States)

    Sorenson, Bridget; Whaley, Paul

    2013-01-01

    Rifampin is a bactericidal antibiotic drug of the rifamycin group. It is a semisynthetic drug produced from the bacterium Streptomyces mediterranei. Rifampin is commonly manufactured in capsule, tablet, and syrup dosage solutions containing alcohol or sorbitol. The objective of this study was to determine the stability of rifampin in SyrSpend SF. The studied samples were compounded into 25-mg/mL suspensions and stored in low-actinic bottles at room temperature and refrigerated conditions. Samples were assayed at each time point out to 60 days by a stability-indicating high-performance liquid chromatography method. The method was validated for its specificity through forced-degradation studies. The sample remained within 90% to 110% of the initial concentration throughout the course of the study. Based on data collected, the beyond-use date of the preparation is at least 60 days when refrigerated or stored at room temperature and protected from light.

  4. Direct Application of the INNO-LiPA Rif.TB Line-Probe Assay for Rapid Identification of Mycobacterium tuberculosis Complex Strains and Detection of Rifampin Resistance in 360 Smear-Positive Respiratory Specimens from an Area of High Incidence of Multidrug-Resistant Tuberculosis

    Science.gov (United States)

    Viveiros, Miguel; Leandro, Clara; Rodrigues, Liliana; Almeida, Josefina; Bettencourt, Rosário; Couto, Isabel; Carrilho, Lurdes; Diogo, José; Fonseca, Ana; Lito, Luís; Lopes, João; Pacheco, Teresa; Pessanha, Mariana; Quirim, Judite; Sancho, Luísa; Salfinger, Max; Amaral, Leonard

    2005-01-01

    The INNO-LiPA Rif.TB assay for the identification of Mycobacterium tuberculosis complex strains and the detection of rifampin (RIF) resistance has been evaluated with 360 smear-positive respiratory specimens from an area of high incidence of multidrug-resistant tuberculosis (MDR-TB). The sensitivity when compared to conventional identification/culture methods was 82.2%, and the specificity was 66.7%; the sensitivity and specificity were 100.0% and 96.9%, respectively, for the detection of RIF resistance. This assay has the potential to provide rapid information that is essential for the effective management of MDR-TB. PMID:16145166

  5. Efficacy of Linezolid plus Rifampin in an Experimental Model of Methicillin-Susceptible Staphylococcus aureus Endocarditis

    OpenAIRE

    Dailey, Charlene F.; Pagano, Paul J.; Buchanan, Lewis V.; Paquette, Jennifer A.; Haas, Joseph V.; Gibson, John K.

    2003-01-01

    The efficacy of linezolid, alone or in combination with rifampin, against methicillin-susceptible Staphylococcus aureus in rabbits with experimental endocarditis was investigated. Linezolid (50 or 75 mg/kg of body weight), rifampin, and linezolid (25, 50, or 75 mg/kg) plus rifampin produced statistically significant reductions in bacterial counts compared with those in untreated controls. Plasma or valvular vegetation levels of linezolid in the groups treated with the linezolid-rifampin combi...

  6. Drug-resistant tuberculosis among HIV-infected patients starting antiretroviral therapy in Durban, South Africa.

    Directory of Open Access Journals (Sweden)

    Jeffrey K Hom

    Full Text Available To estimate the prevalence of drug-resistant tuberculosis (TB and describe the resistance patterns in patients commencing antiretroviral therapy (ART in an HIV clinic in Durban, South Africa.Cross-sectional cohort study.Consecutive HIV-infected adults (≥ 18y/o initiating HIV care were enrolled from May 2007-May 2008, regardless of signs or symptoms of active TB. Prior TB history and current TB treatment status were self-reported. Subjects expectorated sputum for culture (MGIT liquid and 7H11 solid medium. Positive cultures were tested for susceptibility to first- and second-line anti-tuberculous drugs. The prevalence of drug-resistant TB, stratified by prior TB history and current TB treatment status, was assessed.1,035 subjects had complete culture results. Median CD4 count was 92/µl (IQR 42-150/µl. 267 subjects (26% reported a prior history of TB and 210 (20% were receiving TB treatment at enrollment; 191 (18% subjects had positive sputum cultures, among whom the estimated prevalence of resistance to any antituberculous drug was 7.4% (95% CI 4.0-12.4. Among those with prior TB, the prevalence of resistance was 15.4% (95% CI 5.9-30.5 compared to 5.2% (95% CI 2.1-8.9 among those with no prior TB. 5.1% (95% CI 2.4-9.5 had rifampin or rifampin plus INH resistance.The prevalence of TB resistance to at least one drug was 7.4% among adults with positive TB cultures initiating ART in Durban, South Africa, with 5.1% having rifampin or rifampin plus INH resistance. Improved tools for diagnosing TB and drug resistance are urgently needed in areas of high HIV/TB prevalence.

  7. Antimicrobial resistance determinant microarray for analysis of multi-drug resistant isolates

    Science.gov (United States)

    Taitt, Chris Rowe; Leski, Tomasz; Stenger, David; Vora, Gary J.; House, Brent; Nicklasson, Matilda; Pimentel, Guillermo; Zurawski, Daniel V.; Kirkup, Benjamin C.; Craft, David; Waterman, Paige E.; Lesho, Emil P.; Bangurae, Umaru; Ansumana, Rashid

    2012-06-01

    The prevalence of multidrug-resistant infections in personnel wounded in Iraq and Afghanistan has made it challenging for physicians to choose effective therapeutics in a timely fashion. To address the challenge of identifying the potential for drug resistance, we have developed the Antimicrobial Resistance Determinant Microarray (ARDM) to provide DNAbased analysis for over 250 resistance genes covering 12 classes of antibiotics. Over 70 drug-resistant bacteria from different geographic regions have been analyzed on ARDM, with significant differences in patterns of resistance identified: genes for resistance to sulfonamides, trimethoprim, chloramphenicol, rifampin, and macrolide-lincosamidesulfonamide drugs were more frequently identified in isolates from sources in Iraq/Afghanistan. Of particular concern was the presence of genes responsible for resistance to many of the last-resort antibiotics used to treat war traumaassociated infections.

  8. Comparison between Efficacy of Ciprofioxacin -Doxycycline with Rifampin – Doxycycline Regimens inrelapse of Brucellosis

    Directory of Open Access Journals (Sweden)

    Hossein Sarmadian

    2014-08-01

    Full Text Available Background: Brucellosis is one of the endemic diseases in Iran that has a worldwide spread and is associated with chronic disabilities in humans. Combination therapy of Brucellosis leads to recovery of symptoms, shortening of the symptomatic intervals, and decrease in the rate of relapse and drug resistance. Considering the use of rifampin in the treatment of tuberculosis, and the necessity for an alternative treatment in regions endemic for both tuberculosis and brucellosis, the aim ofthis study was to compare the efficiency of the regimen of rifampin-Doxycycline with ciprofloxacin-Doxycycline in relapse of brucellosis. Materials and methods: This randomized controlled trial was performed on 90 patients, older than 17 years old, affected with brucellosis, which were referred to the Infectious Disease Clinics at ArakUniversity of medical sciences between the years 1384-1387. The patients were randomly divided into two groups: the DR groups, receiving 100 mg of Doxycycline twice a day and 300 mg of rifampin Bid daily for eight weeks and the CD group, receiving 100 mg of Doxycycline plus 500 mg of ciprofloxacin twice a day for eight weeks. The patients were analyzed for the relief of symptoms, drug side effects, and laboratory findings during the treatment. Results:In this study, the rate of relapse in both groups were similar. The relapse was seen in 4.5% and 3.2% of the patients for the DR and CD groups, respectively (P=0.168. The drug side effects were slight in both of groups, with no significant difference, and did not lead to discontinuation of the therapy. Conclusion: According to the same rate of relapse in both CD and DR regimens in the treatment of brucellosis and considering the usage of rifampin in regions with high prevalence of tuberclusis, the CD regimen is recommended as an appropriate one.

  9. Influence of Rifampin Therapy on Serum Bactericidal Activity in the Presence of Cloxacillin and Vancomycin

    Directory of Open Access Journals (Sweden)

    Andrew MR Mackenzie

    1990-01-01

    Full Text Available In this study the effect of rifampin on serum inhibitory and serum bactericidal titres was examined. Sera were prepared from pooled human serum to contain vancomycin (10 mg/L, cloxacillin (5 mg/L or rifampin (1 mg/L, and the combinations cloxacillin/rifampin and vancomycin/rifampin. These five sera were tested by a microtitre method for serum inhibitory power and serum bactericidal titre against 11 strains of Staphylococcus aureus. A 48 h incubation period was required to detect full colony growth for subculture plates. It was found with all strains that the effect of the addition of rifampin to the other two antibiotics was to increase the serum inhibitory power, lower the serum bactericidal titre, increase the inhibitory/cidal ratio, and slow colony growth on subculture. In the clinical part of the study it was shown that only three of 38 sera (8% from patients receiving betalactam or vanomycin but not rifampin gave an inhibitory/cidal ratio greater than 8, but that nine of 10 sera (90% from patients receiving rifampin in addition to betalactam or vancomycin gave a ratio greater than 8 (P<0.001. The study verified that the effect of rifampin in serum was to increase inhibitory power and decrease bactericidal titre. The clinical significance of these results is not known and it is suggested that a high ratio of inhibitory to bactericidal titre in the presence of rifampin is to be expected, and that a low bactericidal titre under these circumstances is not necessarily an indication to modify therapy.

  10. In vitro activities of amphotericin-b in combination with rifampin against

    International Nuclear Information System (INIS)

    Zarrin, M.; Najafi, M.R.; Najafi, M.R.

    2007-01-01

    The main goal of study was finding the synergism effect of amphotricin B (AMB) and rifampin (RIF) on 3 species of Aspergillus. Activities of amphotericin B in combination with rifampin were tested in buffered yeast-nitrogen base using checkerboard method. Plates were inoculated with 20 micro liter spores suspensions of each organism and incubated at 30 degree C for 24h. For this method, the MICs were defined as the lowest antimicrobial concentration inhibiting visible fungal growth on the plates. Minimal fungicidal concentration was defined as the first tube showing no growth on the plate.The MIC of amphotericin B for 100% of isolates of A. fumigatus and A. flavus were inhibited by 4mg/lit amphotericin B. 100% of isolates of A. niger were inhibited by 8mg/lit amphotericin B. When amphotericin B was combined with rifampin, amphotericin B MICs decreased to 2, 1 and 4 mg/lit in A. fumigatus, A. flavus rephrase and A. niger respectively. The results indicate that combination of amphotreicin B and rifampin was synergistic on A. fumigatus, A. flavus and A. niger. (author)

  11. Transdermal delivery of isoniazid and rifampin in guinea pigs by electro-phonophoresis.

    Science.gov (United States)

    Chen, Suting; Han, Yi; Yu, Daping; Huo, Fengmin; Wang, Fen; Li, Yunxu; Dong, Lingling; Liu, Zhidong; Huang, Hairong

    2017-11-01

    Electro-phonophoresis (EP) has been used as a drug delivery approach in clinical fields. The objective of the present study is to evaluate the skin permeability of isoniazid and rifampin in guinea pigs by EP to provide reference basis for clinical applications of such transdermal delivery system in the treatment of patients with superficial tuberculosis. Isoniazid and rifampin solutions were delivered transdermally with or without EP in health guinea pigs for 0.5 h. Local skin and blood samples were collected serially at 0, 1/2, 1, 2, 4, 6 and 24 h after dosing. Drug concentrations in local skin and blood were evaluated by high-performance liquid chromatography. Isoniazid concentrations in local skin of guinea pigs receiving isoniazid through EP transdermal delivery were significantly higher than in animals receiving only isoniazid with transdermal patch. However, for rifampin, patches alone group presented almost uniform concentration versus time curve with that of EP group, and both groups had concentrations much higher than the therapeutic concentration of the drug over sustainable time. After EP transdermal delivery, the mean peak concentrations of isoniazid and rifampin in skin were 771.0 ± 163.4 μg/mL and 81.2 ± 17.3 μg/mL respectively. Neither isoniazid nor rifampin concentration in blood could be detected (below the lower detection limit of 1 μg/mL) at any time point. The present study showed that application of EP significantly enhanced INH penetration through skin in guinea pigs, while RIF patch alone obtained therapeutic concentration in local skin. Our work suggests several possible medication approaches for efficient treatment of superficial tuberculosis.

  12. Efficacy of three-week oxytetracycline or rifampin monotherapy compared with a combination regimen against the filarial nematode Onchocerca ochengi.

    Science.gov (United States)

    Bah, Germanus S; Ward, Emma L; Srivastava, Abhishek; Trees, Alexander J; Tanya, Vincent N; Makepeace, Benjamin L

    2014-01-01

    Onchocerciasis (river blindness), caused by the filarial nematode Onchocerca volvulus, is a major cause of visual impairment and dermatitis in sub-Saharan Africa. As O. volvulus contains an obligatory bacterial symbiont (Wolbachia), it is susceptible to antibiotic chemotherapy, although current regimens are considered too prolonged for community-level control programs. The aim of this study was to compare the efficacies of oxytetracycline and rifampin, administered separately or in combination, against a close relative of O. volvulus (Onchocerca ochengi) in cattle. Six animals per group were treated with continuous or intermittent oxytetracycline regimens, and effects on adult worm viability, dermal microfilarial loads, and Wolbachia density in worm tissues were assessed. Subsequently, the efficacies of 3-week regimens of oxytetracycline and rifampin alone and a combination regimen were compared, and rifampin levels in plasma and skin were quantified. A 6-month regimen of oxytetracycline with monthly dosing was strongly adulticidal, while 3-week and 6-week regimens exhibited weaker adulticidal effects. However, all three regimens achieved >2-log reductions in microfilarial load. In contrast, rifampin monotherapy and oxytetracycline-rifampin duotherapy failed to induce substantive reductions in either adult worm burden or microfilarial load, although a borderline effect on Wolbachia density was observed following duotherapy. Dermal rifampin levels were maintained above the MIC for >24 h after a single intravenous dose. We conclude that oxytetracycline-rifampin duotherapy is less efficacious against O. ochengi than oxytetracycline alone. Further studies will be required to determine whether rifampin reduces oxytetracycline bioavailability in this system, as suggested by human studies using other tetracycline-rifampin combinations.

  13. Pharmacokinetics of rifampin in Peruvian tuberculosis patients with and without comorbid diabetes or HIV.

    Science.gov (United States)

    Requena-Méndez, Ana; Davies, Geraint; Ardrey, Alison; Jave, Oswaldo; López-Romero, Sonia L; Ward, Stephen A; Moore, David A J

    2012-05-01

    For drug-compliant patients, poor responses to tuberculosis (TB) treatment might be attributable to subtherapeutic drug concentrations. An impaired absorption of rifampin was previously reported for patients with diabetes mellitus (DM) or HIV. The objectives of this study were to determine whether TB drug pharmacokinetics differed in Peruvian TB patients with DM or HIV. In this cross-sectional study, TB patients, recruited from health centers in Lima, Peru, had blood samples taken at 2 and 6 h after directly observed TB drug ingestion, to determine plasma concentrations of rifampin. Of 105 patients, 50 had TB without a comorbidity, 26 had coexistent DM, and 29 had coexistent HIV. Unexpectedly, the overall median 2- and 6-h levels of rifampin were 1.6 and 3.2 mg/liter, respectively, and the time to the peak concentration was 6 h (slow absorber) instead of 2 h (fast absorber) for 61 patients (62.2%). The geometric mean peak concentration of drug in serum (C(max)) was significantly higher in fast absorbers than in slow absorbers (5.0 versus 3.8 mg/liter; P = 0.05). The rifampin C(max) was significantly lower in male patients than in female patients (3.3 versus 6.3 mg/liter; P < 0.001). Neither slow nor fast absorbers with comorbidities (DM or HIV) had significantly different C(max) results compared to those of TB patients without comorbidities. An analysis of variance regression analysis showed that female gender (P < 0.001) and the time to maximum concentration of drug in serum (T(max)) at 2 h (P = 0.012) were independently correlated with increased exposure to rifampin. Most of this Peruvian study population exhibited rifampin pharmacokinetics different from those conventionally reported, with delayed absorption and low plasma concentrations, independent of the presence of an HIV or DM comorbidity.

  14. Rifampin Resistance rpoB Alleles or Multicopy Thioredoxin/Thioredoxin Reductase Suppresses the Lethality of Disruption of the Global Stress Regulator spx in Staphylococcus aureus

    DEFF Research Database (Denmark)

    Villanueva, Maite; Jousselin, Ambre; Baek, Kristoffer T

    2016-01-01

    is a thiol/oxidative stress sensor that interacts with the C-terminal domain of the RNA polymerase RpoA subunit, leading to changes in gene expression that help sustain viability under various conditions. Using genetic and deep-sequencing methods, we show that spx is essential in S. aureus...... discovered that Spx, an RNA polymerase-interacting stress regulator implicated in many stress responses in S. aureus, including responses to oxidative and cell wall antibiotics, is essential. We describe two mechanisms that suppress the lethality of spx disruption. One mechanism highlights how only certain...... rifampin resistance-encoding alleles of RpoB confer new properties on RNA polymerase, with important mechanistic implications. We describe additional stress conditions where the loss of spx is deleterious, thereby highlighting Spx as a multifaceted regulator and attractive drug discovery target....

  15. Moxifloxacin plus rifampin as an alternative for levofloxacin plus rifampin in the treatment of a prosthetic joint infection with staphylococcus aureus

    NARCIS (Netherlands)

    Wouthuyzen-Bakker, Marjan; Tornero, Eduard; Morata, Laura; Panday, Prashant V Nannan; Jutte, Paul C; Bori, Guillem; Kampinga, Greetje A; Soriano, Alex

    OBJECTIVES: The combination of a fluorquinolone with rifampin is one of the cornerstones in the treatment of a prosthetic joint infection (PJI) caused by staphylococci. Moxifloxacin is highly active against methicillin susceptible S. aureus (MSSA), and therefore, an attractive agent to use. However,

  16. Pharmacokinetics and tolerability of a higher rifampin dose versus the standard dose in pulmonary tuberculosis patients.

    NARCIS (Netherlands)

    Ruslami, R.; Nijland, H.M.J.; Alisjahbana, B.; Parwati, I.; Crevel, R. van; Aarnoutse, R.E.

    2007-01-01

    Rifampin is a key drug for tuberculosis (TB) treatment. The available data suggest that the currently applied 10-mg/kg of body weight dose of rifampin may be too low and that increasing the dose may shorten the treatment duration. A double-blind randomized phase II clinical trial was performed to

  17. Rifampin modulation of xeno- and endobiotic conjugating enzyme mRNA expression and associated microRNAs in human hepatocytes.

    Science.gov (United States)

    Gufford, Brandon T; Robarge, Jason D; Eadon, Michael T; Gao, Hongyu; Lin, Hai; Liu, Yunlong; Desta, Zeruesenay; Skaar, Todd C

    2018-04-01

    Rifampin is a pleiotropic inducer of multiple drug metabolizing enzymes and transporters. This work utilized a global approach to evaluate rifampin effects on conjugating enzyme gene expression with relevance to human xeno- and endo-biotic metabolism. Primary human hepatocytes from 7 subjects were treated with rifampin (10 μmol/L, 24 hours). Standard methods for RNA-seq library construction, EZBead preparation, and NextGen sequencing were used to measure UDP-glucuronosyl transferase UGT, sulfonyltransferase SULT, N acetyltransferase NAT, and glutathione-S-transferase GST mRNA expression compared to vehicle control (0.01% MeOH). Rifampin-induced (>1.25-fold) mRNA expression of 13 clinically important phase II drug metabolizing genes and repressed (>1.25-fold) the expression of 3 genes ( P  accounting for simultaneous induction of both CYP3A4 and UGT1A4 predicted a ~10-fold decrease in parent midazolam exposure with only a ~2-fold decrease in midazolam N-glucuronide metabolite exposure. These data reveal differential effects of rifampin on the human conjugating enzyme transcriptome and potential associations with miRNAs that form the basis for future mechanistic studies to elucidate the interplay of conjugating enzyme regulatory elements.

  18. Rifampin pharmacokinetics in children, with and without human immunodeficiency virus infection, hospitalized for the management of severe forms of tuberculosis

    Directory of Open Access Journals (Sweden)

    McIlleron Helen

    2009-04-01

    Full Text Available Abstract Background Rifampin is a key drug in antituberculosis chemotherapy because it rapidly kills the majority of bacilli in tuberculosis lesions, prevents relapse and thus enables 6-month short-course chemotherapy. Little is known about the pharmacokinetics of rifampin in children. The objective of this study was to evaluate the pharmacokinetics of rifampin in children with tuberculosis, both human immunodeficiency virus type-1-infected and human immunodeficiency virus-uninfected. Methods Fifty-four children, 21 human immunodeficiency virus-infected and 33 human immunodeficiency virus-uninfected, mean ages 3.73 and 4.05 years (P = 0.68, respectively, admitted to a tuberculosis hospital in Cape Town, South Africa with severe forms of tuberculosis were studied approximately 1 month and 4 months after commencing antituberculosis treatment. Blood specimens for analysis were drawn in the morning, 45 minutes, 1.5, 3.0, 4.0 and 6.0 hours after dosing. Rifampin concentrations were determined by liquid chromatography tandem mass spectrometry. For two sample comparisons of means, the Welch version of the t-test was used; associations between variables were examined by Pearson correlation and by multiple linear regression. Results The children received a mean rifampin dosage of 9.61 mg/kg (6.47 to 15.58 body weight at 1 month and 9.63 mg/kg (4.63 to 17.8 at 4 months after commencing treatment administered as part of a fixed-dose formulation designed for paediatric use. The mean rifampin area under the curve 0 to 6 hours after dosing was 14.9 and 18.1 μg/hour/ml (P = 0.25 1 month after starting treatment in human immunodeficiency virus-infected and human immunodeficiency virus-uninfected children, respectively, and 16.52 and 17.94 μg/hour/ml (P = 0.59 after 4 months of treatment. The mean calculated 2-hour rifampin concentrations in these human immunodeficiency virus-infected and human immunodeficiency virus-uninfected children were 3.9 and 4.8

  19. Estudio de resistencia a la rifampicina y la dapsona en tres pacientes con recurrencia de lepra Study of rifampin and dapsone resistance in three patients with recurring leprosy

    Directory of Open Access Journals (Sweden)

    Elkin Hernández

    2008-02-01

    Full Text Available OBJETIVO: Detectar la presencia de cepas de Mycobacterium leprae resistentes a la rifampicina y la dapsona en tres pacientes con recurrencia de lepra y sospecha clínica de resistencia antimicrobiana, mediante la aplicación de técnicas moleculares. MÉTODOS: Se realizó un estudio descriptivo retrospectivo en tres pacientes multibacilares del Sanatorio de Agua de Dios, Cundinamarca, Colombia, que habían presentado recidivas de lepra documentadas por su historia clínica, baciloscopia y biopsia. Se obtuvieron biopsias de lesiones cutáneas que se procesaron para la extracción y purificación del ADN bacilar. Se amplificaron regiones de los genes rpoB y folP1 asociadas con la resistencia antimicrobiana, mediante la reacción en cadena de la polimerasa "touch-down" y se secuenciaron los productos amplificados mediante el método de Sanger. RESULTADOS: Se detectó una mutación puntual en el nucleótido 1367 del gen rpoB en dos de las muestras estudiadas. No se encontró la mutación estudiada en el gen folP1 en ninguno de los tres pacientes. CONCLUSIONES: La mutación identificada demostró la presencia de bacilos de M. leprae resistentes a la rifampicina en dos de los tres pacientes estudiados con recurrencia de la enfermedad. No se detectó la mutación indicadora de resistencia a la dapsona en ninguno de los tres pacientes.OBJECTIVE: To detect the presence of rifampin- and dapsone-resistant strains of Mycobacterium leprae in three patients with recurring leprosy and clinically-suspected antimicrobial resistance through molecular techniques. METHODS: A retrospective, descriptive study was conducted of three multibacillary patients at the "Agua de Dios" Sanitarium in Cundinamarca, Colombia, that presented leprosy relapses that were documented by medical history, bacilloscopy, and biopsy. Biopsies were taken of the skin lesions and the bacteria were subject to DNA extraction and purification. Regions of the rpoB and folP1 genes associated with

  20. Activity of Daptomycin or Linezolid in Combination with Rifampin or Gentamicin against Biofilm-Forming Enterococcus faecalis or E. faecium in an In Vitro Pharmacodynamic Model Using Simulated Endocardial Vegetations and an In Vivo Survival Assay Using Galleria mellonella Larvae

    Science.gov (United States)

    Luther, Megan K.; Arvanitis, Marios; Mylonakis, Eleftherios

    2014-01-01

    Enterococci are the third most frequent cause of infective endocarditis. A high-inoculum stationary-phase in vitro pharmacodynamic model with simulated endocardial vegetations was used to simulate the human pharmacokinetics of daptomycin at 6 or 10 mg/kg of body weight/day or linezolid at 600 mg every 12 h (q12h), alone or in combination with gentamicin at 1.3 mg/kg q12h or rifampin at 300 mg q8h or 900 mg q24h. Biofilm-forming, vancomycin-susceptible Enterococcus faecalis and vancomycin-resistant Enterococcus faecium (vancomycin-resistant enterococcus [VRE]) strains were tested. At 24, 48, and 72 h, all daptomycin-containing regimens demonstrated significantly more activity (decline in CFU/g) than any linezolid-containing regimen against biofilm-forming E. faecalis. The addition of gentamicin to daptomycin (at 6 or 10 mg/kg) in the first 24 h significantly improved bactericidal activity. In contrast, the addition of rifampin delayed the bactericidal activity of daptomycin against E. faecalis, and the addition of rifampin antagonized the activities of all regimens against VRE at 24 h. Also, against VRE, the addition of gentamicin to linezolid at 72 h improved activity and was bactericidal. Rifampin significantly antagonized the activity of linezolid against VRE at 72 h. In in vivo Galleria mellonella survival assays, linezolid and daptomycin improved survival. Daptomycin at 10 mg/kg improved survival significantly over that with linezolid against E. faecalis. The addition of gentamicin improved the efficacy of daptomycin against E. faecalis and those of linezolid and daptomycin against VRE. We conclude that in enterococcal infection models, daptomycin has more activity than linezolid alone. Against biofilm-forming E. faecalis, the addition of gentamicin in the first 24 h causes the most rapid decline in CFU/g. Of interest, the addition of rifampin decreased the activity of daptomycin against both E. faecalis and VRE. PMID:24867993

  1. Investigation and Treatment of Fusidic Acid Resistance Among Methicillin-Resistant Staphylococcal Isolates from Egypt.

    Science.gov (United States)

    Abouelfetouh, Alaa; Kassem, Mervat; Naguib, Marwa; El-Nakeeb, Moustafa

    2017-01-01

    Methicillin resistance among staphylococci isolated from patients in northern Egypt has escalated alarmingly in the past decade. Data about the prevalence of fusidic acid (FA) resistance in Egyptian clinical isolates are limited. This work investigates the prevalence and mechanism of FA resistance among 81 methicillin-resistant staphylococcal isolates from major hospitals of Alexandria, Egypt. Some combinations for treating infections due to resistant isolates were studied. Twenty-six isolates (32.1%) were FA resistant (minimum inhibitory concentrations [MICs] = 2-1,024 μg/ml), and fusB and fusC genes coding for FA resistance were detected in 30.77% and 34.62% of the FA-resistant strains, respectively. One highly resistant isolate, S502 (MIC = 1,024 μg/ml), possessed both genes. Plasmid curing resulted in fusB loss and MIC decrease by 16-64 folds. Conjugation caused acquisition of FA resistance among susceptible isolates. Serial passages in subinhibitory FA concentrations produced mutants with increased MIC by 4-32 folds. The combination of FA with rifampin, gentamicin, or ampicillin/sulbactam, in a subinhibitory concentration, was synergistic against the isolates, including serial passage mutants, decreasing number of survivors by an average of 2-4 logs. A relatively moderate rate of FA resistance was detected in Alexandria hospitals. Combination therapy with gentamicin, rifampin, or ampicillin/sulbactam is crucial to preserve the effectiveness of FA.

  2. Antibiotic Resistance Pattern of Staphylococcus aureus Strains Isolated from Personnel of Jahrom Hospitals in 2012

    Directory of Open Access Journals (Sweden)

    S Saadat

    2014-01-01

    Undo edits Methods: In this cross - sectional study, 397 of the anterior nasal samples of medical personnel and hospital services were collected by swab. The identification of S.aureus was determined by biochemical tests and microbiology, and the antibiotic resistances of isolates were determined by disk diffusion method for 13 antibiotics. In this method, the inhibition zone for methicillin-resistant strains was ≤ 10 mm the minimum inhibitory concentrations (MIC against antibiotic vancomycin, ticoplanin, linezolid and synercid were determined by E-test method. Results: In the present study, 11.3% of personals carried S. aureus in the nose. Among them, 90% were health care workers and 10% were health service workers. The most sensitivity was observed resistance to Ciprofloxacin, rifampin, linezolid and synercid (91.1%, but the lowest sensitivity was to penicillin (4.7%. of 9 MRSA strains, 1 strain was resistance to vancomycin and 2 strains were resistant to teicoplanin and linezolid. Conclusion: Because of S. aureus strains isolated from hospital staffs were resistant to most common antibiotics, identification and treatment of health care and health service workers can prevent nosocomial infections. Key words: Staphylococcu aureus carriers, hospital personnel, antibiotic resistance.

  3. Activation of Antibiotic Production in Bacillus spp. by Cumulative Drug Resistance Mutations.

    Science.gov (United States)

    Tojo, Shigeo; Tanaka, Yukinori; Ochi, Kozo

    2015-12-01

    Bacillus subtilis strains produce a wide range of antibiotics, including ribosomal and nonribosomal peptide antibiotics, as well as bacilysocin and neotrehalosadiamine. Mutations in B. subtilis strain 168 that conferred resistance to drugs such as streptomycin and rifampin resulted in overproduction of the dipeptide antibiotic bacilysin. Cumulative drug resistance mutations, such as mutations in the mthA and rpsL genes, which confer low- and high-level resistance, respectively, to streptomycin, and mutations in rpoB, which confer resistance to rifampin, resulted in cells that overproduced bacilysin. Transcriptional analysis demonstrated that the enhanced transcription of biosynthesis genes was responsible for the overproduction of bacilysin. This approach was effective also in activating the cryptic genes of Bacillus amyloliquefaciens, leading to actual production of antibiotic(s). Copyright © 2015, American Society for Microbiology. All Rights Reserved.

  4. Urine colorimetry to detect Low rifampin exposure during tuberculosis therapy: a proof-of-concept study.

    Science.gov (United States)

    Zentner, Isaac; Schlecht, Hans P; Khensouvann, Lorna; Tamuhla, Neo; Kutzler, Michele; Ivaturi, Vijay; Pasipanodya, Jotam G; Gumbo, Tawanda; Peloquin, Charles A; Bisson, Gregory P; Vinnard, Christopher

    2016-06-01

    The cost and complexity of current approaches to therapeutic drug monitoring during tuberculosis (TB) therapy limits widespread use in areas of greatest need. We sought to determine whether urine colorimetry could have a novel application as a form of therapeutic drug monitoring during anti-TB therapy. Among healthy volunteers, we evaluated 3 dose sizes of rifampin (150 mg, 300 mg, and 600 mg), performed intensive pharmacokinetic sampling, and collected a timed urine void at 4 h post-dosing. The absorbance peak at 475 nm was measured after rifamycin extraction. The optimal cutoff was evaluated in a study of 39 HIV/TB patients undergoing TB treatment in Botswana. In the derivation study, a urine colorimetric assay value of 4.0 × 10(-2) Abs, using a timed void 4 h after dosing, demonstrated a sensitivity of 92 % and specificity of 60 % to detect a peak rifampin concentration (Cmax) under 8 mg/L, with an area under the ROC curve of 0.92. In the validation study, this cutoff was specific (100 %) but insensitive (28 %). We observed similar test characteristics for a target Cmax target of 6.6 mg/L, and a target area under the drug concentration-versus-time curve (AUC0-8) target of 24.1 mg•hour/L. The urine colorimetric assay was specific but insensitive to detect low rifampin serum concentrations among HIV/TB patients. In future work we will attempt to optimize sampling times and assay performance, with the goal of delivering a method that can translate into a point-of-care assessment of rifampin exposure during anti-TB therapy.

  5. Radiometric macrophage culture assay for rapid evaluation of antileprosy activity of rifampin

    Energy Technology Data Exchange (ETDEWEB)

    Mittal, A.; Seshadri, P.S.; Prasad, H.K.; Sathish, M.; Nath, I.

    1983-10-01

    The antileprosy effect of rifampin was evaluated by a newly developed rapid in vitro assay wherein 31 human-derived strains and 1 armadillo-derived strain of Mycobacterium leprae were maintained for 2 and 3 weeks, respectively, in murine and human macrophages in the presence of (3H)thymidine. Of these strains, 27 showed significant incorporation of the radiolabel in cultures of live bacilli as compared with control cultures of heat-killed bacilli of the same strain. Consistent and significant inhibition of (3H)thymidine uptake was observed in M. leprae resident cultures with 3 to 200 ng of rifampin per ml as compared with similar cultures without the drug. In general, an increase in percent inhibition was seen from 3 to 20 ng/ml, with marginal increases at 40, 50, and 100 ng/ml. M. leprae strains appear to be remarkably susceptible to this drug in the in vitro assay.

  6. Radiometric macrophage culture assay for rapid evaluation of antileprosy activity of rifampin

    International Nuclear Information System (INIS)

    Mittal, A.; Seshadri, P.S.; Prasad, H.K.; Sathish, M.; Nath, I.

    1983-01-01

    The antileprosy effect of rifampin was evaluated by a newly developed rapid in vitro assay wherein 31 human-derived strains and 1 armadillo-derived strain of Mycobacterium leprae were maintained for 2 and 3 weeks, respectively, in murine and human macrophages in the presence of [3H]thymidine. Of these strains, 27 showed significant incorporation of the radiolabel in cultures of live bacilli as compared with control cultures of heat-killed bacilli of the same strain. Consistent and significant inhibition of [3H]thymidine uptake was observed in M. leprae resident cultures with 3 to 200 ng of rifampin per ml as compared with similar cultures without the drug. In general, an increase in percent inhibition was seen from 3 to 20 ng/ml, with marginal increases at 40, 50, and 100 ng/ml. M. leprae strains appear to be remarkably susceptible to this drug in the in vitro assay

  7. Activity of daptomycin alone and in combination with rifampin and gentamicin against Staphylococcus aureus assessed by time-kill methodology.

    Science.gov (United States)

    Credito, Kim; Lin, Gengrong; Appelbaum, Peter C

    2007-04-01

    The synergistic effects of daptomycin plus gentamicin or rifampin were tested against 50 Staphylococcus aureus strains, with daptomycin MICs ranging between 0.25 and 8 microg/ml. Daptomycin sub-MICs combined with gentamicin concentrations lower than the MIC yielded synergy in 34 (68%) of the 50 strains. Daptomycin combined with rifampin yielded synergy in one vancomycin-intermediate S. aureus strain only, and virtually all synergy occurred between daptomycin and gentamicin.

  8. Pharmacokinetics of Rifampin and Clarithromycin in Patients Treated for Mycobacterium ulcerans Infection

    NARCIS (Netherlands)

    Alffenaar, J. W. C.; Nienhuis, W. A.; de Velde, F.; Zuur, A. T.; Wessels, A. M. A.; Almeida, D.; Grosset, J.; Adjei, O.; Uges, D. R. A.; van der Werf, T. S.

    In a randomized controlled trial in Ghana, treatment of Mycobacterium ulcerans infection with streptomycin (SM)-rifampin (RIF) for 8 weeks was compared with treatment with SM-RIF for 4 weeks followed by treatment with RIF-clarithromycin (CLA) for 4 weeks. The extent of the interaction of RIF and CLA

  9. Vancomycin-Rifampin Combination Therapy Has Enhanced Efficacy against an Experimental Staphylococcus aureus Prosthetic Joint Infection

    Science.gov (United States)

    Niska, Jared A.; Shahbazian, Jonathan H.; Ramos, Romela Irene; Francis, Kevin P.; Bernthal, Nicholas M.

    2013-01-01

    Treatment of prosthetic joint infections often involves a two-stage exchange, with implant removal and antibiotic spacer placement followed by systemic antibiotic therapy and delayed reimplantation. However, if antibiotic therapy can be improved, one-stage exchange or implant retention may be more feasible, thereby decreasing morbidity and preserving function. In this study, a mouse model of prosthetic joint infection was used in which Staphylococcus aureus was inoculated into a knee joint containing a surgically placed metallic implant extending from the femur. This model was used to evaluate whether combination therapy of vancomycin plus rifampin has increased efficacy compared with vancomycin alone against these infections. On postoperative day 7, vancomycin with or without rifampin was administered for 6 weeks with implant retention. In vivo bioluminescence imaging, ex vivo CFU enumeration, X-ray imaging, and histologic analysis were carried out. We found that there was a marked therapeutic benefit when vancomycin was combined with rifampin compared with vancomycin alone. Taken together, our results suggest that the mouse model used could serve as a valuable in vivo preclinical model system to evaluate and compare efficacies of antibiotics and combinatory therapy for prosthetic joint infections before more extensive studies are carried out in human subjects. PMID:23917317

  10. The New Xpert MTB/RIF Ultra: Improving Detection of Mycobacterium tuberculosis and Resistance to Rifampin in an Assay Suitable for Point-of-Care Testing.

    Science.gov (United States)

    Chakravorty, Soumitesh; Simmons, Ann Marie; Rowneki, Mazhgan; Parmar, Heta; Cao, Yuan; Ryan, Jamie; Banada, Padmapriya P; Deshpande, Srinidhi; Shenai, Shubhada; Gall, Alexander; Glass, Jennifer; Krieswirth, Barry; Schumacher, Samuel G; Nabeta, Pamela; Tukvadze, Nestani; Rodrigues, Camilla; Skrahina, Alena; Tagliani, Elisa; Cirillo, Daniela M; Davidow, Amy; Denkinger, Claudia M; Persing, David; Kwiatkowski, Robert; Jones, Martin; Alland, David

    2017-08-29

    The Xpert MTB/RIF assay (Xpert) is a rapid test for tuberculosis (TB) and rifampin resistance (RIF-R) suitable for point-of-care testing. However, it has decreased sensitivity in smear-negative sputum, and false identification of RIF-R occasionally occurs. We developed the Xpert MTB/RIF Ultra assay (Ultra) to improve performance. Ultra and Xpert limits of detection (LOD), dynamic ranges, and RIF-R rpoB mutation detection were tested on Mycobacterium tuberculosis DNA or sputum samples spiked with known numbers of M. tuberculosis H37Rv or Mycobacterium bovis BCG CFU. Frozen and prospectively collected clinical samples from patients suspected of having TB, with and without culture-confirmed TB, were also tested. For M. tuberculosis H37Rv, the LOD was 15.6 CFU/ml of sputum for Ultra versus 112.6 CFU/ml of sputum for Xpert, and for M. bovis BCG, it was 143.4 CFU/ml of sputum for Ultra versus 344 CFU/ml of sputum for Xpert. Ultra resulted in no false-positive RIF-R specimens, while Xpert resulted in two false-positive RIF-R specimens. All RIF-R-associated M. tuberculosis rpoB mutations tested were identified by Ultra. Testing on clinical sputum samples, Ultra versus Xpert, resulted in an overall sensitivity of 87.5% (95% confidence interval [CI], 82.1, 91.7) versus 81.0% (95% CI, 74.9, 86.2) and a sensitivity on sputum smear-negative samples of 78.9% (95% CI, 70.0, 86.1) versus 66.1% (95% CI, 56.4, 74.9). Both tests had a specificity of 98.7% (95% CI, 93.0, 100), and both had comparable accuracies for detection of RIF-R in these samples. Ultra should significantly improve TB detection, especially in patients with paucibacillary disease, and may provide more-reliable RIF-R detection. IMPORTANCE The Xpert MTB/RIF assay (Xpert), the first point-of-care assay for tuberculosis (TB), was endorsed by the World Health Organization in December 2010. Since then, 23 million Xpert tests have been procured in 130 countries. Although Xpert showed high overall sensitivity and

  11. Prevalence and antimicrobial susceptibility pattern of methicillin resistant Staphylococcus aureus isolates from Trinidad & Tobago

    Directory of Open Access Journals (Sweden)

    Monteil Michele

    2006-07-01

    Full Text Available Abstract Background Methicillin-resistant Staphylococcus aureus (MRSA has become increasingly prevalent worldwide since it was first reported in a British hospital. The prevalence however, varies markedly in hospitals in the same country, and from one country to another. We therefore sought to document comprehensively the prevalence and antimicrobial susceptibility pattern of MRSA isolates in Trinidad and Tobago. Methods All Staphylococcus aureus isolates encountered in routine clinical specimens received at major hospitals in the country between 2000 and 2001 were identified morphologically and biochemically by standard laboratory procedures including latex agglutination test (Staphaurex Plus; Murex Diagnostics Ltd; Dartford, England; tube coagulase test with rabbit plasma (Becton, Dickinson & Co; Sparks, MD, USA, and DNase test using DNase agar (Oxoid Ltd; Basingstoke, Hampshire, England. MRSA screening was performed using Mueller-Hinton agar containing 6 μg oxacillin and 4% NaCl, latex agglutination test (Denka Seiken Co. Ltd, Tokyo, Japan and E-test system (AB Biodisk, Solna, Sweden. Susceptibility to antimicrobial agents was determined by the modified Kirby Bauer disc diffusion method while methicillin MICs were determined with E-test system. Results Of 1,912 S. aureus isolates received, 12.8% were methicillin (oxacillin resistant. Majority of the isolates were recovered from wound swabs (86.9% and the least in urine (0.4% specimens. Highest number of isolates was encountered in the surgical (62.3% and the least from obstetrics and gynaecology (1.6% facilities respectively. Large proportions of methicillin sensitive isolates are >85% sensitive to commonly used and available antimicrobials in the country. All MRSA isolates were resistant to ceftriaxone, erythromycin, gentamicin and penicillin but were 100% sensitive to vancomycin, rifampin and chloramphenicol. Conclusion There is a progressive increase in MRSA prevalence in the country but

  12. Activity of Daptomycin Alone and in Combination with Rifampin and Gentamicin against Staphylococcus aureus Assessed by Time-Kill Methodology▿ †

    Science.gov (United States)

    Credito, Kim; Lin, Gengrong; Appelbaum, Peter C.

    2007-01-01

    The synergistic effects of daptomycin plus gentamicin or rifampin were tested against 50 Staphylococcus aureus strains, with daptomycin MICs ranging between 0.25 and 8 μg/ml. Daptomycin sub-MICs combined with gentamicin concentrations lower than the MIC yielded synergy in 34 (68%) of the 50 strains. Daptomycin combined with rifampin yielded synergy in one vancomycin-intermediate S. aureus strain only, and virtually all synergy occurred between daptomycin and gentamicin. PMID:17220402

  13. Model-Based Evaluation of Higher Doses of Rifampin Using a Semimechanistic Model Incorporating Autoinduction and Saturation of Hepatic Extraction.

    Science.gov (United States)

    Chirehwa, Maxwell T; Rustomjee, Roxana; Mthiyane, Thuli; Onyebujoh, Philip; Smith, Peter; McIlleron, Helen; Denti, Paolo

    2016-01-01

    Rifampin is a key sterilizing drug in the treatment of tuberculosis (TB). It induces its own metabolism, but neither the onset nor the extent of autoinduction has been adequately described. Currently, the World Health Organization recommends a rifampin dose of 8 to 12 mg/kg of body weight, which is believed to be suboptimal, and higher doses may potentially improve treatment outcomes. However, a nonlinear increase in exposure may be observed because of saturation of hepatic extraction and hence this should be taken into consideration when a dose increase is implemented. Intensive pharmacokinetic (PK) data from 61 HIV-TB-coinfected patients in South Africa were collected at four visits, on days 1, 8, 15, and 29, after initiation of treatment. Data were analyzed by population nonlinear mixed-effects modeling. Rifampin PKs were best described by using a transit compartment absorption and a well-stirred liver model with saturation of hepatic extraction, including a first-pass effect. Autoinduction was characterized by using an exponential-maturation model: hepatic clearance almost doubled from the baseline to steady state, with a half-life of around 4.5 days. The model predicts that increases in the dose of rifampin result in more-than-linear drug exposure increases as measured by the 24-h area under the concentration-time curve. Simulations with doses of up to 35 mg/kg produced results closely in line with those of clinical trials. Copyright © 2015, American Society for Microbiology. All Rights Reserved.

  14. An exposure-response analysis based on rifampin suggests CYP3A4 induction is driven by AUC: an in vitro investigation.

    Science.gov (United States)

    Chang, Cheng; Yang, Xin; Fahmi, Odette A; Riccardi, Keith A; Di, Li; Obach, R Scott

    2017-08-01

    1. Induction is an important mechanism contributing to drug-drug interactions. It is most commonly evaluated in the human hepatocyte assay over 48-h or 72-h incubation period. However, whether the overall exposure (i.e. Area Under the Curve (AUC) or C ave ) or maximum exposure (i.e. C max ) of the inducer is responsible for the magnitude of subsequent induction has not been thoroughly investigated. Additionally, in vitro induction assays are typically treated as static systems, which could lead to inaccurate induction potency estimation. Hence, European Medicines Agency (EMA) guidance now specifies quantitation of drug levels in the incubation. 2. This work treated the typical in vitro evaluation of rifampin induction as an in vivo system by generating various target engagement profiles, measuring free rifampin concentration over 3 d of incubation and evaluating the impact of these factors on final induction response. 3. This rifampin-based analysis demonstrates that the induction process is driven by time-averaged target engagement (i.e. AUC-driven). Additionally, depletion of rifampin in the incubation medium over 3 d as well as non-specific/specific binding were observed. 4. These findings should help aid the discovery of clinical candidates with minimal induction liability and further expand our knowledge in the quantitative translatability of in vitro induction assays.

  15. Bacterial resistance to antibiotics in acne vulgaris: An in vitro study

    Directory of Open Access Journals (Sweden)

    Hassanzadeh Parvin

    2008-01-01

    Full Text Available Background: Acne vulgaris is one of the most common skin disorders in youth especially during the puberty. Objective: This in vitro study was performed to determine the antibiotic resistance and sensitivity in acne vulgaris. Materials and Methods: Samples were collected from normal skin and nodulocystic and pustular skin lesions of one hundred youngsters (64 girls, 36 boys among college students in the age range of 18-24 years old. The specimens were cultured individually on blood agar and Muller-Hinton media. The cultures were then incubated under both aerobic and anaerobic conditions for 2 to 7 days. Bacteria were identified and their resistance to common antibiotics was evaluated according to the standard procedures. Results: In aerobic culture of pustular and nodulocystic skin lesions, Staphylococcus aureus was present in 41% of subjects, Staphylococcus epidermidis in 53% and Micrococcus spp in 45% of subjucts. In anaerobic bacterial culture of pustular and nodulocystic skin lesions, Staphylococcus aureus was present in 39%, Propionibacterium acne in 33% and Staphylococcus epidermidis in 21% of subjects. The results of present study revealed that clindamycin and erythromycin were the least effective antibiotics for Propionibacterium acne while tetracycline was the least effective for Staphylococcus aureus in vitro . A synergic effect of benzoyl peroxide, erythromycin or clindamycin was noticed. Rifampin was the most effective antibiotic in vitro . Conclusion: Our results showed that rifampin was the most sensitive antibiotic in vitro for acne vulgaris. To achieve a better treatment, a combination of rifampin with other antibiotics may be more efficient. We suggest in vivo studies for better evaluation and treatment of acne patients with rifampin.

  16. Intestinal permeability and malabsorption of rifampin and isoniazid in active pulmonary tuberculosis

    Directory of Open Access Journals (Sweden)

    Valéria G. F. Pinheiro

    Full Text Available Low antimycobacterial drug concentrations have been observed in tuberculosis (TB patients under treatment. The lactulose/mannitol urinary excretion test (L/M, normally used to measure intestinal permeability, may be useful to assess drug absorption. The objective of this research was to study intestinal absorptive function and bioavailability of rifampin and isoniazid in TB patients. A cross sectional study was done with 41 patients and 28 healthy controls, using the L/M test. The bioavailabilities of rifampin (R and isoniazid (H were evaluated in 18 patients receiving full doses. Urinary excretion of mannitol and lactulose, measured by HPLC, was significantly lower in TB patients. The serum concentrations of the drugs were below the expected range for R (8-24 mcg/mL or H (3-6 mcg/mL in 16/18 patients. Analyzing the drugs individually, 12/18 patients had low serum concentrations of R, 13/18 for H and 8/18 for both drugs. We suggest that there is a decrease in the functional absorptive area of the intestine in TB patients, which would explain the reduced serum concentrations of antituberculosis drugs. There is a need for new approaches to improve drug bioavailability in TB patients.

  17. Antibiotic Resistance Patterns of Common Gram-negative ...

    African Journals Online (AJOL)

    Background: The resistance of bacteria causing urinary tract infection (UTI) to commonly prescribed antibiotics is increasing both in developing and developed countries. Resistance has emerged even to more potent antimicrobial agents. This study was undertaken to determine the current antibiotic resistance pattern ...

  18. Effect of Itraconazole and Rifampin on the Pharmacokinetics of Olaparib in Patients With Advanced Solid Tumors

    DEFF Research Database (Denmark)

    Dirix, Luc; Swaisland, Helen; Verheul, Henk M W

    2016-01-01

    ) and inducer (rifampin) to alter the pharmacokinetic (PK) profile of olaparib following single oral tablet doses. METHODS: Two Phase I, open-label, non-randomized trials were conducted in patients with advanced solid tumors. In Study 7, patients received olaparib alone and co-administered with itraconazole...... analysis following treatment with olaparib alone and olaparib plus itraconazole, respectively; in Study 8 (N = 22; 4 male, 18 female), all patients were evaluable. Co-administration of olaparib with itraconazole resulted in a statistically significant increase in the relative bioavailability of olaparib......: Cmax treatment ratio, 1.42 (90% CI, 1.33-1.52); mean AUC treatment ratio, 2.70 (90% CI, 2.44-2.97). Mean CL/F and Vz/F were reduced (8.16 vs 3.05 L/h and 192 vs 75.1 L), although mean t½ was unchanged (15.0 vs 15.6 hours). Co-administration of olaparib with rifampin resulted in a statistically...

  19. Genetic Mimetics of Mycobacterium tuberculosis and Methicillin-Resistant Staphylococcus aureus as Verification Standards for Molecular Diagnostics.

    Science.gov (United States)

    Machowski, Edith Erika; Kana, Bavesh Davandra

    2017-12-01

    Molecular diagnostics have revolutionized the management of health care through enhanced detection of disease or infection and effective enrollment into treatment. In recognition of this, the World Health Organization approved the rollout of nucleic acid amplification technologies for identification of Mycobacterium tuberculosis using platforms such as GeneXpert MTB/RIF, the GenoType MTBDR plus line probe assay, and, more recently, GeneXpert MTB/RIF Ultra. These assays can simultaneously detect tuberculosis infection and assess rifampin resistance. However, their widespread use in health systems requires verification and quality assurance programs. To enable development of these, we report the construction of genetically modified strains of Mycobacterium smegmatis that mimic the profile of Mycobacterium tuberculosis on both the GeneXpert MTB/RIF and the MTBDR plus line probe diagnostic tests. Using site-specific gene editing, we also created derivatives that faithfully mimic the diagnostic result of rifampin-resistant M. tuberculosis , with mutations at positions 513, 516, 526, 531, and 533 in the rifampin resistance-determining region of the rpoB gene. Next, we extended this approach to other diseases and demonstrated that a Staphylococcus aureus gene sequence can be introduced into M. smegmatis to generate a positive response for the SCC mec probe in the GeneXpert SA Nasal Complete molecular diagnostic cartridge, designed for identification of methicillin-resistant S. aureus These biomimetic strains are cost-effective, have low biohazard content, accurately mimic drug resistance, and can be produced with relative ease, thus illustrating their potential for widespread use as verification standards for diagnosis of a variety of diseases. Copyright © 2017 American Society for Microbiology.

  20. Patterns of Force, Sequences of Resistance

    DEFF Research Database (Denmark)

    Lindegaard, Marie Rosenkrantz; Daniël De Vries, Thomas; Bernasco, Wim

    2018-01-01

    Robberies are improvised encounters involving offender threat, sometimes force, and often victim resistance. While the association between threat, force, and resistance in robberies is well-established, sequential patterns are disputed due to biases of retrospective studies. To overcome these bia...... the likelihood of victim resistance despite having no effect on offender vio- lence. By providing more reliable and detailed accounts of real-life behavior during robberies, our analysis illustrates the potential of a newly emergent field of studies of crimes caught on camera....

  1. Extreme ultraviolet resist materials for sub-7 nm patterning.

    Science.gov (United States)

    Li, Li; Liu, Xuan; Pal, Shyam; Wang, Shulan; Ober, Christopher K; Giannelis, Emmanuel P

    2017-08-14

    Continuous ongoing development of dense integrated circuits requires significant advancements in nanoscale patterning technology. As a key process in semiconductor high volume manufacturing (HVM), high resolution lithography is crucial in keeping with Moore's law. Currently, lithography technology for the sub-7 nm node and beyond has been actively investigated approaching atomic level patterning. EUV technology is now considered to be a potential alternative to HVM for replacing in some cases ArF immersion technology combined with multi-patterning. Development of innovative resist materials will be required to improve advanced fabrication strategies. In this article, advancements in novel resist materials are reviewed to identify design criteria for establishment of a next generation resist platform. Development strategies and the challenges in next generation resist materials are summarized and discussed.

  2. Extreme ultraviolet resist materials for sub-7 nm patterning

    KAUST Repository

    Li, Li; Liu, Xuan; Pal, Shyam; Wang, Shulan; Ober, Christopher K.; Giannelis, Emmanuel P.

    2017-01-01

    Continuous ongoing development of dense integrated circuits requires significant advancements in nanoscale patterning technology. As a key process in semiconductor high volume manufacturing (HVM), high resolution lithography is crucial in keeping with Moore's law. Currently, lithography technology for the sub-7 nm node and beyond has been actively investigated approaching atomic level patterning. EUV technology is now considered to be a potential alternative to HVM for replacing in some cases ArF immersion technology combined with multi-patterning. Development of innovative resist materials will be required to improve advanced fabrication strategies. In this article, advancements in novel resist materials are reviewed to identify design criteria for establishment of a next generation resist platform. Development strategies and the challenges in next generation resist materials are summarized and discussed.

  3. Extensively Drug-Resistant Tuberculosis: Principles of Resistance, Diagnosis, and Management.

    Science.gov (United States)

    Wilson, John W; Tsukayama, Dean T

    2016-04-01

    Extensively drug-resistant (XDR) tuberculosis (TB) is an unfortunate by-product of mankind's medical and pharmaceutical ingenuity during the past 60 years. Although new drug developments have enabled TB to be more readily curable, inappropriate TB management has led to the emergence of drug-resistant disease. Extensively drug-resistant TB describes Mycobacterium tuberculosis that is collectively resistant to isoniazid, rifampin, a fluoroquinolone, and an injectable agent. It proliferates when established case management and infection control procedures are not followed. Optimized treatment outcomes necessitate time-sensitive diagnoses, along with expanded combinations and prolonged durations of antimicrobial drug therapy. The challenges to public health institutions are immense and most noteworthy in underresourced communities and in patients coinfected with human immunodeficiency virus. A comprehensive and multidisciplinary case management approach is required to optimize outcomes. We review the principles of TB drug resistance and the risk factors, diagnosis, and managerial approaches for extensively drug-resistant TB. Treatment outcomes, cost, and unresolved medical issues are also discussed. Copyright © 2016 Mayo Foundation for Medical Education and Research. Published by Elsevier Inc. All rights reserved.

  4. Extreme ultraviolet resist materials for sub-7 nm patterning

    KAUST Repository

    Li, Li

    2017-06-26

    Continuous ongoing development of dense integrated circuits requires significant advancements in nanoscale patterning technology. As a key process in semiconductor high volume manufacturing (HVM), high resolution lithography is crucial in keeping with Moore\\'s law. Currently, lithography technology for the sub-7 nm node and beyond has been actively investigated approaching atomic level patterning. EUV technology is now considered to be a potential alternative to HVM for replacing in some cases ArF immersion technology combined with multi-patterning. Development of innovative resist materials will be required to improve advanced fabrication strategies. In this article, advancements in novel resist materials are reviewed to identify design criteria for establishment of a next generation resist platform. Development strategies and the challenges in next generation resist materials are summarized and discussed.

  5. Genitourinary and pulmonary multidrug resistant Mycobacterium tuberculosis infection in an Asian elephant (Elephas maximus).

    Science.gov (United States)

    Dumonceaux, Genevieve A; St Leger, Judy; Olsen, John H; Burton, Michael S; Ashkin, David; Maslow, Joel N

    2011-12-01

    A female Asian elephant (Elephas maximus) developed vaginal and trunk discharge. Cultures were positive for pan-susceptible Mycobacterium tuberculosis. Isoniazid and pyrazinamide were given rectally and monitored by serum levels. After being trained at 10 mo to accept oral dosing, treatment was changed and rifampin was added. Oral medications were administered for another 10 mo. A year after completion of therapy, the vaginal discharge increased and cultures yielded M. tuberculosis, resistant to isoniazid and rifampin. Treatment with oral ethambutol, pyrazinamide, and enrofloxacin and intramuscular amikacin was initiated. Although followup cultures became negative, adverse reactions to medications precluded treatment completion. Due to public health concerns related to multidrug resistant M. tuberculosis (MDR-TB), the elephant was euthanized. Postmortem smears from the lung, peribronchial, and abdominal lymph nodes yielded acid-fast bacteria, although cultures were negative. This case highlights important considerations in the treatment of M. tuberculosis in animals and the need for a consistent approach to diagnosis, treatment, and follow-up.

  6. "DRUG RESISTANCE PATTERN IN ISOLATED BACTERIA FROM BLOOD CULTURES"

    Directory of Open Access Journals (Sweden)

    A. Sobhani

    2004-05-01

    Full Text Available Bacteremia is an important infectious disease which may lead to death. Common bacteria and pattern of antibiotic resistance in different communities are different and understanding these differences is important. In the present study, relative frequency and pattern of drug resistance have been examined in bacteria isolated from blood cultures in Razi Hospital laboratory. The method of the study was descriptive. Data collection was carried out retrospectively. Total sample consisted of 311 positive blood cultures from 1999 to 2001. Variables under study were bacterial strains, antibiotics examined in antibiogram, microbial resistance, and patients' age and sex. The most common isolated bacteria were Salmonella typhi (22.2% and the least common ones were Citrobacter (1.6%. The highest antibiotic resistance was seen against amoxicillin (88.4%. The proportion of males to females was1: 1/1 and the most common age group was 15-44 (47.3%. Common bacteria and pattern of antibiotic resistance were different in some areas and this subject requires further studies in the future.

  7. Multiple Antibiotic Resistance Patterns of Escherichia coli Isolates from Swine Farms

    OpenAIRE

    Mathew, A. G.; Saxton, A. M.; Upchurch, W. G.; Chattin, S. E.

    1999-01-01

    Antibiotic resistance of Escherichia coli from sows and pigs was determined to compare patterns between pigs of various ages and degrees of antibiotic use. Resistance patterns differed between farm types and pigs of differing ages, indicating that pig age and degree of antibiotic use affect resistance of fecal E. coli.

  8. Laboratory-Based Surveillance of Extensively Drug-Resistant Tuberculosis in Eastern China.

    Science.gov (United States)

    Huang, Yu; Wu, Qingqing; Xu, Shuiyang; Zhong, Jieming; Chen, Songhua; Xu, Jinghang; Zhu, Liping; He, Haibo; Wang, Xiaomeng

    2017-03-01

    With 25% of the global burden, China has the highest incidence of drug-resistant tuberculosis (TB) in the world. However, surveillance data on extensively drug-resistant TB (XDR-TB) from China are scant. To estimate the prevalence of XDR-TB in Zhejiang, Eastern China, 30 of 90 TB treatment centers in Zhejiang were recruited. Patients with suspected TB who reported to the clinics for diagnosis were requested to undergo a smear sputum test. Positive sputum samples were tested for drug susceptibility. Data on anti-TB drug resistance from 1999 to 2008 were also collected to assess drug resistance trends. A total of 931 cases were recruited for drug susceptibility testing (DST). Among these, 23.6% (95% confidence interval [CI], 18.8-24.4) were resistant to any of the following drugs: isoniazid, rifampin, streptomycin, and ethambutol. Multidrug resistant (MDR) strains were identified in 5.1% of all cases (95% CI, 3.61-6.49). Among MDR-TB cases, 6.4% were XDR (95% CI, 1.7-18.6) and 8.9% (95% CI, 7.0-10.8) of all cases were resistant to either isoniazid or rifampin (but not both). Among MDR-TB cases, 23.4% (95% CI, 12.8-38.4) were resistant to either fluoroquinolones or a second-line anti-TB injectable drug, but not both. From 1999 to 2014, the percentage of MDR cases decreased significantly, from 8.6% to 5.1% (p = 0.00). The Global Fund to Fight TB program showed signs of success in Eastern China. However, drug-resistant TB, MDR-TB, and XDR-TB still pose a challenge for TB control in Eastern China. High-quality directly observed treatment, short-course, and universal DST for TB cases to determine appropriate treatment regimens are urgently needed to prevent acquired drug resistance.

  9. Modification of survival after ultraviolet light exposure in a wild-type and a polA strain of Escherichia coli B/r by preirradiation treatment with chloramphenicol or rifampin

    International Nuclear Information System (INIS)

    Doudney, C.O.; Rinaldi, C.N.

    1985-01-01

    The shoulder of the UV fluence-survival curve of exponentially growing Escherichia coli B/rWP2trpE65 was expanded by chloramphenicol pretreatment and an exponential segment with intermediate slope appeared between the shoulder and the final exponential segment. These changes were dependent on DNA replication. The transitions with UV exposure to increased slopes were ascribed to UV inactivation of qualitatively different repair systems, each dependent upon the accumulation in each bacterium of multiple DNA-containing redundant repair components, which must be inactivated before the respective transitions to decreased resistance occur. Rifampin, which blocks DNA-dependent RNA polymerase function, limited drastically expansion of the shoulder and development of the intermediate exponential slope. Bacteria defective in DNA polymerase I (polA) showed only a slight expansion of the shoulder with pretreatment with chloramphenicol. Since certain bacterial plasmids require RNA primer formation for initiation of replication and are not maintained in a polA strain, it is proposed that the chloramphenicol-promoted increase in resistance depends on the formation of multiple numbers of specific resistance episomes. (Auth.)

  10. Compounding rifampin suspensions with improved injectability for nasogastric enteral feeding tube administration.

    Science.gov (United States)

    de Villiers, Melgardt M; Vogel, Laura; Bogenschutz, Monica C; Fingerhut, Bonnie J; D'Silva, Joseph B; Moore, Anne

    2010-01-01

    Often medications that have to be administered to patients via a nasogastric enteral feeding tubes are only available as tablets and capsules with no suitable commercial liquid alternatives. In such situations, pharmacists and nurses have to compound the tablets and capsule contents into liquid suspension formulations for dosing. The risk of occlusion of the enteral tubes during administration is reduced by employing liquid suspensions that are composed of small and uniform particles, not subject to rapid rates of settling, resistant to caking, and easily and uniformly re-suspended upon agitation. Present techniques often employ a manual process, such as a mortar and pestle, to accomplish the particle size reduction and subsequent incorporation into a suitable liquid diluent. A new compounding device has been invented that employs an automated wet-milling process in a single-use disposable plastic container to compound the suspensions. The two processes were compared using Rifampin capsules and various liquid diluents. A prototype version of the new device was employed in the experiments. The physical characteristics of the compounded suspensions were evaluated by determining sedimentation rate, sedimentation volume, and particle size and shape using laser light scattering, optical microscopy, and scanning electron microscopy techniques. The use characteristic of the compounded suspensions was evaluated using a nasogastric tube inject ability test. The results indicated that suspensions prepared using the new device were more resistant to sedimentation and caking and were easier to re-disperse into a uniform mixture by gentle shaking. The results were a consequence of the particles generated by the new device which were found to be smaller and more uniform in shape and size. The suspensions prepared using the new device did not cause blockage of the enteral feeding tubes in comparison to those prepared using a mortar and pastle. In conclusion, the results indicate

  11. Resistance patterns and trends of extensively drug-resistant tuberculosis: 5-year experience

    Directory of Open Access Journals (Sweden)

    Amresh Kumar Singh

    2013-12-01

    Full Text Available Objective:Extensively drug-resistant tuberculosis (XDR-TB strains were emerged when multidrug-resistant TB (MDR- TB was inadequately treated. Inadequate treatment of MDR-TB cases may result in additional resistance especially non-XDR-TB and then XDR-TB. The aim of this study was to know the prevalence, resistance patterns and trends of the XDR-TB strains among the MDR-TB at a tertiary care hospital in Lucknow, India Methods: A total of 430 Mycobacterium isolates were underwent NAP test and TB MPT64 Ag test for the identification of Mycobacterium tuberculosis complex (MTBC. Drug-susceptibility test (DST was performed over MTBC for the first line drugs by 1% proportion method (Bactec and for the second-line drugs by 1% proportion method (Lowenstein- Jensen media. The XDR-TB status was further confirmed by line probe assay (GenoType® MTBDRsl assay. Results: Among the 430 isolates of mycobacterium, 365 (84.9% were MTBC and 139 (38.1% were MDR-TB respectively. Further 97 MDR-TB from “highly suspected drug resistant-TB (DR-TB” cases among MDR-TB were tested with second line drugs in which 15 (15.5% XDR-TB and 82 (84.5% were non-XDR-TB. Regarding XDR-TB status, using the 1% proportion method a 100% agreement was seen with the GenoType® MTBDRsl assay. Resistance patterns of XDR-TB were as; 10/15 (66.7% as isoniazid + rifampicin + ciprofloxacin + amikacin resistance and 5/15 (33.3% as isoniazid + rifampicin + ciprofloxacin + amikacin + kanamycin resistance. Conclusion:The prevalence of XDR-TB was 15.5% among MDR-TB. Hence laboratory testing of “highly suspected drug resistant-TB” isolates should be done for both first and second line drugs simultaneously especially in developing countries.J Microbiol Infect Dis 2013;3(4: 169-175

  12. Nutritional Supplementation Increases Rifampin Exposure among Tuberculosis Patients Coinfected with HIV

    Science.gov (United States)

    Denti, Paolo; Chigutsa, Emmanuel; Faurholt-Jepsen, Daniel; PrayGod, George; Range, Nyagosya; Castel, Sandra; Wiesner, Lubbe; Hagen, Christian Munch; Christiansen, Michael; Changalucha, John; McIlleron, Helen; Friis, Henrik; Andersen, Aase Bengaard

    2014-01-01

    Nutritional supplementation to tuberculosis (TB) patients has been associated with increased weight and reduced mortality, but its effect on the pharmacokinetics of first-line anti-TB drugs is unknown. A cohort of 100 TB patients (58 men; median age, 35 [interquartile range {IQR}, 29 to 40] years, and median body mass index [BMI], 18.8 [17.3 to 19.9] kg/m2) were randomized to receive nutritional supplementation during the intensive phase of TB treatment. Rifampin plasma concentrations were determined after 1 week and 2 months of treatment. The effects of nutritional supplementation, HIV, time on treatment, body weight, and SLCO1B1 rs4149032 genotype were examined using a population pharmacokinetic model. The model adjusted for body size via allometric scaling, accounted for clearance autoinduction, and detected an increase in bioavailability (+14%) for the patients in the continuation phase. HIV coinfection in patients not receiving the supplementation was found to decrease bioavailability by 21.8%, with a median maximum concentration of drug in serum (Cmax) and area under the concentration-time curve from 0 to 24 h (AUC0–24) of 5.6 μg/ml and 28.6 μg · h/ml, respectively. HIV-coinfected patients on nutritional supplementation achieved higher Cmax and AUC0–24 values of 6.4 μg/ml and 31.6 μg · h/ml, respectively, and only 13.3% bioavailability reduction. No effect of the SLCO1B1 rs4149032 genotype was observed. In conclusion, nutritional supplementation during the first 2 months of TB treatment reduces the decrease in rifampin exposure observed in HIV-coinfected patients but does not affect exposure in HIV-uninfected patients. If confirmed in other studies, the use of defined nutritional supplementation in HIV-coinfected TB patients should be considered in TB control programs. (This study has the controlled trial registration number ISRCTN 16552219.) PMID:24709267

  13. Patterning characteristics of a chemically-amplified negative resist in synchrotron radiation lithography

    International Nuclear Information System (INIS)

    Deguchi, Kimiyoshi; Miyoshi, Kazunori; Ishii, Tetsuyoshi; Matsuda, Tadahito

    1992-01-01

    To explore the applicability of synchrotron radiation X-ray lithography for fabricating sub-quartermicron devices, we investigate the patterning characteristics of the chemically-amplified negative resist SAL601-ER7. Since these characteristics depend strongly on the conditions of the chemical amplification process, the effects of post-exposure baking and developing conditions on sensitivity and resolution are examined. The resolution-limiting factors are investigated, revealing that pattern collapse during the development process and fog caused by Fresnel diffraction, photo-electron scattering, and acid diffusion in the resist determine the resolution and the maximum aspect ratio of the lines and spaces pattern. Using the model of a swaying beam supported at one end, it is shown that pattern collapse depends on the resist pattern's flexural stiffness. Patterning stability, which depends on the delay time between exposure and baking, is also discussed. (author)

  14. Molecular Detection of Antimicrobial Resistance

    Science.gov (United States)

    Fluit, Ad C.; Visser, Maarten R.; Schmitz, Franz-Josef

    2001-01-01

    The determination of antimicrobial susceptibility of a clinical isolate, especially with increasing resistance, is often crucial for the optimal antimicrobial therapy of infected patients. Nucleic acid-based assays for the detection of resistance may offer advantages over phenotypic assays. Examples are the detection of the methicillin resistance-encoding mecA gene in staphylococci, rifampin resistance in Mycobacterium tuberculosis, and the spread of resistance determinants across the globe. However, molecular assays for the detection of resistance have a number of limitations. New resistance mechanisms may be missed, and in some cases the number of different genes makes generating an assay too costly to compete with phenotypic assays. In addition, proper quality control for molecular assays poses a problem for many laboratories, and this results in questionable results at best. The development of new molecular techniques, e.g., PCR using molecular beacons and DNA chips, expands the possibilities for monitoring resistance. Although molecular techniques for the detection of antimicrobial resistance clearly are winning a place in routine diagnostics, phenotypic assays are still the method of choice for most resistance determinations. In this review, we describe the applications of molecular techniques for the detection of antimicrobial resistance and the current state of the art. PMID:11585788

  15. Antibacterial resistance patterns of pediatric community-acquired urinary infection: Overview.

    Science.gov (United States)

    Konca, Capan; Tekin, Mehmet; Uckardes, Fatih; Akgun, Sadik; Almis, Habip; Bucak, Ibrahim Hakan; Genc, Yeliz; Turgut, Mehmet

    2017-03-01

    Urinary tract infection (UTI) is common in children. The aim of this study was therefor to construct a guide for the empirical antibiotic treatment of community-acquired UTI by investigating the etiology and antimicrobial resistance patterns of uropathogens and analyzing the epidemiological and clinical patient characteristics. A total of 158 children with positive urine culture were included in the study. Antibiotic susceptibility testing was performed with Vitek 2 Compact for 28 commonly used antimicrobials. Mean age was 3.36 ± 3.38 years (range, 45 days-15 years). Escherichia coli (60.1%), and Klebsiella spp. (16.5%) were the most common uropathogens. For all Gram-negative isolates, a high level of resistance was found against ampicillin/sulbactam (60.1%), trimethoprim/sulfamethoxazole (44.2%), cefazolin (36.2%), cefuroxime sodium (33.5%), and amoxicillin/clavulanate (31.5%). A low level of resistance was noted against cefepime (8.7%), ertapenem (4.6%), norfloxacin (1.3%), and meropenem (0.7%). There was no resistance against amikacin. There is high antibiotic resistance in children with UTI. The patterns of uropathogen antimicrobial resistance vary in susceptibility to antimicrobials depending on region and time. Thus, the trends of antibiotic susceptibility patterns should be analyzed periodically to select the appropriate regimen for UTI treatment. © 2016 Japan Pediatric Society.

  16. 154 ORIGINAL ARTICLE

    African Journals Online (AJOL)

    boaz

    teicoplanin, gentamicin, streptomycin, linezolid, ampicillin, ciprofloxacin, chloramphenicol, doxycycline, nitrofurantoin, erythromycin and rifampin. More than 50% of the isolates were resistant to erythromycin, rifampin and doxycycline. E-test. M.I.C confirmed 12 out of 34 strains to be intermediately resistant to vancomycin.

  17. Prevalence and antimicrobial resistance pattern of coagulase ...

    African Journals Online (AJOL)

    Prevalence and antimicrobial resistance pattern of coagulase negative Staphylococci isolated from pigs and in-contact humans in Jos Metropolis, Nigeria. ... (53/401) of the isolates were CoNS species based on confirmatory test with Microgen biochemical kit and were further subjected to antibiotic susceptibility testing.

  18. Prevalence of current patterns and predictive trends of multidrug-resistant Salmonella Typhi in Sudan.

    Science.gov (United States)

    Elshayeb, Ayman A; Ahmed, Abdelazim A; El Siddig, Marmar A; El Hussien, Adil A

    2017-11-14

    Enteric fever has persistence of great impact in Sudanese public health especially during rainy season when the causative agent Salmonella enterica serovar Typhi possesses pan endemic patterns in most regions of Sudan - Khartoum. The present study aims to assess the recent state of antibiotics susceptibility of Salmonella Typhi with special concern to multidrug resistance strains and predict the emergence of new resistant patterns and outbreaks. Salmonella Typhi strains were isolated and identified according to the guidelines of the International Standardization Organization and the World Health Organization. The antibiotics susceptibilities were tested using the recommendations of the Clinical Laboratories Standards Institute. Predictions of emerging resistant bacteria patterns and outbreaks in Sudan were done using logistic regression, forecasting linear equations and in silico simulations models. A total of 124 antibiotics resistant Salmonella Typhi strains categorized in 12 average groups were isolated, different patterns of resistance statistically calculated by (y = ax - b). Minimum bactericidal concentration's predication of resistance was given the exponential trend (y = n e x ) and the predictive coefficient R 2  > 0 current antimicrobial drug resistance patterns of community-acquired agents causing outbreaks.

  19. Diversity and antibiotic resistance patterns of Sphingomonadaceae isolates from drinking water.

    Science.gov (United States)

    Vaz-Moreira, Ivone; Nunes, Olga C; Manaia, Célia M

    2011-08-15

    Sphingomonadaceae (n = 86) were isolated from a drinking water treatment plant (n = 6), tap water (n = 55), cup fillers for dental chairs (n = 21), and a water demineralization filter (n = 4). The bacterial isolates were identified based on analysis of the 16S rRNA gene sequence, and intraspecies variation was assessed on the basis of atpD gene sequence analysis. The isolates were identified as members of the genera Sphingomonas (n = 27), Sphingobium (n = 28), Novosphingobium (n = 12), Sphingopyxis (n = 7), and Blastomonas (n = 12). The patterns of susceptibility to five classes of antibiotics were analyzed and compared for the different sites of isolation and taxonomic groups. Colistin resistance was observed to be intrinsic (92%). The highest antibiotic resistance prevalence values were observed in members of the genera Sphingomonas and Sphingobium and for beta-lactams, ciprofloxacin, and cotrimoxazole. In tap water and in water from dental chairs, antibiotic resistance was more prevalent than in the other samples, mainly due to the predominance of isolates of the genera Sphingomonas and Sphingobium. These two genera presented distinct patterns of association with antibiotic resistance, suggesting different paths of resistance development. Antibiotic resistance patterns were often related to the species rather than to the site or strain, suggesting the importance of vertical resistance transmission in these bacteria. This is the first study demonstrating that members of the family Sphingomonadaceae are potential reservoirs of antibiotic resistance in drinking water.

  20. Diversity and Antibiotic Resistance Patterns of Sphingomonadaceae Isolates from Drinking Water▿

    Science.gov (United States)

    Vaz-Moreira, Ivone; Nunes, Olga C.; Manaia, Célia M.

    2011-01-01

    Sphingomonadaceae (n = 86) were isolated from a drinking water treatment plant (n = 6), tap water (n = 55), cup fillers for dental chairs (n = 21), and a water demineralization filter (n = 4). The bacterial isolates were identified based on analysis of the 16S rRNA gene sequence, and intraspecies variation was assessed on the basis of atpD gene sequence analysis. The isolates were identified as members of the genera Sphingomonas (n = 27), Sphingobium (n = 28), Novosphingobium (n = 12), Sphingopyxis (n = 7), and Blastomonas (n = 12). The patterns of susceptibility to five classes of antibiotics were analyzed and compared for the different sites of isolation and taxonomic groups. Colistin resistance was observed to be intrinsic (92%). The highest antibiotic resistance prevalence values were observed in members of the genera Sphingomonas and Sphingobium and for beta-lactams, ciprofloxacin, and cotrimoxazole. In tap water and in water from dental chairs, antibiotic resistance was more prevalent than in the other samples, mainly due to the predominance of isolates of the genera Sphingomonas and Sphingobium. These two genera presented distinct patterns of association with antibiotic resistance, suggesting different paths of resistance development. Antibiotic resistance patterns were often related to the species rather than to the site or strain, suggesting the importance of vertical resistance transmission in these bacteria. This is the first study demonstrating that members of the family Sphingomonadaceae are potential reservoirs of antibiotic resistance in drinking water. PMID:21705522

  1. Drug Resistance Patterns of Escherichia coli in Ethiopia: A Meta-Analysis.

    Science.gov (United States)

    Tuem, Kald Beshir; Gebre, Abadi Kahsu; Atey, Tesfay Mehari; Bitew, Helen; Yimer, Ebrahim M; Berhe, Derbew Fikadu

    2018-01-01

    Antimicrobial drug resistance is a global threat for treatment of infectious diseases and costs life and money and threatens health delivery system's effectiveness. The resistance of E. coli to frequently utilized antimicrobial drugs is becoming a major challenge in Ethiopia. However, there is no inclusive countrywide study. Therefore, this study intended to assess the prevalence of E. coli resistance and antimicrobial-specific resistance pattern among E. coli clinical isolates in Ethiopia. Articles were retrieved from PubMed, Embase, and grey literature from 2007 to 2017. The main outcome measures were overall E. coli and drug-specific resistance patterns. A random-effects model was used to determine pooled prevalence with 95% confidence interval (CI), using DerSimonian and Laird method. In addition, subgroup analysis was conducted to improve the outcome. The study bias was assessed by Begg's funnel plot. This study was registered in PROSPERO as follows: PROSPERO 2017: CRD42017070106. Of 164 articles retrieved, 35 articles were included. A total of 19,235 study samples participated in the studies and 2,635 E. coli strains were isolated. Overall, E. coli antibacterial resistance was 45.38% (95% confidence interval (CI): 33.50 to 57.27). The resistance pattern ranges from 62.55% in Addis Ababa to 27.51% in Tigray region. The highest resistance of E. coli reported was to ampicillin (83.81%) and amoxicillin (75.79%), whereas only 13.55% of E. coli isolates showed resistance to nitrofurantoin. E. coli antimicrobial resistance remains high with disparities observed among regions. The bacterium was found to be highly resistant to aminopenicillins. The finding implies the need for effective prevention strategies for the E. coli drug resistance and calls for multifaceted approaches with full involvement of all stakeholders.

  2. Resistance patterns among multidrug-resistant tuberculosis patients in greater metropolitan Mumbai: trends over time.

    Science.gov (United States)

    Dalal, Alpa; Pawaskar, Akshay; Das, Mrinalini; Desai, Ranjan; Prabhudesai, Pralhad; Chhajed, Prashant; Rajan, Sujeet; Reddy, Deepesh; Babu, Sajit; Jayalakshmi, T K; Saranchuk, Peter; Rodrigues, Camilla; Isaakidis, Petros

    2015-01-01

    While the high burden of multidrug-resistant tuberculosis (MDR-TB) itself is a matter of great concern, the emergence and rise of advanced forms of drug-resistance such as extensively drug-resistant TB (XDR-TB) and extremely drug-resistant TB (XXDR-TB) is more troubling. The aim of this study was to investigate the trends over time of patterns of drug resistance in a sample of MDR-TB patients in greater metropolitan Mumbai, India. This was a retrospective, observational study of drug susceptibility testing (DST) results among MDR-TB patients from eight health care facilities in greater Mumbai between 2005 and 2013. We classified resistance patterns into four categories: MDR-TB, pre-XDR-TB, XDR-TB and XXDR-TB. A total of 340 MDR-TB patients were included in the study. Pre-XDR-TB was the most common form of drug-resistant TB observed overall in this Mumbai population at 56.8% compared to 29.4% for MDR-TB. The proportion of patients with MDR-TB was 39.4% in the period 2005-2007 and 27.8% in 2011-2013, while the proportion of those with XDR-TB and XXDR-TB was changed from 6.1% and 0% respectively to 10.6% and 5.6% during the same time period. During the same periods, the proportions of patients with ofloxacin, moxifloxacin and ethionamide resistance significantly increased from 57.6% to 75.3%, from 60.0% to 69.5% and from 24.2% to 52.5% respectively (pMumbai highlight the need for individualized drug regimens, designed on the basis of DST results involving first- and second-line anti-TB drugs and treatment history of the patient. A drug-resistant TB case-finding strategy based on molecular techniques that identify only rifampicin resistance will lead to initiation of suboptimal treatment regimens for a significant number of patients, which may in turn contribute to amplification of resistance and transmission of strains with increasingly advanced resistance within the community.

  3. Characterization and antimicrobial susceptibility of one antibiotic-sensitive and one multidrug-resistant Corynebacterium kroppenstedtii strain isolated from patients with granulomatous mastitis

    Directory of Open Access Journals (Sweden)

    I. Fernández-Natal

    2016-11-01

    Full Text Available Human infections associated with Corynebacterium kroppenstedtii are rarely reported, and this organism is usually described as antibiotic sensitive. Almost all published cases of C. kroppenstedtii infections have been associated with breast pathology in women and have been described in New Zealand, France, Canada, India and Japan. Here we describe the microbiologic characteristics of two strains isolated from two women diagnosed of granulomatous mastitis in Spain. One C. kroppenstedtii isolate was antibiotic sensitive while the other was multidrug resistant. Biochemical identification was possible using a wide battery of methods including API Coryne V2.0, API Strep, API NH, API NE, matrix-assisted laser desorption/ionization time-of-flight mass spectrometry and 16S rRNA gene amplification and sequencing. Antimicrobial susceptibility to 28 antibiotics as determined by Etest showed one isolate being sensitive to benzylpenicillin, ciprofloxacin, moxifloxacin, gentamicin, vancomycin, clindamycin, tetracycline, linezolid and rifampin. The second isolate showed resistance to ciprofloxacin, moxifloxacin, clindamycin, tetracycline and rifampin. The multidrug-resistant isolate contained the erm(X, tet(W, cmx, aphA1-IAB, strAB and sul1 resistance genes known from the R plasmid pJA144188 of Corynebacterium resistens. These genes were absent in the genome of the antibiotic-sensitive isolate. This report confirms the tropism of this microorganism for women's breasts and presents the first description of a multidrug-resistant C. kroppenstedtii strain.

  4. Antibiotic resistance pattern in uropathogens

    Directory of Open Access Journals (Sweden)

    Gupta V

    2002-01-01

    Full Text Available Uropathogenic strains from inpatient and outpatient departments were studied from April 1997 to March 1999 for their susceptibility profiles. The various isolates were Escherichia coli, Klebsiella pneumoniae, Pseudomonas aeruginosa, Proteus mirabilis, Acinetobacter baumanii and Enterococcus faecalis. Antibiotic susceptibility pattern of these isolates revealed that for outpatients, first generation cephalosporins, nitrofurantoin, norfloxacin/ciprofloxacin were effective for treatment of urinary tract infection but for inpatients, parenteral therapy with newer aminoglycosides and third generation cephalosporins need to be advocated as the organisms for nosocomial UTI exhibit a high degree of drug resistance. Trimethoprim and sulphamethoxazole combination was not found to be effective for the treatment of urinary tract infections as all the uropathogens from inpatients and outpatients showed high degree of resistance to co-trimoxazole. Culture and sensitivity of the isolates from urine samples should be done as a routine before advocating the therapy.

  5. ArF photo resist pattern sample preparation method using FIB without protective coating

    Science.gov (United States)

    Okushima, Hirohisa; Onozuka, Toshihiko; Kuroda, Yasushi; Yaguchi, Toshie; Umemura, Kaoru; Tamochi, Ryuichiro; Watanabe, Kenji; Hasegawa, Norio; Kawata, Isao; Rijpers, Bart

    2006-03-01

    This paper presents a novel method of FIB (FIB: focused ion beam) sample preparation to accurately evaluate critical dimensions and profiles of ArF photo resist patterns without the use of a protective coating on the photo resist. In order to accomplish this, the FIB micro-sampling method that is one of effective FIB milling and fabrication method was employed. First a Si cap is picked up from a silicon wafer and fixed to ArF photo resist patterns to protect against ion beam irradiation. Then, a micro-sample, a piece of Si-capped ArF photo resist, was extracted from the bulk ArF photo resist. In this procedure, this silicon cap always protects ArF photo resist patterns against ion beam irradiation. For the next step, the micro-sample is fixed to a needle stub of the FIB-STEM (STEM: scanning transmission electron microscopy) compatible rotation holder. This sample on the needle stub was rotated 180 degrees and milled from the side of Si substrate. Lastly, the sample is milled to the thickness of 2μm. In this process, the ion beam is irradiating from the silicon substrate side to minimize the ion beam irradiation damages on the ArF photo resist patterns. EDX (EDX: Energy dispersive X-ray spectroscopy) analysis proved that no gallium ions were detected on the surface of the ArF photo resist patterns. The feasibility of high accelerating voltage observation of STEM to observe line edge roughness of a thick sample like 2μm without shrinkage has been demonstrated.

  6. Treatment Options for Carbapenem-Resistant and Extensively Drug-Resistant Acinetobacter baumannii Infections

    Science.gov (United States)

    Viehman, J. Alexander; Nguyen, Minh-Hong; Doi, Yohei

    2014-01-01

    Acinetobacter baumannii is a leading cause of healthcare-associated infections worldwide. Due to various intrinsic and acquired mechanisms of resistance, most β-lactam agents are not effective against many strains, and carbapenems have played an important role in therapy. Recent trends show many infections are caused by carbapenem-resistant, or even extensively drug-resistant (XDR) strains, for which effective therapy is not well established. Evidence to date suggests that colistin constitutes the backbone of therapy, but the unique pharmacokinetic properties of colistin have led many to suggest the use of combination antimicrobial therapy. However, the combination of agents and dosing regimens that delivers the best clinical efficacy while minimizing toxicity is yet to be defined. Carbapenems, sulbactam, rifampin and tigecycline have been the most studied in the context of combination therapy. Most data regarding therapy for invasive, resistant A. baumannii infections come from uncontrolled case series and retrospective analyses, though some clinical trials have been completed and others are underway. Early institution of appropriate antimicrobial therapy is shown to consistently improve survival of patients with carbapenem-resistant and XDR A. baumannii infection, but the choice of empiric therapy in these infections remains an open question. This review summarizes the most current knowledge regarding the epidemiology, mechanisms of resistance, and treatment considerations of carbapenem-resistant and XDR A. baumannii. PMID:25091170

  7. Nutritional supplementation increases Rifampin exposure among tuberculosis patients coinfected with HIV

    DEFF Research Database (Denmark)

    Jeremiah, Kidola; Denti, Paolo; Chigutsa, Emmanuel

    2014-01-01

    Nutritional supplementation to tuberculosis (TB) patients has been associated with increased weight and reduced mortality, but its effect on the pharmacokinetics of first-line anti-TB drugs is unknown. A cohort of 100 TB patients (58 men; median age, 35 [interquartile range {IQR}, 29 to 40] years......, and median body mass index [BMI], 18.8 [17.3 to 19.9] kg/m(2)) were randomized to receive nutritional supplementation during the intensive phase of TB treatment. Rifampin plasma concentrations were determined after 1 week and 2 months of treatment. The effects of nutritional supplementation, HIV, time...... on nutritional supplementation achieved higher Cmax and AUC0-24 values of 6.4 μg/ml and 31.6 μg · h/ml, respectively, and only 13.3% bioavailability reduction. No effect of the SLCO1B1 rs4149032 genotype was observed. In conclusion, nutritional supplementation during the first 2 months of TB treatment reduces...

  8. Antimicrobial resistance patterns in outpatient urinary tract infections ...

    African Journals Online (AJOL)

    Background. There is a global emergence of resistance against commonly prescribed antibiotics. Empirical antibiotic prescribing should be guided by local antimicrobial susceptibility patterns. Aim. To identify organisms and determine antibiotic susceptibility in urinary tract infections (UTIs) at 3 Military Hospital, Bloemfontein ...

  9. Resist Parameter Extraction from Line-and-Space Patterns of Chemically Amplified Resist for Extreme Ultraviolet Lithography

    Science.gov (United States)

    Kozawa, Takahiro; Oizumi, Hiroaki; Itani, Toshiro; Tagawa, Seiichi

    2010-11-01

    The development of extreme ultraviolet (EUV) lithography has progressed owing to worldwide effort. As the development status of EUV lithography approaches the requirements for the high-volume production of semiconductor devices with a minimum line width of 22 nm, the extraction of resist parameters becomes increasingly important from the viewpoints of the accurate evaluation of resist materials for resist screening and the accurate process simulation for process and mask designs. In this study, we demonstrated that resist parameters (namely, quencher concentration, acid diffusion constant, proportionality constant of line edge roughness, and dissolution point) can be extracted from the scanning electron microscopy (SEM) images of patterned resists without the knowledge on the details of resist contents using two types of latest EUV resist.

  10. Prevalence of current patterns and predictive trends of multidrug-resistant Salmonella Typhi in Sudan

    Directory of Open Access Journals (Sweden)

    Ayman A. Elshayeb

    2017-11-01

    Full Text Available Abstract Background Enteric fever has persistence of great impact in Sudanese public health especially during rainy season when the causative agent Salmonella enterica serovar Typhi possesses pan endemic patterns in most regions of Sudan - Khartoum. Objectives The present study aims to assess the recent state of antibiotics susceptibility of Salmonella Typhi with special concern to multidrug resistance strains and predict the emergence of new resistant patterns and outbreaks. Methods Salmonella Typhi strains were isolated and identified according to the guidelines of the International Standardization Organization and the World Health Organization. The antibiotics susceptibilities were tested using the recommendations of the Clinical Laboratories Standards Institute. Predictions of emerging resistant bacteria patterns and outbreaks in Sudan were done using logistic regression, forecasting linear equations and in silico simulations models. Results A total of 124 antibiotics resistant Salmonella Typhi strains categorized in 12 average groups were isolated, different patterns of resistance statistically calculated by (y = ax − b. Minimum bactericidal concentration’s predication of resistance was given the exponential trend (y = n ex and the predictive coefficient R2 > 0 < 1 are approximately alike. It was assumed that resistant bacteria occurred with a constant rate of antibiotic doses during the whole experimental period. Thus, the number of sensitive bacteria decreases at the same rate as resistant occur following term to the modified predictive model which solved computationally. Conclusion This study assesses the prediction of multi-drug resistance among S. Typhi isolates by applying low cost materials and simple statistical methods suitable for the most frequently used antibiotics as typhoid empirical therapy. Therefore, bacterial surveillance systems should be implemented to present data on the aetiology and current

  11. Dietary patterns and the insulin resistance phenotype among non-diabetic adults

    Science.gov (United States)

    Background: Information on the relation between dietary patterns derived by cluster analysis and insulin resistance is scarce. Objective: To compare insulin resistance phenotypes, including waist circumference, body mass index, fasting and 2-hour post-challenge insulin, insulin sensitivity index (I...

  12. Antibiotic resistance patterns of outpatient pediatric urinary tract infections.

    Science.gov (United States)

    Edlin, Rachel S; Shapiro, Daniel J; Hersh, Adam L; Copp, Hillary L

    2013-07-01

    We characterize the current national patterns of antibiotic resistance of outpatient pediatric urinary tract infection. We examined outpatient urinary isolates from patients younger than 18 years in 2009 using The Surveillance Network®, a database with antibiotic susceptibility results and patient demographic data from 195 United States hospitals. We determined the prevalence and antibiotic resistance patterns for the 6 most common uropathogens, ie Escherichia coli, Proteus mirabilis, Klebsiella, Enterobacter, Pseudomonas aeruginosa and Enterococcus. We compared differences in uropathogen prevalence between males and females using chi-square analysis. We identified 25,418 outpatient urinary isolates. E. coli was the most common uropathogen overall but the prevalence of E. coli was higher among females (83%) than males (50%, p Resistance among E. coli was highest for trimethoprim-sulfamethoxazole (24%) but lower for nitrofurantoin (less than 1%) and cephalothin (15%). Compared to 2002 Surveillance Network data, E. coli resistance rates increased for trimethoprim-sulfamethoxazole (from 23% to 31% in males and from 20% to 23% in females) and ciprofloxacin (from 1% to 10% and from 0.6% to 4%, respectively). E. coli remains the most common pediatric uropathogen. Although widely used, trimethoprim-sulfamethoxazole is a poor empirical choice for pediatric urinary tract infections in many areas due to high resistance rates. First-generation cephalosporins and nitrofurantoin are appropriate narrow-spectrum alternatives given their low resistance rates. Local antibiograms should be used to assist with empirical urinary tract infection treatment. Copyright © 2013 American Urological Association Education and Research, Inc. Published by Elsevier Inc. All rights reserved.

  13. Antibiotic resistance patterns of pediatric community-acquired urinary infections

    OpenAIRE

    Guidoni, Eliana Biondi Medeiros; Berezin, Eitan N.; Nigro, Stanley; Santiago, Nataly A; Benini, Vanda; Toporovski, Julio

    2008-01-01

    Knowledge about antimicrobial resistance patterns of the etiological agents of urinary tract infections (UTIs) is essential for appropriate therapy. Urinary isolates from symptomatic UTI cases attended at Santa Casa University Hospital of São Paulo from August 1986 to December 1989 and August 2004 to December 2005 were identified by conventional methods. Antimicrobial resistance testing was performed by Kirby Bauer's disc diffusion method. Among the 257 children, E. coli was found in 77%. A h...

  14. Characterization of mutations causing rifampicin and isoniazid resistance of Mycobacterium tuberculosis in Syria.

    Science.gov (United States)

    Madania, Ammar; Habous, Maya; Zarzour, Hana; Ghoury, Ifad; Hebbo, Barea

    2012-01-01

    In order to characterize mutations causing rifampicin and isoniazid resistance of M. tuberculosis in Syria, 69 rifampicin resistant (Rif(r)) and 72 isoniazid resistant (Inh(r)) isolates were screened for point mutations in hot spots of the rpoB, katG and inhA genes by DNA sequencing and real time PCR. Of 69 Rif(r) isolates, 62 (90%) had mutations in the rifampin resistance determining region (RRDR) of the rpoB gene, with codons 531 (61%), 526 (13%), and 516 (8.7%) being the most commonly mutated. We found two new mutations (Asp516Thr and Ser531Gly) described for the first time in the rpoB-RRDR in association with rifampicin resistance. Only one mutation (Ile572Phe) was found outside the rpoB-RRDR. Of 72 Inh(r) strains, 30 (41.6%) had a mutation in katGcodon315 (with Ser315Thr being the predominant alteration), and 23 (32%) harbored the inhA(-15C-->T) mutation. While the general pattern of rpoB-RRDR and katG mutations reflected those found worldwide, the prevalence of the inhA(-15C-->T mutation was above the value found in most other countries, emphasizing the great importance of testing the inhA(-15C-->T) mutation for prediction of isoniazid resistance in Syria. Sensitivity of a rapid test using real time PCR and 3'-Minor groove binder (MGB) probes in detecting Rif(r) and Inh(r) isolates was 90% and 69.4%, respectively. This demonstrates that a small set of MGB-probes can be used in real time PCR in order to detect most mutations causing resistance to rifampicin and isoniazid.

  15. Multidrug-resistant pattern of food borne illness associated bacteria ...

    African Journals Online (AJOL)

    This study aimed at determining anti-microbial resistance pattern of food borne illness ... bial drugs in the pharmaceutical pipeline.2 The effective- ness of ... Materials and methods ... selected based on local availability, clinical efficiency, liter-.

  16. Determination of antibiotic resistance of lactic acid bacteria isolated from traditional Turkish fermented dairy products.

    Science.gov (United States)

    Erginkaya, Z; Turhan, E U; Tatlı, D

    2018-01-01

    In this study, the antibiotic resistance (AR) of lactic acid bacteria (LAB) isolated from traditional Turkish fermented dairy products was investigated. Yogurt, white cheese, tulum cheese, cokelek, camız cream and kefir as dairy products were collected from various supermarkets. Lactic acid bacteria such as Lactobacillus spp., Streptococcus spp., Bifidobacterium spp., and Enterecoccus spp. were isolated from these dairy products. Lactobacillus spp. were resistant to vancomycin (58%), erythromycin (10.8%), tetracycline (4.3%), gentamicin (28%), and ciprofloxacin (26%). Streptococcus spp. were resistant to vancomycin (40%), erythromycin (10%), chloramphenicol (10%), gentamicin (20%), and ciprofloxacin (30%). Bifidobacterium spp. were resistant to vancomycin (60%), E 15 (6.6%), gentamicin (20%), and ciprofloxacin (33%). Enterococcus spp. were resistant to vancomycin (100%), erythromycin (100%), rifampin (100%), and ciprofloxacin (100%). As a result, LAB islated from dairy products in this study showed mostly resistance to vancomycin.

  17. A Resistance-Nodulation-Cell Division Family Xenobiotic Efflux Pump in an Obligate Anaerobe, Porphyromonas gingivalis

    OpenAIRE

    Ikeda, Takeshi; Yoshimura, Fuminobu

    2002-01-01

    Porphyromonas gingivalis, a gram-negative obligate anaerobe, contains two homologs of an Escherichia coli resistance-nodulation-cell division-type multidrug exporter gene, acrB, in putative operons, together with homologs of membrane fusion protein gene acrA and outer membrane channel gene tolC. MIC determination and accumulation assays with mutants with disruptions of one or more genes showed that one cluster, named xepCAB, pumped out multiple agents including rifampin, puromycin, and ethidi...

  18. Patterns in Soil Electrical Resistivity Across Land Uses in the Calhoun Critical Zone Observatory Landscape

    Science.gov (United States)

    Markewitz, D.; Sutter, L.; Richter, D. D., Jr.

    2017-12-01

    Soil Electrical Resistivity Tomography (ERT) was measured across the Calhoun Critical Zone Observatory in relation to land use cover. ERT can help identify patterns in soil and saprolite physical attributes and moisture content through multiple meters. ERT data were generated with an AGI Supersting R8 with a 28 probe dipole-dipole array on a 1.5 meter spacing providing information through the upper 9 m. In Nov/Dec 2016 ten soil pits were dug to 3m depth in agricultural fields, pine forests, and hardwood forests across the CCZO and ERT measures were taken centered on these pits. ERT values ranged from 200 to 2500 Ohm-m. ERT patterns in the agricultural field demonstrated a limited resistivity gradient (200-700 Ohm-m) appearing moist throughout. In contrast, research areas under pine and hardwood forest had stronger resistivity gradients reflecting both moisture and physical attributes (i.e., texture or rock content). For example, research area 2 under pine had an area of higher resistivity that correlated with a band of saprolite that was readily visible in the exposed profile. In research area 7 and 8 that included both pine and hardwood forest resistivity gradients had contradictory patterns of high to low resistivity from top to bottom. In research area 7 resistivity was highest at the surface and decreased with depth, a common pattern when water table is at depth. In research area 8 the inverse was observed with low resistivity above and resistivity increasing with depth, a pattern observed in upper landscape positions on ridges with moist clay above dry saprolite. ERT patterns did reflect a large difference in the measured agricultural fields compared to forest while other difference appeared to reflect landscape position.

  19. Antibiotic resistant pattern of environmental isolates of Listeria ...

    African Journals Online (AJOL)

    Incidence of Listeria monocytogenes in cow manure, agricultural soil, and common vegetables sold in major markets in Ado-Ekiti, Nigeria was determined. Antibiotic resistant pattern of the isolates was examined by paper disk assay. A total of 196 environmental samples were cultured on a selective medium out of which ...

  20. Systematic drug screening reveals specific vulnerabilities and co-resistance patterns in endocrine-resistant breast cancer.

    Science.gov (United States)

    Kangaspeska, Sara; Hultsch, Susanne; Jaiswal, Alok; Edgren, Henrik; Mpindi, John-Patrick; Eldfors, Samuli; Brück, Oscar; Aittokallio, Tero; Kallioniemi, Olli

    2016-07-04

    The estrogen receptor (ER) inhibitor tamoxifen reduces breast cancer mortality by 31 % and has served as the standard treatment for ER-positive breast cancers for decades. However, 50 % of advanced ER-positive cancers display de novo resistance to tamoxifen, and acquired resistance evolves in 40 % of patients who initially respond. Mechanisms underlying resistance development remain poorly understood and new therapeutic opportunities are urgently needed. Here, we report the generation and characterization of seven tamoxifen-resistant breast cancer cell lines from four parental strains. Using high throughput drug sensitivity and resistance testing (DSRT) with 279 approved and investigational oncology drugs, exome-sequencing and network analysis, we for the first time, systematically determine the drug response profiles specific to tamoxifen resistance. We discovered emerging vulnerabilities towards specific drugs, such as ERK1/2-, proteasome- and BCL-family inhibitors as the cells became tamoxifen-resistant. Co-resistance to other drugs such as the survivin inhibitor YM155 and the chemotherapeutic agent paclitaxel also occurred. This study indicates that multiple molecular mechanisms dictate endocrine resistance, resulting in unexpected vulnerabilities to initially ineffective drugs, as well as in emerging co-resistances. Thus, combatting drug-resistant tumors will require patient-tailored strategies in order to identify new drug vulnerabilities, and to understand the associated co-resistance patterns.

  1. Antibiotic resistance patterns of pediatric community-acquired urinary infections

    Directory of Open Access Journals (Sweden)

    Eliana Biondi Medeiros Guidoni

    Full Text Available Knowledge about antimicrobial resistance patterns of the etiological agents of urinary tract infections (UTIs is essential for appropriate therapy. Urinary isolates from symptomatic UTI cases attended at Santa Casa University Hospital of São Paulo from August 1986 to December 1989 and August 2004 to December 2005 were identified by conventional methods. Antimicrobial resistance testing was performed by Kirby Bauer's disc diffusion method. Among the 257 children, E. coli was found in 77%. A high prevalence of resistance was observed against ampicillin and TMP/SMX (55% and 51%. The antibiotic resistance rates for E. coli were: nitrofurantoin (6%, nalidixic acid (14%, 1st generation cephalosporin (13%, 3rd generation cephalosporins (5%, aminoglycosides (2%, norfloxacin (9% and ciprofloxacin (4%. We found that E. coli was the predominant bacterial pathogen of community-acquired UTIs. We also detected increasing resistance to TMP/SMX among UTI pathogens in this population.

  2. Sub-30 nm patterning of molecular resists based on crosslinking through tip based oxidation

    Science.gov (United States)

    Lorenzoni, Matteo; Wagner, Daniel; Neuber, Christian; Schmidt, Hans-Werner; Perez-Murano, Francesc

    2018-06-01

    Oxidation Scanning Probe Lithography (o-SPL) is an established method employed for device patterning at the nanometer scale. It represents a feasible and inexpensive alternative to standard lithographic techniques such as electron beam lithography (EBL) and nanoimprint lithography (NIL). In this work we applied non-contact o-SPL to an engineered class of molecular resists in order to obtain crosslinking by electrochemical driven oxidation. By patterning and developing various resist formulas we were able to obtain a reliable negative tone resist behavior based on local oxidation. Under optimal conditions, directly written patterns can routinely reach sub-30 nm lateral resolution, while the final developed features result wider, approaching 50 nm width.

  3. Drug resistance patterns in pulmonary tuberculosis

    International Nuclear Information System (INIS)

    Khoharo, H.K.; Shaikh, I.A.

    2011-01-01

    Objective: To determine the resistance patterns of mycobacterium tuberculosis (MTB) isolates among category I and II patients of pulmonary tuberculosis. Methods: This cross sectional study was conducted at the Department of Medicine, Liaquat University of Medical and Health Sciences Jamshoro, from November 2008 to September 2009. Patients were divided into category I and II. The sputa were collected, stained with Ziehl-Nielsen (Z-N) staining and ultimately inoculated on Lowenstein-Jensen (L-J) media for six weeks. Out of 890 pulmonary tuberculosis (PTB) patients, the growth was obtained in 285 cases. The Drug sensitivity testing (DST) for Isoniazid (INH), Rifampicin (RIF), Ethambutol (EMB) Pyrazinamide (PZA) and Streptomycin (SM) were performed. The data was analyzed on SPSS 10.0. A p-value of <0.05 was taken as significant. Result: Out of 285 cases, 176 (61.75%) were male and 109 (38.24%) female. The mean age was 37 +- 19.90 years. The DST showed drug sensitive and drug resistant isolates in 80 (28.05%) and 205 (71.92%) cases respectively (p=0.001). The drug resistant tuberculosis (DR-TB) rates for individual drugs; INH, RIF, EMB, PZA and SM were 51,22%, 15.4%, 13.33%, 9%12, and 3.85% respectively (p=0.03). The MDR-TB isolates were detected in 120 (42.10%) cases, including 5 (5.88%) in category I and 115 (57.50%) in category II patients (p=0.0001). Conclusion: Drug resistant and multidrug resistant tuberculosis was observed mainly in category II patients. However, primary MDR was also observed in category I patients and reflects dissemination of MDR cases within the community. (author)

  4. A comparison of inpatient versus outpatient resistance patterns of pediatric urinary tract infection.

    Science.gov (United States)

    Saperston, Kara N; Shapiro, Daniel J; Hersh, Adam L; Copp, Hillary L

    2014-05-01

    Prior single center studies showed that antibiotic resistance patterns differ between outpatients and inpatients. We compared antibiotic resistance patterns for urinary tract infection between outpatients and inpatients on a national level. We examined outpatient and inpatient urinary isolates from children younger than 18 years using The Surveillance Network (Eurofins Scientific, Luxembourg, Luxembourg), a database of antibiotic susceptibility results, as well as patient demographic data from 195 American hospitals. We determined the prevalence and antibiotic resistance patterns of the 6 most common uropathogens, including Escherichia coli, Proteus mirabilis, Klebsiella, Enterobacter, Pseudomonas aeruginosa and Enterococcus. We compared differences in uropathogen prevalence and resistance patterns for outpatient and inpatient isolates using chi-square analysis. We identified 25,418 outpatient (86% female) and 5,560 inpatient (63% female) urinary isolates. Escherichia coli was the most common uropathogen overall but its prevalence varied by gender and visit setting, that is 79% of uropathogens overall for outpatient isolates, including 83% of females and 50% of males, compared to 54% for overall inpatient isolates, including 64% of females and 37% of males (p resistance to many antibiotics was lower in the outpatient vs inpatient setting, including trimethoprim/sulfamethoxazole 24% vs 30% and cephalothin 16% vs 22% for E. coli (each p resistance rates of several antibiotics are higher for urinary specimens obtained from inpatients vs outpatients. Separate outpatient vs inpatient based antibiograms can aid in empirical prescribing for pediatric urinary tract infections. Copyright © 2014 American Urological Association Education and Research, Inc. Published by Elsevier Inc. All rights reserved.

  5. Changes in Bacterial Resistance Patterns of Pediatric Urinary Tract Infections and Rationale for Empirical Antibiotic Therapy

    OpenAIRE

    İbrahim Gökçe; Neslihan Çiçek; Serçin Güven; Ülger Altuntaş; Neşe Bıyıklı; Nurdan Yıldız; Harika Alpay

    2017-01-01

    Background: The causative agent spectrum and resistance patterns of urinary tract infections in children are affected by many factors. Aims: To demonstrate antibiotic resistance in urinary tract infections and changing ratio in antibiotic resistance by years. Study Design: Retrospective cross-sectional study. Methods: We analysed antibiotic resistance patterns of isolated Gram (-) bacteria during the years 2011-2014 (study period 2) in children with urinary tract infections. We...

  6. Rapid detection of drug resistance and mutational patterns of extensively drug-resistant strains by a novel GenoType® MTBDRsl assay

    Directory of Open Access Journals (Sweden)

    A K Singh

    2013-01-01

    Full Text Available Background: The emergence of extensively drug-resistant tuberculosis (XDR-TB is a major concern in the India. The burden of XDR-TB is increasing due to inadequate monitoring, lack of proper diagnosis, and treatment. The GenoType ® Mycobacterium tuberculosis drug resistance second line (MTBDRsl assay is a novel line probe assay used for the rapid detection of mutational patterns conferring resistance to XDR-TB. Aim: The aim of this study was to study the rapid detection of drug resistance and mutational patterns of the XDR-TB by a novel GenoType ® MTBDRsl assay. Materials and Methods: We evaluated 98 multidrug-resistant (MDR M. tuberculosis isolates for second line drugs susceptibility testing by 1% proportion method (BacT/ALERT 3D system and GenoType ® MTBDRsl assay for rapid detection of conferring drug resistance to XDR-TB. Results: A total of seven (17.4% were identified as XDR-TB by using standard phenotypic method. The concordance between phenotypic and GenoType ® MTBDRsl assay was 91.7-100% for different antibiotics. The sensitivity and specificity of the MTBDRsl assay were 100% and 100% for aminoglycosides; 100% and 100% for fluoroquinolones; 91.7% and 100% for ethambutol. The most frequent mutations and patterns were gyrA MUT1 (A90V in seven (41.2% and gyrA + WT1-3 + MUT1 in four (23.5%; rrs MUT1 (A1401G in 11 (64.7%, and rrs WT1-2 + MUT1 in eight (47.1%; and embB MUT1B (M306V in 11 (64.7% strains. Conclusions: These data suggest that the GenoType ® MTBDRsl assay is rapid, novel test for detection of resistance to second line anti-tubercular drugs. This assay provides additional information about the frequency and mutational patterns responsible for XDR-TB resistance.

  7. Associations of erythrocyte fatty acid patterns with insulin resistance

    Science.gov (United States)

    Background: Synergistic and/or additive effects on cardiometabolic risk may be missed by examining individual fatty acids (FA). A pattern analysis may be a more useful approach. As well, it remains unclear whether erythrocyte fatty acid composition relates to insulin resistance among Hispanic/Latino...

  8. Changing patterns of drug-resistant Shigella isolates in egypt.

    Science.gov (United States)

    Abd-Elmeged, Ghada M; Khairy, Rasha M; Abo-Eloyoon, Sahar M; Abdelwahab, Sayed F

    2015-06-01

    The emergence of multidrug resistance (MDR) is a serious problem in treating shigellosis. There are limited existing data examining the change in the antimicrobial resistance profile of Shigella in Egypt. We previously reported that 58% of the Shigella isolates in Egypt were resistant to at least one member of the three different antimicrobial groups. This study was performed to determine the antimicrobial resistance profile of Shigella, determine their possible mechanisms of resistance, and compare their resistance profile to those reported 20 years ago. Stool samples were collected from 500 subjects and processed for the isolation and identification of Shigella. The susceptibility of the isolates to 11 different antimicrobials was determined using the disc diffusion method. Of 500 stool cultures, 24 (4.8%) samples were positive for Shigella. There was a high percentage of resistance to ampicillin (88%), tetracycline (83%), and sulfamethoxazole-trimethoprim (75%). Also, there was a moderate percentage of resistance to chloramphenicol (46%), streptomycin (42%), ceftazidime (33%), and cefotaxime (25%). A lower percentage of resistance was recorded for amikacin, nalidixic acid (17% each), and ofloxacin (7%), while no resistance was found to ciprofloxacin (0%). Twenty-one of the isolates (88%) were resistant to at least three different antimicrobial groups (indicating MDR). The average number of antimicrobial agents to which the Shigella isolates were resistant was 4.3±1.4, while it was 3.4±1.5 in the same locality in 1994. These data demonstrate that there is a marked increase in MDR and change in the resistance patterns of Shigella over the past 20 years.

  9. Antibiotic resistance monitoring in Vibrio spp. isolated from rearing environment and intestines of abalone Haliotis diversicolor.

    Science.gov (United States)

    Wang, R X; Wang, J Y; Sun, Y C; B L Yang; A L Wang

    2015-12-30

    546 Vibrio isolates from rearing seawater (292 strains) and intestines of abalone (254 strains) were tested to ten antibiotics using Kirby-Bauer diffusion method. Resistant rates of abalone-derived Vibrio isolates to chloramphenicol (C), enrofloxacin (ENX) and norfloxacin (NOR) were 40%) to kanamycin (KNA), furazolidone (F), tetracycline (TE), gentamicin (GM) and rifampin (RA). 332 isolates from seawater (n=258) and abalone (n=74) were resistant to more than three antibiotics. Peaked resistant rates of seawater-derived isolates to multiple antibiotics were overlapped in May and August. Statistical analysis showed that pH had an important effect on resistant rates of abalone-derived Vibrio isolates to RA, NOR, and ENX. Salinity and dissolved oxygen were negatively correlated with resistant rates of seawater-derived Vibrio isolates to KNA, RA, and PG. Copyright © 2015 Elsevier Ltd. All rights reserved.

  10. Resistance patterns of bacterial isolates to antimicrobials from 3 hospitals in the United Arab Emirates

    International Nuclear Information System (INIS)

    AlDhaheri, Ahmed S; AlNiyadi, Mohammed S; AlDhaheri Ahmed D; Bastaki, Salim M

    2009-01-01

    To compare the resistance pattern of common bacterial pathogens to commonly used drugs. Information and statistics of antimicrobial resistance for 1994 and 2005 were collected from the 3 hospital microbiology laboratories in the United Arab Emirates. The resistance patterns of Staphylococcus aureus, Escherichia coli, Klebsiella spp, and Pseudomonas aeruginosa to several front-line drugs were estimated. All laboratories used automatic machines (Vitek 2), which identifies and determines minimum inhibitory concentrations simultaneously. Increased resistance was observed for Staphylococcus aureus, (n=315, 2005) to erythromycin (approximately 6 fold, Al-Ain Hospital only), cloxacillin (Al-Ain Hospital), and gentamicin (more than 3-10 folds in all hospitals). Increased penicillin resistance was not observed. For the common Gram-negative organisms, there was a high resistance to ampicillin, gentamicin, ceftriaxone, ciprofloxacin, and imipenem, which seemed to increase for Escherichia coli, (by 4.2-200%, n=305, 2005); however, there was very little resistance to imipenem (0.4%) in Tawam Hospital. Variable resistance patterns were obtained for Pseudomonas aeruginosa (n=316, 2005) and Klebsiella spp,(n=316, 2005) against aminoglycosides, cephalosporins, ciprofloxacin, and norfloxacin. Overall, there was an obvious increase in resistance of bacteria and the prevalence rate to a number of drugs from 1-120 folds during the 11-year period. (author)

  11. Management of multidrug-resistant tuberculosis in human immunodeficiency virus patients

    Science.gov (United States)

    Jamil, K. F.

    2018-03-01

    Tuberculosis (TB) is a chronic infectious disease mainly caused by Mycobacterium tuberculosis(MTB). 10.4 million new TB cases will appear in 2015 worldwide. There were an estimated 1.4 million TB deaths in 2015, and an additional 0.4 million deaths resulting from TB disease among people living with human immunodeficiency virus (HIV). Multidrug- resistant and extensively drug-resistant tuberculosis (MDR and XDR-TB) are major public health concerns worldwide. 480.000 new cases of MDR-TB will appear in 2015 and an additional 100,000 people with rifampicin-resistant TB (RR-TB) who were also newly eligible for MDR-TB treatment. Their association with HIV infection has contributed to the slowing down of TB incidence decline over the last two decades, therefore representing one important barrier to reach TB elimination. Patients infected with MDR-TB require more expensive treatment regimens than drug-susceptible TB, with poor treatment.Patients with multidrug- resistant tuberculosis do not receive rifampin; drug interactions risk is markedly reduced. However, overlapping toxicities may limit options for co-treatment of HIV and multidrug- resistant tuberculosis.

  12. Series Resistance Analysis of Passivated Emitter Rear Contact Cells Patterned Using Inkjet Printing

    Directory of Open Access Journals (Sweden)

    Martha A. T. Lenio

    2012-01-01

    Full Text Available For higher-efficiency solar cell structures, such as the Passivated Emitter Rear Contact (PERC cells, to be fabricated in a manufacturing environment, potentially low-cost techniques such as inkjet printing and metal plating are desirable. A common problem that is experienced when fabricating PERC cells is low fill factors due to high series resistance. This paper identifies and attempts to quantify sources of series resistance in inkjet-patterned PERC cells that employ electroless or light-induced nickel-plating techniques followed by copper light-induced plating. Photoluminescence imaging is used to determine locations of series resistance losses in these inkjet-patterned and plated PERC cells.

  13. Systematic drug screening reveals specific vulnerabilities and co-resistance patterns in endocrine-resistant breast cancer

    International Nuclear Information System (INIS)

    Kangaspeska, Sara; Hultsch, Susanne; Jaiswal, Alok; Edgren, Henrik; Mpindi, John-Patrick; Eldfors, Samuli; Brück, Oscar; Aittokallio, Tero; Kallioniemi, Olli

    2016-01-01

    The estrogen receptor (ER) inhibitor tamoxifen reduces breast cancer mortality by 31 % and has served as the standard treatment for ER-positive breast cancers for decades. However, 50 % of advanced ER-positive cancers display de novo resistance to tamoxifen, and acquired resistance evolves in 40 % of patients who initially respond. Mechanisms underlying resistance development remain poorly understood and new therapeutic opportunities are urgently needed. Here, we report the generation and characterization of seven tamoxifen-resistant breast cancer cell lines from four parental strains. Using high throughput drug sensitivity and resistance testing (DSRT) with 279 approved and investigational oncology drugs, exome-sequencing and network analysis, we for the first time, systematically determine the drug response profiles specific to tamoxifen resistance. We discovered emerging vulnerabilities towards specific drugs, such as ERK1/2-, proteasome- and BCL-family inhibitors as the cells became tamoxifen-resistant. Co-resistance to other drugs such as the survivin inhibitor YM155 and the chemotherapeutic agent paclitaxel also occurred. This study indicates that multiple molecular mechanisms dictate endocrine resistance, resulting in unexpected vulnerabilities to initially ineffective drugs, as well as in emerging co-resistances. Thus, combatting drug-resistant tumors will require patient-tailored strategies in order to identify new drug vulnerabilities, and to understand the associated co-resistance patterns. The online version of this article (doi:10.1186/s12885-016-2452-5) contains supplementary material, which is available to authorized users

  14. In situ analysis of negative-tone resist pattern formation using organic-solvent-based developer process

    Science.gov (United States)

    Santillan, Julius Joseph; Yamada, Keisaku; Itani, Toshiro

    2014-01-01

    In situ resistpattern formation” analysis during the development process using high-speed atomic force microscopy has been improved for application not only for conventional aqueous 0.26 N tetramethylammonium hydroxide (aq. TMAH), but also organic solvent n-butyl acetate (nBA) developers. Comparative investigations of resist dissolution in these developers, using the same resist material (hybrid of polyhydroxystyrene and methacrylate), showed a grainlike, uniform dissolution of the “unexposed resist film” in nBA development and uneven dissolution of the “exposed resist film” in aq. TMAH development. These results suggest the importance of dissolution uniformity in further improving the resulting pattern line width roughness.

  15. The Influence of the Basic Styrofoam Patterns Final Shaping Parameters on the Resistance Properties

    Directory of Open Access Journals (Sweden)

    T. Pacyniak

    2012-12-01

    Full Text Available This work presents the analysis of the final shaping process of the patterns aimed at determining the influence of the pressure and the time of sintering on the resistance to bending. The analysis of the research results proved that when the pressure of the sintering rises and reaches Ps=2.1 bar the resistance to bending increases, above this level of the pressure the resistance value starts decreasing. The time of styrofoam sintering at which the highest bending resistance values were obtained is ts=90 s. When the sintering pressure is less than 2 bar prolongation of the time of sintering over 90 s causes a slight increase in the resistance, however, at higher pressures prolongation of the time of sintering causes submelting of the styrofoam pattern.

  16. The Influence of the Basic Styrofoam Patterns Final Shaping Parameters on the Resistance Properties

    Directory of Open Access Journals (Sweden)

    Pacyniak T.

    2012-12-01

    Full Text Available This work presents the analysis of the final shaping process of the patterns aimed at determining the influence of the pressure and the time of sintering on the resistance to bending. The analysis of the research results proved that when the pressure of the sintering rises and reaches Ps=2.1 bar the resistance to bending increases, above this level of the pressure the resistance value starts decreasing. The time of styrofoam sintering at which the highest bending resistance values were obtained is ts=90s. When the sintering pressure is less than 2 bar prolongation of the time of sintering over 90 s causes a slight increase in the resistance, however, at higher pressures prolongation of the time of sintering causes submelting of the styrofoam pattern.

  17. Dietary Patterns, Insulin Resistance, and Incidence of Type 2 Diabetes in the Whitehall II Study

    OpenAIRE

    McNaughton, Sarah A.; Mishra, Gita D.; Brunner, Eric J.

    2008-01-01

    OBJECTIVE?The aim of this study was to identify a dietary pattern associated with insulin resistance and investigate whether this pattern was prospectively associated with type 2 diabetes. RESEARCH DESIGN AND METHODS?Analysis was based on 7,339 participants of the Whitehall II study. Dietary intake was measured using a 127-item food frequency questionnaire. We used the reduced rank regression method to determine dietary patterns using the homeostasis model assessment of insulin resistance as ...

  18. Pattern of antibiotic resistant mastitis in dairy cows

    Directory of Open Access Journals (Sweden)

    D. Chandrasekaran

    2014-06-01

    Full Text Available Aim: To study the prevalence of drug resistant mastitis and their pattern of antibiotic resistance in dairy cows from Tamil Nadu. Materials and Methods: Isolation and identification of resistant pathogens were performed from acute clinical mastitis samples. Based on culture, isolation and sensitivity tests, cows with resistant mastitis were grouped as; Group I: Escherichia coli (n=119, Group II: Staphylococcus aureus (n=104 and Group III: Methicillin-resistant Staphylococcal aureus (MRSA (n=12. The isolates were tested using agar disc diffusion method for their antimicrobial susceptibility and modified resazurin assay microdilution technique for minimum inhibitory concentration (MIC to 8 antimicrobial drugs. The organisms were also confirmed for their identity by performing PCR on the bacterial pellet targeting the specific genes such as 16s-23s rRNA, mecA and blaZ respectively for the resistant pathogens and also confirmed by sequencing. Results: Antibiotic resistant mastitis was detected in 235 out of 401 cows accounting to 56.1%. The predominant resistant causative pathogen was E. coli (50.64% followed by S. aureus (44.25% and MRSA (5.11%. In vitro antibiotic sensitivity test and MIC breakpoints, E. coli, S. aureus and MRSA organisms showed more sensitivity to enrofloxacin, amoxicillin + sulbactam, gentamicin and ceftriaxone and had highest resistant to penicillin followed by amoxicillin, oxytetracycline and methicillin. E. coli and S. aureus isolates were found to be resistant to 1 or 2 antimicrobials, whereas most of the MRSA isolates were found to be multi-drug resistant i.e resistance to 3 or more of antimicrobials. Out of 235 milk samples, the specific target gene 16s-23s rRNA (E. coli , 16s-23s rRNA (S. aureus and MRSA (mecA and blaZ could be amplified from 119, 104 and 12 isolates with a percentage positivity of 50.64 (119/235, 89.64 (104/116 and 10.34 (12/116 respectively. Conclusion: Prevalence of antimicrobial resistance (AMR in

  19. Patterns of resistance to β-lactams and β-lactamase inhibitors in ...

    African Journals Online (AJOL)

    hope&shola

    2006-03-15

    Mar 15, 2006 ... Grupo de Estudo. Multicêntrico de Vigilância da Susceptibilidade aos Antibióticos,. Mecanismos de resistência aos β-lactâmicos em estirpes de. Escherichia coli de origem clínica. Arq. Med. 14: 71. Féria C, E Ferreira, JD Correia, J Gonçalves, M Caniça (2002). Patterns and mechanisms of resistance to β ...

  20. Etiology and antimicrobial resistance patterns in pediatric urinary tract infection.

    Science.gov (United States)

    Wang, Jun; He, Lijiao; Sha, Jintong; Zhu, Haobo; Huang, Liqu; Zhu, Xiaojiang; Dong, Jun; Li, Guogen; Ge, Zheng; Lu, Rugang; Ma, Geng; Shi, Yaqi; Guo, Yunfei

    2018-02-02

    Urinary tract infection (UTI) is one of most common pediatric infections. The aim of this study was to investigate the etiology and antimicrobial resistance patterns in children hospitalized at Children's Hospital of Nanjing Medical University. We conducted a retrospective, descriptive study of all UTI from 1 January 2013 to 30 November 2016 in children discharged from Nanjing Children's Hospital. The isolated pathogens and their resistance patterns were examined using midstream urine culture. A total of 2,316 children with UTI were included in the study. The occurrence rates of isolated pathogens were as follows: Enterococcus spp., 35.15%; Escherichia coli, 22.32%; Staphylococcus aureus spp., 7.73%; Streptococcus spp., 7.51%; and Klebsiella spp., 6.95%. Uropathogens had a low susceptibility to linezolid (3.47%), vancomycin (0.92%), imipenem (5.74%), and amikacin (3.17%), but they had a high susceptibility to erythromycin (90.52%), penicillin G (74.01%), cefotaxime (71.41%), cefazolin (73.41%), cefuroxime (72.52%), and aztreonam (70.11%). There is high antibiotic resistance in hospitalized children with UTI. Susceptibility testing should be carried out on all clinical isolates, and the empirical antibiotic treatment should be altered accordingly. © 2018 Japan Pediatric Society.

  1. Diversity of fecal coliforms and their antimicrobial resistance patterns in wastewater treatment model plant.

    Science.gov (United States)

    Luczkiewicz, A; Fudala-Ksiazek, S; Jankowska, K; Quant, B; Olańczuk-Neyman, K

    2010-01-01

    The occurrence of resistance patterns among wastewater fecal coliforms was determined in the study. Susceptibility of the isolates was tested against 19 antimicrobial agents: aminoglycosides, aztreonam, carbapenems, cephalosporines, beta-lactam/beta-lactamase inhibitors, penicillines, tetracycline, trimethoprim/sulfamethoxazole, and fluoroquinolones. Additionally the removal of resistant isolates was evaluated in the laboratory-scale wastewater treatment model plant (M-WWTP), continuously supplied with the wastewater obtained from the full-scale WWTP. Number of fecal coliforms in raw (after mechanical treatment) and treated wastewater, as well as in aerobic chamber effluent was determined using selective medium. The selected strains were identified and examined for antibiotic resistance using Phoenix Automated Microbiology System (BD Biosciences, USA). The strains were identified as Escherichia coli (n=222), Klebsiella pneumoniae ssp. ozaenae (n=9), and Pantoea agglomerans (n=1). The isolate of P. agglomerans as well as 48% of E. coli isolates were sensitive to all antimicrobials tested. The most frequent resistance patterns were found for ampicillin: 100% of K. pneumoniae ssp. ozaenae and 41% of E. coli isolates. Among E. coli isolates 12% was regarded as multiple antimicrobial resistant (MAR). In the studied M-WWTP, the applied activated sludge processes reduced considerably the number of fecal coliforms, but increased the ratio of antimicrobial-resistant E. coli isolates to sensitive ones, especially among strains with MAR patterns.

  2. Prevalence and antimicrobial susceptibility pattern of methicillin resistant Staphylococcus aureus: A multicentre study.

    Directory of Open Access Journals (Sweden)

    Rajaduraipandi K

    2006-01-01

    Full Text Available Purpose: Methicillin resistant Staphylococcus aureus (MRSA is an important nosocomial pathogen. We report the prevalence and antibiotic susceptibility pattern of MRSA in major southern districts of Tamilnadu. Methods: A total of 7172 clinical specimens and 1725 carrier screening samples were collected from different centers and subjected to MRSA screening using conventional microbiological methods. Subsequently the antibiotic sensitivity test was performed for the confirmed MRSA isolates. Results: Out of 906 strains of S. aureus isolated from clinical and carrier samples, 250 (31.1% and 39 (37.9% were found to be methicillin resistant respectively. Almost all clinical MRSA strains (99.6% were resistant to penicillin, 93.6% to ampicillin, and 63.2% towards gentamicin, co-trimoxazole, cephalexin, erythromycin, and cephotaxime. All MRSA strains (100% of carrier screening samples had resistance to penicillin and about 71.8% and 35.9% were resistant to ampicillin and co-trimoxazole respectively. Multidrug resistance was observed among 63.6% of clinical and 23% of carrier MRSA isolates. However, all strains of clinical and carrier subjects were sensitive to vancomycin. Conclusion: The determination of prevalence and antibiotic sensitivity pattern of MRSA will help the treating clinicians for first line treatment in referral hospitals.

  3. Microbiology and antimicrobial susceptibility of otitis externa: a changing pattern of antimicrobial resistance.

    Science.gov (United States)

    Heward, E; Cullen, M; Hobson, J

    2018-04-01

    Otitis externa is a common presentation to secondary care otolaryngology clinics. Despite this, few studies have investigated the microbiology and antimicrobial resistance of otitis externa. This study aimed to examine these issues. Analysis identified 302 swabs taken from 217 patients (100 male, 117 female), between 1 January 2015 and 30 March 2016, at our rapid access otolaryngology clinic. In total, 315 organisms were isolated; the most frequent was Pseudomonas aeruginosa (31.1 per cent), followed by candida species (22.9 per cent) and Staphylococcus aureus (11.7 per cent). P aeruginosa was sensitive to ciprofloxacin in 97.7 per cent of cases and to gentamicin in 78.4 per cent. Compared with studies worldwide, the relative proportions of different organisms causing otitis externa and the patterns of antimicrobial resistance differ. Increasing resistance of P aeruginosa to aminoglycosides demonstrates a changing pattern of antimicrobial resistance that has not been previously reported. Reassuringly, quinolone antibiotics remain highly effective when treating P aeruginosa.

  4. Pattern of intensive phase treatment outcomes of multi-drug resistant ...

    African Journals Online (AJOL)

    Pattern of intensive phase treatment outcomes of multi-drug resistant tuberculosis in University of Port Harcourt Treatment Centre: a review of records from ... Data on patients' age, sex, HIV status, treatment outcomes were extracted from the hospital book records into a computer data sheet at the UPTH treatment centre.

  5. Resistance patterns to beta-lactams and quinolones in clinical isolates of bacteria from Cuban hospitals.

    Science.gov (United States)

    Gonzáles, I; Niebla, A; Vallin, C

    1995-01-01

    The resistance patterns to 26 beta-lactams and 8 quinolones of clinical isolates from Cuban hospitals were evaluated using the disk susceptibility test, according to the NCCLS guidelines (1992). The genera studied were Escherichia sp (320), Enterobacter sp (10), Klebsiella sp (90), Proteus sp (10), Pseudomonas sp (90), Serratia sp (20), and Staphylococcus sp (80). Higher resistance to beta-lactams was observed in the genera Pseudomonas, Escherichia and Klebsiella. For fluoroquinolones we found no significant resistance, with the exception of the genus Klebsiella. The most effective antibiotics were cephalosporins of the second and third generations, fluoroquinolones, and non-classical beta-lactams (cephamycins, moxalactam and monobactams). On the contrary, a pronounced resistance was found to penicillin, oxacillin, ticarcillin, ampicillin, methicillin, nalidixic acid and cinoxacin. These resistance patterns correspond to the high consumption of these antibiotics throughout the country.

  6. Evaluation of disease patterns, treatment and prognosis of tuberculosis in AIDS patient

    Directory of Open Access Journals (Sweden)

    Atomiya Angela Naomi

    2002-01-01

    Full Text Available Patterns of disease, diagnosis, treatment and prognosis of tuberculosis in 100 patients co-infected with AIDS at Casa da AIDS clinic was studied. Demographic characteristics were as follows: 76 male patients, 24 female patients, 67 caucasian, average 35.8 years-old (SD ± 8.5. Sexual transmission of HIV was reported in 68 patients. Pulmonary tuberculosis was seen in 40 patients, extrapulmonary in 11, and combined in 49 patients. In 63 patients, TCD4+ counts were below 200/mm³ when tuberculosis was diagnosed. Fifty-five patients had their diagnoses confirmed by bacteriological identification of Mycobacterium; either through direct observation and/or culture. Tuberculosis was treated with rifampin, isoniazid and pyrazinamide in 60 patients, reinforced treatment in 14 and alternative treatment in the other 13 patients. Tuberculosis therapy lasted up to 9 months in 66% of the patients. Fifty-four patients were treated with a two-drug antiretroviral regimen and the remaining 46 patients received a triple regimen, which included a protease inhibitor. Among the latter, 35 patients were co-treated with rifampin. The occurrence of hepatic liver enzyme abnormalities was statistically related to alternative antiretroviral regimens (p = 0.01 and to the co-administration of rifampin and protease inhibitor (p = 0.019. Clinical resolution of tuberculosis was obtained in 74 patients. Twelve patients died during tuberculosis treatment. Resolution of tuberculosis was statistically significant related to antituberculosis treatment adherence (p = 0.001. The risk of no response to the treatment was 1.84 times more frequent among patients treated with alternative regimens regardless of the duration of the therapy. We conclude that the characteristics of tuberculosis in HIV infected patients requires that special attention be directed to the types and duration of both antiretroviral and anti-TB therapy in order to achieve the highest level of care.

  7. Antibiotic Resistance Pattern and Biofilm Formation Ability of Clinically Isolates of Salmonella enterica Serotype typhimurium

    Directory of Open Access Journals (Sweden)

    Hadi Ghasemmahdi

    2015-05-01

    Full Text Available Background: The emergence of antimicrobial-resistant bacteria with biofilm formation ability may be a major threat to public health and food safety and sanitation. Objectives: The aim of this study was to determine antibiotic resistance patterns and biofilm production characteristics of Salmonella typhimurium isolated from different species of birds. Materials and Methods: The antibiotic resistance patterns of 38 pre-identified isolates were screened by standard Kirby-Bauer disc-diffusion method performed on Mueller–Hinton agar to a panel of 17 antibiotics. The extent of biofilm formation was measured by Microtiter plate (MTP-based systems. Results: The highest antimicrobial resistance was detected against nalidixic acid (97%, followed by doxycycline (86%, colistin (84%, streptomycin (84% and tetracycline (84%. All isolates were sensitive to amikacin (100% and 97% and 95% of the isolates were sensitive to ceftazidime and ceftriaxone, respectively. Twenty one different antibiotic resistance patterns were observed among S. typhimurium isolates. According to the results of the microtitre plate biofilm assay, there was a wide variation in biofilm forming ability among S. typhimurium isolates. Most of the isolates (60.52% were not capable of producing biofilm, while 26.31%, 7.89%, and 5.26% isolates were weak, strong and moderate biofilm producers, respectively. Conclusions: It was concluded that nearly all S. typhimurium isolates revealed a high multiple antibiotic resistant with low biofilm forming capabilities which proposed low association between biofilm formation and antibiotic resistance of a major food important pathogen.

  8. An Investigation of Antibiotic Resistance Pattern in the Strains of Methicillin-resistant Staphylococcus epidermidis Isolated From Clinical Samples in Isfahan Province, Iran

    Directory of Open Access Journals (Sweden)

    Fahimeh Nourbakhsh

    2016-08-01

    Full Text Available Background and Objectives: Staphylococcus epidermidis is one of the effective factors causing nosocomial infections. This study was performed to investigate the antibiotic resistance pattern in the methicillin-resistant S. epidermidis strains isolated from clinical samples in Isfahan Province. Methods: In this descriptive cross-sectional study, 150 isolates of S. epidermidis were isolated from detected from the patients hospitalized in hospitals and treatment centers of Isfahan City. The antibiotic resistance pattern was evaluated by disk diffusion method. The presence of the gene encoding antibiotic resistance to methicillin (mec A in the isolates were investigated using PCR method. Data were analyzed with Chi-square and Fisher's exact statistical tests. Results: In this study, most isolates were related to urinary tract infections. The highest resistance was reported to penicillin (98.9%, erythromycin (89.4%, ciprofloxacin (77.7%, clindamycin (65.9%, tetracycline (63.2%, and meticillin (54%. None of the strains showed resistance to vancomycin and linezolid. Molecular studies indicated the presence of mecA gene in 76% of the studied isolates. Conclusion: According to the results of this study, vancomycin and linezolid antibiotics can be the best choice of treatment for infections caused by S. epidermidis. Also, high resistance of S. epidermidis can be a serious warning for increased multiple antibiotic resistance. Molecular studies are indicative of high sensitivity of molecular methods in the investigation of methicillin-resistant isolates.  

  9. Strong In Vitro Activities of Two New Rifabutin Analogs against Multidrug-Resistant Mycobacterium tuberculosis ▿ †

    Science.gov (United States)

    García, Ana-Belén; Palacios, Juan J.; Ruiz, María-Jesús; Barluenga, José; Aznar, Fernando; Cabal, María-Paz; García, José María; Díaz, Natalia

    2010-01-01

    Two new rifabutin analogs, RFA-1 and RFA-2, show high in vitro antimycobacterial activities against Mycobacterium tuberculosis. MIC values of RFA-1 and RFA-2 were ≤0.02 μg/ml against rifamycin-susceptible strains and 0.5 μg/ml against a wide selection of multidrug-resistant strains, compared to ≥50 μg/ml for rifampin and 10 μg/ml for rifabutin. Molecular dynamic studies indicate that the compounds may exert tighter binding to mutants of RNA polymerase that have adapted to the rifamycins. PMID:20855731

  10. Directly patternable high refractive index ferroelectric sol–gel resist

    Energy Technology Data Exchange (ETDEWEB)

    Garoli, D., E-mail: denis.garoli@iit.it [Istituto Italiano di Tecnologia, Via Morego 16, 16136 Genova (Italy); Della Giustina, G. [Industrial Engineering Department, University of Padova and INSTM, Via Marzolo 9, 35131 Padova (Italy)

    2015-08-15

    The development of a ferroelectric negative tone sol–gel resist for Ultraviolet (UV) and Electron Beam (EB) lithography is presented. A new system based on Lead Zirconate Titanate (PZT, with formula PbZr{sub 0.52}Ti{sub 0.48}O{sub 3}) was synthesized by sol–gel method. The lithographic performances were investigated and several structures spanning from the micron range down to less than 50 nm have been achieved by UV and EB lithography. The system interaction with UV light and Electron beam was thoroughly characterized by FT-IT spectroscopy. The exposed PZT was annealed at high temperatures in order to study the crystalline phase evolution, the optical constants values and stability of patterned structures. After exposure and annealing, the refractive index of the material can vary from 1.68 up to 2.33 (@400 nm), while the ferroelectric behaviour seems to be maintained after high temperature annealing. These results suggest a possible application of PZT resist not only as ferroelectric but also as nanopatternable high refractive index material. Moreover, direct nanopatterning by means of Focused Ion Beam (FIB) lithography was verified and the potentiality for the preparation of high aspect ratio hollow nanostructures will be presented. - Highlights: • A new formula directly patternable PZT high refractive index resist is presented. • The gel is sensitive to both UV and electron beam exposure. • The refractive index can vary from 1.68 up to 2.33 (@400 nm). • Direct nanopatterning by means of Focused Ion Beam (FIB) lithography was verified. • High aspect ratio hollow nanostructures will be presented.

  11. Comparison of antibiotic resistance patterns in collections of Escherichia coli and Proteus mirabilis uropathogenic strains.

    Science.gov (United States)

    Adamus-Bialek, Wioletta; Zajac, Elzbieta; Parniewski, Pawel; Kaca, Wieslaw

    2013-04-01

    Escherichia coli and Proteus mirabilis are important urinary tract pathogens. The constant increase in the antibiotic resistance of clinical bacterial strains has become an important clinical problem. The aim of this study was to compare the antibiotic resistance of 141 clinical (Sweden and Poland) and 42 laboratory (Czech Republic) P. mirabilis strains and 129 clinical (Poland) uropathogenic E. coli strains. The proportion of unique versus diverse patterns in Swedish clinical and laboratory P. mirabilis strain collections was comparable. Notably, a similar proportion of unique versus diverse patterns was observed in Polish clinical P. mirabilis and E. coli strain collections. Mathematical models of the antibiotic resistance of E. coli and P. mirabilis strains based on Kohonen networks and association analysis are presented. In contrast to the three clinical strain collections, which revealed complex associations with the antibiotics tested, laboratory P. mirabilis strains provided simple antibiotic association diagrams. The monitoring of antibiotic resistance patterns of clinical E. coli and P. mirabilis strains plays an important role in the treatment procedures for urinary tract infections and is important in the context of the spreading drug resistance in uropathogenic strain populations. The adaptability and flexibility of the genomes of E. coli and P. mirabilis strains are discussed.

  12. The Effect of Surface Patterning on Corrosion Resistance of Biomedical Devices

    Science.gov (United States)

    Guo, Mengnan; Toloei, Alisina; Rotermund, Harm H.

    2016-10-01

    In this study, two styles of surface topographies have been created on stainless steel wires to test their corrosion resistance as simulated implanted biomedical devices. Grade 316 LVM stainless steel wire was initially polished to G1500 surface finish before treatment to produce the two different topographies: 1. Unidirectional roughness was created using SiC papers and 2. Various patterns were created with specific hole diameter and inter-hole spacing using focused ion beam (FIB). In order to simulate the environment of implanted biomedical devices, a three-electrode electrochemical cell with 0.9% (by mass) NaCl solution has been used to test the corrosion resistance of the samples by potentiodynamic polarization test method. SEM and EDS analyzed the appearance and chemical composition of different elements including oxygen on the surface. The potential of stable pitting, time related to the initiation of the stable pitting, and the highest corrosion current associated with stable pitting have been compared for samples with the two styles of topography. It was found that surfaces with patterns have a relatively higher pitting potential and it takes longer time to initiate stable pitting than the surface without any patterns.

  13. Antibiotic resistance pattern of bacterial isolates in neonatal care unit

    Directory of Open Access Journals (Sweden)

    S Shrestha

    2010-12-01

    Full Text Available INTRODUCTION: Bacterial infections account for a huge proportion of neonatal deaths worldwide. The problem of antibiotic resistance among common bacterial pathogens mainly the gram negative bacteria is emerging globally which is of more serious concern in developing countries like Nepal. METHODS: A one year retrospective hospital based study was carried out to analyze the results of neonatal blood, cerebrospinal fluid, urine, stool and surface cultures and to look into the sensitivity pattern of the commonly used antibiotics. RESULTS: The positive yield of blood, urine, eye swab and CSF cultures were 19.56%, 38.5%, 60% and 0.36% respectively. The most common isolates in the blood culture were coagulase negative Staphylococcus, Acinetobacter, Enterobacter and non-haemolytic Streptococcus. A significant percent of the isolates were resistant to the first line antibiotics. Among the gram negative isolates more than 30% are resistant to cefotaxime and more than 50% are resistant to gentamicin. During the one year period we had Nursery outbreaks of methicillin resistant Staphylococcus aureus and Salmonella infections. With the help of environmental cultures we were able to trace the source and intervene appropriately. CONCLUSIONS: Continuous surveillance for antibiotic susceptibility, rational use of antibiotics and the strategy of antibiotic cycling can provide some answers to the emerging problem of antibiotic resistance.

  14. Antibiotic resistance pattern of bacterial isolates in neonatal care unit.

    Science.gov (United States)

    Shrestha, S; Adhikari, N; Rai, B K; Shreepaili, A

    2010-01-01

    Bacterial infections account for a huge proportion of neonatal deaths worldwide. The problem of antibiotic resistance among common bacterial pathogens mainly the gram negative bacteria is emerging globally which is of more serious concern in developing countries like Nepal. A one year retrospective hospital based study was carried out to analyze the results of neonatal blood, cerebrospinal fluid, urine, stool and surface cultures and to look into the sensitivity pattern of the commonly used antibiotics. The positive yield of blood, urine, eye swab and CSF cultures were 19.56%, 38.5%, 60% and 0.36% respectively. The most common isolates in the blood culture were coagulase negative Staphylococcus, Acinetobacter, Enterobacter and non-haemolytic Streptococcus. A significant percent of the isolates were resistant to the first line antibiotics. Among the gram negative isolates more than 30% are resistant to cefotaxime and more than 50% are resistant to gentamicin. During the one year period we had Nursery outbreaks of methicillin resistant Staphylococcus aureus and Salmonella infections. With the help of environmental cultures we were able to trace the source and intervene appropriately. Continuous surveillance for antibiotic susceptibility, rational use of antibiotics and the strategy of antibiotic cycling can provide some answers to the emerging problem of antibiotic resistance.

  15. Changing prevalence and resistance patterns in children with drug-resistant tuberculosis in Mumbai.

    Science.gov (United States)

    Shah, Ira; Shah, Forum

    2017-05-01

    The prevalence of drug-resistant (DR) tuberculosis (TB) in children is increasing. Although, in India, multi-drug-resistant (MDR) TB rates have been relatively stable, the number of children with pre-extensively drug-resistant and extensively drug-resistant (XDR) TB is increasing. To determine whether the prevalence of DR TB in children in Mumbai is changing and to study the evolving patterns of resistance. A retrospective study was undertaken in 1311 paediatric patients referred between April 2007 and March 2013 to the Paediatric TB clinic at B. J. Wadia Hospital for Children, Mumbai. Children were defined as having DR TB on the basis of drug susceptibility testing (DST) of Mycobacterium tuberculosis grown on culture of body fluids (in the case of extra pulmonary TB) or from gastric lavage/bronchi-alveolar lavage/sputum in patients with pulmonary TB or from DST of the contacts. The prevalence of DR TB was calculated and the type of DR was evaluated yearly and in the pre-2010 and post-2010 eras. The overall prevalence of DR TB was 86 (6.6%) with an increase from 23 (5.6%) patients pre-2010 to 63 (7%) post-2010 (P = 0.40). Nine (10.4%) patients were diagnosed on the basis of contact with a parent with DR TB. Overall fluoroquinolone resistance increased from 9 (39.1%) pre-2010 to 59 (93.7%) post-2010 (P = 0.0001): moxifloxacin resistance increased from 2 (8.7%) to 29 (46%) (P = 0.0018) and ofloxacin resistance increased from 7 (30.4%) to 30 (47.6%) (P = 0.14). Ethionamide resistance also increased from 6 (26.1%) to 31 (49.2%) (P = 0.04), aminoglycoside resistance was one (4.3%) pre-2010 and 12 (19%) post-2010 (P = 0.17) and resistance remained virtually the same for both amikacin [0 pre-2010 and 6 (9.5%) after 2010] and kanamycin [one (4.3%) pre- and 6 (9.5%) post-2010]. Of the first-line drugs, resistance remained the same for isoniazid [23 (100%) to 61 (96.8%)], rifampicin [22 (95.7%) to 51 (80.9%),P = 0.17], pyrazinamide [15 (65.2%) to

  16. Bacterial Contamination of Iranian Paper Currency and Their Antibiotic Resistance Patterns

    Directory of Open Access Journals (Sweden)

    Farzaneh Firoozeh

    2017-11-01

    Full Text Available Background: Paper currency is used in exchange for services, and thisis why the circulation of paper currency from person to person expandsmicroorganisms. Objectives:: Paper banknotes would be a vector for transmission of pathogenic microorganisms through handling. This study aimed to determine bacterial contamination of Iranian paper currencies in circulation and their antibiotic resistance patterns. Materials and Methods: In this study, 337 currency notes of different value were collected from markets, shops, restaurants, bus stations and banks in Kashan, Iran during April 2015 to March 2016. The currency notes transferred to microbiology laboratory and were tested for bacterial contamination using standard microbiological methods. Antibiotic resistance patterns of isolated bacteria were determined by disk diffusion method according to CLSI standards. The results and data were analyzed using descriptive statistics. Results: Of 337 currency notes, 262 (77.7% were identified with bacterial contamination. Bacteria isolated from currency notes were as follows: Bacillus spp 113 (43.1%, coagulase-negative Staphylococci 99 (37.7%, Escherichia coli 20 (7.6%, Enterococci species 14 (5.3%, Staphylococcus aureus 8 (3.1%, Klebsiella spp 4 (1.5%, Shigella species 2 (0.8%, Pseudomonas species 2 (0.8%. The most and least contaminated currency notes were 50000 and 500 Rials, respectively. The most resistance rates in gram negative rods were against nalidixicacid, and ampicillin. Also most resistance rates in Staphylococcus aureus, coagulase-negative Staphylococci and Enterococci species were against ampicillin, erythromycin and tetracycline. Conclusion: Our study revealed that the bacterial contamination among Iranian paper currency in circulation especially those obtained from certain sources including shops and bus stations is high and in most cases these bacterial isolates are antibiotic resistant strains.

  17. Bovine salmonellosis in Northeast of Iran: Frequency, genetic fingerprinting and antimicrobial resistance patterns of Salmonella spp.

    Directory of Open Access Journals (Sweden)

    Hessam A. Halimi

    2014-01-01

    Conclusion: The emergence of multiple antibiotic-resistant strains of Salmonella Typhimurium should be of great concern to the public. No correlation between ERIC fingerprinting and resistance patterns of Salmonella isolates was found, which indicates resistance to antimicrobial agents was not related to specific genetic background.

  18. Resistance of green lacewing, Chrysoperla carnea Stephens to nitenpyram: Cross-resistance patterns, mechanism, stability, and realized heritability.

    Science.gov (United States)

    Mansoor, Muhammad Mudassir; Raza, Abu Bakar Muhammad; Abbas, Naeem; Aqueel, Muhammad Anjum; Afzal, Muhammad

    2017-01-01

    The green lacewing, Chrysoperla carnea Stephens (Neuroptera: Chrysopidae) is a major generalist predator employed in integrated pest management (IPM) plans for pest control on many crops. Nitenpyram, a neonicotinoid insecticide has widely been used against the sucking pests of cotton in Pakistan. Therefore, a field green lacewing strain was exposed to nitenpyram for five generations to investigate resistance evolution, cross-resistance pattern, stability, realized heritability, and mechanisms of resistance. Before starting the selection with nitenpyram, a field collected strain showed 22.08-, 23.09-, 484.69- and 602.90-fold resistance to nitenpyram, buprofezin, spinosad and acetamiprid, respectively compared with the Susceptible strain. After continuous selection for five generations (G1-G5) with nitenpyram in the laboratory, the Field strain (Niten-SEL) developed a resistance ratio of 423.95 at G6. The Niten-SEL strain at G6 showed no cross-resistance to buprofezin and acetamiprid and negative cross-resistance to spinosad compared with the Field strain (G1). For resistance stability, the Niten-SEL strain was left unexposed to any insecticide for four generations (G6-G9) and bioassay results at G10 showed that resistance to nitenpyram, buprofezin and spinosad was stable, while resistance to acetamiprid was unstable. The realized heritability values were 0.97, 0.16, 0.03, and -0.16 to nitenpyram, buprofezin, acetamiprid and spinosad, respectively, after five generations of selection. Moreover, the enzyme inhibitors (PBO or DEF) significantly decreased the nitenpyram resistance in the resistant strain, suggesting that resistance was due to microsomal oxidases and esterases. These results are very helpful for integration of green lacewings in IPM programs. Copyright © 2016 Elsevier B.V. All rights reserved.

  19. Antibiotic resistance patterns of bacteria isolated from indwelling Foley catheters following tube cystostomy in goats with obstructive urolithiasis.

    Science.gov (United States)

    Chigerwe, Munashe; Mavangira, Vengai; Byrne, Barbara A; Angelos, John A

    2017-05-01

    Tube cystostomy is a surgical method used for managing obstructive urolithiasis and involves placement of a Foley catheter into the urinary bladder. We identified and evaluated the antibiotic resistance patterns of bacteria isolated from indwelling Foley catheters following tube cystostomy in goats with obstructive urolithiasis. Urine samples collected over a 10-y period from catheter tips at the time of removal were submitted for bacteriologic culture and antibiotic susceptibility testing. Resistance patterns to antibiotics, trends in the resistance patterns over the study period, and the probability of a bacterial isolate being resistant as a function of the identity of the isolate and antibiotic tested were determined. A total of 103 urine samples from 103 male goats with obstructive urolithiasis managed surgically with tube cystostomy were included in the study. Aerococcus (36.9%) and Enterococcus (30.1%) were isolated most frequently. The susceptibility patterns of all bacteria isolated did not change over the study period ( p > 0.05). Proportions of isolates resistant to 1, 2, and ≥3 antibiotics were 36.9%, 18.5%, and 23.3%, respectively. Thus, 41.8% of bacterial isolates were resistant to 2 or more antibiotics tested. The probability of Aerococcus spp., Escherichia coli, and Pseudomonas aeruginosa isolates to be resistant to ampicillin, ceftiofur, erythromycin, penicillin, or tetracycline ranged from 0.59 to 0.76.

  20. Sample preparation for total reflection X-ray fluorescence analysis using resist pattern technique

    Science.gov (United States)

    Tsuji, K.; Yomogita, N.; Konyuba, Y.

    2018-06-01

    A circular resist pattern layer with a diameter of 9 mm was prepared on a glass substrate (26 mm × 76 mm; 1.5 mm thick) for total reflection X-ray fluorescence (TXRF) analysis. The parallel cross pattern was designed with a wall thickness of 10 μm, an interval of 20 μm, and a height of 1.4 or 0.8 μm. This additional resist layer did not significantly increase background intensity on the XRF peaks in TXRF spectra. Dotted residue was obtained from a standard solution (10 μL) containing Ti, Cr, Ni, Pb, and Ga, each at a final concentration of 10 ppm, on a normal glass substrate with a silicone coating layer. The height of the residue was more than 100 μm, where self-absorption in the large residue affected TXRF quantification (intensity relative standard deviation (RSD): 12-20%). In contrast, from a droplet composed of a small volume of solution dropped and cast on the resist pattern structure, the obtained residue was not completely film but a film-like residue with a thickness less than 1 μm, where self-absorption was not a serious problem. In the end, this sample preparation was demonstrated to improve TXRF quantification (intensity RSD: 2-4%).

  1. Prevalence and resistance pattern of Moraxella catarrhalis in community-acquired lower respiratory tract infections

    Directory of Open Access Journals (Sweden)

    Shaikh SBU

    2015-07-01

    Full Text Available Safia Bader Uddin Shaikh, Zafar Ahmed, Syed Ali Arsalan, Sana Shafiq Department of Pulmonology, Liaquat National Hospital, Karachi, Pakistan Introduction: Moraxella catarrhalis previously considered as commensal of upper respiratory tract has gained importance as a pathogen responsible for respiratory tract infections. Its beta-lactamase-producing ability draws even more attention toward its varying patterns of resistance. Methods: This was an observational study conducted to evaluate the prevalence and resistance pattern of M. catarrhalis. Patients aged 20–80 years admitted in the Department of Chest Medicine of Liaquat National Hospital from March 2012 to December 2012 were included in the study. Respiratory samples of sputum, tracheal secretions, and bronchoalveolar lavage were included, and their cultures were followed. Results: Out of 110 respiratory samples, 22 showed positive cultures for M. catarrhalis in which 14 were males and eight were females. Ten samples out of 22 showed resistance to clarithromycin, and 13 samples out of 22 displayed resistance to erythromycin, whereas 13 showed resistance to levofloxacin. Hence, 45% of the cultures showed resistance to macrolides so far and 59% showed resistance to quinolones. Conclusion: Our study shows that in our environment, M. catarrhalis may be resistant to macrolides and quinolones; hence, these should not be recommended as an alternative treatment in community-acquired lower respiratory tract infections caused by M. catarrhalis. However, a study of larger sample size should be conducted to determine if the recommendations are required to be changed. Keywords: community-acquired lower respiratory tract infections or pneumonia, M. catarrhalis, antibiotic resistance, gram-negative diplococcic, Pakistan

  2. MICROBIAL PROFILE AND ANTIBIOTIC RESISTANCE PATTERN OF THE BACTERIAL ISOLATES IN A TERTIARY CARE PSYCHIATRY HOSPITAL

    Directory of Open Access Journals (Sweden)

    Jyoti

    2015-11-01

    Full Text Available BACKGROUND: Antibiotic resistance is a challenge for effective management of infections as it increases the morbidity, mortality and costs of treating infectious diseases. AIMS: This study was aimed to obtain the profile of the bacterial isolates and their antibiotic resistance pattern. SETTINGS AND DESIGN: It is a cross sectional study carried out in a tertiary care psychiatry hospital in India. MATERIALS AND METHODS: Isolation and identification of the isolates were done by standard methods. Susceptibility patterns were checked by Kirby Bauer disc diffusion method. STATISTICAL ANALYSIS USED: Statistical analysis was done by using SPSS 16.0 version to calculate the frequencies as well as for cross tabulation. RESULTS: Significant bacterial growth observed in 43(25.6% samples, of which 39(90.7% showed resistant to at least one of the antibiotics used and 36(83.7% were multi-drug resistant. Gram negative organism accounted for the 25(58.14% of total significant isolates, Escherichia coli being the highest (76% in this group. Among multi-drug resistant (MDR isolates E.coli was the highest (44.4% and imipenem resistance was also observed in 1(5.3% of 19 E.coli isolates. Among the 43 isolates 18(41.86% were Gram positive with Streptococcus spp. showing incidence of 41.7% among the total MDR isolates. CONCLUSION: Increasing incidence of MDR strains seen in the population requires continuous monitoring and a restricted use of antibiotics to keep a check on resistance pattern, for effective treatment plan.

  3. Drug resistance in Mycobacterium leprae from patients with leprosy in China.

    Science.gov (United States)

    Liu, D; Zhang, Q; Sun, Y; Wang, C; Zhang, Y; Fu, X; Chen, M; Zhou, G; Yu, X; Wang, J; Liu, H; Zhang, F

    2015-12-01

    Previous studies of drug resistance have shown that mutations in the drug resistance-determining region (DRDR) in the Folp1, RpoB and GyrA genes of Mycobacterium leprae are responsible for resistance to dapsone, rifampin and ofloxacin, respectively. To investigate the prevalence of mutations in genes associated with drug resistance in M. leprae isolates from patients with leprosy in Shandong Province. The DRDR in the FolP1, RpoB and GyrA genes was analysed by direct sequencing of the PCR product from 85 isolates of M. leprae sampled from patients with leprosy in Shandong, China. Sequencing results were obtained for FolP1, RpoB and GyrA in 67, 57 and 81 of the 85 samples, with mutation rates of 1.5% (1/67), 8.8% 5/57 and 25.9% (21/81). Three multidrug-resistant samples were found among the new cases: one had a mutation in both Folp1 and RpoB, while the other two had a mutation in both RpoB and GyrA. Primary resistance appears to be to either single drugs or combinations of two drugs. The resistance rate to dapsone seems to be low. To our knowledge, this is the first case of multidrug-resistant M. leprae from China. © 2015 British Association of Dermatologists.

  4. Drug resistance patterns of acinetobacter baumannii in makkah, saudi arabia

    International Nuclear Information System (INIS)

    Khan, M.A.; Ashshi, A.M.; Mahomed, M.F.

    2012-01-01

    Background: Acinetobacter baumannii causes infections of respiratory, urinary tract, blood stream and surgical sites. Its clinical significance has increased due to its rapidly developing resistance to major groups of antibiotics used for its treatment. There is limited data available on antimicrobial susceptibility of A. baumannii from Saudi Arabia. Objectives: To determine the patterns of drug resistance of Acinetobacter baumannii and predisposing factors for its acquisition.Subjects and Methods: In this descriptive study, 72 hospitalized patients infected with A baumannii were studied. The clinical and demographic data of the patients were collected using a predesigned questionnaire. Isolation and identification of A.baumannii from all clinical specimens were done using standard microbiological methods. Antibiotic susce ptibility testing was performed by disk diffusion method recommended by Clinical Laboratory Standards Institute. Results: Majority of the isolates (61.1%) were from respiratory tract infections. A.baumannii isolates showed high drug resistance to piperacil lin (93.1%), aztreonam (80.5%), ticarcillin, ampicillin, and tetracycline (76.4%, each) and cefotaxime (75%). Only amikacin showed low rate of resistance compared to other antibiotics (40.3%). About 36% patients had some underlying diseases with diabetes mellitus (11%) being the predominant underlying disease. Conclusions: High antimicrobial resistance to commonly used antibiotics was seen against A.baumannii isolates. Only amikacin was most effective against it. (author)

  5. Clinical and Diagnostic Aspects of Brucellosis and Antimicrobial Susceptibility of Brucella Isolates in Hamedan, Iran.

    Science.gov (United States)

    Torkaman Asadi, Fatemeh; Hashemi, Seyyed Hamid; Alikhani, Mohammad Yousef; Moghimbeigi, Abbas; Naseri, Zahra

    2017-05-24

    Current drug regimens for brucellosis are associated with relatively high rates of therapeutic failure or relapse. Reduced antimicrobial susceptibility of Brucella spp. has been proposed recently as a potential cause of therapeutic failure. The aim of this study was to evaluate the antibiotic resistance pattern of Brucella melitensis clinical isolates by E-test method in Hamadan, west of Iran. In a 15-month period, all patients with suspected brucellosis were enrolled. Blood specimens were collected for diagnosis of brucellosis by BACTEC system and serological tests. Antimicrobial susceptibility of clinical isolates to 7 antibiotics was assessed by the E-test method. One hundred forty-nine patients with brucellosis were evaluated. 38.3% of cultures of clinical samples were positive for BACTEC system, of which 91.2% were associated with a positive serological test result. No significant associations were found between serology and the culture method. All Brucella isolates were susceptible to doxycycline, streptomycin, gentamicin, ciprofloxacin, and moxifloxacin. However, decreased sensitivity to rifampin and trimethoprim-sulfamethoxazole was found in 35.1% and 3.5% of isolates, respectively. Because of the high rates of intermediate sensitivity to rifampin among Brucella isolates, this drug should be prescribed with caution. We recommend restricting the use of rifampin for treatment of brucellosis except as an alternative drug for special situations.

  6. Induction of bacterial antibiotic resistance by mutagenic halogenated nitrogenous disinfection byproducts

    International Nuclear Information System (INIS)

    Lv, Lu; Yu, Xin; Xu, Qian; Ye, Chengsong

    2015-01-01

    Halogenated nitrogenous disinfection byproducts (N-DBPs) raise concerns regarding their mutagenicity and carcinogenicity threatening public health. However, environmental consequence of their mutagenicity has received less attention. In this study, the effect of halogenated N-DBPs on bacterial antibiotic resistance (BAR) was investigated. After exposure to bromoacetamide (BAcAm), trichloroacetonitrile (TCAN) or tribromonitromethane (TBNM), the resistance of Pseudomonas aeruginosa PAO1 to both individual and multiple antibiotics (ciprofloxacin, gentamicin, polymyxin B, rifampin, tetracycline, ciprofloxacin + gentamicin and ciprofloxacin + tetracycline) was increased, which was predominantly ascribed to the overexpression of efflux pumps. The mechanism of this effect was demonstrated to be mutagenesis through sequencing and analyzing antibiotic resistance genes. The same induction phenomena also appeared in Escherichia coli, suggesting this effect may be universal to waterborne pathogens. Therefore, more attention should be given to halogenated N-DBPs, as they could increase not only genotoxicological risks but also epidemiological risks of drinking water. - Highlights: • The halogenated N-DBPs could induce bacterial antibiotic resistance. • Both individual and multiple resistances could be induced. • Efflux mechanism played an important role in the induced antibiotic resistance. • The halogenated N-DBPs induced bacterial antibiotic resistance via mutagenesis. • Effects of N-DBPs on antibiotic resistance may be universal to waterborne pathogens. - Halogenated N-DBPs could increase antibiotic resistance, even multidrug resistance via mutagenesis, contributing to the enrichment of antibiotic resistant bacteria in drinking water

  7. Antibiotics and heavy metals resistance patterns of Enterococcus faecalis and faecium bacteria isolated from the human and the livestock sources

    Directory of Open Access Journals (Sweden)

    Yaser Sharifi

    2015-12-01

    Full Text Available Background: Enterococci have emerged as a major cause of nosocomial infections and within this group, Enterococcus faecalis and Enterococcus faecium cause the majority of human and livestock enterococcal infections. In this article, we tried to determine antibiotics and metals resistance patterns of E. faecalis and E. faecium strains. Methods: One hundred sixty different strains of E. faecalis and E. faecium were collected from livestock sewage and the human fecal waste during 15 months. Then bacterial antibiotics sensitivity tests were carried out using the Agar disc diffusion method. Results: Generally, 100% of E. faecalis strains separated from human and livestock sources (i.e. sheep showed penicillin (P/ kanamycin (K/ nitrofurantoin (N/ loracarbef (L/ Ciprofloxacin (Cc/ ampicillin (AN/ nalidixic acid (NA/ sulfamethoxazole (S antibiotics resistance patterns. In addition, 55% of isolated E. faecium showed P/S/AN/NA antibiotics resistance patterns. Each strain showed a resistance to at least two aminoglycoside antibiotics. However, E. faecalis strains from human and the livestock sources showed 94% and 100% of resistance to nitrofurantoin, respectively. The effects of different metal concentrations was evaluated in both strains. The agar dilution method was applied in this stage. Hg at 0.05 mmol/L of minimum inhibitory concentration (MIC showed toxicity to both the human and livestock Enterococcus strains. Cadmium at 1 mmol/L and 0.5 mmol/L concentrations had the most toxicity to E. faecalis and E. faecium strains, respectively. Obviously, toxicity to bacteria is less than other metals. As a result, Zn/Ni/Cu/Co resistance pattern is suggested for both strains. Finally, antibiotics and heavy metals resistance patterns were monitored simultaneously. Conclusion: Almost all E. faecalis strains isolated from humans and livestock showed antibiotics and heavy metals resistance patterns of P/K/L/Cc/S/AN/NA/Zn/Cu/Co simultaneously. Moreover, 55% of E

  8. CLINICAL ISOLATES OF MECA, METHICILLIN, VANCOMYCIN RESISTANCE S. AUREUS; ESBLs PRODUCING K.PNEUMONIA, E.COLI, P. AUREGENOSA FROM VARIOUS CLINICAL SOURCE AND ITS ANTIMICROBIAL RESISTANCE PATTERNS

    Directory of Open Access Journals (Sweden)

    Ismail Mahmud Ali, Amirthalingam R

    2015-01-01

    Full Text Available Background and Objective: Antimicrobial resistance has turned into a key medical and public health crisis globally since the injudicious use of magic bullets (drugs. Aim of this study is focused on the clinical isolate and their percentages of resistant to antibiotics in gram positive bacteria such as MRSA, VRSA, and MSSA are common causes of nosocomical, skin structure infections, bacteremia and infection of other systems; ESBLs producing Enterobacteriaceae (E. coli, Klebsiella spp. is common agent of urinary tract, bloodstream, pulmonary and intra-abdominal infections and carbapenem resistant P. aeruginosa with its complete antimicrobial patterns which are currently practiced in this population. Methods: There are one hundred and fourteen (114 various clinical isolates, isolated from various clinical samples like throat swab, urine, pus, sputum, and blood culture, identified as specific isolate with resistance patterns were analyzed by BD phoenix-100 the auto analyzer. Results: Off 114 clinical isolate, 6 mecA-mediated resistance (cefoxitin>8mgc/ml, 11 methicillin resistance, 18 β lactam/βlactamase inhibitor, 12 methicillin sensitive and 3 vancomycin (>16µg/ml resistance S. aureus have been isolated from overall 50 isolate of S.aureus. In addition, there are 27 P.aeruginosa, 15 ESBLs from overall of 25 K. pneumoniae and 7 ESBLs out of 12 Escherichia coli species have been isolated. The resistance and susceptibility pattern percentages have been graphically represented for each isolates. Conclusion: Current study revealed that the drug classes of β lactam/βlactamase inhibitor having high resistance rate with S.aureus, P.aureginosa, K. pneumoniae and E. coli isolate. Also, some of other drug classes such as cepham and tetracycline having higher resistance rate with P.aureginosa and K.pneumoniae. In addition, the vancomycin resistances S. aureus have been isolated and reported as first time in this population.

  9. ORIGINAL ARTICLE: Multidrug Resistance and Phage Pattern of Staphylococcus aureus in Pyoderma Cases

    Directory of Open Access Journals (Sweden)

    Sanjay M. Wavare

    2012-01-01

    Full Text Available Background: Pyoderma is common in India and other tropical countries. Staphylococcus aureus is the commonest causative agent ofpyoderma. Aims and Objectives: To know the antibiotic susceptibility and bacteriophage pattern of Staphylococcus aureus isolated from pyoderma infection. Materials and Methods: One hundred clinically diagnosed pyoderma cases were investigated bacteriologically. A total of 59 isolates of S. aureus were subjected to antibioticsusceptibility testing by Kirby Bauer’s disk diffusion method and phage typing by routine test dilution X 100 bacteriophages. Results: Most of the strains were resistant to penicillin, ampicillin and were susceptible to gentamicin, streptomycin and erythromycin. Multidrug resistance was also high among these strains. Regarding the phage types, Phage type 52 (15 strains, 96 (8 strains and 71(16strains were predominant among the typed strains (55.95% of S. aureus. The most common group was mixed phage group (17% followed by phage group I (13.55%. Conclusion: Knowledge of antibioticsusceptibility pattern is essential to give proper antibiotic therapy and avoid unnecessary medication with non-effective drugs, which may increase resistance. Gentamicin, streptomycin and erythromycin are the drugs of choice in that order. Association of phage typing and antibiotic sensitivity of S. aureus showed the predominance of phage group III with greater frequency of penicillin resistance.

  10. Antibiotic Resistance Patterns of Enterococci and Occurrence of Vancomycin-Resistant Enterococci in Raw Minced Beef and Pork in Germany

    Science.gov (United States)

    Klein, Günter; Pack, Alexander; Reuter, Gerhard

    1998-01-01

    The food chain, especially raw minced meat, is thought to be responsible for an increase in the incidence of vancomycin-resistant enterococci (VRE) in human nosocomial infections. Therefore, 555 samples from 115 batches of minced beef and pork from a European Union-licensed meat-processing plant were screened for the occurrence of VRE. The processed meat came from 45 different slaughterhouses in Germany. Enterococci were isolated directly from Enterococcosel selective agar plates and also from Enterococcosel selective agar plates supplemented with 32 mg of vancomycin per liter. In addition, peptone broth was used in a preenrichment procedure, and samples were subsequently plated onto Enterococcosel agar containing vancomycin. To determine resistance, 209 isolates from 275 samples were tested with the glycopeptides vancomycin, teicoplanin, and avoparcin and 19 other antimicrobial substances by using a broth microdilution test. When the direct method was used, VRE were found in 3 of 555 samples (0.5%) at a concentration of 1.0 log CFU/g of minced meat. When the preenrichment procedure was used, 8% of the samples were VRE positive. Our findings indicate that there is a low incidence of VRE in minced meat in Germany. In addition, the resistance patterns of the VRE isolates obtained were different from the resistance patterns of clinical isolates. A connection between the occurrence of VRE in minced meat and nosocomial infections could not be demonstrated on the basis of our findings. PMID:9572958

  11. Molecular characterization and antimicrobial resistance of strains isolated of Clostridium difficile from Hospital Mexico of Costa Rica in the period October 2010 - August 2012

    International Nuclear Information System (INIS)

    Montoya Ramirez, Monica

    2014-01-01

    Clostridium difficile has been Gram positive anaerobic bacillus producer of spores and recognized as the primary pathogen involved in nosocomial diarrhea in adults. Two toxins are produced: A and B, responsible for the symptoms present in patients with diseases associated to C. difficile (EACD) and regulated by the tcdC gene. Some variants also have had a binary toxin and changes in the regulatory gene, it is believed that these may lead to the overproduction of toxins and the consequent emergence of hypervirulent strains. The hypervirulent NAP1 was identified for the first time in Latin America (in addition to other traditional pulsotypes and other native), in the years 2008-2009 during the outbreak of nosocomial C. difficile diarrhea occurred in the Hospital San Juan de Dios in Costa Rica. In order to know whether this variant NAP1 or other pulsotypes are found present in other hospitals, C. difficile isolates obtained from patients in Hospital Mexico of Costa Rica were studied in the period October 2010-August 2012, in order to investigate molecularly by PCR toxins that are produced. Pulsotypes that belong are determined by pulsed-field electrophoresis, besides the minimum inhibitory concentration of ciprofloxacin, clindamycin, metronidazole, moxifloxacia, rifampin and vancomycin through the E-test technique. 56 strains isolates were analyzed in culture and identified as C. difficile by detection the tpi gene in the Hospital Mexico. The strains have had higher resistance to ciprofloxacin and clindamycin, 100% and 95%, respectively, clindamycin is the most related with associated diarrhea to antibiotic. In addition, significant percentages of resistance to moxifloxacin (43%) are obtained and rifampacin (43%) and all strains were sensitive to metronidazole and vancomycin. On the other hand, seven different patterns of PCR according to the locus SWAP were obtained, being the most frequent (58%, 33 strains) which corresponds to tcdA+, tcdB+, cdtB- and tcdC+ deletion

  12. Assessment of the Bacteriological Quality of Food Samples May Offer Clues the Antibiotic Resistance Pattern

    Directory of Open Access Journals (Sweden)

    Enayatollah Kalantar

    2015-02-01

    Full Text Available Background: Foodborne illnesses continue to be a leading cause of morbidity and mortality worldwide; however, the burden of diseases caused by food-borne pathogens remains largely unknown. Objectives: The aim of the present study was to culture-confirmed the bacterial profile and their antibiotic resistant pattern in Food and Drug Laboratory, Alborz University of Medical Sciences, Karaj, Iran. Patients and Methods: A total of 22 bacteria including of Staphylococcus aureus, Klebsiella spp and E. coli were presumptive isolated from the traditional ice cream, cream pastries, sausage, and salami by the Official Food Microbiology Laboratory, Deputy of Food and Drug Administration, Alborz University of Medical Sciences, Karaj, Iran, and sent to the Research Center Laboratory, Alborz University of Medical Sciences, to confirm the bacterial spp by multiplex polymerase chain reaction. These isolates were also checked for their antimicrobial resistance pattern according to CLSI guideline. Results: The highest rate of contamination was with Klebsiella spp 09 (40.9%, followed by S. aureus 07 (31.8%, E. coli 06 (27.27%, as reported by the Official Food Microbiology Laboratory of Alborz University of Medical Sciences. Gel electrophoresis of the isolates shows the 600bp bp and 80 bp gene among S. aureus and E. coli respectively. The antibiotic resistant pattern in case of Klensiella spp showed that 6 (66.6% Klensiella spp were resistant to Penicillin and Cotrimoxazole. Similarly, penicillin and amoxicillin were found the highest resistant antibiotic against 83.3% E. coli, however, ceftriaxone showed the highest sensitivity against 100% E. coli isolates. Conclusions: In conclusion, Klebsiella spp, S. aureus and E. coli are contaminants of food specimens obtained from food industries in Karaj, Iran; they constitute a serious health risk for human population. Moreover, the principal purpose of this study is to increase awareness of the antibiotic resistance of

  13. Molecular characterization of multidrug-resistant Mycobacterium tuberculosis isolated in Nepal.

    Science.gov (United States)

    Poudel, Ajay; Nakajima, Chie; Fukushima, Yukari; Suzuki, Haruka; Pandey, Basu Dev; Maharjan, Bhagwan; Suzuki, Yasuhiko

    2012-06-01

    Despite the fact that Nepal is one of the first countries globally to introduce multidrug-resistant tuberculosis (MDR-TB) case management, the number of MDR-TB cases is continuing to rise in Nepal. Rapid molecular tests applicable in this setting to identify resistant organisms would be an effective tool in reversing this trend. To develop such tools, information about the frequency and distribution of mutations that are associated with phenotypic drug resistance in Mycobacterium tuberculosis is required. In the present study, we investigated the prevalence of mutations in rpoB and katG genes and the inhA promoter region in 158 M. tuberculosis isolates (109 phenotypically MDR and 49 non-MDR isolates collected in Nepal) by DNA sequencing. Mutations affecting the 81-bp rifampin (RIF) resistance-determining region (RRDR) of rpoB were identified in 106 of 109 (97.3%) RIF-resistant isolates. Codons 531, 526, and 516 were the most commonly affected, at percentages of 58.7, 15.6, and 15.6%, respectively. Of 113 isoniazid (INH)-resistant isolates, 99 (87.6%) had mutations in the katG gene, with Ser315Thr being the most prevalent (81.4%) substitution. Mutations in the inhA promoter region were detected in 14 (12.4%) INH-resistant isolates. The results from this study provide an overview of the current situation of RIF and INH resistance in M. tuberculosis in Nepal and can serve as a basis for developing or improving rapid molecular tests to monitor drug-resistant strains in this country.

  14. Deposition, characterization, patterning and mechanistic study of inorganic resists for next-generation nanolithography

    Science.gov (United States)

    Luo, Feixiang

    The semiconductor industry has witnessed a continuous decrease in the size of logic, memory and other computer chip components since its birth over half a century ago. The shrinking (scaling) of components has to a large extent been enabled by the development of micro- and now nano-lithographic techniques. This thesis focuses on one central component of lithography, the resist, which is essentially a thin film that when appropriately exposed enables a pattern to be printed onto a surface. Smaller features require an ever more precisely focused photon, electron or ion beam with which to expose the resist. The likely next generation source of radiation that will enable sub-20nm features to be written will employ extreme ultraviolet radiation (EUV), 92eV (13.5nm). The work discussed here involves a novel class of inorganic resists (including a solution processed Hf-based resist called HafSOx), as the organic resists that have dominated the microlithography industry for the past few decades have approached fundamental scaling limits. In order to maintain the high throughput required by high volume semiconductor manufacturing, metal oxide resists have been proposed and developed to meet the resolution and sensitivity in EUV lithography. One can think of our resists as the nano-lithographic analog to the silver halide film that dominated the photographic print industry for a century. In this thesis, we mainly describe our work on HafSOx, a "first generation" metal oxide EUV resist system. HafSOx thin films can be deposited by spin-coating a mixed solution of HfOCl2, H2O 2, and H2SO4. Various materials characterization techniques have been employed to achieve a comprehensive understanding of film composition and structure at both surface and bulk level, as well as a mechanistic understanding of the film radiation chemistry. Taking advantage of the high energy x-rays used in the XPS experiment, we developed an experiment to dynamically monitor the photochemistry within the

  15. Isolation and Determination of Antibiotic Resistance Patterns in Nontyphoid Salmonella spp isolated from chicken

    Directory of Open Access Journals (Sweden)

    Seyyedeh Hoorieh Fallah

    2013-01-01

    Full Text Available Background: Salmonellosis is one of the most common food borne diseases in industrial and developing countries. In recent years, an increase in antimicrobial drug resistance, among non-typhoid Salmonella spp has been observed. Objectives: The aim of this study was to isolate and determine antibiotic resistance pattern in non-typhoid Salmonella spp. Materials and Methods: This descriptive study was done on 100 samples of chickens collected from 196 retail markets and was examined for the presence of Salmonella using standard bacteriological procedures and stereotyping kit. Antimicrobial susceptibility testing was performed by disk diffusion methods according to the National Committee for Clinical Laboratory Standards (CLSI. The data were analyzed by using the SPSS software version 18. Result: Forty- four percent of samples were contaminated with Salmonella infection and 56% didn’t have any contamination. The stereotyping results showed that 34 of 44 isolates of Salmonella belonged to Salmonella infantis (79.5 %, one strain (2.3% of group C and 8 strain (18.2% of group D. However, all these strains were sensitive to Cefotaxime and Ciprofloxacin, and 100% were resistant to Nalidixic acid, Tetracyclin and Sterptomycin. The most common resistance pattern (34.1% was towards six antibiotics, and 6.8% of strains were resistant to at least three antibiotics. Conclusion: High levels of resistance to antibiotics that are used commonly for human and poultry can be a warning for our community health and this information must be used to form important strategies for improvement of infection control.

  16. Determination of the influence of dispersion pattern of pesticide-resistant individuals on the reliability of resistance estimates using different sampling plans.

    Science.gov (United States)

    Shah, R; Worner, S P; Chapman, R B

    2012-10-01

    Pesticide resistance monitoring includes resistance detection and subsequent documentation/ measurement. Resistance detection would require at least one (≥1) resistant individual(s) to be present in a sample to initiate management strategies. Resistance documentation, on the other hand, would attempt to get an estimate of the entire population (≥90%) of the resistant individuals. A computer simulation model was used to compare the efficiency of simple random and systematic sampling plans to detect resistant individuals and to document their frequencies when the resistant individuals were randomly or patchily distributed. A patchy dispersion pattern of resistant individuals influenced the sampling efficiency of systematic sampling plans while the efficiency of random sampling was independent of such patchiness. When resistant individuals were randomly distributed, sample sizes required to detect at least one resistant individual (resistance detection) with a probability of 0.95 were 300 (1%) and 50 (10% and 20%); whereas, when resistant individuals were patchily distributed, using systematic sampling, sample sizes required for such detection were 6000 (1%), 600 (10%) and 300 (20%). Sample sizes of 900 and 400 would be required to detect ≥90% of resistant individuals (resistance documentation) with a probability of 0.95 when resistant individuals were randomly dispersed and present at a frequency of 10% and 20%, respectively; whereas, when resistant individuals were patchily distributed, using systematic sampling, a sample size of 3000 and 1500, respectively, was necessary. Small sample sizes either underestimated or overestimated the resistance frequency. A simple random sampling plan is, therefore, recommended for insecticide resistance detection and subsequent documentation.

  17. Use of GenoType® MTBDRplus assay to assess drug resistance and mutation patterns of multidrug-resistant tuberculosis isolates in northern India

    Directory of Open Access Journals (Sweden)

    A K Maurya

    2013-01-01

    Full Text Available Purpose: The emergence and spread of multidrug-resistant tuberculosis (MDR-TB is a major public health problem. The diagnosis of MDR-TB is of paramount importance in establishing appropriate clinical management and infection control measures. The aim of this study was to evaluate drug resistance and mutational patterns in clinical isolates MDR-TB by GenoType® MTBDRplus assay. Material and Methods: A total of 350 non-repeated sputum specimens were collected from highly suspected drug-resistant pulmonary tuberculosis (PTB cases; which were processed by microscopy, culture, differentiation and first line drug susceptibility testing (DST using BacT/ALERT 3D system. Results: Among a total of 125 mycobacterium tuberculosis complex (MTBC strains, readable results were obtained from 120 (96% strains by GenoType® MTBDRplus assay. Only 45 MDR-TB isolates were analysed for the performance, frequency and mutational patterns by GenoType® MTBDRplus assay. The sensitivity of the GenoType® MDRTBplus assay for detecting individual resistance to rifampicin (RIF, isoniazid (INH and multidrug resistance was found to be 95.8%, 96.3% and 97.7%, respectively. Mutation in codon S531L of the rpoB gene and codon S315T1 of katG genes were dominated in MDR-TB strains, respectively (P < 0.05. Conclusions: The GenoType® MTBDRplus assay is highly sensitive with short turnaround times and a rapid test for the detection of the most common mutations conferring resistance in MDR-TB strains that can readily be included in a routine laboratory workflow.

  18. Antibiotic Prescription, Organisms and its Resistance Pattern in Patients Admitted to Respiratory ICU with Respiratory Infection in Mysuru.

    Science.gov (United States)

    Mahendra, M; Jayaraj, B S; Lokesh, K S; Chaya, S K; Veerapaneni, Vivek Vardhan; Limaye, Sneha; Dhar, Raja; Swarnakar, Rajesh; Ambalkar, Shrikant; Mahesh, P A

    2018-04-01

    Respiratory infections account for significant morbidity, mortality and expenses to patients getting admitted to ICU. Antibiotic resistance is a major worldwide concern in ICU, including India. It is important to know the antibiotic prescribing pattern in ICU, organisms and its resistance pattern as there is sparse data on Indian ICUs. We conducted a prospective study from August 2015 to February 2016. All patients getting admitted to RICU with respiratory infection who were treated with antibiotics were included into study. Demographic details, comorbidities, Clinco-pathological score (CPI) on day1 and 2 of admission, duration of ICU admission, number of antibiotics used, antibiotic prescription, antimicrobial resistance pattern of patients were collected using APRISE questionnaire. During study period 352 patients were screened and 303 patients were included into study. Mean age was 56.05±16.37 and 190 (62.70%) were men. Most common diagnosis was Pneumonia (66%). Piperacillin-tazobactam was most common empirical antibiotic used. We found 60% resistance to piperacillin-tazobactam. Acinetobacter baumanii was the most common organism isolated (29.2%) and was highly resistant to Carbapenem (60%). Klebsiella pneumoniae was resistant to Amikacin (45%), piperacillin (55%) and Ceftazidime (50%). Piperacillin-tazobactam was the most common antibiotic prescribed to patients with respiratory infection admitted to ICU. More than half of patients (60%) had resistance to the empirical antibiotic used in our ICU, highlighting the need for antibiogram for each ICU. Thirty six percent of patient had prior antibiotic use and had mainly gram negative organisms with high resistance to commonly used antibiotics.

  19. A study of Staphylococcus aureusnasal carriage, antibacterial resistance and virulence factor encoding genes in a tertiary care hospital, Kayseri, Turkey.

    Science.gov (United States)

    Oguzkaya-Artan, M; Artan, C; Baykan, Z; Sakalar, C; Turan, A; Aksu, H

    2015-01-01

    This study was to determine the virulence encoding genes, and the antibiotic resistance patterns of the Staphylococcus aureus isolates, which were isolated from the nasal samples of chest clinic patients. The nasal samples of the in-patients (431) and out-patients (1857) in Kayseri Training and Research Hospital's Chest Clinic, Kayseri, Turkey, were cultured on CHROMagar (Biolife, Italiana) S. aureus, and subcultured on sheep blood agar for the isolation of S. aureus. Disc diffusion method was used for antimicrobial susceptibility testing. The occurrence of the staphylococcal virulence encoding genes (enterotoksins [sea, seb, sec, see, seg, seh, sei, sej], fibronectin-binding proteins A, B [fnbA, fnbB], toxic shock syndrome toxin-1 [tst]) were detected by polymerase chain reaction. Forty-five of the 55 (81.8%) S. aureus isolates from inpatients, and 319 (90.6%) isolates from tested 352 out-patient's isolates were suspected to all the antibiotics tested. methicillin-resistant S. aureus (MRSA) was detected in 1.2% of S. aureus isolates. Rifampin, trimethoprim-sulfamethoxazole, clindamycin, erythromycin, gentamicin resistance rates were 1.2%, 1.7%, 2.0%, 8.8%, and 1.2%, respectively. The isolates were susceptible to teicoplanin and vancomycin. The genes most frequently found were tst (92.7%), seg (85.8%), sea (83.6%), fnbA (70.9%). There was no statistical significance detected between MRSA and mecA-negative S. aureus isolates in encoding genes distribution (P > 0.05). Our results show that virulence factor encoding genes were prevalent in patients with S. aureus carriage, whereas antibiotic resistance was low. These virulence determinants may increase the risk for subsequent invasive infections in carriers.

  20. [DNA mutations associated to rifampicin or isoniazid resistance in M. tuberculosis clinical isolates from Sonora, Mexico].

    Science.gov (United States)

    Bolado-Martínez, Enrique; Pérez-Mendoza, Ansix; Alegría-Morquecho, Francisco Monserrat; Candia-Plata, María del Carmen; Aguayo-Verdugo, María del Rosario; Alvarez-Hernández, Gerardo

    2012-01-01

    To perform the analysis of specific regions of the major genes associated with resistance to isoniazid or rifampin. Twenty two M. tuberculosis strains, isolated from human samples obtained in Sonora, Mexico. Specific primers for hotspots of the rpoB, katG, inhA genes and the ahpC-oxyR intergenic region were used. The purified PCR products were sequenced. Mutations in the promoter of inhA, the ahpC-oxyR region, and codon 315 of katG and in 451 or 456 codons of rpoB, were identified. Detection of mutations not previously reported requires further genotypic analysis of Mycobacterium tuberculosis isolates in Sonora.

  1. [Microbiological characteristics and patterns of resistance in prosthetic joint infections in a referral hospital].

    Science.gov (United States)

    Ortega-Peña, Silvestre; Colín-Castro, Claudia; Hernández-Duran, Melissa; López-Jácome, Esaú; Franco-Cendejas, Rafael

    2015-01-01

    The prosthetic joint infection is the most feared and catastrophic complication for cause severe physical damage to patients and, generates high economic costs. To describe the microbiological characteristics and to determine the resistance pattern in prosthetic joint infections in a reference hospital in Mexico. Patients whose prosthetic devices were withdrawn due to suspicion of septic and aseptic loosening were included. Cultures were performed to identify microorganisms and susceptibility analysis. Of the 111 patients included, 55% were diagnosed with prosthetic joint infection, with the most frequent prosthesis being of the hip (43%). Positive cultures were obtained in 97% of the infected cases, of which 75% were monomicrobial infections. The most frequent bacterial species isolated were: Staphylococcus epidermidis (31%), Enterococcus faecalis (16%), Staphylococcus aureus (13%), and Escherichia coli (8%). The resistance patterns for the Staphylococcus genus were: oxacillin (79%), erythromycin (45%) and ciprofloxacin (37%). Enterococcus faecalis showed a high percentage of resistance to erythromycin and clindamycin (86%), and fluoroquinolones (43%). The large majority (86%) of Escherichia coli were extended spectrum beta-lactamases positive, in addition to having high resistance to fluoroquinolones (86%), trimethoprim/sulfamethoxazole (86%) and gentamicin (72%). The microbiological characteristics found in prosthetic joint infections vary according to the hospitals. In this series, a high proportion of coagulase-negative Staphylococci and Enterococcus spp. were found, as well as a high bacterial resistance. Copyright © 2015 Academia Mexicana de Cirugía A.C. Published by Masson Doyma México S.A. All rights reserved.

  2. Antimicrobial resistance patterns in community acquired urinary tract infections

    International Nuclear Information System (INIS)

    Gilani, S.Y.H; Ahmad, N.; Shah, S.R.A.

    2016-01-01

    Urinary tract infection (UTI) is the most frequent disease for which patients seek medical care. The antimicrobial agents causing UTI and their sensitivity patterns have remarkably changed throughout the world over the past few years. Hence, the present study was designed to explore the uropathogens and their susceptibility to various molecules in our region. Methods: This descriptive cross sectional study was conducted at Medical C Unit of Ayub Teaching Hospital, Abbottabad from January 2015 to January 2016. Patients with clinical features of UTI were evaluated using Urine R/E and Urine culture and sensitivity. Ten antibiotics were checked for susceptibility. Results were analysed using SPSS 17. Results: A total of 630 patients presented with urinary complaints. Of these, 236 patients had more than 8-10 pus cells on urine R/E. They were further evaluated using culture and sensitivity and positive culture was obtained in 75 patients. Of these 34 (45.3%) were males and 41 (54.7%) were females. E Coli was the predominant isolate being present in 49 (65.3%) patients. This was followed by Klebsiella in 9 (12%) patients. Tazobactam-piperacillin and cefoperazone-sulbactam were the most sensitive drugs having overall sensitivity of 96% and 93.3% respectively. The isolates were highly resistant to Fluoroquinolones 77.3% followed by Penicillins 72% and TMP-SMX 69.3%.Conclusion: Antibiotic sensitivity patterns have enormously changed over the past decade. Newer agents are quite efficacious but their use should be highly judicious to prevent the development of resistance to these molecules. (author)

  3. Transition of torque pattern in undulatory locomotion due to wave number variation in resistive force dominated media

    Science.gov (United States)

    Ding, Yang; Ming, Tingyu

    2016-11-01

    In undulatory locomotion, torque (bending moment) is required along the body to overcome the external forces from environments and bend the body. Previous observations on animals using less than two wavelengths on the body showed such torque has a single traveling wave pattern. Using resistive force theory model and considering the torque generated by external force in a resistive force dominated media, we found that as the wave number (number of wavelengths on the locomotor's body) increases from 0.5 to 1.8, the speed of the traveling wave of torque decreases. When the wave number increases to 2 and greater, the torque pattern transits from a single traveling wave to a two traveling waves and then a complex pattern that consists two wave-like patterns. By analyzing the force distribution and its contribution to the torque, we explain the speed decrease of the torque wave and the pattern transition. This research is partially supported by the Recruitment Program of Global Young Experts (China).

  4. Artemisinin resistance in Plasmodium falciparum is associated with an altered temporal pattern of transcription

    Directory of Open Access Journals (Sweden)

    Newton Paul N

    2011-08-01

    Full Text Available Abstract Background Artemisinin resistance in Plasmodium falciparum malaria has emerged in Western Cambodia. This is a major threat to global plans to control and eliminate malaria as the artemisinins are a key component of antimalarial treatment throughout the world. To identify key features associated with the delayed parasite clearance phenotype, we employed DNA microarrays to profile the physiological gene expression pattern of the resistant isolates. Results In the ring and trophozoite stages, we observed reduced expression of many basic metabolic and cellular pathways which suggests a slower growth and maturation of these parasites during the first half of the asexual intraerythrocytic developmental cycle (IDC. In the schizont stage, there is an increased expression of essentially all functionalities associated with protein metabolism which indicates the prolonged and thus increased capacity of protein synthesis during the second half of the resistant parasite IDC. This modulation of the P. falciparum intraerythrocytic transcriptome may result from differential expression of regulatory proteins such as transcription factors or chromatin remodeling associated proteins. In addition, there is a unique and uniform copy number variation pattern in the Cambodian parasites which may represent an underlying genetic background that contributes to the resistance phenotype. Conclusions The decreased metabolic activities in the ring stages are consistent with previous suggestions of higher resilience of the early developmental stages to artemisinin. Moreover, the increased capacity of protein synthesis and protein turnover in the schizont stage may contribute to artemisinin resistance by counteracting the protein damage caused by the oxidative stress and/or protein alkylation effect of this drug. This study reports the first global transcriptional survey of artemisinin resistant parasites and provides insight to the complexities of the molecular basis

  5. European ST80 community-associated methicillin-resistant Staphylococcus aureus orbital cellulitis in a neonate

    Directory of Open Access Journals (Sweden)

    Tsironi Evangelia E

    2012-05-01

    Full Text Available Abstract Background Methicillin-resistant Staphylococcus aureus is a serious cause of morbidity and mortality in hospital environment, but also, lately, in the community. This case report is, to our knowledge, the first detailed description of a community-associated methicillin-resistant S. aureus ST80 orbital cellulitis in a previously healthy neonate. Possible predisposing factors of microbial acquisition and treatment selection are also discussed. Case presentation A 28-day-old Caucasian boy was referred to our hospital with the diagnosis of right orbital cellulitis. His symptoms included right eye proptosis, periocular edema and redness. Empirical therapy of intravenous daptomycin, rifampin and ceftriaxone was initiated. The culture of pus yielded a methicillin-resistant S. aureus isolate and the molecular analysis revealed that it was a Panton-Valentine leukocidine-positive ST80 strain. The combination antimicrobial therapy was continued for 42days and the infection was successfully controlled. Conclusions Clinicians should be aware that young infants, even without any predisposing condition, are susceptible to orbital cellulitis caused by community-associated methicillin-resistant S. aureus. Prompt initiation of the appropriate empirical therapy, according to the local epidemiology, should successfully address the infection, preventing ocular and systemic complications.

  6. The etiology of neonatal sepsis and patterns of antibiotic resistance

    International Nuclear Information System (INIS)

    Waheed, M.; Laeeq, A.; Maqbool, S.

    2003-01-01

    Objective: To study the patterns of causative bacteria and antibiotic resistance in neonatal sepsis. Results: Among 228 cases included in the study, the male to female ratio was 2.1 to 1. The gestational age was less than 36 weeks in 68 (30%) cases and low birth weight babies were 143 (62.6%). History of birth asphyxia was present in 103 (45%) cases. There were 142 (62.3%) cases of early onset ( 7 days). Out of 233 positive blood cultures Escherichia coli was found to be commonest (47.8%, n =111, p<0.05) both in early onset (47.8%, n=68, p <0.05) and late onset sepsis (47.3%,n=43, p<0.05). Staphylococcus aureus was the most common among gram positive organism. Resistance to cefotaxime, ceftazidime and amikacin was 34% to 80% and to ciprofloxacin 13% to 72%. A total of 64 cases (28%) died. Mortality was four times higher in early onset sespis. Conclusion: Gram negative bacteria are the commenst cause of neonatal sepsis. The resistance to the commonly used antibiotics is alarmingly high. Mortality is four times higher in early one set sepsis. (author)

  7. Effect of Rifampin on Thyroid Function Test in Patients on Levothyroxine Medication.

    Directory of Open Access Journals (Sweden)

    Hye In Kim

    Full Text Available Levothyroxine (LT4 and rifampin (RIF are sometimes used together; however, no clinical studies have assessed the effects of these drugs on thyroid function or the need to adjust LT4 dose.We retrospectively reviewed the records of 71 Korean patients who started RIF during LT4 treatment. Clinically relevant cases that required dose adjustment according to the American Thyroid Association (ATA/American Association of Clinical Endocrinologists (AACE guidelines were identified, and risk factors of increased LT4 dose were analyzed.After administering RIF, median serum thyroid-stimulating hormone (TSH level (2.58 mIU/L, interquartile range [IQR] 0.21-7.44 was significantly higher than that before RIF (0.25 mIU/L, IQR, 0.03-2.62; P < 0.001. An increased LT4 dose was required for 50% of patients in the TSH suppression group for thyroid cancer and 26% of patients in the replacement group for hypothyroidism. Risk factor analysis showed that remaining thyroid gland (odds ratio [OR] 9.207, P = 0.002, the time interval between starting RIF and TSH measurement (OR 1.043, P = 0.019, and baseline LT4 dose per kg body weight (OR 0.364, P = 0.011 were clinically relevant variables.In patients receiving LT4, serum thyroid function test should be performed after starting RIF treatment. For patients with no remnant thyroid gland and those receiving a lower LT4 dose, close observation is needed when starting RIF and TB medication.

  8. Changes in drug resistance patterns following the introduction of HIV type 1 non-B subtypes in Spain.

    Science.gov (United States)

    De Mendoza, Carmen; Garrido, Carolina; Poveda, Eva; Corral, Angélica; Zahonero, Natalia; Treviño, Ana; Anta, Lourdes; Soriano, Vincent

    2009-10-01

    Natural genetic variability at the pol gene may account for differences in drug susceptibility and selection of resistance patterns across HIV-1 clades. Spread of non-B subtypes along with changes in antiretroviral drug use may have modified drug resistance patterns in recent years. All HIV-1 clinical samples sent to a reference laboratory located in Madrid for drug resistance testing since January 2000 were analyzed. The pol gene was sequenced and HIV-1 subtypes were assigned using the Stanford algorithm and phylogenetic analyses for non-B subtypes. Drug resistance mutations were recorded using the IAS-USA mutation list (April 2008). A total of 3034 specimens from 730 antiretroviral-naive individuals (92 with non-B subtypes) and 1569 antiretroviral-experienced patients (97 with non-B subtypes) were examined. The prevalence of HIV-1 non-B subtypes in the study period increased from 4.4% (2000-2003) to 10.1% (2004-2007) (p 41.8%) and G (17.5%). Thymidine analogue mutations (TAMs) were more prevalent in B than non-B subtypes, in both drug-naive (6.2% vs. 1%; p < 0.01) and treatment-experienced patients (49% vs. 30%, p < 0.01). K103N was most frequent in B than non-B subtypes (34% vs. 21%; p < 0.01); conversely, 106A/M was more prevalent in non-B than B clades (11% vs. 5%). Codon 179 mutations associated with etravirine resistance were more frequent in non-B than B subtypes. Finally, secondary protease resistance mutations were more common in non-B than B clades, with a potentially significant impact at least on tipranavir. The prevalence of HIV-1 non-B subtypes has increased since the year 2000 in a large drug resistance database in Spain, determining changes in drug resistance patterns that may influence the susceptibility to new antiretroviral drugs and have an impact on genotypic drug resistance interpretation algorithms.

  9. Triatoma rubrovaria (Blanchard, 1843 (Hemiptera-Reduviidae-Triatominae III: patterns of feeding, defecation and resistance to starvation

    Directory of Open Access Journals (Sweden)

    Carlos Eduardo Almeida

    2003-04-01

    Full Text Available Data from the Chagas Disease Control Program indicate a growing domiciliary and peridomiciliary invasion of Triatoma rubrovaria in the State of Rio Grande do Sul, where it has become the most frequent triatomine species captured there since the control of T. infestans. Bionomic characteristics that could influence the vectorial capacity of T. rubrovaria as vector of Trypanosoma cruzi were evaluated: patterns of (i feeding, (ii defecation, and (iii resistance to starvation, using insects fed on mice. Fifty three percent of the females showed a defecation pattern conducive to chagasic transmission, defecating either on or near the bite site. The averages of the resistance to starvation varied from 48.1 to 179 days, for the first and fifth nymphal stages, respectively. Our study shows that with respect to the patterns of feeding, defecation and resistance to fasting, T. rubrovaria presented similar rates to the ones observed for other effective vector species, such as T. infestans. Thus, based on our studies we conclude that T. rubrovaria has biological characteristics that can positively influence its capacity to become infected and transmit T. cruzi, and also to keep residual populations after chemical control interventions.

  10. Antibiotic resistance pattern and empirical therapy for urinary tract infections in children

    International Nuclear Information System (INIS)

    Al-Harthi, Abdulla A.; Al-Fifi, Suliman H.

    2008-01-01

    Objective was to study the type of bacterial pathogen causing urinary tract infection in children at Aseer Central Hospital, southwestern Saudi Arabia and their antimicrobial resistance patterns. A retrospective study of all the urine cultures carried out on the children in the period from January 2003 to December 2006, for a total of 4 years were reviewed at the bacteriology laboratory, Aseer Central Hospital, southwestern region of Saudi Arabia. Their antimicrobial resistances as well as sensitivities were also analyzed. A total of 464 urine cultures were identified. Escherichia coli constitutes the most common pathogen isolated 37.3%, followed by Klebsiella 16.4% and Pseudomonas species 15.7%. In general, there was a significant increase in the resistance rates of different bacterial pathogens to different antibiotics. In spite of an increase in the resistance rates of bacterial pathogens causing UTI, ceftriaxone, imipenem and to some extent Azactam are appropriate for initial empirical intravenous therapy in UTI. In patients with uncomplicated UTI not requiring hospitalization, Nalidixic acid and Nitrofurantoin can be used as oral treatment. (author)

  11. An association of genotypes and antimicrobial resistance patterns among Salmonella isolates from pigs and humans in Taiwan.

    Directory of Open Access Journals (Sweden)

    Hung-Chih Kuo

    Full Text Available We collected 110 Salmonella enterica isolates from sick pigs and determined their serotypes, genotypes using pulsed-field gel electrophoresis (PFGE, and antimicrobial susceptibility to 12 antimicrobials and compared the data with a collection of 18,280 isolates obtained from humans. The pig isolates fell into 12 common serovars for human salmonellosis in Taiwan; S. Typhimurium, S. Choleraesuis, S. Derby, S. Livingstone, and S. Schwarzengrund were the 5 most common serovars and accounted for a total of 84% of the collection. Of the 110 isolates, 106 (96% were multidrug resistant (MDR and 48 (44% had PFGE patterns found in human isolates. S. Typhimurium, S. Choleraesuis, and S. Schwarzengrund were among the most highly resistant serovars. The majority of the 3 serovars were resistant to 8-11 of the tested antimicrobials. The isolates from pigs and humans sharing a common PFGE pattern displayed identical or very similar resistance patterns and Salmonella strains that caused severe infection in pigs were also capable of causing infections in humans. The results indicate that pigs are one of the major reservoirs to human salmonellosis in Taiwan. Almost all of the pig isolates were MDR, which highlights the necessity of strictly regulating the use of antimicrobials in the agriculture sector in Taiwan.

  12. An association of genotypes and antimicrobial resistance patterns among Salmonella isolates from pigs and humans in Taiwan.

    Science.gov (United States)

    Kuo, Hung-Chih; Lauderdale, Tsai-Ling; Lo, Dan-Yuan; Chen, Chiou-Lin; Chen, Pei-Chen; Liang, Shiu-Yun; Kuo, Jung-Che; Liao, Ying-Shu; Liao, Chun-Hsing; Tsao, Chi-Sen; Chiou, Chien-Shun

    2014-01-01

    We collected 110 Salmonella enterica isolates from sick pigs and determined their serotypes, genotypes using pulsed-field gel electrophoresis (PFGE), and antimicrobial susceptibility to 12 antimicrobials and compared the data with a collection of 18,280 isolates obtained from humans. The pig isolates fell into 12 common serovars for human salmonellosis in Taiwan; S. Typhimurium, S. Choleraesuis, S. Derby, S. Livingstone, and S. Schwarzengrund were the 5 most common serovars and accounted for a total of 84% of the collection. Of the 110 isolates, 106 (96%) were multidrug resistant (MDR) and 48 (44%) had PFGE patterns found in human isolates. S. Typhimurium, S. Choleraesuis, and S. Schwarzengrund were among the most highly resistant serovars. The majority of the 3 serovars were resistant to 8-11 of the tested antimicrobials. The isolates from pigs and humans sharing a common PFGE pattern displayed identical or very similar resistance patterns and Salmonella strains that caused severe infection in pigs were also capable of causing infections in humans. The results indicate that pigs are one of the major reservoirs to human salmonellosis in Taiwan. Almost all of the pig isolates were MDR, which highlights the necessity of strictly regulating the use of antimicrobials in the agriculture sector in Taiwan.

  13. Changes in Bacterial Resistance Patterns of Pediatric Urinary Tract Infections and Rationale for Empirical Antibiotic Therapy

    Directory of Open Access Journals (Sweden)

    İbrahim Gökçe

    2017-10-01

    Full Text Available Background: The causative agent spectrum and resistance patterns of urinary tract infections in children are affected by many factors. Aims: To demonstrate antibiotic resistance in urinary tract infections and changing ratio in antibiotic resistance by years. Study Design: Retrospective cross-sectional study. Methods: We analysed antibiotic resistance patterns of isolated Gram (- bacteria during the years 2011-2014 (study period 2 in children with urinary tract infections. We compared these findings with data collected in the same centre in 2001-2003 (study period 1. Results: Four hundred and sixty-five uncomplicated community-acquired Gram (- urinary tract infections were analysed from 2001-2003 and 400 from 2011-2014. Sixty-one percent of patients were female (1.5 girls : 1 boy. The mean age of children included in the study was 3 years and 9 months. Escherichia coli was the predominant bacteria isolated during both periods of the study (60% in study period 1 and 73% in study period 2. Bacteria other than E. coli demonstrated a higher level of resistance to all of the antimicrobials except trimethoprim-sulfamethoxazole than E. coli bacteria during the years 2011-2014. In our study, we found increasing resistance trends of urinary pathogens for cefixime (from 1% to 15%, p0.05. Conclusion: In childhood urinary tract infections, antibiotic resistance should be evaluated periodically and empiric antimicrobial therapy should be decided according to antibiotic sensitivity results

  14. Voluntary resistance running wheel activity pattern and skeletal muscle growth in rats.

    Science.gov (United States)

    Legerlotz, Kirsten; Elliott, Bradley; Guillemin, Bernard; Smith, Heather K

    2008-06-01

    The aims of this study were to characterize the pattern of voluntary activity of young rats in response to resistance loading on running wheels and to determine the effects of the activity on the growth of six limb skeletal muscles. Male Sprague-Dawley rats (4 weeks old) were housed individually with a resistance running wheel (R-RUN, n = 7) or a conventional free-spinning running wheel (F-RUN, n = 6) or without a wheel, as non-running control animals (CON, n = 6). The torque required to move the wheel in the R-RUN group was progressively increased, and the activity (velocity, distance and duration of each bout) of the two running wheel groups was recorded continuously for 45 days. The R-RUN group performed many more, shorter and faster bouts of running than the F-RUN group, yet the mean daily distance was not different between the F-RUN (1.3 +/- 0.2 km) and R-RUN group (1.4 +/- 0.6 km). Only the R-RUN resulted in a significantly (P RUN and R-RUN led to a significantly greater wet mass relative to increase in body mass and muscle fibre cross-sectional area in the soleus muscle compared with CON. We conclude that the pattern of voluntary activity on a resistance running wheel differs from that on a free-spinning running wheel and provides a suitable model to induce physiological muscle hypertrophy in rats.

  15. Methicillin-resistant Staphylococcus aureus prevalence: Current susceptibility patterns in Trinidad

    Directory of Open Access Journals (Sweden)

    Land Michael

    2006-05-01

    to identify carriers who would be offered antibiotics to eradicate the organisms. Most MRSA are resistant to several non-β-lactam antibiotics. Frequent monitoring of susceptibility patterns of MRSA and the formulation of a definite antibiotic policy maybe helpful in decreasing the incidence of MRSA infection.

  16. Comparison of antimicrobial resistance patterns in enterococci from intensive and free range chickens in Australia.

    Science.gov (United States)

    Obeng, Akua Serwaah; Rickard, Heather; Ndi, Olasumbo; Sexton, Margaret; Barton, Mary

    2013-02-01

    Resistance to antimicrobials in enterococci from poultry has been found throughout the world and is generally recognized as associated with antimicrobial use. This study was conducted to evaluate the phenotypic and genotypic profile of enterococcal isolates of intensive (indoor) and free range chickens from 2008/09 and 2000 in order to determine the patterns of antimicrobial resistance associated with different management systems. The minimum inhibitory concentrations in faecal enterococci isolates were determined by agar dilution. Resistance to bacitracin, ceftiofur, erythromycin, lincomycin, tylosin and tetracycline was more common among meat chickens (free range and intensive) than free range egg layers (Pfree range meat chickens.

  17. Investigation of fabrication process for sub 20-nm dense pattern of non-chemically amplified electron beam resist based on acrylic polymers

    Science.gov (United States)

    Ochiai, Shunsuke; Takayama, Tomohiro; Kishimura, Yukiko; Asada, Hironori; Sonoda, Manae; Iwakuma, Minako; Hoshino, Ryoichi

    2016-10-01

    In this study, we examine exposure characteristics of a positive tone electron beam resist consisting of methyl α- chloroacrylate and α-methylstyrene by changing the development process conditions. 25/25 nm and 30/30 nm line-andspace (L/S) patterns (design value) are developed in amyl and heptyl acetates. The resist patterns developed at 0ºC for 120 s show the better shapes having the vertical sidewalls than those developed at 22 °C for 60 s. The dose margins of pattern formation for 0°C development become wider, although the sensitivities are lower. The effect of post exposure baking (PEB) on exposure characteristics is also investigated. Adding PEB process performed at 120°C for 2 min, the dose margin also becomes wider although the sensitivity is lower. 20/20 nm L/S patterns are fabricated by using PEB and/or 0°C development. Though the required exposure dose is larger, the resist pattern is improved by PEB and/or 0°C development. The formation of 35 nm pitch pattern is also presented.

  18. Direct patterning of highly-conductive graphene@copper composites using copper naphthenate as a resist for graphene device applications.

    Science.gov (United States)

    Bi, Kaixi; Xiang, Quan; Chen, Yiqin; Shi, Huimin; Li, Zhiqin; Lin, Jun; Zhang, Yongzhe; Wan, Qiang; Zhang, Guanhua; Qin, Shiqiao; Zhang, Xueao; Duan, Huigao

    2017-11-09

    We report an electron-beam lithography process to directly fabricate graphene@copper composite patterns without involving metal deposition, lift-off and etching processes using copper naphthenate as a high-resolution negative-tone resist. As a commonly used industrial painting product, copper naphthenate is extremely cheap with a long shelf time but demonstrates an unexpected patterning resolution better than 10 nm. With appropriate annealing under a hydrogen atmosphere, the produced graphene@copper composite patterns show high conductivity of ∼400 S cm -1 . X-ray diffraction, conformal Raman spectroscopy and X-ray photoelectron spectroscopy were used to analyze the chemical composition of the final patterns. With the properties of high resolution and high conductivity, the patterned graphene@copper composites could be used as conductive pads and interconnects for graphene electronic devices with ohmic contacts. Compared to common fabrication processes involving metal evaporation and lift-off steps, this pattern-transfer-free fabrication process using copper naphthenate resist is direct and simple but allows comparable device performance in practical device applications.

  19. Simulation of AZ-PN100 resist pattern fluctuation in X-ray lithography, including synchrotron beam polarization

    International Nuclear Information System (INIS)

    Scheckler, E.W.; Ogawa, Taro; Tanaka, Toshihiko; Takeda, Eiji; Oizumi, Hiroaki.

    1993-01-01

    A new simulation model for nanometer-scale pattern fluctuation in X-ray lithography is presented and applied to a study of AZ-PN100 negative chemical amplification resist. The exposure simulation considers polarized photons from a synchrotron radiation (SR) source. Monte Carlo simulation of Auger and photoelectron generation is followed by electron scattering simulation to determine the deposited energy distribution at the nanometer scale, including beam polarization effects. An acid-catalyst random walk model simulates the post-exposure bake (PEB) step. Fourier transform infrared (FTIR) spectroscopy and developed resist thickness measurements are used to fit PEB and rate models for AZ-PN100. A polymer removal model for development simulation predicts the macroscopic resist shape and pattern roughness. The simulated 3σ linewidth variation is in excess of 24 nm. Simulation also shows a detrimental effect if the beam polarization is perpendicular to the line. Simulation assuming a theoretical ideal exposure yields a 50 nm minimum line for standard process conditions. (author)

  20. Development of a High Slip-resistant Footwear Outsole Using a Hybrid Rubber Surface Pattern

    OpenAIRE

    YAMAGUCHI, Takeshi; HOKKIRIGAWA, Kazuo

    2014-01-01

    Abstract: The present study examined whether a new footwear outsole with tread blocks and a hybrid rubber surface pattern, composed of rough and smooth surfaces, could increase slip resistance and reduce the risk of fall while walking on a wet floor surface. A drag test was performed to measure static and dynamic coefficient of friction (SCOF and DCOF, respectively) values for the footwear with the hybrid rubber surface pattern outsole and two types of commercially available boots that are co...

  1. Changing patterns and widening of antibiotic resistance in Shigella spp. over a decade (2000-2011), Andaman Islands, India.

    Science.gov (United States)

    Bhattacharya, D; Bhattacharya, H; Sayi, D S; Bharadwaj, A P; Singhania, M; Sugunan, A P; Roy, S

    2015-02-01

    This study is a part of the surveillance study on childhood diarrhoea in the Andaman and Nicobar Islands; here we report the drug resistance pattern of recent isolates of Shigella spp. (2006-2011) obtained as part of that study and compare it with that of Shigella isolates obtained earlier during 2000-2005. During 2006-2011, stool samples from paediatric diarrhoea patients were collected and processed for isolation and identification of Shigella spp. Susceptibility to 22 antimicrobial drugs was tested and minimum inhibitory concentrations were determined for third-generation cephalosporins, quinolones, amoxicillin-clavulanic acid combinations and gentamicin. A wide spectrum of antibiotic resistance was observed in the Shigella strains obtained during 2006-2011. The proportions of resistant strains showed an increase from 2000-2005 to 2006-2011 in 20/22 antibiotics tested. The number of drug resistance patterns increased from 13 in 2000-2005 to 43 in 2006-2011. Resistance to newer generation fluoroquinolones, third-generation cephalosporins and augmentin, which was not observed during 2000-2005, appeared during 2006-2011. The frequency of resistance in Shigella isolates has increased substantially between 2000-2006 and 2006-2011, with a wide spectrum of resistance. At present, the option for antimicrobial therapy in shigellosis in Andaman is limited to a small number of drugs.

  2. Antibiotic resistance rates and physician antibiotic prescription patterns of uncomplicated urinary tract infections in southern Chinese primary care

    OpenAIRE

    Wong, Carmen Ka Man; Kung, Kenny; Au-Doung, Philip Lung Wai; Ip, Margaret; Lee, Nelson; Fung, Alice; Wong, Samuel Yeung Shan

    2017-01-01

    Uncomplicated urinary tract infections (UTI) are common in primary care. Whilst primary care physicians are called to be antimicrobial stewards, there is limited primary care antibiotic resistance surveillance and physician antibiotic prescription data available in southern Chinese primary care. The study aimed to investigate the antibiotic resistance rate and antibiotic prescription patterns in female patients with uncomplicated UTI. Factors associated with antibiotic resistance and prescrip...

  3. Transmission pattern of drug-resistant tuberculosis and its implication for tuberculosis control in eastern rural China.

    Directory of Open Access Journals (Sweden)

    Yi Hu

    Full Text Available OBJECTIVE: Transmission patterns of drug-resistant Mycobacterium tuberculosis (MTB may be influenced by differences in socio-demographics, local tuberculosis (TB endemicity and efficaciousness of TB control programs. This study aimed to investigate the impact of DOTS on the transmission of drug-resistant TB in eastern rural China. METHODS: We conducted a cross-sectional study of all patients diagnosed with drug-resistant TB over a one-year period in two rural Chinese counties with varying lengths of DOTS implementation. Counties included Deqing, with over 11 years' DOTS implementation and Guanyun, where DOTS was introduced 1 year prior to start of this study. We combined demographic, clinical and epidemiologic information with IS6110-based restricted fragment length polymorphism (RFLP and Spoligotyping analysis of MTB isolates. In addition, we conducted DNA sequencing of resistance determining regions to first-line anti-tuberculosis agents. RESULTS: Of the 223 drug-resistant isolates, 73(32.7% isolates were identified with clustered IS6110RFLP patterns. The clustering proportion among total drug-resistant TB was higher in Guanyun than Deqing (26/101.vs.47/122; p,0.04, but not significantly different among the 53 multidrug-resistant isolates (10/18.vs.24/35; p,0.35. Patients with cavitary had increased risk of clustering in both counties. In Guanyun, patients with positive smear test or previous treatment history had a higher clustering proportion. Beijing genotype and isolates resistant to isoniazid and/or rifampicin were more likely to be clustered. Of the 73 patients with clustered drug-resistant isolates, 71.2% lived in the same or neighboring villages. Epidemiological link (household and social contact was confirmed in 12.3% of the clustered isolates. CONCLUSION: Transmission of drug-resistant TB in eastern rural China is characterized by small clusters and limited geographic spread. Our observations highlight the need for supplementing DOTS

  4. Acinetobacter baumannii in Southern Croatia: clonal lineages, biofilm formation, and resistance patterns.

    Science.gov (United States)

    Kaliterna, Vanja; Kaliterna, Mariano; Hrenović, Jasna; Barišić, Zvonimir; Tonkić, Marija; Goic-Barisic, Ivana

    2015-01-01

    Acinetobacter baumannii is one of the most prevalent causes of severe hospital-acquired infections and is responsible for the dramatic increase in carbapenem resistance in Croatia in the last 5 years. Such data have encouraged multicenter research focused on the organism's ability to form biofilm, susceptibility to antibiotics, and particular genotype lineage. Biofilm formation in 109 unrelated clinical isolates of A. baumannii recovered in six cities of Southern Croatia was investigated. Genotyping was performed by pulsed-field gel electrophoresis and antibiotic profile was tested by applying the disc diffusion method and confirmed by determining the minimum inhibitory concentrations. The ability to form biofilm in vitro was determined from overnight cultures of the collected isolates on microtiter plates, after staining with crystal violet, and quantified at 570 nm after solubilization with ethanol. The statistical relevance was calculated in an appropriate program with level of statistical confidence. There was no significant difference in biofilm formation due to the genotype lineage. Isolates collected from intensive care units (ICUs) and isolated from respiratory samples were more likely to create a biofilm compared with isolates from other departments and other samples. There was a significant difference in the ability to produce biofilm in relation to antibiotic resistance pattern. A large proportion of A. baumannii isolates that were resistant to ampicillin/sulbactam, carbapenems, and amikacin were found to be biofilm-negative. In contrast, isolates susceptible and intermediately susceptible to ampicillin/sulbactam, carbapenems, and amikacin were biofilm producers. Clinical isolates of A. baumannii from respiratory samples in ICUs with a particular susceptibility pattern are more prone to form biofilm.

  5. Frequency of isolation and antibiotic resistance patterns of bacterial isolates from wound infections

    Directory of Open Access Journals (Sweden)

    Stojanović-Radić, Z.

    2016-12-01

    Full Text Available Six hundred and thirteen bacterial strains were isolated from wound swabs and the isolates were identified on the basis of growth on differential and selective media. In order to test the sensitivity of isolated strains to different antibiotics, the disc diffusion method, according to EUCAST protocol v 5.0 was used. The most common species isolated from wound swabs was Staphylococcus epidermidis (18.4%, followed by Staphylococcus aureus, Pseudomonas aeruginosa and Enterococcus faecalis (16.8%, 12.7% and 10.4%, respectively. The maximum resistance of Gram-positive cocci was observed to penicillin and the lowest to linezolid. Gram-negative bacteria showed the highest resistance to tetracyclines, while the same strains demonstrated the highest sensitivity to polypeptide antibiotics. Comparison of the resistance patterns of Gramnegative and Gram-positive bacterial strains showed significant difference in the tetracycline efficiency.

  6. Intensive care antibiotic consumption and resistance patterns: a cross-correlation analysis

    Directory of Open Access Journals (Sweden)

    Luminita Baditoiu

    2017-11-01

    Full Text Available Abstract Background Over recent decades, a dramatic increase in infections caused by multidrug-resistant pathogens has been observed worldwide. The aim of the present study was to investigate the relationship between local resistance bacterial patterns and antibiotic consumption in an intensive care unit in a Romanian university hospital. Methods A prospective study was conducted between 1st January 2012 and 31st December 2013. Data covering the consumption of antibacterial drugs and the incidence density for the main resistance phenotypes was collected on a monthly basis, and this data was aggregated quarterly. The relationship between the antibiotic consumption and resistance was investigated using cross-correlation, and four regression models were constructed, using the SPSS version 20.0 (IBM, Chicago, IL and the R version 3.2.3 packages. Results During the period studied, the incidence of combined-resistant and carbapenem-resistant P. aeruginosa strains increased significantly [(gradient = 0.78, R2 = 0.707, p = 0.009 (gradient = 0.74, R2 = 0.666, p = 0.013 respectively], mirroring the increase in consumption of β-lactam antibiotics with β-lactamase inhibitors (piperacillin/tazobactam and carbapenems (meropenem [(gradient = 10.91, R2 = 0.698, p = 0.010 and (gradient = 14.63, R2 = 0.753, p = 0.005 respectively]. The highest cross-correlation coefficients for zero time lags were found between combined-resistant vs. penicillins consumption and carbapenem-resistant P. aeruginosa strains vs. carbapenems consumption (0.876 and 0.928, respectively. The best model describing the relation between combined-resistant P. aeruginosa strains and penicillins consumption during a given quarter incorporates both the consumption and the incidence of combined-resistant strains in the hospital department during the previous quarter (multiple R2 = 0.953, p = 0.017. The best model for explaining the carbapenem resistance of P

  7. The Effect of mechanical resistive loading on optimal respiratory signals and breathing patterns under added dead space and CO2 breathing

    Directory of Open Access Journals (Sweden)

    Lin Shyan-Lung

    2016-01-01

    Full Text Available Current study aims to investigate how the respiratory resistive loading affects the behaviour of the optimal chemical-mechanical respiratory control model, the respiratory signals and breathing pattern are optimized under external dead space loading and CO2 breathing. The respiratory control was modelled to include a neuro-muscular drive as the control output to derive the waveshapes of instantaneous airflow, lung volume profiles, and breathing pattern, including total/alveolar ventilation, breathing frequency, tidal volume, inspiratory/expiratory duration, duty cycle, and arterial CO2 pressure. The simulations were performed under various respiratory resistive loads, including no load, inspiratory resistive load, expiratory resistive load, and continuous resistive load. The dead space measurement was described with Gray’s derivation, and simulation results were studied and compared with experimental findings.

  8. Pattern of secondary acquired drug resistance to antituberculosis drug in Mumbai, India--1991-1995.

    Science.gov (United States)

    Chowgule, R V; Deodhar, L

    1998-01-01

    A retrospective observational study was conducted to find out whether secondary acquired drug resistance to isoniazid and ethambutol is high and to rifamycin and pyrazinamide is low, as is commonly believed in India. There were 2033 patients, whose sputum samples (6099) were reviewed from a specimen registry of the microbiology laboratory for the years 1991 to 1995. Of these, 521 (25.6%) patients [335 males and 186 females; age ranged from 11 to 75 years] had sputum positive culture and sensitivity for acid-fast bacilli (AFB). The drug resistance patterns in our study were: isoniazid (H) 15%, rifamycin (R) 66.8%, pyrazinamide (Z) 72.2%, ethambutol (E) 8.4%, streptomycin (S) 53.6%, cycloserine (C) 39.2% kanamycin (K) 25.1% and ethionamide (Eth) 65.3%. The resistance to streptomycin showed a significant fall over a year while there was a rise in resistance to cycloserine and kanamycin which is significant. The rate of secondary acquired resistance of isoniazid and ethambutol was low, and the rate of secondary acquired resistance to rifamycin and pyrazinamide was high, which is contarary to the common belief regarding these drugs in India. This implies that isoniazid is still a valuable drug in the treatment of multidrug resistance in India.

  9. Early childhood growth patterns and school-age respiratory resistance, fractional exhaled nitric oxide and asthma.

    Science.gov (United States)

    Casas, Maribel; den Dekker, Herman T; Kruithof, Claudia J; Reiss, Irwin K; Vrijheid, Martine; de Jongste, Johan C; Jaddoe, Vincent W V; Duijts, Liesbeth

    2016-12-01

    Greater infant weight gain is associated with lower lung function and increased risk of childhood asthma. The role of early childhood peak growth patterns is unclear. We assessed the associations of individually derived early childhood peak growth patterns with respiratory resistance, fractional exhaled nitric oxide, wheezing patterns, and asthma until school-age. We performed a population-based prospective cohort study among 5364 children. Repeated growth measurements between 0 and 3 years of age were used to derive standard deviation scores (s.d.s) of peak height and weight velocities (PHV and PWV, respectively), and body mass index (BMI) and age at adiposity peak. Respiratory resistance and fractional exhaled nitric oxide were measured at 6 years of age. Wheezing patterns and asthma were prospectively assessed by annual questionnaires. We also assessed whether any association was explained by childhood weight status. Greater PHV was associated with lower respiratory resistance [Z-score (95% CI): -0.03 (-0.04, -0.01) per s.d.s increase] (n = 3382). Greater PWV and BMI at adiposity peak were associated with increased risks of early wheezing [relative risk ratio (95% CI): 1.11 (1.06, 1.16), 1.26 (1.11, 1.43), respectively] and persistent wheezing [relative risk ratio (95% CI): 1.09 (1.03, 1.16), 1.37 (1.17, 1.60), respectively] (n = 3189 and n = 3005, respectively). Childhood weight status partly explained these associations. No other associations were observed. PWV and BMI at adiposity peak are critical for lung developmental and risk of school-age wheezing. Follow-up studies at older ages are needed to elucidate whether these effects persist at later ages. © 2016 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  10. Mask patterning process using the negative tone chemically amplified resist TOK OEBR-CAN024

    Science.gov (United States)

    Irmscher, Mathias; Beyer, Dirk; Butschke, Joerg; Hudek, Peter; Koepernik, Corinna; Plumhoff, Jason; Rausa, Emmanuel; Sato, Mitsuru; Voehringer, Peter

    2004-08-01

    Optimized process parameters using the TOK OEBR-CAN024 resist for high chrome load patterning have been determined. A tight linearity tolerance for opaque and clear features, independent on the local pattern density, was the goal of our process integration work. For this purpose we evaluated a new correction method taking into account electron scattering and process influences. The method is based on matching of measured pattern geometry by iterative back-simulation using multiple Gauss and/or exponential functions. The obtained control function acts as input for the proximity correction software PROXECCO. Approaches with different pattern oversize and two Cr thicknesses were accomplished and the results have been reported. Isolated opaque and clear lines could be realized in a very tight linearity range. The increasing line width of small dense lines, induced by the etching process, could be corrected only partially.

  11. In vitro ciprofloxacin resistance patterns of gram positive bacteria isolated from clinical specimens in a teaching hospital in Saudi Arabia

    International Nuclear Information System (INIS)

    Akhtar, N.; Alzahrani, A.; Obeid, O.El-Treify; Dassal, D.

    2009-01-01

    Over the last few decades the ever-increasing level of bacterial resistance to antimicrobials has been a cause of worldwide concern. Fluoroquinolones, particularly ciprofloxacin has been used indiscriminately for both gram-positive and gram-negative bacterial infections. The increased use of ciprofloxacin has led to a progressive loss of bacterial susceptibility to this antibiotic. Therefore it is necessary to have update knowledge of resistance pattern of bacteria to this antibiotic so that alternate appropriate antibiotics can be used for ciprofloxacin-resistant bacterial infections. Objective: To evaluate the trends of ciprofloxacin resistance pattern in commonly isolated gram positive bacteria over time in a Saudi Arabian teaching hospital. Methods: A retrospective analysis was carried out for ciprofloxacin susceptibility patterns of 5534 isolates of gram-positive bacteria isolated from clinical specimens submitted to microbiology laboratories at King Fahd Hospital of the University (KFHU), Al-Khobar, Saudi Arabia during the period from January 2002 to August 2005. Results: Increase in ciprofloxacin resistance rates with some fluctuations, among these isolates, were observed. For Staphylococcus aureus, it varied from 4.62, 1.83, 7.01 and 3.98%, methicillin resistant Staphylococcus aureus (MRSA) 97.92, 97.75, 87.01 and 88.26%, Streptococcus pyogenes 5.35, 4.47, 14.44 and 3.53% during the years 2002, 2003, 2004 and 2005 respectively. Cirprofloxacin resistance during the years 2002, 2004 and 2005 for other isolates was as follows: Streptococcus pneumoniae, 30.23, 23.02 and 26.47%; enterococcus group D, 43.05, 20.68 and 57.03% and non-enterococcus group D, 62.96, 76.92 and 87.50% respectively. Conclusion: Ciprofloxacin resistance in gram positive bacterial clinical isolates particularly Staphylococcus aureus, methicillin resistant Staphylococcus aureus (MRSA) enterococcus group D, and non-enterococcus group D, has greatly increased and ciprofloxacin no more remains

  12. Bovine salmonellosis in northeast of Iran: frequency, genetic fingerprinting and antimicrobial resistance patterns of Salmonella spp.

    Science.gov (United States)

    Halimi, Hessam A; Seifi, Hesam A; Rad, Mehrnaz

    2014-01-01

    To evaluate serovar and antimicrobial resistance patterns of Salmonella spp isolated from healthy, diseased and necropsied cows and calves in this observational study. Nineteen isolates recovered from feces and tissues of salmonellosis-affected animals of two commercial farms in north-east of Iran. In second part of the study, the two farms were sampled 4 times with an interval of 2 month. The samples included calves' feces, adult cows' feces, feeds, water, milk filters, and milk fed to calves. Five Salmonella were isolated from 332 fecal samples collected from calves and peri-parturient cows. No Salmonella was recovered from water, feed, milk filers and milk fed to calves. Salmonella Typhimurium was the most frequently isolate among all sero-groups. S. Dublin was only accounted for 8% (two out of 24) of isolates. Isolated Salmonella strains were used for the ERIC PCR DNA fingerprinting assay. Our results grouped Salmonella isolates into 3 clusters, suggesting that specific genotypes were responsible for each sero-group of Salmonella. The results also revealed diversity among Salmonella isolates in cluster III (sero-group B). Eighteen out of 19 Salmonella spp. were resistant to oxytetracycline. Five isolates out of 19 showed more than one drug resistance. Multi-drug resistance was seen only among Salmonella Typhimurium isolates. Enrofloxacin was the most susceptible antibiotic against all isolates in this study. The emergence of multiple antibiotic-resistant strains of Salmonella Typhimurium should be of great concern to the public. No correlation between ERIC fingerprinting and resistance patterns of Salmonella isolates was found, which indicates resistance to antimicrobial agents was not related to specific genetic background. Copyright © 2014 Asian Pacific Tropical Biomedical Magazine. Published by Elsevier B.V. All rights reserved.

  13. Changes in Bacterial Resistance Patterns of Pediatric Urinary Tract Infections and Rationale for Empirical Antibiotic Therapy.

    Science.gov (United States)

    Gökçe, İbrahim; Çiçek, Neslihan; Güven, Serçin; Altuntaş, Ülger; Bıyıklı, Neşe; Yıldız, Nurdan; Alpay, Harika

    2017-09-29

    The causative agent spectrum and resistance patterns of urinary tract infections in children are affected by many factors. To demonstrate antibiotic resistance in urinary tract infections and changing ratio in antibiotic resistance by years. Retrospective cross-sectional study. We analysed antibiotic resistance patterns of isolated Gram (-) bacteria during the years 2011-2014 (study period 2) in children with urinary tract infections. We compared these findings with data collected in the same centre in 2001-2003 (study period 1). Four hundred and sixty-five uncomplicated community-acquired Gram (-) urinary tract infections were analysed from 2001-2003 and 400 from 2011-2014. Sixty-one percent of patients were female (1.5 girls : 1 boy). The mean age of children included in the study was 3 years and 9 months. Escherichia coli was the predominant bacteria isolated during both periods of the study (60% in study period 1 and 73% in study period 2). Bacteria other than E. coli demonstrated a higher level of resistance to all of the antimicrobials except trimethoprim-sulfamethoxazole than E. coli bacteria during the years 2011-2014. In our study, we found increasing resistance trends of urinary pathogens for cefixime (from 1% to 15%, pUrinary pathogens showed a decreasing trend for nitrofurantoin (from 17% to 7%, p=0.0001). No significant trends were detected for ampicillin (from 69% to 71%), amoxicillin-clavulanate (from 44% to 43%), cefazolin (from 39% to 32%), trimethoprim-sulfamethoxazole (from 32% to 31%), cefuroxime (from 21% to 18%) and ceftriaxone (from 10% to 14%) between the two periods (p>0.05). In childhood urinary tract infections, antibiotic resistance should be evaluated periodically and empiric antimicrobial therapy should be decided according to antibiotic sensitivity results.

  14. Retail ready-to-eat food as a potential vehicle for Staphylococcus spp. harboring antibiotic resistance genes.

    Science.gov (United States)

    Chajęcka-Wierzchowska, Wioleta; Zadernowska, Anna; Nalepa, Beata; Sierpińska, Magda; Laniewska-Trokenheim, Lucja

    2014-06-01

    Ready-to-eat (RTE) food, which does not need thermal processing before consumption, could be a vehicle for the spread of antibiotic-resistant microorganisms. As part of general microbiological safety checks, staphylococci are routinely enumerated in these kinds of foods. However, the presence of antibiotic-resistant staphylococci in RTE food is not routinely investigated, and data are only available from a small number of studies. The present study evaluated the pheno- and genotypical antimicrobial resistance profile of Staphylococcus spp. isolated from 858 RTE foods (cheeses, cured meats, sausages, smoked fishes, salads). Of 113 strains isolated, S. aureus was the most prevalent species, followed by S. xylosus, S. saprophyticus, and S. epidermidis. More than half (54.9%) of the isolates were resistant to at least one class of tested antibiotic; of these, 35.4% of the strains were classified as multidrug resistant. Most of the isolates were resistant to cefoxitin (49.6%), followed by clindamycin (39.3%), tigecycline (27.4%), quinupristin-dalfopristin (22.2%), rifampin (20.5%), tetracycline (17.9%), and erythromycin (8.5%). All methicillin-resistant staphylococci harbored the mecA gene. Among the isolates resistant to at least one antibiotic, 38 harbored tetracycline resistance determinant tet (M), 24 harbored tet (L), and 9 harbored tet (K). Of the isolates positive for tet (M) genes, 34.2% were positive for the Tn916-Tn1545-like integrase family gene. Our results indicated that retail RTE food could be considered an important route for the transmission of antibiotic-resistant bacteria harboring multiple antibiotic resistance genes.

  15. Antimicrobial Resistant Pattern of Escherichia Coli Strains Isolated from Pediatric Patients in Jordan

    Directory of Open Access Journals (Sweden)

    Mohammad Alshara

    2011-05-01

    Full Text Available The present study was conducted to investigate antimicrobial resistant pattern of Escherichia coli (E. coli strains isolated from clinical specimens of Jordanian pediatric patients during the period from January to December 2008. A total of 444 E. coli strains were isolated from clinical specimens and tested for their susceptibility to different antimicrobial drugs. Overall, high resistance rate was observed for ampicillin (84%, followed by amoxicillin-clavulanic acid (74.3%, cotrimoxazole (71%, nalidixic acid (47.3%, cephalothin (41%. Lower resistance rates were observed for amikacin (0% followed by Cefotaxime (11%, Ceftriaxone (11.7%, ciprofloxacin (14.5%, Norfloxacin (16.5%, gentamicin (17.3% cephalexin (20.9%, Ceftazidime (22.5%, cefixime (29.6%, and cefaclor (32.8%. Ampicillin, amoxicillin-clavulanic acid and cotrimoxazole were found to be ineffective at in vitro inhibition of the E. coli of pediatric origin. Amikacin was highly effective for E. coli with susceptibility rate of 100%. The majority of E. coli strains were susceptible to third generation cephalosporins and fluoroquinolones.

  16. Prevalence and antimicrobial resistance pattern of bacterial meningitis in Egypt

    Directory of Open Access Journals (Sweden)

    Shaban Lamyaa

    2009-09-01

    Full Text Available Abstract Infectious diseases are the leading cause of morbidity and mortality in the developing world. In Egypt bacterial diseases constitute a great burden, with several particular bacteria sustaining the leading role of multiple serious infections. This article addresses profound bacterial agents causing a wide array of infections including but not limited to pneumonia and meningitis. The epidemiology of such infectious diseases and the prevalence of Streptococcus pneumoniae, Neisseria meningitidis and Haemophilus influenzae are reviewed in the context of bacterial meningitis. We address prevalent serotypes in Egypt, antimicrobial resistance patterns and efficacy of vaccines to emphasize the importance of periodic surveillance for appropriate preventive and treatment strategies.

  17. Direct observation of contact and channel resistance in pentacene four-terminal thin-film transistor patterned by laser ablation method

    International Nuclear Information System (INIS)

    Yagi, Iwao; Tsukagoshi, Kazuhito; Aoyagi, Yoshinobu

    2004-01-01

    We established a dry-etching patterning process for the channel formation of pentacene thin-film transistor, and fabricated a four-terminal device equipped with a gate electrode. The four-terminal device enabled us to divide two-terminal source-drain resistance into two components of contact resistance and pentacene channel resistance. We obtained direct evidence of a gate-voltagedependent contact resistance change: the gate-induced charge significantly reduced the contact resistance and increased source-drain current. Furthermore, the temperature dependence of the device clearly indicated that the contact resistance was much higher than the channel resistance and was dominated in the two-terminal total resistance of the device below 120 K. An observed activation energy of 80 meV for contact resistance was higher than that of 42 meV for pentacene channel resistance

  18. Rapid, automated, nonradiometric susceptibility testing of Mycobacterium tuberculosis complex to four first-line antituberculous drugs used in standard short-course chemotherapy

    DEFF Research Database (Denmark)

    Johansen, Isik Somuncu; Thomsen, Vibeke Østergaard; Marjamäki, Merja

    2004-01-01

    The increasing prevalence of drug-resistant tuberculosis necessitates rapid and accurate susceptibility testing. The nonradiometric BACTEC Mycobacteria Growth Indicator Tube 960 (MGIT) system for susceptibility testing was evaluated on 222 clinical Mycobacterium tuberculosis complex isolates...... for isoniazid, rifampin, and ethambutol. Fifty-seven of the isolates were tested for pyrazinamide. Results were compared to those of radiometric BACTEC 460 system and discrepancies were resolved by the agar proportion method. We found an overall agreement of 99.0% for isoniazid, 99.5% for rifampin, 98.......2% for ethambutol, and 100% for pyrazinamide. After resolution of discrepancies, MGIT yielded no false susceptibility for rifampin and isoniazid. Although turnaround times were comparable, MGIT provides an advantage as inoculation can be done on any weekday as the growth is monitored automatically. The automated...

  19. A yigP mutant strain is a small colony variant of E. coli and shows pleiotropic antibiotic resistance.

    Science.gov (United States)

    Xia, Hui; Tang, Qiongwei; Song, Jie; Ye, Jiang; Wu, Haizhen; Zhang, Huizhan

    2017-12-01

    Small colony variants (SCVs) are a commonly observed subpopulation of bacteria that have a small colony size and distinctive biochemical characteristics. SCVs are more resistant than the wild type to some antibiotics and usually cause persistent infections in the clinic. SCV studies have been very active during the past 2 decades, especially Staphylococcus aureus SCVs. However, fewer studies on Escherichia coli SCVs exist, so we studied an E. coli SCV during an experiment involving the deletion of the yigP locus. PCR and DNA sequencing revealed that the SCV was attributable to a defect in the yigP function. Furthermore, we investigated the antibiotic resistance profile of the E. coli SCV and it showed increased erythromycin, kanamycin, and d-cycloserine resistance, but collateral sensitivity to ampicillin, polymyxin, chloramphenicol, tetracycline, rifampin, and nalidixic acid. We tried to determine the association between yigP and the pleiotropic antibiotic resistance of the SCV by analyzing biofilm formation, cellular morphology, and coenzyme Q (Q 8 ) production. Our results indicated that impaired Q 8 biosynthesis was the primary factor that contributed to the increased resistance and collateral sensitivity of the SCV. This study offers a novel genetic basis for E. coli SCVs and an insight into the development of alternative antimicrobial strategies for clinical therapy.

  20. Why did the FDA approve efavirenz 800 mg when co-administered with rifampin?

    Science.gov (United States)

    Liu, Jiang; Chan-Tack, Kirk M; Jadhav, Pravin; Seo, Shirley; Robertson, Sarah M; Kraft, Jeffrey; Singer, Mary E; Struble, Kimberly A; Arya, Vikram

    2014-06-01

    Literature reports regarding the efficacy of efavirenz (EFV) 600 mg with rifampin (RIF) are not consistent. Evaluation of a drug-drug interaction (DDI) study and supportive semi-mechanistic population pharmacokinetic (PK) analyses were undertaken to help delineate this issue. DDI study and supportive semi-mechanistic population PK analyses were provided by BMS. Population PK analysis was based on six studies with intensive EFV PK sampling. An ACTG study with sparse PK sampling was used for model evaluation. Simulations compared EFV exposure at various doses in combination with RIF to EFV exposures at 600 mg once daily (QD). Effects of CYP2B6 genotypes on the magnitude of EFV-RIF interaction were also explored. In DDI study, co-administering EFV 600 mg QD and RIF reduced mean EFV exposure by ~ 30%. Population PK model provided acceptable predictive performance of central tendency and variability for EFV C0, Cmax, and AUC. Simulations predicted that increasing EFV to 800 mg QD with RIF would result in EFV AUC and Cmax similar to EFV 600 mg QD alone. EFV AUC and Cmax were ~ 2 times higher in subjects with reduced function CYP2B6 genotypes. However, the RIF effect was consistent across all genotypes. EFV dose adjustment to 800 mg QD did not increase the risk of overexposure compared to 600 mg EFV QD within each genotype. Dose adjustment based on matching systemic exposure was recommended to mitigate the potential for sub-therapeutic EFV exposures. Our review did not reveal any safety concerns in subjects receiving EFV 800 mg QD with RIF.

  1. In-roads to the spread of antibiotic resistance: regional patterns of microbial transmission in northern coastal Ecuador

    OpenAIRE

    Eisenberg, Joseph N. S.; Goldstick, Jason; Cevallos, William; Trueba, Gabriel; Levy, Karen; Scott, James; Percha, Bethany; Segovia, Rosana; Ponce, Karina; Hubbard, Alan; Marrs, Carl; Foxman, Betsy; Smith, David L.; Trostle, James

    2011-01-01

    The evolution of antibiotic resistance (AR) increases treatment cost and probability of failure, threatening human health worldwide. The relative importance of individual antibiotic use, environmental transmission and rates of introduction of resistant bacteria in explaining community AR patterns is poorly understood. Evaluating their relative importance requires studying a region where they vary. The construction of a new road in a previously roadless area of northern coastal Ecuador provide...

  2. Development of innovative micro-pattern gaseous detectors with resistive electrodes and first results of their applications

    CERN Document Server

    Di Mauro, A; Martinengo, P; Nappi, E; Oliveira, R; Peskov, Vladimir; Periale, L; Picchi, P; Pietropaolo, F; Rodionov, I; Santiard, Jean-Claude

    2007-01-01

    The paper summarizes our latest progress in the development of newly introduced micro pattern gaseous detectors with resistive electrodes. These resistive electrodes protect the detector and the front-end electronics in case of occasional discharges and thus make the detectors very robust and reliable in operation. As an example, we describe in greater detail a new recently developed GEM-like detector, fully spark-protected with electrodes made of resistive kapton. We discovered that all resistive layers used in these studies (including kapton), that are coated with photosensitive layers, such as CsI, can be used as efficient photo cathodes for detectors operating in a pulse counting mode. We describe the first applications of such detectors combined with CsI or SbCs photo cathodes for the detection of UV photons at room and cryogenic temperatures.

  3. Bacterial Aetiology and Antibiotic Resistance Pattern of Community-Acquired Urinary Tract Infections in Children in a Tertiary Care Hospital in Bangladesh

    Directory of Open Access Journals (Sweden)

    Lazina Sharmin

    2017-09-01

    Full Text Available Background: Urinary tract infections (UTIs in children are among the most common bacterial infections. Community-acquired urinary tract infections (CAUTI are often treated empirically with broad-spectrum antibiotics. Pattern of aetiologic agents and their antibiotic sensitivity may vary according to geographical and regional location. So, knowledge of antibiotic resistance trends is important for improving evidence-based recommendations for empirical treatment of UTIs. Objectives: To determine the common bacterial aetiologies of CAUTIs and their antibiotic resistance patterns in a tertiary care hospital, Savar. Materials and Methods: This cross-sectional descriptive study was conducted at Enam Medical College Hospital, Savar from May 2016 to April 2017. We collected clean-catch mid-stream urine samples from 257 patients having clinical diagnosis of UTI and submitted to the clinical microbiology laboratory for culture and sensitivity. Results: A total of 120 (46.7% samples were positive for bacterial growth. Escherichia coli (79% was the most common pathogen, followed by Klebsiella spp. (14%. Bacterial isolates showed high prevalence of resistance to multiple antibiotics. Resistance against amoxicillin/clavulanic acid, co-trimoxazole and ciprofloxacin was higher compared to newer quinolones and aminoglycosides. Conclusion: Esch. coli and Klebsiella spp. were the predominant bacterial pathogens. The resistance pattern to commonly prescribed antibiotics was quite high and alarming.

  4. In vitro activities of two novel oxazolidinones (U100592 and U100766), a new fluoroquinolone (trovafloxacin), and dalfopristin-quinupristin against Staphylococcus aureus and Staphylococcus epidermidis.

    OpenAIRE

    Mulazimoglu, L; Drenning, S D; Yu, V L

    1996-01-01

    Two oxazolidinones (U100592 and U100766), trovafloxacin, and a streptogramin combination (dalfopristin-quinupristin) were highly active in vitro against Staphylococcus aureus and Staphylococcus epidermidis, including methicillin-resistant strains. Trovafloxacin was more active than ciprofloxacin. Time-kill synergy studies demonstrated indifference for the oxazolidinones combined with vancomycin and rifampin against methicillin-resistant staphylococci. Spontaneous resistance was observed with ...

  5. Memory resistive switching in CeO{sub 2}-based film microstructures patterned by a focused ion beam

    Energy Technology Data Exchange (ETDEWEB)

    Velichko, A. [Petrozavodsk State University, 185910 Petrozavodsk (Russian Federation); Boriskov, P., E-mail: boriskov@psu.karelia.ru [Petrozavodsk State University, 185910 Petrozavodsk (Russian Federation); Savenko, A. [Petrozavodsk State University, 185910 Petrozavodsk (Russian Federation); Grishin, A.; Khartsev, S.; Yar, M. Ahmed; Muhammed, M. [Royal Institute of Technology, SE-164 40 Stockholm, Kista (Sweden)

    2014-04-01

    Heteroepitaxial CeO{sub 2} (80 nm)/La{sub 0.5}Sr{sub 0.5}CoO{sub 3} (500 nm) film structure has been pulsed laser deposited on a sapphire substrate. The Ag/CeO{sub 2} microjunctions patterned by a focused ion beam on a La{sub 0.5}Sr{sub 0.5}CoO{sub 3} film exhibit reproducible reversible switching between a high resistance state (OFF) with insulating properties and a semiconducting or metallic low resistance state (ON) with resistance ratios up to 10{sup 4}. The influence of micro-scaling and defects formed at the cell boundaries during etching on its electrical characteristics has been analyzed. The appearance of a switching channel at the moment of the electrical forming, responsible for the memory effect, has been proved, along with a mechanism of a self-healing electrical breakdown. - Highlights: • Ag/CeO{sub 2}/La{sub 0.5}Sr{sub 0.5}CoO{sub 3} microstructures were patterned by a focused ion beam. • Reproducible memory resistive switching was discovered in Ag/CeO{sub 2} microjunctions. • Micro-scaling affects electrical characteristics of Ag/CeO{sub 2} microjunctions. • A mechanism of a self-healing breakdown was discovered.

  6. Resistance of Pseudomonas aeruginosa PAO to nalidixic acid and low levels of beta-lactam antibiotics: mapping of chromosomal genes.

    Science.gov (United States)

    Rella, M; Haas, D

    1982-01-01

    Resistance to high concentrations of nalidixic acid in Pseudomonas aeruginosa PAO was due to mutations in one locus designated nalA, which was mapped by transduction between hex-9001 and leu-10. The nalA mutants were cross-resistant to pipemidic acid, a nalidixic acid analog, at relatively low concentrations. Replicative DNA synthesis was resistant to both drugs in permeabilized cells of nalA mutants. A locus coding for low-level resistance to nalidixic acid, nalB, was cotransducible with pyrB, proC, and met-28. The nalB mutants were also resistant to low levels of pipemidic acid, novobiocin, and beta-lactam antibiotics (e.g., carbenicillin, azlocillin, and cefsulodin), but not to other drugs, such as gentamicin, rifampin, kanamycin, or tetracycline. In nalB mutants, DNA replication showed wild-type sensitivity to nalidixic acid, whereas carbenicillin-induced filamentation required higher drug levels than in the wild-type strain. Thus, nalB mutations appear to decrease cell permeability to some antibiotics. The sensitivity of replicative DNA synthesis to nalidixic acid and novobiocin was very similar in P. aeruginosa and Escherichia coli; by contrast, the concentrations of these drugs needed to inhibit growth of P. aeruginosa were higher than those reported for E. coli by one or two orders of magnitude. PMID:6821455

  7. Rootstock-regulated gene expression patterns associated with fire blight resistance in apple

    Directory of Open Access Journals (Sweden)

    Jensen Philip J

    2012-01-01

    Full Text Available Abstract Background Desirable apple varieties are clonally propagated by grafting vegetative scions onto rootstocks. Rootstocks influence many phenotypic traits of the scion, including resistance to pathogens such as Erwinia amylovora, which causes fire blight, the most serious bacterial disease of apple. The purpose of the present study was to quantify rootstock-mediated differences in scion fire blight susceptibility and to identify transcripts in the scion whose expression levels correlated with this response. Results Rootstock influence on scion fire blight resistance was quantified by inoculating three-year old, orchard-grown apple trees, consisting of 'Gala' scions grafted to a range of rootstocks, with E. amylovora. Disease severity was measured by the extent of shoot necrosis over time. 'Gala' scions grafted to G.30 or MM.111 rootstocks showed the lowest rates of necrosis, while 'Gala' on M.27 and B.9 showed the highest rates of necrosis. 'Gala' scions on M.7, S.4 or M.9F56 had intermediate necrosis rates. Using an apple DNA microarray representing 55,230 unique transcripts, gene expression patterns were compared in healthy, un-inoculated, greenhouse-grown 'Gala' scions on the same series of rootstocks. We identified 690 transcripts whose steady-state expression levels correlated with the degree of fire blight susceptibility of the scion/rootstock combinations. Transcripts known to be differentially expressed during E. amylovora infection were disproportionately represented among these transcripts. A second-generation apple microarray representing 26,000 transcripts was developed and was used to test these correlations in an orchard-grown population of trees segregating for fire blight resistance. Of the 690 transcripts originally identified using the first-generation array, 39 had expression levels that correlated with fire blight resistance in the breeding population. Conclusions Rootstocks had significant effects on the fire blight

  8. Highly efficient silver patterning without photo-resist using simple silver precursors

    International Nuclear Information System (INIS)

    Byun, Younghun; Hwang, Eoc-Chae; Lee, Sang-Yun; Lyu, Yi-Yeol; Yim, Jin-Heong; Kim, Jin-Young; Chang, Seok; Pu, Lyong Sun; Kim, Ji Man

    2005-01-01

    Highly efficient method for silver patterning without photo-resist was developed by using high photosensitive organo-silver precursors, which were prepared by a simple reaction of silver salts and excess of amines. The FT-IR and GC-MS spectra were recorded depending on UV exposure time, for (n-PrNH 2 )Ag(NO 3 ).0.5MeCN and (n-PrNH 2 )Ag(NO 2 ).0.5MeCN, to understand the photolysis mechanism. The results indicate not only dissociation of coordinated amine and acetonitrile, but also decomposition of corresponding anion upon UV irradiation. When a precursor thin film was exposed to broadband UV irradiation, a partially reduced and insoluble silver species were formed within several minutes. After development, the irradiated areas were treated with a reducing agent to obtain pure metallic patterns. Subsequently, annealing step was followed at 100-350 deg. C to increase the adhesion of interface and cohesion of silver particles. The line resolution of 5 μm was obtained by the present silver precursors. Film thickness was also controllable from 50 to 250 nm by repetition of the above procedure. The average electrical conductivity was in the range of 3-43 Ω cm, measured by four-point probe technique. AES depth profile of the silver pattern thus obtained showed carbon and oxygen contents are less than 1% through the whole range. Even though sulfur contaminant exists on the surface, it was believed that nearly pure silver pattern was generated

  9. Local resistance patterns to antimicrobials in internal medicine: a focused report from the REGIMEN (REGistro Infezioni in MEdicina INterna) study.

    Science.gov (United States)

    Cei, Marco; Pardelli, Riccardo; Sani, Spartaco; Mumoli, Nicola

    2014-02-01

    The treatment for infections in hospitalized patients can be summarized in the timely start of empirical therapy, followed by adjustment on the basis of isolates and microbial susceptibilities. Initial therapy may be based on international guidelines. However, to know local frequencies of bacterial and fungal strains together with patterns of drug resistance should be a better approach to therapy. REGIMEN is a retrospective observational study of all consecutive recorded bacterial and fungal isolates, collected between October 2009 and August 2011 from patients admitted in a 53-bedded ward of internal medicine of a non-teaching Italian hospital. We investigated type of samples and of microorganisms, patterns of susceptibility and resistance to antibiotics, and in-hospital mortality. A total of 504 samples were examined (244 from urine, 189 from blood and 71 from skin and various exudates). Participants were old (mean age, 83 years), and so overall mortality was high (20 %). There were high frequencies of drug resistance; only 27.9 % of urinary gram-negatives and 52.6 % of blood gram-negatives were susceptible to levofloxacin. Susceptibility profiles compatible with the presence of extended-spectrum beta-lactamases were present in 64.2 % of gram-negative strains, and 10.1 % were also resistant to carbapenems. ESKAPE organisms account for a third of all bacterial infections. Local patterns of drug resistance should influence empirical antibiotic therapy for patients admitted in internal medicine wards, where mortality is high.

  10. SEM based overlay measurement between resist and buried patterns

    Science.gov (United States)

    Inoue, Osamu; Okagawa, Yutaka; Hasumi, Kazuhisa; Shao, Chuanyu; Leray, Philippe; Lorusso, Gian; Baudemprez, Bart

    2016-03-01

    With the continuous shrink in pattern size and increased density, overlay control has become one of the most critical issues in semiconductor manufacturing. Recently, SEM based overlay of AEI (After Etch Inspection) wafer has been used for reference and optimization of optical overlay (both Image Based Overlay (IBO) and Diffraction Based Overlay (DBO)). Overlay measurement at AEI stage contributes monitor and forecast the yield after formation by etch and calibrate optical measurement tools. however those overlay value seems difficult directly for feedback to a scanner. Therefore, there is a clear need to have SEM based overlay measurements of ADI (After Develop Inspection) wafers in order to serve as reference for optical overlay and make necessary corrections before wafers go to etch. Furthermore, to make the corrections as accurate as possible, actual device like feature dimensions need to be measured post ADI. This device size measurement is very unique feature of CDSEM , which can be measured with smaller area. This is currently possible only with the CD-SEM. This device size measurement is very unique feature of CD-SEM , which can be measured with smaller area. In this study, we assess SEM based overlay measurement of ADI and AEI wafer by using a sample from an N10 process flow. First, we demonstrate SEM based overlay performance at AEI by using dual damascene process for Via 0 (V0) and metal 1 (M1) layer. We also discuss the overlay measurements between litho-etch-litho stages of a triple patterned M1 layer and double pattern V0. Second, to illustrate the complexities in image acquisition and measurement we will measure overlay between M1B resist and buried M1A-Hard mask trench. Finally, we will show how high accelerating voltage can detect buried pattern information by BSE (Back Scattering Electron). In this paper we discuss the merits of this method versus standard optical metrology based corrections.

  11. Resistance patterns, prevalence, and predictors of fluoroquinolones resistance in multidrug resistant tuberculosis patients

    Directory of Open Access Journals (Sweden)

    Nafees Ahmad

    2016-01-01

    Conclusion: The high degree of drug resistance observed, particularly to fluoroquinolones, is alarming. We recommend the adoption of more restrictive policies to control non-prescription sale of fluoroquinolones, its rational use by physicians, and training doctors in both private and public–private mix sectors to prevent further increase in fluoroquinolones resistant Mycobacterium tuberculosis strains.

  12. Patterning of diamond like carbon films for sensor applications using silicon containing thermoplastic resist (SiPol) as a hard mask

    Energy Technology Data Exchange (ETDEWEB)

    Virganavičius, D. [Paul Scherrer Institute, Laboratory for Micro- and Nanotechnology, 5232 Villigen PSI (Switzerland); Kaunas University of Technology, Institute of Materials Science, 51423 Kaunas (Lithuania); Cadarso, V.J.; Kirchner, R. [Paul Scherrer Institute, Laboratory for Micro- and Nanotechnology, 5232 Villigen PSI (Switzerland); Stankevičius, L.; Tamulevičius, T.; Tamulevičius, S. [Kaunas University of Technology, Institute of Materials Science, 51423 Kaunas (Lithuania); Schift, H., E-mail: helmut.schift@psi.ch [Paul Scherrer Institute, Laboratory for Micro- and Nanotechnology, 5232 Villigen PSI (Switzerland)

    2016-11-01

    Highlights: • Nanopatterning of thin diamond-like carbon (DLC) films and silver containing DLC composites. • Nanoimprint lithography with thermoplastic silicon containing resist. • Zero-residual layer imprinting and pattern transfer by reactive ion etching. • Robust leaky waveguide sensors with sensitivity up to 319 nm/RIU. - Abstract: Patterning of diamond-like carbon (DLC) and DLC:metal nanocomposites is of interest for an increasing number of applications. We demonstrate a nanoimprint lithography process based on silicon containing thermoplastic resist combined with plasma etching for straightforward patterning of such films. A variety of different structures with few hundred nanometer feature size and moderate aspect ratios were successfully realized. The quality of produced patterns was directly investigated by the means of optical and scanning electron microscopy (SEM). Such structures were further assessed by employing them in the development of gratings for guided mode resonance (GMR) effect. Optical characterization of such leaky waveguide was compared with numerical simulations based on rigorous coupled wave analysis method with good agreement. The use of such structures as refractive index variation sensors is demonstrated with sensitivity up to 319 nm/RIU, achieving an improvement close to 450% in sensitivity compared to previously reported similar sensors. This pronounced GMR signal fully validates the employed DLC material, the technology to pattern it and the possibility to develop DLC based gratings as corrosion and wear resistant refractometry sensors that are able to operate under harsh conditions providing great value and versatility.

  13. Histopathological patterns in paediatric idiopathic steroid resistant nephrotic syndrome

    International Nuclear Information System (INIS)

    Shah, S.S.H.; Akhtar, N.; Rehman, M.F.U.; Sunbleen, F.; Ahmed, T.

    2015-01-01

    Background: Steroid-resistant nephrotic syndrome (SRNS) is a common problem but difficult to treat for pediatric nephrologists. Due to paucity of studies done in few centres in southern Pakistan regarding the histopathological aspects in paediatric patients with SRNS, this study was conducted to determine the histopathological spectrum in children with SRNS at our centre. Method: This descriptive study has been conducted at the Nephrology department, The Children's Hospital Lahore from February 2014 to January 2015. Based upon history, physical examination and laboratory results, all patients diagnosed as idiopathic SRNS were included in the study and renal biopsy was done to determine the underlying pathology. Histopathology reports were retrieved and data analysis done using SPSS-20.0. Results: There were a total of 96 patients, 64 (66.7 percentage) males and 32 (33.3 percentage) females. The age range was from 0.80 to 15 years with mean age of presentation being 6.34+3.75 years. The most common histo-pathological pattern was mesangio-proliferative Glomerulonephritis found in 79 (82.3 percentage) cases followed by Focal segmental glomerulosclerosis (FSGS) in 9 (9.4 percentage) patients while Minimal change disease (MCD) was seen in 5 (5.2 percentage) subjects. Conclusion: Mesangioproliferative glomerulonephritis is the most common histological pattern seen in children presenting with idiopathic SRNS at our centre followed by FSGS and MCD. (author)

  14. Heavy metal incorporated helium ion active hybrid non-chemically amplified resists: Nano-patterning with low line edge roughness

    Directory of Open Access Journals (Sweden)

    Pulikanti Guruprasad Reddy

    2017-08-01

    Full Text Available Helium (He ion lithography is being considered as one of the most promising and emerging technology for the manufacturing of next generation integrated circuits (ICs at nanolevel. However, He-ion active resists are rarely reported. In this context, we are introducing a new non-chemically amplified hybrid resist (n-CAR, MAPDSA-MAPDST, for high resolution He-ion beam lithography (HBL applications. In the resist architecture, 2.15 % antimony is incorporated as heavy metal in the form of antimonate. This newly developed resists has successfully used for patterning 20 nm negative tone features at a dose of 60 μC/cm2. The resist offered very low line edge roughness (1.27±0.31 nm for 20 nm line features. To our knowledge, this is the first He-ion active hybrid resist for nanopatterning. The contrast (γ and sensitivity (E0 of this resist were calculated from the contrast curve as 0.73 and 7.2 μC/cm2, respectively.

  15. Heavy metal incorporated helium ion active hybrid non-chemically amplified resists: Nano-patterning with low line edge roughness

    Science.gov (United States)

    Reddy, Pulikanti Guruprasad; Thakur, Neha; Lee, Chien-Lin; Chien, Sheng-Wei; Pradeep, Chullikkattil P.; Ghosh, Subrata; Tsai, Kuen-Yu; Gonsalves, Kenneth E.

    2017-08-01

    Helium (He) ion lithography is being considered as one of the most promising and emerging technology for the manufacturing of next generation integrated circuits (ICs) at nanolevel. However, He-ion active resists are rarely reported. In this context, we are introducing a new non-chemically amplified hybrid resist (n-CAR), MAPDSA-MAPDST, for high resolution He-ion beam lithography (HBL) applications. In the resist architecture, 2.15 % antimony is incorporated as heavy metal in the form of antimonate. This newly developed resists has successfully used for patterning 20 nm negative tone features at a dose of 60 μC/cm2. The resist offered very low line edge roughness (1.27±0.31 nm) for 20 nm line features. To our knowledge, this is the first He-ion active hybrid resist for nanopatterning. The contrast (γ) and sensitivity (E0) of this resist were calculated from the contrast curve as 0.73 and 7.2 μC/cm2, respectively.

  16. Clinical data and molecular analysis of Mycobacterium tuberculosi isolates from drug-resistant tuberculosis patients in Goiás, Brazil

    Directory of Open Access Journals (Sweden)

    Sueli Lemes de Ávila Alves

    2011-09-01

    Full Text Available Drug resistance is one of the major concerns regarding tuberculosis (TB infection worldwide because it hampers control of the disease. Understanding the underlying mechanisms responsible for drug resistance development is of the highest importance. To investigate clinical data from drug-resistant TB patients at the Tropical Diseases Hospital, Goiás (GO, Brazil and to evaluate the molecular basis of rifampin (R and isoniazid (H resistance in Mycobacterium tuberculosis. Drug susceptibility testing was performed on 124 isolates from 100 patients and 24 isolates displayed resistance to R and/or H. Molecular analysis of drug resistance was performed by partial sequencing of the rpoB and katGgenes and analysis of the inhA promoter region. Similarity analysis of isolates was performed by 15 loci mycobacterial interspersed repetitive unit-variable number tandem repeat (MIRU-VNTR typing. The molecular basis of drug resistance among the 24 isolates from 16 patients was confirmed in 18 isolates. Different susceptibility profiles among the isolates from the same individual were observed in five patients; using MIRU-VNTR, we have shown that those isolates were not genetically identical, with differences in one to three loci within the 15 analysed loci. Drug-resistant TB in GO is caused by M. tuberculosis strains with mutations in previously described sites of known genes and some patients harbour a mixed phenotype infection as a consequence of a single infective event; however, further and broader investigations are needed to support our findings.

  17. Development of a High Slip-resistant Footwear Outsole Using a Hybrid Rubber Surface Pattern

    Science.gov (United States)

    YAMAGUCHI, Takeshi; HOKKIRIGAWA, Kazuo

    2014-01-01

    Abstract: The present study examined whether a new footwear outsole with tread blocks and a hybrid rubber surface pattern, composed of rough and smooth surfaces, could increase slip resistance and reduce the risk of fall while walking on a wet floor surface. A drag test was performed to measure static and dynamic coefficient of friction (SCOF and DCOF, respectively) values for the footwear with the hybrid rubber surface pattern outsole and two types of commercially available boots that are conventionally used in food factories and restaurant kitchens with respect to a stainless steel floor covered with glycerol solution. Gait trials were conducted with 14 participants who wore the footwear on the wet stainless steel floor. The drag test results indicated that the hybrid rubber surface pattern sole exhibited higher SCOF (≥0.44) and DCOF (≥0.39) values than the soles of the comparative footwear (pfootwear with the hybrid rubber surface pattern outsole were significantly lower than those for the comparative footwear, which resulted in no falls during trials. PMID:25055846

  18. One-step patterning of double tone high contrast and high refractive index inorganic spin-on resist

    Energy Technology Data Exchange (ETDEWEB)

    Zanchetta, E.; Della Giustina, G.; Brusatin, G. [Industrial Engineering Department and INSTM, Via Marzolo 9, 35131 Padova (Italy)

    2014-09-14

    A direct one-step and low temperature micro-fabrication process, enabling to realize large area totally inorganic TiO₂ micro-patterns from a spin-on resist, is presented. High refractive index structures (up to 2 at 632 nm) without the need for transfer processes have been obtained by mask assisted UV lithography, exploiting photocatalytic titania properties. A distinctive feature not shared by any of the known available resists and boosting the material versatility, is that the system behaves either as a positive or as negative tone resist, depending on the process parameters and on the development chemistry. In order to explain the resist double tone behavior, deep comprehension of the lithographic process parameters optimization and of the resist chemistry and structure evolution during the lithographic process, generally uncommon in literature, is reported. Another striking property of the presented resist is that the negative tone shows a high contrast up to 19, allowing to obtain structures resolution down to 2 μm wide. The presented process and material permit to directly fabricate different titania geometries of great importance for solar cells, photo-catalysis, and photonic crystals applications.

  19. The Composition and Spatial Patterns of Bacterial Virulence Factors and Antibiotic Resistance Genes in 19 Wastewater Treatment Plants.

    Directory of Open Access Journals (Sweden)

    Bing Zhang

    Full Text Available Bacterial pathogenicity and antibiotic resistance are of concern for environmental safety and public health. Accumulating evidence suggests that wastewater treatment plants (WWTPs are as an important sink and source of pathogens and antibiotic resistance genes (ARGs. Virulence genes (encoding virulence factors are good indicators for bacterial pathogenic potentials. To achieve a comprehensive understanding of bacterial pathogenic potentials and antibiotic resistance in WWTPs, bacterial virulence genes and ARGs in 19 WWTPs covering a majority of latitudinal zones of China were surveyed by using GeoChip 4.2. A total of 1610 genes covering 13 virulence factors and 1903 genes belonging to 11 ARG families were detected respectively. The bacterial virulence genes exhibited significant spatial distribution patterns of a latitudinal biodiversity gradient and a distance-decay relationship across China. Moreover, virulence genes tended to coexist with ARGs as shown by their strongly positive associations. In addition, key environmental factors shaping the overall virulence gene structure were identified. This study profiles the occurrence, composition and distribution of virulence genes and ARGs in current WWTPs in China, and uncovers spatial patterns and important environmental variables shaping their structure, which may provide the basis for further studies of bacterial virulence factors and antibiotic resistance in WWTPs.

  20. Antibiotic resistance patterns and beta-lactamase identification in ...

    African Journals Online (AJOL)

    Background. Antibiotic resistance is a growing problem worldwide. Mechanisms of resistance vary, and some can confer resistance to multiple classes of antibiotics. Objective. To characterise the antibiotic resistance profiles of Escherichia coli isolates obtained from stool samples of young rural children exposed or ...

  1. HIV drug resistance patterns in pregnant women using next generation sequence in Mozambique.

    Science.gov (United States)

    Rupérez, María; Noguera-Julian, Marc; González, Raquel; Maculuve, Sonia; Bellido, Rocío; Vala, Anifa; Rodríguez, Cristina; Sevene, Esperança; Paredes, Roger; Menéndez, Clara

    2018-01-01

    Few data on HIV resistance in pregnancy are available from Mozambique, one of the countries with the highest HIV toll worldwide. Understanding the patterns of HIV drug resistance in pregnant women might help in tailoring optimal regimens for prevention of mother to child transmission of HIV (pMTCT) and antenatal care. To describe the frequency and characteristics of HIV drug resistance mutations (HIVDRM) in pregnant women with virological failure at delivery, despite pMTCT or antiretroviral therapy (ART). Samples from HIV-infected pregnant women from a rural area in southern Mozambique were analysed. Only women with HIV-1 RNA >400c/mL at delivery were included in the analysis. HIVDRM were determined using MiSeq® (detection threshold 1%) at the first antenatal care (ANC) visit and at the time of delivery. Ninety and 60 samples were available at the first ANC visit and delivery, respectively. At first ANC, 97% of the women had HIV-1 RNA>400c/mL, 39% had CD4+ counts HIV-1 genotyping, less than 20% of women with detectable viremia at delivery had HIVDRM before initiating pMTCT or ART. This suggests that factors other than pre-existing resistance, such as lack of adherence or interruptions of the ANC chain, are also relevant to explain lack of virological suppression at the time of delivery in women receiving antiretrovirals drugs during pregnancy.

  2. Characterization and drug resistance patterns of Ewing's sarcoma family tumor cell lines.

    Directory of Open Access Journals (Sweden)

    William A May

    Full Text Available Despite intensive treatment with chemotherapy, radiotherapy and surgery, over 70% of patients with metastatic Ewing's Sarcoma Family of Tumors (EFT will die of their disease. We hypothesize that properly characterized laboratory models reflecting the drug resistance of clinical tumors will facilitate the application of new therapeutic agents to EFT. To determine resistance patterns, we studied newly established EFT cell lines derived from different points in therapy: two established at diagnosis (CHLA-9, CHLA-32, two after chemotherapy and progressive disease (CHLA-10, CHLA-25, and two at relapse after myeloablative therapy and autologous bone marrow transplantation (post-ABMT (CHLA-258, COG-E-352. The new lines were compared to widely studied EFT lines TC-71, TC-32, SK-N-MC, and A-673. These lines were extensively characterized with regard to identity (short tandem repeat (STR analysis, p53, p16/14 status, and EWS/ETS breakpoint and target gene expression profile. The DIMSCAN cytotoxicity assay was used to assess in vitro drug sensitivity to standard chemotherapy agents. No association was found between drug resistance and the expression of EWS/ETS regulated genes in the EFT cell lines. No consistent association was observed between drug sensitivity and p53 functionality or between drug sensitivity and p16/14 functionality across the cell lines. Exposure to chemotherapy prior to cell line initiation correlated with drug resistance of EFT cell lines in 5/8 tested agents at clinically achievable concentrations (CAC or the lower tested concentration (LTC: (cyclophosphamide (as 4-HC and doxorubicin at CAC, etoposide, irinotecan (as SN-38 and melphalan at LTC; P<0.1 for one agent, and P<0.05 for four agents. This panel of well-characterized drug-sensitive and drug-resistant cell lines will facilitate in vitro preclinical testing of new agents for EFT.

  3. A feasibility study of the Xpert MTB/RIF test at the peripheral level laboratory in China

    Directory of Open Access Journals (Sweden)

    Xichao Ou

    2015-02-01

    Conclusions: The introduction of MTB/RIF could increase the accuracy of detection of MTB and rifampin resistance in peripheral-level TB laboratories in China. One single specimen is adequate for TB diagnosis by MTB/RIF.

  4. Prevalence and multidrug resistance pattern of Salmonella isolated from resident wild birds of Bangladesh

    Directory of Open Access Journals (Sweden)

    Abdullah Al Faruq

    2016-10-01

    Full Text Available Aim: Salmonellosis is one of the most common zoonotic diseases, and the presence of antimicrobial resistant Salmonella in wild birds is global public health threat. Throughout the last decades, multidrug resistance of Salmonella spp. has increased, particularly in developing countries. Therefore, a cross-sectional study was conducted to investigate the prevalence of Salmonella spp. and antimicrobial resistance pattern against Salmonella spp. from two species of resident wild birds namely house crow (Corvus splendens and Asian pied starling (Gracupica contra. Materials and Methods: Samples were collected from cloacal swabs of house crows and Asian pied starling for isolating Salmonella spp. (bacteriological culture methods followed by antimicrobial susceptibility testing (disk diffusion method against Salmonella spp. isolates during March to December 2014. Results: The prevalence of Salmonella in Asian pied starling and house crows were 67% and 65%, respectively. Within the category of samples from different species, the variation in prevalence was not varied significantly (p>0.05. Isolated Salmonella spp. was tested for resistance to six different antimicrobial agents. Among six antimicrobial tested, 100% resistance were found to penicillin, oxacillin, and clindamycin followed by erythromycin (50-93%, kanamycin (7-20%, and cephalothin (30-67% from both species of birds. Kanamycin remained sensitive in (70-73%, cephalothin (26-70%, and erythromycin appeared to be (0-30% sensitive against Salmonella spp. isolates. Isolated Salmonella spp. was multidrug resistant up to three of the six antimicrobials tested. Conclusion: It can be said that the rational use of antimicrobials needs to be adopted in the treatment of disease for livestock, poultry, and human of Bangladesh to limit the emergence of drug resistance to Salmonella spp.

  5. Genotyping and drug resistance patterns of Mycobacterium tuberculosis strains observed in a tuberculosis high-burden municipality in Northeast, Brazil

    Directory of Open Access Journals (Sweden)

    Roberta dos Santos Silva Luiz

    2013-06-01

    Full Text Available OBJECTIVES: This study has used a combination of clinical information, spoligotyping, and georeferencing system to elucidate the genetic diversity of the Mycobacterium tuberculosis isolates circulating in a TB-prevalent municipality of Northeast Brazil. METHODS: A total of 115 M. tuberculosis strains were isolated from pulmonary tuberculosis patients from January 2007 to March 2008 in Fortaleza. Drug susceptibility and spoligotyping assays were performed and place of residence of the patients were georeferenced. RESULTS: Of the M. tuberculosis strains studied, 51 (44.3% isolates were resistant to at least one drug (R-TB and 64 (55.7% were sensitive to all the drugs tested (S-TB. A high frequency of resistance was found in previously treated cases (84% and among new cases (16%; p < 0.001. a total of 74 (64% isolates were grouped into 22 spoligotyped lineages, while 41 (36% isolates were identified as new. among the predominant genotypes, 33% were latim american mediterranean (lam, 12% haarlem (h, and 5% u. there was no association of geographic distribution of rt-tb patients as compared to the controls and also the geographic location to the spoligotype patterns. the geospatial analysis revealed that 24 (23% patients (hot spot zones either shared the same residence or lived in a close neighborhood of a case. among these concentration zones, the patients lived in the same residence and shared a common genotype pattern and resistance pattern. DISCUSSION: it was observed that the spoligopatterns family distribution was similar to that reported for south america, prevailing the lam and h lineages. a high rate-case among the resistant TB group occurs as a result of transmitted and acquired resistance. A more effective surveillance program is needed in order to succeed in reducing tuberculosis in Northeast Brazil.

  6. Genotyping and drug resistance patterns of Mycobacterium tuberculosis strains observed in a tuberculosis high-burden municipality in Northeast, Brazil

    Directory of Open Access Journals (Sweden)

    Roberta dos Santos Silva Luiz

    Full Text Available OBJECTIVES: This study has used a combination of clinical information, spoligotyping, and georeferencing system to elucidate the genetic diversity of the Mycobacterium tuberculosis isolates circulating in a TB-prevalent municipality of Northeast Brazil. METHODS: A total of 115 M. tuberculosis strains were isolated from pulmonary tuberculosis patients from January 2007 to March 2008 in Fortaleza. Drug susceptibility and spoligotyping assays were performed and place of residence of the patients were georeferenced. RESULTS: Of the M. tuberculosis strains studied, 51 (44.3% isolates were resistant to at least one drug (R-TB and 64 (55.7% were sensitive to all the drugs tested (S-TB. A high frequency of resistance was found in previously treated cases (84% and among new cases (16%; p < 0.001. a total of 74 (64% isolates were grouped into 22 spoligotyped lineages, while 41 (36% isolates were identified as new. among the predominant genotypes, 33% were latim american mediterranean (lam, 12% haarlem (h, and 5% u. there was no association of geographic distribution of rt-tb patients as compared to the controls and also the geographic location to the spoligotype patterns. the geospatial analysis revealed that 24 (23% patients (hot spot zones either shared the same residence or lived in a close neighborhood of a case. among these concentration zones, the patients lived in the same residence and shared a common genotype pattern and resistance pattern. DISCUSSION: it was observed that the spoligopatterns family distribution was similar to that reported for south america, prevailing the lam and h lineages. a high rate-case among the resistant TB group occurs as a result of transmitted and acquired resistance. A more effective surveillance program is needed in order to succeed in reducing tuberculosis in Northeast Brazil.

  7. Antimicrobial resistance and serotyping of Streptococcus pneumoniae isolated from pediatric patients in Belo Horizonte, MG, Brazil Resistência antimicrobiana e sorotipagem de Streptococcus pneumoniae isolado de pacientes pediátricos em Belo Horizonte, MG

    Directory of Open Access Journals (Sweden)

    Ana Paula Gomes de Oliveira Magalhães

    2003-07-01

    Full Text Available Thirty one Streptococcus pneumoniae invasive strains were isolated from a pediatric population in Belo Horizonte from June, 1999 to May, 2001. Penicillin, trimethoprim-sulfamethoxazole, tetracycline and chloramphenicol resistance rates for the isolates were 41.9, 58.1, 25.8 and 3.2%, respectively. Intermediate penicillin resistant (MICs between 0.1 and 1.0 µg/ml and resistant (MICs > 2.0 µg/ml isolates occured at rates of 38.7 and 3.2%, respectively. Resistance to erythromycin, ofloxacin, rifampin or vancomicyn was not detected. Ten S. pneumoniae serotypes (14, 5, 10 A, 6B, 15B, 18C, 6 A, 18 A, 19 A and 19 F were identified. Serotype 14 (12 out of 31 was predominant among the isolates. Penicillin and trimethoprim-sulfamethoxazole resistance was more common in 14 and 6B serotypes.Trinta e três linhagens invasivas do S. pneumoniae foram isoladas a partir de pacientes pediátricos em Belo Horizonte, MG, Brasil, de junho de 1999 a maio de 2001. As taxas de resistência à penicilina, ao trimetoprim-sultametoxazol, tetraciclina e cloranfenicol foram respectivamente, 41, 9; 58,1 e 3,2%. A resistência intermediária à penicilina (MICs entre 0,1 e 1,0 µg/ml e resistência total (MICs>2.0 µg/ml ocorreram, respectivamente, nas porcentagens de 38,7 e 3,2%. Não foi detectada resistência à eritromicina, ofloxacin, rifampina e vancomicina. Foram identificados 9 sorotipos do S. pneumoniae (14, 5, 10 , 6B, 15B, 18C, 6 A, 18 19 A e 19F entre os isolados. O sorotipo 14 (12 de 31 foi predominate entre os isolados. A resistência à penicilina e ao trimetoprim-sulfametoxazol estava sempre associada aos sorotipos 14 e 6B.

  8. [Meningococcus profilaxis (author's transl)].

    Science.gov (United States)

    Pérez Trallero, E; Pérez-Yarza, E; Ruíz Benito, C; Muñóz Baroja, I

    1979-11-25

    In a General Hospital in San Sebastian, 96 cases of Neisseria meningitidis infections were detected in a two years period. By the use of the disk diffusion method, we found that all causative meningococcal strains but 4 were resistant to sulfonamide (with a 300 microgram sulfadiazine disk, all isolates with a zone diameter of less than 20 mm were considered to be resistant of sulfadiazine, whereas those with zone diameters of greater than 30 mm were considered susceptible). No rifampin nor minocycline-resistant meningococci were isolated. All strains had a disk zone diameter (30 micrograms rifampin and 30 micrograms tetracycline) of greater than 20 mm. The serogroups of meningococcal strains were as follows: group A, 1; group B, 67; group C, 5 and 23 were no typed. Children less than four years of age were most frequently attacked (67,7%). The attack rate was only slightly higher in males than in females (52 and 44).

  9. Rapid drug susceptibility test of mycobacterium tuberculosis by bioluminescence sensor

    Science.gov (United States)

    Lu, Bin; Xu, Shunqing; Chen, Zifei; Zhou, Yikai

    2001-09-01

    With the persisting increase of drug-resistant stains of M. Tuberculosis around the world, rapid and sensitive detection of antibiotic of M. Tuberculosis is becoming more and more important. In the present study, drug susceptibility of M. tuberculosis were detected by recombination mycobacteriophage combined with bioluminescence sensor. It is based on the use of recombination mycobacteriophage which can express firefly luciferase when it infects viable mycobacteria, and can effectively produce quantifiable photon. Meanwhile, in mycobacterium cells treated with active antibiotic, no light is observed. The emitted light is recorded by a bioluminscence sensor, so the result of drug-resistant test can be determined by the naked eye. 159 stains of M. tuberculosis were applied to this test on their resistant to rifampin, streptomycin and isoniazid. It is found that the agreement of this assay with Liewenstein- Jensen slat is: rifampin 95.60 percent, isoniazid 91.82 percent, streptomycin 88.68 percent, which showed that it is a fast and practical method to scene and detect drug resistant of mycobacterium stains.

  10. [Antibiotic resistance patterns of Escherichia coli strains isolated from urine cultures in Turkey: a meta-analysis].

    Science.gov (United States)

    Aykan, Sadiye Berna; Ciftci, Ihsan Hakkı

    2013-10-01

    Escherichia coli is the most frequently isolated microorganism from both community-acquired and nosocomial urinary tract infections in Turkey. A large number of studies concerning antibiotic susceptibility of E.coli have been published from different centers throughout the country. The aim of this study was to evaluate the antibiotic resistance patterns of E.coli strains isolated from urine cultures by a meta-analysis in published medical literature between the years of 1996-2012 in Turkey. The study was planned and conducted in accordance with the declaration of PRISMA and describes the methods of literature search, the determining criteria for inclusion and evaluation of articles, data collection and statistical analysis. To find the published series Google Scholar and PubMed international databases were used to access published manuscripts evaluated according to the determined criteria for acceptance and rejection. For each study, general data and antibiotic resistance rates were collected as a common unit. Publications considered as lacking in appropriate content was eliminated from the study. Statistical analysis of the data obtained were 95% confidence intervals, and p≤ 0.05 value was considered as significant difference. A total of 228 articles were found to be published during 1996-2012 period, while 101 of them were included in the meta-analysis according to the eligibility criteria. The analyses indicated that nitrofurantoin and piperacillin resistance rates have been decreased, whereas ciprofloxacin, cefepime, co-trimoxazole and extended-spectrum beta-lactamase (ESBL) positivity rates have been increased during the study period. The increases in the rates of ciprofloxacin and cefepime resistance and and ESBL production were statistically-significant (pAntibiotic resistance rates, except for imipenem, in bacterial strains, isolated from hospitalized patients were found significantly higher in strains obtained from outpatients. The differences between

  11. Infecções do trato urinário em pacientes não hospitalizados: etiologia e padrão de resistência aos antimicrobianos Urinary tract infections in non hospitalized patients: etiology and antibiotic resistance patterns

    Directory of Open Access Journals (Sweden)

    Alexandre Braoios

    2009-12-01

    Full Text Available INTRODUÇÃO E OBJETIVO: Infecção do trato urinário (ITU é comumente diagnosticada na prática médica, e é cada vez mais comum o isolamento de cepas resistentes em pacientes não hospitalizados. Nosso objetivo foi avaliar a frequência e a resistência dos principais uropatógenos na cidade de Presidente Prudente, SP, entre janeiro de 2006 e dezembro de 2007. MATERIAL E MÉTODOS: Nós realizamos um levantamento de dados retrospectivo sobre a etiologia e o padrão de resistência dos uropatógenos. RESULTADOS: O uropatógeno mais frequente foi E. coli (65,97%. Foi encontrada diferença significativa (p INTRODUCTION AND OBJECTIVE: Urinary tract infection (UTI is frequently diagnosed in medical practice and the isolation of resistant strains in non-hospitalized patients is increasingly common. Our objective was to evaluate the frequency and resistance of uropathogens in the city of Presidente Prudente, Brazil, between January 2006 and December 2007. MATERIAL AND METHODS: We carried out a retrospective investigation into the etiology and resistance patterns of uropathogens. RESULTS: The most frequent uropathogen was E. coli (65.97%. There was a significant difference (p < 0.05 in the prevalence of E. coli according to the age group. Ampicillin and nitrofurantoin showed, respectively, smaller and larger inhibitory power against enterobacteria. However, 88.4% of Proteus mirabilis showed resistance to nitrofurantoin as well as wider resistance pattern. Resistance rates to ceftriaxone suggest production of ESBL. DISCUSSION: Our data are similar to those found in other studies. It is important that the local medical community should be acquainted with these findings as well as data from future studies that can detect changes in etiology or resistance pattern in our region. This monitoring is an important tool for the update of empirical therapy. CONCLUSION: The data reported herein show that the etiology of urinary infections is very similar to

  12. Race-Specific Adult-Plant Resistance in Winter Wheat to Stripe Rust and Characterization of Pathogen Virulence Patterns.

    Science.gov (United States)

    Milus, Eugene A; Moon, David E; Lee, Kevin D; Mason, R Esten

    2015-08-01

    Stripe rust, caused by Puccinia striiformis f. sp. tritici, is an important disease of wheat in the Great Plains and southeastern United States. Growing resistant cultivars is the preferred means for managing stripe rust, but new virulence in the pathogen population overcomes some of the resistance. The objectives of this study were to characterize the stripe rust resistance in contemporary soft and hard red winter wheat cultivars, to characterize the virulence of P. striiformis f. sp. tritici isolates based on the resistances found in the cultivars, and to determine wheat breeders' perceptions on the importance and methods for achieving stripe rust resistance. Seedlings of cultivars were susceptible to recent isolates, indicating they lacked effective all-stage resistance. However, adult-plants were resistant or susceptible depending on the isolate, indicating they had race-specific adult-plant resistance. Using isolates collected from 1990 to 2013, six major virulence patterns were identified on adult plants of twelve cultivars that were selected as adult-plant differentials. Race-specific adult-plant resistance appears to be the only effective type of resistance protecting wheat from stripe rust in eastern United States. Among wheat breeders, the importance of incorporating stripe rust resistance into cultivars ranged from high to low depending on the frequency of epidemics in their region, and most sources of stripe rust resistance were either unknown or already overcome by virulence in the pathogen population. Breeders with a high priority for stripe rust resistance made most of their selections based on adult-plant reactions in the field, whereas breeders with a low priority for resistance based selections on molecular markers for major all-stage resistance genes.

  13. Characterization of multiple antibiotic resistant clinical strains of Staphylococcus isolated from pregnant women vagina.

    Science.gov (United States)

    Hetsa, Bakwena Ashton; Kumar, Ajay; Ateba, Collins Njie

    2018-03-29

    Vagina which is one of the important reservoirs for Staphylococcus and in pregnant women pathogenic strains may infect the child during the birth or by vertical transmission. A total of 68 presumptive Staphylococcus strains isolated from human vagina were found to be gram-positive cocci, and only 32 (47%) isolates were found beta-hemolytic. Matrix-assisted laser desorption/ionization time-of-flight mass-spectrometry (MALDI-TOF MS) results confirmed 33 isolates belonged to Staphylococcus which consisting of 6 species, i.e., S. aureus (14), S. vitulinus (7), S. epidermidis (4), S cohnii (3), S. equorum (3), and S. succinus (2). Further, the result of antibiotic susceptibility tests showed that large proportions (76%-100%) of the isolates were resistant to multiple antibiotics and more often resistant to penicillin (100%), ampicillin (100%), oxacillin (97%), oxytetracycline (97%), vancomycin (97%), rifampin (85%), erythromycin (82%), and streptomycin (76%). In the present study, only the sec enterotoxin gene was detected in four S. aureus strains. DNA fingerprints of the 33 isolates that were generated using random amplified polymorphic DNA (RAPD) and enterobacterial repetitive intergenic consensus (ERIC) PCR analysis revealed great genetic relatedness of isolates. High prevalence of vaginal colonization with multiple antibiotic-resistant staphylococci among pregnant women was observed which were emerged from the single respective species clones that underwent evolution. The vertical transmission of these multiple antibiotic-resistant Staphylococcus species to the infant is possible; therefore, the findings of this study emphasize the need for regular surveillance of antibiotic-resistant bacterial strains in pregnant women in this area.

  14. A response regulator from a soil metagenome enhances resistance to the β-lactam antibiotic carbenicillin in Escherichia coli.

    Directory of Open Access Journals (Sweden)

    Heather K Allen

    Full Text Available Functional metagenomic analysis of soil metagenomes is a method for uncovering as-yet unidentified mechanisms for antibiotic resistance. Here we report an unconventional mode by which a response regulator derived from a soil metagenome confers resistance to the β-lactam antibiotic carbenicillin in Escherichia coli. A recombinant clone (βlr16 harboring a 5,169 bp DNA insert was selected from a metagenomic library previously constructed from a remote Alaskan soil. The βlr16 clone conferred specific resistance to carbenicillin, with limited increases in resistance to other tested antibiotics, including other β-lactams (penicillins and cephalosporins, rifampin, ciprofloxacin, erythromycin, chloramphenicol, nalidixic acid, fusidic acid, and gentamicin. Resistance was more pronounced at 24°C than at 37°C. Zone-of-inhibition assays suggested that the mechanism of carbenicillin resistance was not due to antibiotic inactivation. The DNA insert did not encode any genes known to confer antibiotic resistance, but did have two putative open reading frames (ORFs that were annotated as a metallopeptidase and a two-component response regulator. Transposon mutagenesis and subcloning of the two ORFs followed by phenotypic assays showed that the response regulator gene was necessary and sufficient to confer the resistance phenotype. Quantitative reverse transcriptase PCR showed that the response regulator suppressed expression of the ompF porin gene, independently of the small RNA regulator micF, and enhanced expression of the acrD, mdtA, and mdtB efflux pump genes. This work demonstrates that antibiotic resistance can be achieved by the modulation of gene regulation by heterologous DNA. Functional analyses such as these can be important for making discoveries in antibiotic resistance gene biology and ecology.

  15. Growth and Survival of Genetically Manipulated Lactobacillus plantarum in Silage.

    Science.gov (United States)

    Sharp, R; O'donnell, A G; Gilbert, H G; Hazlewood, G P

    1992-08-01

    The growth and persistence of two genetically manipulated forms of Lactobacillus plantarum NCDO (National Collection of Dairy Organisms) 1193 have been monitored in grass silage. Both recombinants contained pSA3, a shuttle vector for gram-positive organisms that encodes erythromycin resistance. In one of the recombinants, pSA3 was integrated onto the chromosome, whereas in the other, a pSA3 derivative designated pM25, which contains a Clostridium thermocellum cellulase gene cloned into pSA3, was maintained as an extrachromosomal element. This extrachromosomal element is a plasmid. Rifampin-resistant mutants were selected for the recombinants and the parent strain. When applied to minisilos at a rate of 10 CFU/g of grass, both the recombinants and the parent strain proliferated to dominate the epiphytic microflora and induced an increase in the decline in pH compared with that of the noninoculated silos. The presence of extra genetic material did not appear to disadvantage the bacterium in comparison with the parent strain. The selective recovery of both strains by using rifampin and erythromycin was confirmed by Southern hybridization. Interestingly, the free plasmid (pM25) appeared more stable in silage than was expected from studies in MRS broth. The plasmid was retained by 85% of the rifampin-resistant L. plantarum colonies isolated from a day 30 silo. These data answer an important question by showing that genetically manipulated recombinants of L. plantarum can proliferate and compete with epiphytic lactic acid bacteria in silage.

  16. Determination Pattern of Antibiotic Resistance in Entropathogenic Escherichia coli Strains Isolated from Children with Diarrhea

    Directory of Open Access Journals (Sweden)

    P. Karami

    2012-04-01

    Full Text Available Introduction & Objective: Diarrheal diseases are considered a major health problem, especially in children. Enteropathogenic Escherichia coli (EPEC strains are the common cause of diarrhea in children especially in developing countries. Because of undesirable effects of diarrhea and its interference with children's growth, in some cases antibiotic treatment is recommended. In recent years, resistance toward common and effective antibiotics in the treatment of infectious diseases became one of the most important challenges in medical society, for this purpose, antibiotic sensitivity and resistance of strains in every geographical zone must be determined. So in this study, of antibiotic patterns of these bacteria were examined.Materials & Methods: This cross-sectional study was performed on 192 strains of Enteropathogen Escherichia coli isolated from children who were suffering from diarrhea in 1389-1390 in the microbiology laboratory of Hamadan University of medical sciences. To identify these strains, standard biochemical and serology tests were used. The antibiotic sensitivity test of these isolates was carried out with disc diffusion agar method according to the CLSI standards for 14 different antibiotics disc. Resistance toward 3 or more than 3 classes of antibiotics were defined as multidrug resistance.Results: The result of this study shows EPEC strains had the highest resistance to cefpodoxime (97%, trimethoprim (60.7%, tetracycline (58.4% and ampicillin (45.8%. Multidrug resistance was 68.7 percent. These strains also showed the highest sensitivity against imipenem, ceftriaxone, and ciprofloxacin antibiotics.Conclusion: EPEC strains that were studied with resistance to ampicillin, tetracycline and convenient sensitivity against fluoroquinolones are one of the major factors in children’s diarrhea. A result of this research suggests that antimicrobial resistance in Escherichia coli strains are high and prescribing and antibiotic is not

  17. Antimicrobial resistance patterns and plasmid profiles of ...

    African Journals Online (AJOL)

    Objectives: To determine the frequency of resistance of Staphylococcus aureus to various antimicrobial agents, and the relationship between antimicrobial resistance of the isolates and carriage of plasmids. Design: A random sampling of milk and meat samples was carried out. Setting: Milk was collected from various dairy ...

  18. An oxygen-insensitive degradable resist for fabricating metallic patterns on highly curved surfaces by UV-nanoimprint lithography.

    Science.gov (United States)

    Hu, Xin; Huang, Shisong; Gu, Ronghua; Yuan, Changsheng; Ge, Haixiong; Chen, Yanfeng

    2014-10-01

    In this paper, an oxygen-insensitive degradable resist for UV-nanoimprint is designed, com-prising a polycyclic degradable acrylate monomer, 2,10-diacryloyloxymethyl-1,4,9,12-tetraoxa-spiro [4.2.4.2] tetradecane (DAMTT), and a multifunctional thiol monomer pentaerythritol tetra(3-mercaptopropionate) (PETMP). The resist can be quickly UV-cured in the air atmosphere and achieve a high monomer conversion of over 98%, which greatly reduce the adhesion force between the resist and the soft mold. High conversion, in company with an adequate Young's modulus (about 1 GPa) and an extremely low shrinkage (1.34%), promises high nanoimprint resolution of sub-50 nm. The cross-linked resist is able to break into linear molecules in a hot acid solvent. As a result, metallic patterns are fabricated on highly curved surfaces via the lift off process without the assistance of a thermoplastic polymer layer. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Pediatric - specific Antimicrobial Resistance Patterns of Urinary Tract Infections: A Single - Centre Experience from Turkey

    OpenAIRE

    Kandur, Yasar; Ozden, Sevinc; Buyukkaragoz, Bahar

    2016-01-01

    Objectives: Antimicrobial resistance of the causative microorganisms of pediatric urinary tract infection (UTI) is a growing problem. The aim of this study is to determine the changing pattern of antibiotic susceptibility in UTIs in an outpatient setting. Methods: We retrospectively reviewed the medical records of pediatric patients with UTI who were followed-up in our center between January-2014 and May-2015. Results: One hundred and seventy-one patients (M...

  20. Antimicrobial resistance pattern of Gram –negative bacilli isolated of Vali-Asr Hospital wards in Arak

    Directory of Open Access Journals (Sweden)

    Farshid Didgar

    2014-11-01

    Full Text Available Background: Infectious diseases are of the most important causes of mortality all around the world particular in developing countries. Recently, the most important thing that has worried medical society is antibiotic resistance. Multi-resistant gram_negative rods are important pathogens in hospitals, causing high rate of mortality.The main goal of this study was to investigate the antimicrobial resistance patterns among common gram-negative bacilli isolated from patients of Vali-Asr Hospital. Material and Methods: This is a cross-sectional descriptive study conducted between the years 2010-2012 in Vali-Asr hospital in Arak. In this study 1120 specimen were examined. Bacterial strains were isolated by conventional methods from various clinical samples of patients including: blood, urine, wound, sputum, CSF, andetc.All isolates were examined for antimicrobial resistance using disc diffusion method. Results: In this study 737 specimen were positive cultures. A total of 332 isolates of Gram-negative bacilli were identified. The most frequent gram negative bacteria were isolated from urine, wound, blood, respiratory secretion and catheter. The most frequent pathogens were E.coli followed by k.pneumonia, entrobacter, p.oaeruginosa, Acinetobacter spp, citrobacter and proteus. High rate of resistance to third generation of cephalospoins & carbapenems observed amang isolates of Acintobacter spp.Prodution of extended spectrum beralactamases (ESBLS was found in 51.4% of all Gram negative bacteria. Conclusion: Antibiotic resistance, particularly multi-drug resistance is frequent among microorganisms of ValiAsr Hospital. Resistance in our country, like other countries have been shown to be increased, so it is highly recommended to prohibit unnecessary prescription of antibiotics.

  1. Co-selection of antibiotic resistance via copper shock loading on bacteria from a drinking water bio-filter.

    Science.gov (United States)

    Zhang, Menglu; Chen, Lihua; Ye, Chengsong; Yu, Xin

    2018-02-01

    Heavy metal contamination of source water frequently occurred in developing countries as a result of accidents. To address the problems, most of the previous studies have focused on engineering countermeasures. In this study, we investigated the effects of heavy metals, particularly copper, on the development of antibiotic resistance by establishing a copper shock loading test. Results revealed that co-selection occurred rapidly within 6 h. Copper, at the levels of 10 and 100 mg/L, significantly increased bacterial resistance to the antibiotics tested, including rifampin, erythromycin, kanamycin, and a few others. A total of 117 antimicrobial-resistance genes were detected from 12 types of genes, and the relative abundance of most genes (particularly mobile genetic elements intⅠand transposons) was markedly enriched by at least one fold. Furthermore, the copper shock loading altered the bacterial community. Numerous heavy metal and antibiotic resistant strains were screened out and enriched. These strains are expected to enhance the overall level of resistance. More noticeably, the majority of the co-selected antibiotic resistance could sustain for at least 20 h in the absence of copper and antimicrobial drugs. Resistance to vancomycin, erythromycin and lincomycin even could remain for 7 days. The prominent selection pressure by the copper shock loading implies that a real accident most likely poses similar impacts on the water environment. An accidental release of heavy metals would not only cause harm to the ecological environment, but also contribute to the development of bacterial antibiotic resistance. Broader concerns should be raised about the biological risks caused by sudden releases of pollutants by accidents. Copyright © 2017. Published by Elsevier Ltd.

  2. Resistance Patterns of Typhoid Fever in Children: A Longitudinal Community-Based Study.

    Science.gov (United States)

    Vala, Snehal; Shah, Urvesh; Ahmad, Syed Amir; Scolnik, Dennis; Glatstein, Miguel

    2016-01-01

    Salmonella typhi and S. paratyphi are important causes of bacteremia in children, especially those from the developing world. There is a lack of standardized treatment protocols for such patients in the literature, and there are also reports of therapeutic failure related to resistance to commonly used antibiotics. We analyzed the epidemiological, clinical, and antimicrobiological sensitivity patterns of disease in patients diagnosed with blood culture-positive typhoid fever over a 6-month period in a tertiary-care pediatric hospital in western India. Data were retrospectively analyzed for all patients with Salmonella isolates on blood culture between January 1 and June 30, 2011 at the Synergy Neonatal and Pediatric Hospital. Susceptibility of isolates to antimicrobials and minimum inhibitory concentrations were determined. Demographic data, symptoms and signs, basic laboratory results, treatment courses, and clinical outcomes were collected from clinical charts. All of the 61 isolates of S. typhi were sensitive to cefepime (fourth-generation cephalosporin), 96% to third-generation cephalosporins, and 95% to quinolones. There was intermediate sensitivity to ampicillin (92%) and chloramphenicol (80%). Notably, azithromycin resistance was observed in 63% of isolates. All patients ultimately made full recoveries. There is an urgent need for large scale, community-based clinical trials to evaluate the effectiveness of different antibiotics in enteric fever. Our antimicrobial susceptibility data suggest that quinolones and third-generation cephalosporins should be used as first-line antimicrobials in enteric fever. Although fourth-generation cephalosporins are useful, we feel their use should be restricted to complicated or resistant cases.

  3. Antimicrobial susceptibility pattern and SCCmec types of methicillin-resistant coagulase-negative staphylococci from subclinical bovine mastitis in Hatay, Turkey

    Directory of Open Access Journals (Sweden)

    Aslantaş Özkan

    2014-12-01

    Full Text Available Eighty-nine isolates of coagulase-negative staphylococci (CoNS of eight species from subclinical bovine mastitis were screened for the phenotypic and genotypic methicilline-resistance. In addition, all methicillin-resistant (MR isolates indicating the mecA gene were examined by PCR for the antimicrobial susceptibility patterns, and staphylococcal cassette chromosome mec (SCCmec types were also determined by multiplex PCR. A total of 21 (23.6% CoNS isolates were found to be resistant to oxacillin in broth microdilution assay. All isolates phenotypically resistant to oxacillin did not have the mecA gene, which was only found in 14.6% (13 of the isolates. Most MR-CoNS isolates were highly resistant to erythromycin (92.3%, fusidic acid (84.6%, penicillin (76.9%, and rifampycin (61.5%, and susceptible to mupirocin (100%, tetracycline (100%, vancomycin (100%, clindamycin (92.3%, and sulfamethoxazole-trimethoprim (69.2%. In conclusion, a high rate of antimicrobial resistance among MR-CoNS isolated from food producing animals emphasises the need for periodic surveillance of their resistance.

  4. Patterns of Resistance in Managing Assessment Change

    Science.gov (United States)

    Deneen, Christopher; Boud, David

    2014-01-01

    Achieving change in assessment practices in higher education is difficult. One of the reasons for this is resistance among those responsible for teaching and assessing. This paper seeks to explore this resistance through an analysis of staff dialogue during a major attempt to change the assessment practices at one institution. An institution-wide…

  5. A case of multidrug-resistant monoarticular joint tuberculosis in a renal transplant recipient.

    Science.gov (United States)

    Regmi, A; Singh, P; Harford, A

    2014-01-01

    Tuberculosis (TB) is a common opportunistic infection after renal transplantation. The risk of TB in renal transplant recipients is reported to be 20 to 74 times higher than in the general population. Although extrapulmonary TB occurs frequently, isolated ankle joint TB is a rare form of extrapulmonary TB infection. It is often difficult to diagnose because of its atypical presentation; management is complex, especially with multidrug-resistant TB, the need for a prolonged course of therapy, and the risks of drug interactions and drug toxicity. We report herein a case of a 60-year-old female renal allograft recipient who developed multidrug-resistant ankle joint TB 11 months after her deceased donor renal transplantation. She presented to the emergency department with escalating pain and swelling of the left ankle, difficulty in ambulation, and a low-grade fever. An x-ray of the ankle revealed an effusion and soft tissue swelling. A synovial fluid culture was performed which tested positive for acid fast bacilli which grew a multidrug-resistant form of Mycobacterium tuberculosis. She was initially treated with isoniazid, rifampin, ethambutol, and pyrazinamide; then therapy was tailored secondary to the resistant nature of the organism. She received a combination of extensive debridement of the joint and institution of second-line anti-TB therapy with pyrazinamide, ethambutol, moxifloxacin, and ethionamide. To our knowledge, no other cases of multidrug-resistant TB have been reported in the literature after renal transplantation. This case shows both an atypical presentation of TB and the difficulties in managing a transplant patient with this disease. Copyright © 2014 Elsevier Inc. All rights reserved.

  6. Drug resistance pattern of mycobacterial isolates in HIV and non-HIV population in South India

    Directory of Open Access Journals (Sweden)

    Umamaheshwari Shivaswamy

    2016-01-01

    Full Text Available Background: Emergence of drug resistance has complicated the treatment of tuberculosis (TB. WHO reports India to be one among 27 “high burden” multidrug-resistant (MDR TB countries. Objective: To diagnose TB and detect drug resistance of mycobacterial isolates in acid-fast bacilli (AFB smear negative HIV reactive patients (Group A and compare them with HIV seropositive AFB smear positive (Group B and HIV-seronegative AFB positive cases (Group C. Materials and Methods: Clinical specimens collected in all groups were processed as per the standard protocol except blood, which was processed by lysis centrifugation technique. They were then inoculated with Lowenstein-Jensen media and the isolates obtained were subjected to drug susceptibility test (DST by proportion method and genotype MTBDR plus assay. Results: In Group A, 162 patients were included. Of the 443 clinical samples collected, 76 mycobacterial strains were obtained from 67 (41% patients. Of these, 50 (65.8% were sensitive to all drugs and 26 (34.2% resistant to one or more anti-tubercular drugs. Antibiogram of Group A when compared with Group B and C showed that the MDR rate 6.6%, 6.7% and 8% respectively did not differ much; but resistance to at least single drug was (26 [34.2%], 3 [10%], and 8 [16%], respectively. Conclusion: Our study suggests that HIV has no influence on the anti-tubercular resistance pattern, but increased MDR rate along with HIV in high TB burden setting stresses the need for early diagnosis and DST in providing proper regimens and improve prognosis.

  7. Antibacterial susceptibility patterns of methicillin resistant staphylococcus spp. from a tertiary reference hospital

    Directory of Open Access Journals (Sweden)

    Çiğdem Karabıçak

    2012-03-01

    Full Text Available Objectives: Methicillin resistant Staphylococcus strainsstill remain as an important reason of hospital acquiredinfections. The aim of this study to see the antimicrobialsensitivity patterns of these strains for effective empiricaltherapyMaterial and methods: Antibiotic susceptibility resultsof staphylococcus strains were investigated retrospectivelyfrom tertiary reference hospital. 276 methicillin resistantstaphylococcus species, which were isolated fromKırıkkale University Faculty of Medicine Department of InfectiousDisease and Clinical Microbiology laboratory betweenNovember 2009-2010 were enrolled in this study.Identification and antibiotic susceptibilities of the strainswere evaluated by using Vitek automated systems (bioMerieux.Results: Most of these strains were isolated from blood(49% and wound (40 % samples. There was no glycopeptideresistance established from 276 strains. Susceptibilitypercents of these strains to linezolid and erythromycinwere 97% and 16% respectively.Conclusions: we believe that, informing physiciansabout antibiotic susceptibility patterns of methicillin resistantstaphylococcus species will be helpful for effectivetreatment and control the spread of these infections. JClin Exp Invest 2012; 3(1: 71-74

  8. Selection for chlorpyrifos resistance in Liriomyza sativae Blanchard: Cross-resistance patterns, stability and biochemical mechanisms.

    Science.gov (United States)

    Askari-Saryazdi, Ghasem; Hejazi, Mir Jalil; Ferguson, J Scott; Rashidi, Mohammad-Reza

    2015-10-01

    The vegetable leafminer (VLM), Liriomyza sativae (Diptera: Agromyzidae) is a serious pest of vegetable crops and ornamentals worldwide. In cropping systems with inappropriate management strategies, development of resistance to insecticides in leafminers is probable. Chlorpyrifos is a commonly used pesticide for controlling leafminers in Iran, but resistance to this insecticide in leafminers has not been characterized. In order to develop strategies to minimize resistance in the field and greenhouse, a laboratory selected chlorpyrifos resistant strain of L. sativae was used to characterize resistance and determine the rate of development and stability of resistance. Selecting for resistance in the laboratory after 23 generations yielded a chlorpyrifos resistant selected strain (CRSS) with a resistance ratio of 40.34, determined on the larval stage. CRSS exhibited no cross-resistance to other tested insecticides except for diazinon. Synergism and biochemical assays indicated that esterases (EST) had a key role in metabolic resistance to chlorpyrifos, but glutathione S-transferase (GST) and mixed function oxidase (MFO) were not mediators in this resistance. In CRSS acetylcholinesterase (AChE) was more active than the susceptible strain, Sharif (SH). AChE in CRSS was also less sensitive to inhibition by propoxur. The kinetics parameters (Km and Vmax) of AChE indicated that affinities and hydrolyzing efficiencies of this enzyme in CRSS were higher than SH. Susceptibility to chlorpyrifos in L. sativae was re-gained in the absence of insecticide pressure. Synergism, biochemical and cross-resistance assays revealed that overactivity of metabolic enzymes and reduction in target site sensitivity are probably joint factors in chlorpyrifos resistance. An effective insecticide resistance management program is necessary to prevent fast resistance development in crop systems. Copyright © 2015 Elsevier Inc. All rights reserved.

  9. Multi-drug resistance and molecular pattern of erythromycin and ...

    African Journals Online (AJOL)

    The appearance and dissemination of penicillin resistant and macrolide resistant Streptococcus pneumoniae strains has caused increasing concern worldwide. The aim of this study was to survey drug resistance and genetic characteristics of macrolide and penicillin resistance in S. pneumoniae. This is a cross-sectional ...

  10. A model for investigating the influence of road surface texture and tyre tread pattern on rolling resistance

    Science.gov (United States)

    Hoever, Carsten; Kropp, Wolfgang

    2015-09-01

    The reduction of rolling resistance is essential for a more environmentally friendly road transportation sector. Both tyre and road design can be utilised to reduce rolling resistance. In both cases a reliable simulation tool is needed which is able to quantify the influence of design parameters on the rolling resistance of a tyre rolling on a specific road surface. In this work a previously developed tyre/road interaction model is extended to account for different tread patterns and for losses due to small-scale tread deformation. Calculated contact forces and tyre vibrations for tyre/road interaction under steady-state rolling are used to predict rolling losses in the tyre. Rolling resistance is calculated for a series of different tyre/road combinations. Results are compared with rolling resistance measurements. The agreement between simulations and measurements is generally very good. It is found that both the tyre structure and small-scale tread deformations contribute to the rolling losses. The small-scale contribution depends mainly on the road roughness profile. The mean profile depth of the road surface is identified to correlate very well with the rolling resistance. Additional calculations are performed for non-traditional rubberised road surfaces, however, with mixed results. This possibly indicates the existence of additional loss mechanisms for these surfaces.

  11. Antibiotic Resistance Pattern of Bacteria Causing Urinary Tract Infections in Children of Fasa During the years 2012 and 2014

    Directory of Open Access Journals (Sweden)

    alireza molazade

    2015-02-01

    Conclusion: Regarding the results, it is recommended to use Ciprofloxacin and Nitrofurantoin for outpatient treatment of UTI. Selecting proper antibiotics for UTI treatment should be on the basis of the local prevalence of pathogenic bacteria and antibiotic resistance pattern.

  12. Efficacy of Linezolid and Fosfomycin in Catheter-Related Biofilm Infection Caused by Methicillin-Resistant Staphylococcus aureus

    Science.gov (United States)

    Chai, Dong; Liu, Xu; Wang, Rui; Bai, Yan; Cai, Yun

    2016-01-01

    As long-standing clinical problems, catheter-related infections and other chronic biofilm infections are more difficult to treat due to the high antibiotic resistance of biofilm. Therefore, new treatments are needed for more effective bacteria clearance. In this study, we evaluated the antibacterial activities of several common antibiotics alone and their combinations against biofilm-embedded methicillin-resistant staphylococcus aureus (MRSA) infections, both in vitro and in vivo. In brief, fosfomycin, levofloxacin, and rifampin alone or in combination with linezolid were tested in vitro against planktonic and biofilm-embedded MRSA infection in three MRSA stains. The synergistic effects between linezolid and the other three antibiotics were assessed by fractional inhibitory concentration index (FICI) and time-kill curves, where the combination of linezolid plus fosfomycin showed the best synergistic effect in all strains. For further evaluation in vivo, we applied the combination of linezolid and fosfomycin in a catheter-related biofilm rat model and found that viable bacteria counts in biofilm were significantly reduced after treatment (P linezolid and fosfomycin treatment had improved therapeutic effects on biofilm-embedded MRSA infection both in vitro and in vivo, which provided important basis for new clinical therapy development. PMID:27366751

  13. A "healthy diet-optimal sleep" lifestyle pattern is inversely associated with liver stiffness and insulin resistance in patients with nonalcoholic fatty liver disease.

    Science.gov (United States)

    Katsagoni, Christina N; Papatheodoridis, George V; Papageorgiou, Maria-Vasiliki; Ioannidou, Panagiota; Deutsch, Melanie; Alexopoulou, Alexandra; Papadopoulos, Nikolaos; Fragopoulou, Elisabeth; Kontogianni, Meropi D

    2017-03-01

    Several lifestyle habits have been described as risk factors for nonalcoholic fatty liver disease (NAFLD). Given that both healthy and unhealthy habits tend to cluster, the aim of this study was to identify lifestyle patterns and explore their potential associations with clinical characteristics of individuals with NAFLD. One hundred and thirty-six consecutive patients with ultrasound-proven NAFLD were included. Diet and physical activity level were assessed through appropriate questionnaires. Habitual night sleep hours and duration of midday naps were recorded. Optimal sleep duration was defined as sleep hours ≥ 7 and ≤ 9 h/day. Lifestyle patterns were identified using principal component analysis. Eight components were derived explaining 67% of total variation of lifestyle characteristics. Lifestyle pattern 3, namely high consumption of low-fat dairy products, vegetables, fish, and optimal sleep duration was negatively associated with insulin resistance (β = -1.66, P = 0.008) and liver stiffness (β = -1.62, P = 0.05) after controlling for age, sex, body mass index, energy intake, smoking habits, adiponectin, and tumor necrosis factor-α. Lifestyle pattern 1, namely high consumption of full-fat dairy products, refined cereals, potatoes, red meat, and high television viewing time was positively associated with insulin resistance (β = 1.66, P = 0.005), although this association was weakened after adjusting for adiponectin and tumor necrosis factor-α. A "healthy diet-optimal sleep" lifestyle pattern was beneficially associated with insulin resistance and liver stiffness in NAFLD patients independent of body weight status and energy intake.

  14. Antimicrobial Resistance Pattern in Escherichia coli Isolates Obtained from a Specialized Women and Children Hospital in Shiraz, Iran: A Prevalence Study

    Directory of Open Access Journals (Sweden)

    Mahtab Hadadi

    2016-10-01

    Full Text Available Abstract Background: Escherichia coli, known as a clinically significant bacteria, can cause a wide range of infections, including urinary tract infections (UTIs, blood stream infections (BSIs, and can frequently be isolated from various clinical specimens. Evaluation of antimicrobial resistant pattern is a necessary action, especially about such bacteria which are frequent and life threatening. The aim of this study was to determine the frequency and antimicrobial resistance pattern of E. coli isolates obtained from various clinical specimens. Methods: This retrospective study was performed within a seven month period from January 2015 to August 2015 at a specialized women and children hospital in Shiraz, Iran. E. coli isolates were obtained from various clinical specimens and identified using standard microbiological procedure. Antimicrobial susceptibility patterns were determined using disk diffusion method in accordance with CLSI recommendation. Results: Of the total 130 positive cultures, the majority of E. coli isolates were obtained from urine (96=73.8% and blood (11=8.5% specimens. Overall, gentamicin (70.8% was the effective antibiotic for the tested E. coli isolates. E. coli isolates obtained from urine specimens showed the highest resistance rates against ampicillin (84.4% and nalidixic acid (61.5%; while they showed the most sensitivity to gentamicin (79.2%, nitrofurantoin (70.8% and ciprofloxacin (66.7%. Moreover, the highest antibiotic resistance rates belonged to the isolates recovered from endotracheal tube (ETT. Conclusion: The results showed that gentamicin was the most effective antibiotic against E. coli infections. However, in addition to the gentamicin, we can recommend nitrofurantoin and ciprofloxacin as the other effective agents for UTIs

  15. Antibiotic resistance patterns of coagulase-negative staphylococcus strains isolated from blood cultures of septicemic patients in Turkey.

    Science.gov (United States)

    Koksal, F; Yasar, H; Samasti, M

    2009-01-01

    The aim of this study is to determine antibiotic resistance patterns and slime production characteristics of coagulase-negative Staphylococci (CoNS) caused nosocomial bacteremia. A total of 200 CoNS strains were isolated from blood samples of patients with true bacteremia who were hospitalized in intensive care units and in other departments of Istanbul University Cerrahpasa Medical Hospital between 1999 and 2006. Among 200 CoNS isolates, Staphylococcus epidermidis was the most prevalent species (87) followed by Staphylococcus haemolyticus (23), Staphylococcus hominis (19), Staphylococcus lugdunensis (18), Staphylococcus capitis (15), Staphylococcus xylosus (10), Staphylococcus warneri (8), Staphylococcus saprophyticus (5), Staphylococcus lentus (5), Staphylococcus simulans (4), Staphylococcus chromogenes (3), Staphylococcus cohnii (1), Staphylococcus schleiferi (1), and Staphylococcus auricularis (1). Resistance to methicillin was detected in 67.5% of CoNS isolates. Methicillin-resistant CoNS strains were determined to be more resistant to antibiotics than methicillin-susceptible CoNS strains. Resistance rates of methicillin-resistant and methicillin-susceptible CoNS strains to the antibacterial agents, respectively, were as follows: gentamicin 90% and 17%, erythromycin 80% and 37%, clindamycin 72% and 18%, trimethoprim-sulfamethoxazole 68% and 38%, ciprofloxacin 67% and 23%, tetracycline 60% and 45%, chloramphenicol 56% and 13% and fusidic acid 25% and 15%. None of the strains were resistant to vancomycin and teicoplanin. Slime production was detected in 86 of 200 CoNS strains. Resistance to methicillin was found in 81% of slime-positive and in 57% of slime-negative strains. Our results indicated that there is a high level of resistance to widely used agents in causative methicillin-resistant CoNS strains. However fusidic acid has the smallest resistance ratio, with the exception of glycopeptides. Additionally, most S. epidermidis strains were slime

  16. Estudo da prevalência da resistência aos antibacilares

    Directory of Open Access Journals (Sweden)

    Berta Mendes

    1996-11-01

    increase in probability of drug-resistant tuberculosis in these patients along with the frequent ocurrence of non-compliance with antituberculosis chemotherapy prompted us to make this study. Objective: To determine resistence patterns to anti-tuberculosis drugs in new and previously treted patients. Design: We evaluated clinical and epidemilogical data. The results of susceptibility tests were obtained (critical proportions method, for all the five major antimy-cobactcrial drug, from hospitalized between April 1993 and July 1995. Documentation about HIV infeccion was also obtained. Results and conclusion; Resistance to one or more drugs was high in 17.7% of new cases and higher in 37.5% of Previously treated patients. Resistance to both Isoniazid and Rifampin was of 4.3%. higher and 23.2 %. respectively. In terms of epidemiological significancee there is an outstandingly high level of resistance to Isoniazid, chiefly in new eases (8.5%. An higher frequency of general resisance was found associated with HIV infection (28%. alcoholism (26.7%, and drug abuse (19.5%. We conclude that there is a considerable increase in drug-resistant tuberculosis affecting previously treated patients at of contracting or already being infected with HIV, which probably results from a noncompliance with chemotherapy. Finally, the high level (8.5%. of resistance to Isoniazid in new cases, recommends the initial four drug regimen as an Initial therapy in our Department. Palavras-chave: Tuberculose, Resistências, Multirresistêcias

  17. Drug-resistance patterns of Mycobacterium tuberculosis strains and associated risk factors among multi drug-resistant tuberculosis suspected patients from Ethiopia.

    Science.gov (United States)

    Mesfin, Eyob Abera; Beyene, Dereje; Tesfaye, Abreham; Admasu, Addisu; Addise, Desalegn; Amare, Miskir; Dagne, Biniyam; Yaregal, Zelalem; Tesfaye, Ephrem; Tessema, Belay

    2018-01-01

    Multidrug drug-resistant tuberculosis (MDR-TB) is a major health problem and seriously threatens TB control and prevention efforts globally. Ethiopia is among the 30th highest TB burden countries for MDR-TB with 14% prevalence among previously treated cases. The focus of this study was on determining drug resistance patterns of Mycobacterium tuberculosis among MDR-TB suspected cases and associated risk factors. A cross-sectional study was conducted in Addis Ababa from June 2015 to December 2016. Sputum samples and socio-demographic data were collected from 358 MDR-TB suspected cases. Samples were analyzed using Ziehl-Neelsen technique, GeneXpert MTB/RIF assay, and culture using Lowenstein-Jensen and Mycobacterial growth indicator tube. Data were analyzed using SPSS version 23. A total of 226 the study participants were culture positive for Mycobacterium tuberculosis, among them, 133 (58.8%) participants were males. Moreover, 162 (71.7%) had been previously treated for tuberculosis, while 128 (56.6%) were TB/HIV co-infected. A majority [122 (54%)] of the isolates were resistant to any first-line anti-TB drugs. Among the resistant isolates, 110 (48.7%) were determined to be resistant to isoniazid, 94 (41.6%) to streptomycin, 89 (39.4%) to rifampicin, 72 (31.9%) to ethambutol, and 70 (30.9%) to pyrazinamide. The prevalence of MDR-TB was 89 (39.4%), of which 52/89 (58.4%) isolates were resistance to all five first-line drugs. Risk factors such as TB/HIV co-infection (AOR = 5.59, p = 0.00), cigarette smoking (AOR = 3.52, p = 0.045), alcohol drinking (AOR = 5.14, p = 0.001) hospital admission (AOR = 3.49, p = 0.005) and visiting (AOR = 3.34, p = 0.044) were significantly associated with MDR-TB. The prevalence of MDR-TB in the study population was of a significantly high level among previously treated patients and age group of 25-34. TB/HIV coinfection, smoking of cigarette, alcohol drinking, hospital admission and health facility visiting were identified as risk factors

  18. Analysis of Acinetobacter baumannii resistance patterns in patients with chronic obstructive pulmonary disease (COPD in terms of choice of effective empiric antibiotic therapy

    Directory of Open Access Journals (Sweden)

    Aneta Grochowalska

    2017-06-01

    In the performed study, the infections caused by multi-resistant Acinetobacter baumannii, were observed in COPD, which should be taken into consideration in choosing empirical antibiotic therapy. Simultaneously, the local resistance patterns of multi-drug-resistant (MDR Gram-negative strains co-infecting COPD should be considered in empirical treatment. Moreover, both additional clinical complication and co-infections contribute to a more severe course of diseases. In this study, the mortality percent exceeded 29%.

  19. Lung volumes and airway resistance in patients with a possible restrictive pattern on spirometry.

    Science.gov (United States)

    Schultz, Kenia; D'Aquino, Luiz Carlos; Soares, Maria Raquel; Gimenez, Andrea; Pereira, Carlos Alberto de Castro

    2016-01-01

    Many patients with proportional reductions in FVC and FEV1 on spirometry show no reduction in TLC. The aim of this study was to evaluate the role that measuring lung volumes and airway resistance plays in the correct classification of patients with a possible restrictive pattern on spirometry. This was a prospective study involving adults with reduced FVC and FEV1, as well as an FEV1/FV(C) ratio within the predicted range. Restrictive lung disease (RLD) was characterized by TLC below the 5th percentile, as determined by plethysmography. Obstructive lung disease (OLD) was characterized by high specific airway resistance, significant changes in post-bronchodilator FEV1, or an FEF25-75% espirometria não têm CPT reduzida. O objetivo deste estudo foi avaliar o papel da medida dos volumes pulmonares e da resistência das vias aéreas para a classificação correta de pacientes com possível restrição à espirometria. Estudo prospectivo de adultos com CVF e VEF1 reduzidos e relação VEF1/CV(F) na faixa prevista. Distúrbio ventilatório restritivo (DVR) foi definido por CPT espirometria. A obstrução ao fluxo aéreo é comum nesses casos.

  20. Source, pattern and antibiotic resistance of blood stream infections in hematopoietic stem cell transplant recipients

    International Nuclear Information System (INIS)

    El-Mahallawy, H.; Samir, I.; Kadry, D.; Abdel Fattah, R.; El-Kholy, A.

    2014-01-01

    Mucositis developing as a result of myelo-ablative high dose therapy administered prior to hematopoietic stem cell transplantation (HSCT) is associated with the risk of bacteremia. The aim of the present study was to detect the pattern of bacteremia coinciding with the present practice of HSCT, to study the contribution of health-care associated infection (HAI) to the pattern of infection, in the context of the problem of antibiotic resistance in HSCT recipients. Patients and methods: This is a retrospective, single center study including patients who developed febrile neutropenia (FN) among HSCT recipients in one year duration. Results: Ninety FN episodes were recorded in 50 patients. Out of 39 positive blood cultures, Gram negative rods (GNR) were the predominant pathogens, constituting 67% (n =26) of isolated organisms, while 33% of infections were caused by gram positive cocci (GPC) (n= 13). Bacteremia was significantly associated with central venous line (CVL) infections and gastroenteritis (diarrhea and vomiting) with a p-value 0.024, 0.20 and 0.0001, respectively. Multi-drug resistant organisms (MDROs) were identified in 27 (69%) of the 39 positive blood cultures. Conclusion: In one year duration, gram negative pathogens were the predominant causes of infection in HSCT recipients with high rates of MDROs in our institution. Gastroenteritis and central venous line infections are the main sources of bacteremia

  1. Conspicuous multidrug-resistant Mycobacterium tuberculosis cluster strains do not trespass country borders in Latin America and Spain.

    Science.gov (United States)

    Ritacco, Viviana; Iglesias, María-José; Ferrazoli, Lucilaine; Monteserin, Johana; Dalla Costa, Elis R; Cebollada, Alberto; Morcillo, Nora; Robledo, Jaime; de Waard, Jacobus H; Araya, Pamela; Aristimuño, Liselotte; Díaz, Raúl; Gavin, Patricia; Imperiale, Belen; Simonsen, Vera; Zapata, Elsa M; Jiménez, María S; Rossetti, Maria L; Martin, Carlos; Barrera, Lucía; Samper, Sofia

    2012-06-01

    Multidrug-resistant Mycobacterium tuberculosis strain diversity in Ibero-America was examined by comparing extant genotype collections in national or state tuberculosis networks. To this end, genotypes from over 1000 patients with multidrug-resistant tuberculosis diagnosed from 2004 through 2008 in Argentina, Brazil, Chile, Colombia, Venezuela and Spain were compared in a database constructed ad hoc. Most of the 116 clusters identified by IS6110 restriction fragment length polymorphism were small and restricted to individual countries. The three largest clusters, of 116, 49 and 25 patients, were found in Argentina and corresponded to previously documented locally-epidemic strains. Only 13 small clusters involved more than one country, altogether accounting for 41 patients, of whom 13 were, in turn, immigrants from Latin American countries different from those participating in the study (Peru, Ecuador and Bolivia). Most of these international clusters belonged either to the emerging RD(Rio) LAM lineage or to the Haarlem family of M. tuberculosis and four were further split by country when analyzed with spoligotyping and rifampin resistance-conferring mutations, suggesting that they did not represent ongoing transnational transmission events. The Beijing genotype accounted for 1.3% and 10.2% of patients with multidrug-resistant tuberculosis in Latin America and Spain, respectively, including one international cluster of two cases. In brief, Euro-American genotypes were widely predominant among multidrug-resistant M. tuberculosis strains in Ibero-America, reflecting closely their predominance in the general M. tuberculosis population in the region, and no evidence was found of acknowledged outbreak strains trespassing country borders. Copyright © 2011 Elsevier B.V. All rights reserved.

  2. Antibiotic Multiresistance Analysis of Mesophilic and Psychrotrophic Pseudomonas spp. Isolated from Goat and Lamb Slaughterhouse Surfaces throughout the Meat Production Process

    OpenAIRE

    Lavilla Lerma, Leyre; Benomar, Nabil; Casado Muñoz, María del Carmen; Gálvez, Antonio; Abriouel, Hikmate

    2014-01-01

    The aim of this study was to investigate the phenotypic and genotypic antibiotic resistance profiles of pseudomonads isolated from surfaces of a goat and lamb slaughterhouse, which were representative of areas that are possible sources of meat contamination. Mesophilic (85 isolates) and psychrotrophic (37 isolates) pseudomonads identified at the species level generally were resistant to sulfamethoxazole, erythromycin, amoxicillin, ampicillin, chloramphenicol, trimethoprim, rifampin, and cefta...

  3. [Antibiotic resistance pattern of 24, 526 strains of Vibrio cholerae O1 isolated in Mexico from 1991 to 1993].

    Science.gov (United States)

    Giono-Cerezo, S; Zárate, A; Gutiérrez, L; Valdespino, J L

    1994-01-01

    Profile of antimicrobial resistance by Kirby-Bauer method was performed on 24526 Vibrio cholerae O1 strains isolated in México (1991-1993) from fecal swabs in cholera cases and from asymptomatic carriers. Minimal inhibitory concentration (MIC) tests for tetracycline (Te) and doxycycline (D) were done on selected strains. Single antibiotic discs were used at concentrations of: Te, 30 micrograms; D, 30 micrograms; erythromycin (E), 15 micrograms; chloramphenicol (CM), 30 micrograms; ampicillin (AM), 10 micrograms; trimethoprim-sulfamethoxazole (SXT) 1.25 micrograms/23.75 micrograms. Strains whose halos were of a smaller diameter than the intermediate value were considered resistant. It is important to maintain surveillance on antimicrobial susceptibility as epidemiological marker on geographical selected areas in order to detect changes of resistant patterns.

  4. Susceptibility patterns and the role of extracellular DNA in Staphylococcus epidermidis biofilm resistance to physico-chemical stress exposure.

    Science.gov (United States)

    Olwal, Charles Ochieng'; Ang'ienda, Paul Oyieng'; Onyango, David Miruka; Ochiel, Daniel Otieno

    2018-05-02

    Over 65% of human infections are ascribed to bacterial biofilms that are often highly resistant to antibiotics and host immunity. Staphylococcus epidermidis is the predominant cause of recurrent nosocomial and biofilm-related infections. However, the susceptibility patterns of S. epidermidis biofilms to physico-chemical stress induced by commonly recommended disinfectants [(heat, sodium chloride (NaCl), sodium hypochlorite (NaOCl) and hydrogen peroxide (H 2 O 2 )] in domestic and human healthcare settings remains largely unknown. Further, the molecular mechanisms of bacterial biofilms resistance to the physico-chemical stresses remain unclear. Growing evidence demonstrates that extracellular DNA (eDNA) protects bacterial biofilms against antibiotics. However, the role of eDNA as a potential mechanism underlying S. epidermidis biofilms resistance to physico-chemical stress exposure is yet to be understood. Therefore, this study aimed to evaluate the susceptibility patterns of and eDNA release by S. epidermidis biofilm and planktonic cells to physico-chemical stress exposure. S. epidermidis biofilms exposed to physico-chemical stress conditions commonly recommended for disinfection [heat (60 °C), 1.72 M NaCl, solution containing 150 μL of waterguard (0.178 M NaOCl) in 1 L of water or 1.77 M H 2 O 2 ] for 30 and 60 min exhibited lower log reductions of CFU/mL than the corresponding planktonic cells (p chemical stress induced by the four commonly recommended disinfectants than the analogous planktonic cells. Further, S. epidermidis biofilms enhanced eDNA release in response to the sub-lethal heat and oxidative stress exposure than the corresponding planktonic cells suggesting a role of eDNA in biofilms resistance to the physico-chemical stresses.

  5. The changing pattern of antimicrobial resistance within 42,033 Escherichia coli isolates from nosocomial, community and urology patient-specific urinary tract infections, Dublin, 1999-2009.

    LENUS (Irish Health Repository)

    Cullen, Ivor M

    2012-04-01

    To investigate the changing pattern of antimicrobial resistance in Escherichia coli urinary tract infection over an eleven year period, and to determine whether E. coli antibiotic resistance rates vary depending on whether the UTI represents a nosocomial, community acquired or urology patient specific infection.

  6. Patterns of HIV-1 Drug Resistance After First-Line Antiretroviral Therapy (ART) Failure in 6 Sub-Saharan African Countries: Implications for Second-Line ART Strategies

    NARCIS (Netherlands)

    Hamers, Raph L.; Sigaloff, Kim C. E.; Wensing, Annemarie M.; Wallis, Carole L.; Kityo, Cissy; Siwale, Margaret; Mandaliya, Kishor; Ive, Prudence; Botes, Mariette E.; Wellington, Maureen; Osibogun, Akin; Stevens, Wendy S.; Rinke de Wit, Tobias F.; Schuurman, Rob; Siwale, M.; Njovu, C.; Labib, M.; Menke, J.; Botes, M. E.; Conradie, F.; Ive, P.; Sanne, I.; Wallis, C. L.; Letsoalo, E.; Stevens, W. S.; Hardman, M.; Wellington, M.; Luthy, R.; Mandaliya, K.; Abdallah, S.; Jao, I.; Dolan, M.; Namayanja, G.; Nakatudde, L.; Nankya, I.; Kiconco, M.; Abwola, M.; Mugyenyi, P.; Osibogun, A.; Akanmu, S.; Schuurman, R.; Wensing, A. M.; Straatsma, E.; Wit, F. W.; Dekker, J.; van Vugt, M.; Lange, J. M.

    2012-01-01

    Background. Human immunodeficiency virus type 1 (HIV-1) drug resistance may limit the benefits of antiretroviral therapy (ART). This cohort study examined patterns of drug-resistance mutations (DRMs) in individuals with virological failure on first-line ART at 13 clinical sites in 6 African

  7. Therapeutic Efficacy of Meropenem for Treatment of Experimental Penicillin-Resistant Pneumococcal Meningitis

    Science.gov (United States)

    Kim, Shin-Woo; Jin, Joung Hwa; Kang, Soo Jung; Jung, Sook-In; Kim, Yeon-Sook; Kim, Choon-Kwan; Lee, Hyuck; Oh, Won Sup; Kim, Sungmin; Peck, Kyong Ran

    2004-01-01

    With the widespread emergence of antimicrobial resistance, combination regimens of ceftriaxone and vancomycin (C+V) or ceftriaxone and rifampin (C+R) are recommended for empirical treatment of pneumococcal meningitis. To evaluate the therapeutic efficacy of meropenem (M), we compared various treatment regimens in arabbit model of meningitis caused by penicillin-resistant Streptococcus pneumoniae (PRSP). Therapeutic efficacy was also evaluated by the final bacterial concentration in the cerebrospinal fluid (CSF) at 24 hr. Each group consisted of six rabbits. C+V cleared the CSF at 10 hr, but regrowth was noted in 3 rabbits at 24 hr. Meropenem monotherapy resulted in sterilization at 10 hr, but regrowth was observed in all 6 rabbits at 24 hr. M+V also resulted in sterilization at 10 hr, but regrowth was observed in 2 rabbits at 24 hr. M+V was superior to the meropenem monotherapy at 24 hr (reduction of 4.8 vs. 1.8 log10 cfu/mL, respectively; p=0.003). The therapeutic efficacy of M+V was comparable to that of C+V (reduction of 4.8 vs. 4.0 log10 cfu/mL, respectively; p=0.054). The meropenem monotherapy may not be a suitable choice for PRSP meningitis, while combination of meropenem and vancomycin could be a possible alternative in the treatment of PRSP meningitis. PMID:14966336

  8. Effectiveness of simple control measures on methicillin-resistant Staphylococcus aureus infection status and characteristics with susceptibility patterns in a teaching hospital in Peshawar.

    Science.gov (United States)

    Rafiq, Muhammad Salman; Rafiq, Muhammad Imran; Khan, Taimur; Rafiq, Maria; Khan, Mah Muneer

    2015-09-01

    To determine the effectiveness of simple control measures on the infection status and characteristics of methicillin-resistant Staphylococcus aureus including susceptibility patterns among health professionals and patients in a teaching hospital. The cross-sectional study was conducted from September 2013 to January 2014, and comprised samples collected from healthcare personnel and patients in the various units of Khyber Teaching Hospital, Peshawar. The specimens were collected before and one month after the implementation of simple control measures for outbreak prevention of methicillin-resistant Staphylococcus aureus. These were tested for culture and antimicrobial susceptibility. Data about methicillin-sensitive and methicillin-resistant Staphylococcus aureus infection, wound characteristics and susceptibility patterns was collected and effectiveness of simple control measures was determined. SPSS 20 was used for statistical analysis. Of the total 390 isolates, 180(46.2%) were Staphylococcus aureus; 77(19.7%) from healthcare personnel and 103(26.4%) from patients. Of these, 164(42.1%) were methicillin-sensitive and 16(4.1%) were methicillin-resistant. Among the patients, 38(15.1%) methicillin-sensitive and 8(3.2%) methicillin-resistant isolates were recovered from wounds or skin and soft tissues. Pus with 33(13.1%) and 4(1.6%) cases respectively was the second most common source. Among methicillin-resistant isolates, resistance to Linezolid was 0%, all were resistant to Oxacillin, Cefoxitin, Amoxicillin, Cefotaxime and Cephradine, and resistance to both Co-Amoxiclav and Ciprofloxacin was 87.5%. After one month of implementation of simple control measures, the number of methicillin-resistant cases among healthcare professionals and patients dropped from 4(2.9%) and 7(10.8%) to 1(0.7%) and 5(2.7%), respectively. Methicillin-resistant and methicillin-sensitive Staphylococcus aureus differed in their anti-microbial susceptibility profiles. Selection of antibiotics

  9. The Genotype MTBDRplus ver. 2.0 test as a quick indicator of resistance to rifampicin and isoniazid in Mycobacterium tuberculosis strains

    Directory of Open Access Journals (Sweden)

    Salvatore Nisticò

    2013-08-01

    Full Text Available Tuberculosis is still a global emergency and a major public health problem, in some cases related to the appearance of strains of multi drug resistance (MDR and extensive drug resistance (XDR Mycobacterium tuberculosis complex.The correct determination of antibiotic sensitivity profiles is therefore crucial to carry out appropriate treatment aimed to decrease the infectivity of each patient and to reduce mortality. The poor adherence to treatment by the patient or the use of therapies based on a single drug, as a result of incorrect requirements, promote the development of drug-resistance. Have some time on the market of molecular diagnostic tests that allow, quickly and directly from biological sample to search for resistance genes some key drugs of anti-TB therapy (Rifampicin and Isoniazid. One of the tests in question is the Genotype MTBDRplus ver 2.0 which can reveal the presence of genes for resistance to Isoniazid (INH and Rifampin (RMP.The loci analyzed are those corresponding to the rpoB gene for rifampicin, katG and inhA for isoniazid. Our study is based on the analysis of 83 strains of tubercular Mycobacteria identified and isolated from patients with tuberculosis disease and subjected to the tests sensitivity, searching for mutations and phenotypic susceptibility testing for Rifampicin and Isoniazid.The comparison of the results has shown that the results obtained using the Genotype MTBDRplus ver 2.0 test, were similar to the results obtained by the traditional susceptibility testing.

  10. Resistance of M. leprae to quinolones: a question of relativity?

    Science.gov (United States)

    Veziris, Nicolas; Chauffour, Aurélie; Escolano, Sylvie; Henquet, Sarah; Matsuoka, Masanori; Jarlier, Vincent; Aubry, Alexandra

    2013-11-01

    Multidrug resistant leprosy, defined as resistance to rifampin, dapsone and fluoroquinolones (FQ), has been described in Mycobacterium leprae. However, the in vivo impact of fluoroquinolone resistance, mainly mediated by mutations in DNA gyrase (GyrA2GyrB2), has not been precisely assessed. Our objective was to measure the impact of a DNA gyrase mutation whose implication in fluoroquinolone resistance has been previously demonstrated through biochemical studies, on the in vivo activity of 3 fluoroquinolones: ofloxacin, moxifloxacin and garenoxacin. We used the proportional bactericidal method. 210 four-week-old immunodeficient female Nude mice (NMRI-Foxn1(nu) /Foxn1(nu) ) were inoculated in the left hind footpad with 0.03 ml of bacterial suspension containing 5 × 10(3), 5 × 10(2), 5 × 10(1), and 5 × 10(0) M. leprae AFB organisms of strain Hoshizuka-4 which is a multidrug resistant strain harboring a GyrA A91V substitution. An additional subgroup of 10 mice was inoculated with 5 × 10(-1) bacilli in the untreated control group. The day after inoculation, subgroups of mice were treated with a single dose of ofloxacin, moxifloxacin, garenoxacin or clarithromycin at 150 mg/kg dosing. 12 months later mice were sacrificed and M. leprae bacilli were numbered in the footpad. The results from the untreated control group indicated that the infective inoculum contained 23% of viable M. leprae. The results from the moxifloxacin and garenoxacin groups indicated that a single dose of these drugs reduced the percentage of viable M. leprae by 90%, similarly to the reduction observed after a single dose of the positive control drug clarithromycin. Conversely, ofloxacin was less active than clarithromycin. DNA gyrase mutation is not always synonymous of lack of in vivo fluoroquinolone activity in M. leprae. As for M. tuberculosis, in vivo studies allow to measure residual antibiotic activity in case of target mutations in M. leprae.

  11. Prevalence and Antibiotic susceptibility pattern of Panton-Valentine ...

    African Journals Online (AJOL)

    Staphylococcal cassette chromosome mec typing by two standard multiplex PCR assay, revealed an uncharacterized resistance element. Overall antibiotic susceptibility pattern showed relatively high degree of susceptibility,however 1 isolate demostrated multidrug resistant pattern, 37(74.0%)resistant to only penicillin, 5 to ...

  12. Isozyme patterns of powdery mildew resistant wheat mutants

    International Nuclear Information System (INIS)

    Xia Wengau; Li Zhengkui; Wang Kefeng

    1989-01-01

    Full Text: Wheat mutants induced by gamma irradiation and showing improved resistance to powdery mildew were analysed for isozymes. The peroxidase band 3A could be related to the disease reaction. The band 3A is absent in resistant mutants, the higher the activity of band 3A the greater the susceptibility. (author)

  13. A 4-year surveillance of antimicrobial resistance patterns of Acinetobacter baumanni in a university-affiliated hospital in China.

    Science.gov (United States)

    Xu, Ting; Xia, Wenying; Rong, Guodong; Pan, Shiyang; Huang, Peijun; Gu, Bing

    2013-08-01

    To investigate the changes in resistance of Acinetobacter baumanni (A. baumannii) to different antimicrobial agents and the association of resistance rates with several independent factors: specimen origin, hospital wards, patients' gender and age, from 2008 to 2011. Bacterial isolates were isolated from January 2008 to December 2011 in the First Affiliated Hospital of Nanjing Medical University. Antimicrobial susceptibility testing was determined by the Kirby-Bauer Disk Diffusion Agar method as recommended by CLSI. Data were managed by the software WHONET 5.4 and analyzed by SPSS 17.0 software. The proportion of A. baumannii in our hospital increased from 7.0% in 2008 to 18.8% in 2011, becoming the most frequent pathogen in gram-negative isolates. 79.9% of A. baumannii were cultured from respiratory tract and geriatrics showed the highest isolation rate of A. baumannii during 4 years. The resistance rates to 12 antibiotics significantly increased from 2008 to 2011, from 14.8% to 90.8% to imipenem, and from 23.3% to 91.1% to meropenem. Statistical analysis demonstrated that the specimen origin, hospital wards, patients' gender and age were associated with resistance rate in varying degrees. The 4-year surveillance of antimicrobial susceptibility demonstrated the rapid increase of drug-resistant strains of A. baumannii, and revealed several factors related with resistance rate. Knowing the antimicrobial resistance patterns will help guide the empirical therapy and strengthen interventional infection control measures in China.

  14. Draft genome sequence of Mycobacterium tuberculosis strain B9741 of Beijing B0/W lineage from HIV positive patient from Siberia

    Directory of Open Access Journals (Sweden)

    K.V. Shur

    2016-12-01

    Full Text Available We report a draft genome sequence of Mycobacterium tuberculosis strain B9741 belonging to Beijing B0/W lineage isolated from a HIV patient from Siberia, Russia. This clinical isolate showed MDR phenotype and resistance to isoniazid, rifampin, streptomycin and pyrazinamide. We analyzed SNPs associated with virulence and resistance. The draft genome sequence and annotation have been deposited at GenBank under the accession NZ_LVJJ00000000.

  15. Antibiotic Resistance Patterns in Invasive Group B Streptococcal Isolates

    Directory of Open Access Journals (Sweden)

    Mei L. Castor

    2008-01-01

    Full Text Available Antibiotics are used for both group B streptococcal (GBS prevention and treatment. Active population-based surveillance for invasive GBS disease was conducted in four states during 1996—2003. Of 3813 case-isolates, 91.0% (3471 were serotyped, 77.1% (2937 had susceptibility testing, and 46.6% (3471 had both. All were sensitive to penicillin, ampicillin, cefazolin, cefotaxime, and vancomycin. Clindamycin and erythromycin resistance was 12.7% and 25.6%, respectively, and associated with serotype V (P<.001. Clindamycin resistance increased from 10.5% to 15.0% (X2 for trend 12.70; P<.001; inducible clindamycin resistance was associated with the erm genotype. Erythromycin resistance increased from 15.8% to 32.8% (X2 for trend 55.46; P<.001. While GBS remains susceptible to beta-lactams, resistance to alternative agents such as erythromycin and clindamycin is an increasing concern.

  16. Memory resistive switching in CeO2-based film microstructures patterned by a focused ion beam

    DEFF Research Database (Denmark)

    Velichko, A.; Boriskov, P.; Grishin, A.

    2014-01-01

    ) with insulating properties and a semiconducting ormetallic lowresistance state (ON) with resistance ratios up to 104. The influence of micro-scaling and defects formed at the cell boundaries during etching on its electrical characteristics has been analyzed. The appearance of a switching channel at the moment......Heteroepitaxial CeO2 (80 nm)/La0.5Sr0.5CoO3 (500 nm) film structure has been pulsed laser deposited on a sapphire substrate. The Ag/CeO2 microjunctions patterned by a focused ion beam on a La0.5Sr0.5CoO3 film exhibit reproducible reversible switching between a high resistance state (OFF...... of the electrical forming, responsible for the memory effect, has been proved, along with a mechanism of a self-healing electrical breakdown. © 2014 Elsevier B.V. All rights reserved....

  17. Nosocomial infections and antibiotic resistance pattern in open-heart surgery patients at Imam Ali Hospital in Kermanshah, Iran.

    Science.gov (United States)

    Heydarpour, Fatemeh; Rahmani, Youssef; Heydarpour, Behzad; Asadmobini, Atefeh

    2017-01-01

    Background: Patients undergoing open heart surgery have a relatively high risk of acquiring nosocomial infections. The development of antibiotic-resistant infections is associated with prolonged hospital stays and mortalities. Objectives: The present study was conducted to investigate nosocomial infections and the antibiotic resistance pattern in bacteria causing these infections in open heart surgery patients at Imam Ali Hospital in Kermanshah in the west of Iran over a 4-year period from March 2011 to March 2014. Materials and methods: The present cross-sectional study was conducted on 135 cases of nosocomial infection among open heart surgery patients. The demographic characteristics and the risk factors of each case of infection were recorded. The antibiotic susceptibility test was carried out using the Minimum Inhibitory Concentration (MIC) method based on the Clinical and Laboratory Standards Institute (CLSI) protocol. The data collected were then analyzed in SPSS-16. Results: Out of the 6,000 patients who underwent open heart surgery during this 4-year period at the selected hospital, nosocomial infections developed in 135 patients (2.25%), 59.3% of whom were female and 40.7% male. Surgery site infection (SSI), pneumonia (PNEU), urinary tract infection (UTI) and blood stream infection (BSI) affected 52.6%, 37%, 9.6% and 0.8% of the cases, respectively. E.coli , Klebsiella spp. and S. aureus were the most common bacteria causing the nosocomial infections. E. coli was most frequently resistant to imipenem (23.3%) Klebsiella spp. to gentamicin (38.5%) S. aureus to co-trimoxazole (54.2%). Conclusion: SSI had a high prevalence in this study. Further studies should therefore be conducted to examine the risk factors associated with SSI in open heart surgery. Various studies have shown that antibiotic resistance patterns are different in different regions. Finding a definitive treatment therefore requires an antibiogram.

  18. Efficacy of Linezolid and Fosfomycin in Catheter-Related Biofilm Infection Caused by Methicillin-Resistant Staphylococcus aureus

    Directory of Open Access Journals (Sweden)

    Dong Chai

    2016-01-01

    Full Text Available As long-standing clinical problems, catheter-related infections and other chronic biofilm infections are more difficult to treat due to the high antibiotic resistance of biofilm. Therefore, new treatments are needed for more effective bacteria clearance. In this study, we evaluated the antibacterial activities of several common antibiotics alone and their combinations against biofilm-embedded methicillin-resistant staphylococcus aureus (MRSA infections, both in vitro and in vivo. In brief, fosfomycin, levofloxacin, and rifampin alone or in combination with linezolid were tested in vitro against planktonic and biofilm-embedded MRSA infection in three MRSA stains. The synergistic effects between linezolid and the other three antibiotics were assessed by fractional inhibitory concentration index (FICI and time-kill curves, where the combination of linezolid plus fosfomycin showed the best synergistic effect in all strains. For further evaluation in vivo, we applied the combination of linezolid and fosfomycin in a catheter-related biofilm rat model and found that viable bacteria counts in biofilm were significantly reduced after treatment (P<0.05. In summary, we have shown here that the combination of linezolid and fosfomycin treatment had improved therapeutic effects on biofilm-embedded MRSA infection both in vitro and in vivo, which provided important basis for new clinical therapy development.

  19. Conjunctival bacterial flora and antibiotic resistance pattern in patients undergoing cataract surgery

    Directory of Open Access Journals (Sweden)

    Arantes Tiago Eugênio Faria e

    2006-01-01

    Full Text Available PURPOSE: To evaluate the conjunctival bacterial flora and its antibiotic resistance pattern in eyes of patients undergoing cataract surgery. METHODS: From August to October 2004, 50 patients undergoing cataract surgery in the "Fundação Altino Ventura", Recife, Brazil, were prospectively evaluated. Conjunctival material was obtained on the day of surgery, before the application of topical anesthetic, antibiotic or povidone-iodine. The collected material was inoculated and bacterioscopic analysis was carried out. In the cases where there was bacterial growth, antibiotic susceptibility tests and cultures, for isolation and identification of the bacteria, were performed. RESULTS: Of the 50 eyes, 43 (86.0% had positive cultures. The coagulase-negative Staphylococcus (CNS, found in 27 (54.0% eyes, was the most frequent organism. More than 90% of the isolates of this bacterium were susceptible to cephalotin, vancomycin, chloramphenicol, ofloxacin and gatifloxacin; 70 to 90% were susceptible to gentamicin, cefotaxime, oxacillin and ciprofloxacin; and less than 70% were sensible to neomycin. Four (10.5% of the bacterial isolates were resistant to four or more antibiotics, two of them were CNS. CONCLUSION: The most frequent bacterium in the conjunctival flora is the coagulase-negative Staphylococcus. The isolates of this organism showed low susceptibility rate to neomycin, and high susceptibility rates to cephalotin, vancomycin, chloramphenicol, ofloxacin and gatifloxacin.

  20. Emerging antimicrobial resistance pattern of Helicobacter pylori in central Gujarat

    Directory of Open Access Journals (Sweden)

    H B Pandya

    2014-01-01

    Full Text Available Background: Antimicrobial resistance is a growing problem in H. pylori treatment. The study was intended to evaluate the prevalence of resistance amongst 80 H.pylori isolates cultured from biopsy taken during routine endoscopies in 2008-2011. Materials and Methods: 855 gastro duodenal biopsies were collected and cultured on H.pylori selective medium (containing Brucella agar and Columbia agar (Hi media, with Skirrow′s supplement (antibiotic supplement and 7% human blood cells. H.pylori was isolated from 80 specimens. The antimicrobial susceptibility of H.pylori isolates was carried out by the Kirby Bauer technique against metronidazole (5 µg, clarithromycin (15 µg, ciprofloxacin (5 µg, amoxicillin (10 µg, tetracycline (30 µg, erythromycin (15 µg, levofloxacin (5 µg, and furazolidone (50 µg (Sigma- Aldrich, MO. Results: 83.8% isolates were resistant to metronidazole, 58.8% were resistant to Clarithromycin 72.5% were resistant to Amoxicillin, 50% to Ciprofloxacin and 53.8% to tetracycline. furazolidone, erythromycin and Levofloxacin showed only 13.8% resistance to H.pylori. Multi drug resistance with metronidazole+ clarithromycin+ tetracycline was 85%. For all the drugs Antimicrobial resistance rate was found higher in males compare to females. Metronidazole and amoxicillin resistance was found noteworthy in patients with duodenal ulcer (p = 0.018, gastritis (P = 0.00, and in reflux esophagitis (P = 0.00. clarithromycin and tetracycline resistance was suggestively linked with duodenitis (P = 0.018, while furazolidone, erythromycin and levofloxacin showed excellent sensitivity in patients with duodenitis (P value- 0.018, gastritis (P= 0.00 and reflux esophagitis (P = 0.00. Resistance with metronidazole (P = 0.481, clarithromycin (P= 0.261, amoxicillin (P = 0.276, tetracycline (P = 0.356, ciprofloxacin (P = 0.164 was not correlated well with Age-group and Gender of the patients. Conclusion: A very high percentage of patients were infected

  1. Occurrence of Salmonella in ruminants and camel meat in Maiduguri, Nigeria and their antibiotic resistant pattern

    Directory of Open Access Journals (Sweden)

    Zakaria Musa

    2017-09-01

    Full Text Available Objective: This study was conducted to determine the occurrence of Salmonella in various meat products (beef from cattle, chevon from goats, mutton from sheep and jaziir from camel, by screening the various selling points which includes; meat retailers in abattoir, markets and shops in Maiduguri and its environs. Materials and methods: A total of 120 samples of fresh meat from cattle, sheep, goats and camels sampled from ten meat retailers in abattoir, markets and shops in the Maiduguri metropolis, using simple random sampling technique. All samples were processed and examined according to standard bacteriological protocols. Results: Percentage occurrence of Salmonella species had the highest value of 15 (50.1% from the market, found in sheep, while the lowest occurrence of Salmonella species was associated with 3(10.0% in goats sampled from shop meat.. Antibiotic susceptibility pattern of Salmonella species from cattle meat revealed high resistant to Erythromycin (52%. In sheep, the higher percentage of resistance occurred against Ampicillin (33.3% and less resistant to Amoxicillin (4% was obtained. The isolates from camel meat recorded 25% resistant against Ampicillin, Gentamycin and 12.5% to Streptomycin. A total of 28.4% of the isolates were resistant to Ampicillin, Gentamycin and 23.1% to Ofloxacin. Conclusion: The study has shown that Salmonella species are present in fresh meat sold in abattoir, retail markets and shops. We recommend strict hygienic measures in places where fresh meat are sold in Maiduguri metropolis, Nigeria to ensure consumers right to have safe food. [J Adv Vet Anim Res 2017; 4(3.000: 227-233

  2. Current Trends of Drug Resistance Patterns of Acinetobacter baumannii Infection in Blood Transfusion-dependent Thalassemia Patients.

    Science.gov (United States)

    Almani, Suhail Ahmed; Naseer, Ali; Maheshwari, Sanjay Kumar; Maroof, Pir; Naseer, Raza; Khoharo, Haji Khan

    2017-01-01

    The present study aimed to evaluate the current trends of drug resistance patterns of Acinetobacter baumannii infection in blood transfusion-dependent thalassemia patients. This study was a cross sectional study, conducted at the Liaquat University of Medical and Health Sciences, Jamshoro/Hyderabad, Sindh, Pakistan from October 2014 to January 2016. Of 921 blood samples, A. baumannii strains were isolated from 100 blood samples. Blood samples were processed for the isolation, identification, and drugs sensitivity as per the Clinical and Laboratory Standards Institute. A. baumannii strains were identified by microbiological methods and Gram's staining. API 20 E kit (Biomeriuex, USA) was also used for identification. Data were analyzed on Statisti × 8.1 (USA). Mean ± standard deviation age was 11.5 ± 2.8 years. Nearly 70% were male and 30% were female ( P = 0.0001). Of 921 blood transfusion-dependent thalassemia patients, 100 (10.8%) patients showed growth of A. baumannii . Drug resistance was observed against the ceftazidime, cefixime, cefepime, imipenem, meropenem, amikacin, minocycline, tigecycline, and tazocin except for the colistin. The present study reports drug-resistant A. baumannii in blood transfusion-dependent thalassemia patients. National multicenter studies are recommended to estimate the size of the problem.

  3. Community-associated urinary infections requiring hospitalization: risk factors, microbiological characteristics and patterns of antibiotic resistance.

    Science.gov (United States)

    Medina-Polo, J; Guerrero-Ramos, F; Pérez-Cadavid, S; Arrébola-Pajares, A; Sopeña-Sutil, R; Benítez-Sala, R; Jiménez-Alcaide, E; García-González, L; Alonso-Isa, M; Lara-Isla, A; Passas-Martínez, J B; Tejido-Sánchez, Á

    2015-03-01

    Although patients with urinary tract infections (UTIs) are usually managed as outpatients, a percentage of them requires hospitalization. To review risk factors and microbiological characteristics of community-associated UTIs (CAUTIs) requiring hospitalization has been our objective. A prospective observational study was carried out from November 2011 to December 2013. Incidence, microbiological characteristics and antibiotic resistance patterns in patients with CAUTIs that required hospitalization were analyzed. Risk factors (including diabetes mellitus, urolithiasis, urinary catheterization) and resistance rates of each pathogen were also analyzed. Four hundred and fifty seven patients were hospitalized in our department with CAUTI. The mean age was 56.2±19.85 years. Of them, 52.1% patients were women, 19.7% had urinary indwelling catheter and 11.4% have had a previous UTI. The most frequently isolated pathogens were Escherichia coli (60.6%), followed by Klebsiella (9.2%), Enterococcus (8.4%) and Pseudomonas (7.2%). Enterobacteriaceae other than E.coli were more prevalent in male and older patients. On the other side the most frequently isolated pathogen in patients with a previous UTI and a urinary catheter was Entercoccus. The resistance rates E. coli against ampicillin/amoxicillin + β lactamase inhibitor was 23.5%, against third-generation cephalosporins 16.6%, against fluoroquinolones 31.3% and 16.7% against aminoglycosides. 11.4% E. coli strains were producers of extended-spectrum Beta-lactamases (ESBL). Finally, the resistance rates of Enterococcus and Pseudomonas against quinolones were of 50.0% and 61.5%, respectively. CAUTIs that require hospitalization are most frequent in older age, male gender, and presence of urinary catheter, with urolithiasis and with previous episodes of UTI. These factors are also related to isolation of pathogens other than E. coli and higher resistance rates. Copyright © 2014 AEU. Publicado por Elsevier España, S.L.U. All

  4. Antibiotic susceptibility pattern of staphylococcus aureus and methicillin-resistant staphylococcus aureus in a tertiary care hospital

    Directory of Open Access Journals (Sweden)

    CP Bhatt

    2014-04-01

    Full Text Available Background: Methicillin resistant Staphylococcus aureushas emerged as one of the most important nosocomial pathogens. It invokes a tremendous financial burden and enhanced morbidity and mortality due to difficult to treat systemic infections.Aim of this study was to determine antibiotic susceptibility pattern of Staphylococcus aureus and Methicillin resistant Staphylococcus aureus. Materials and Methods: Different clinical specimens were collected and processed for routine culture and antibiotic sensitivity test by standard microbiology techniques. Results: Out of 1173 samples received for microbiological examination, 100 were found to be S. aureus with 19% cases were Methicillin resistant Staphylococcus aureus (MRSA. Fourteen MRSA were found from inpatient and 5 were from outpatient. MRSA was found higher in female than male and maximum number (31.5% was found in age group 0-10 years. Staphylococcus aureus was 100% sensitive to Vancomycin followed by Amikacin (90%, Gentamycin (83%, and tetracycline (81%. On urine isolates Nitrofurantoin(91.6% was drug of choice. All the isolates were resistant to Penicillin G. In case of Methicillin resistant Staphylococcus aureus showed 100% sensitive to Vancomycin followed by Amikacin (84.2%, Tetracycline (63.1%, Ciprofloxacin (42% and Gentamycin (36.8%. Among urine isolates Nitrofutantoin showed 87.5% sensitive followed by Norfloxacin (75%. Conclusion: Methicillin resistant Staphylococcus aureus was found 19% of Staphylococcus aureus isolates. It was most common in females, hospitalized patients and young age group. Vancomycin seems to be drug of choice followed by Amikacin. It would be helpful to formulating and monitoring the antibiotic policy and ensure proper empiric treatment. DOI: http://dx.doi.org/10.3126/jpn.v4i7.10297 Journal of Pathology of Nepal (2014 Vol. 4, 548-551   

  5. Multi drug resistance tuberculosis: pattern seen in last 13 years

    International Nuclear Information System (INIS)

    Iqbal, R.; Shabbir, I.; Munir, K.; Tabassum, M.N.; Khan, S.U.; Khan, M.Z.U.

    2011-01-01

    Background: Drug resistance in tuberculosis is a serious problem throughout the world especially, after the emergence of multi drug resistant TB strains. Objectives: To estimate drug resistance in TB patients and compare it with previous studies to see the changing trends. Materials and Methods: The PMRC Research Centre receives sputum samples from all the leading hospitals of Lahore. This retrospective analysis was done from 1996 to 2008 on the multi drug resistant TB strains that were seen during these years. Five first lines anti tuberculosis drugs were tested on Lowenstein Jensen medium using standard proportion method. Results: A total of 2661 confirmed isolates of Mycobacterium tuberculosis were seen over the past 13 years. Of the total, 2182 were pulmonary and 479 were extra pulmonary specimens. The patients comprised of those with and without history of previous treatment. These specimens were subjected to drug susceptibility testing. Almost half of the patient had some resistance; multiple drug resistance was seen in 12.3% and 23.0% cases without and with history of previous treatment respectively. Overall resistance to rifampicin was 26.4%, isoniazid 24.1% streptomycin 21.6% ethambutol 13.4% and pyrazinamide 28.4% respectively. Statistically significant difference was seen between primary and acquired resistance. When compared with the reports from previous studies from the same area, there was a trend of gradual increase of drug resistance. Conclusions Resistance to anti tuberculosis drugs is high. Policy message. TB Control Program should start 'DOTS Plus' schemes for which drug susceptibility testing facilities should be available for correctly managing the patients. (author)

  6. Multi drug resistance tuberculosis: pattern seen in last 13 years

    Energy Technology Data Exchange (ETDEWEB)

    Iqbal, R; Shabbir, I; Munir, K [King Edward Medical University Hospital, Lahore (Pakistan). Dept. of Research Centre; Tabassum, M N; Khan, S U; Khan, M Z.U. [King Edward Medical University Hospital, Lahore (Pakistan). Dept. of Chest Medicine

    2011-01-15

    Background: Drug resistance in tuberculosis is a serious problem throughout the world especially, after the emergence of multi drug resistant TB strains. Objectives: To estimate drug resistance in TB patients and compare it with previous studies to see the changing trends. Materials and Methods: The PMRC Research Centre receives sputum samples from all the leading hospitals of Lahore. This retrospective analysis was done from 1996 to 2008 on the multi drug resistant TB strains that were seen during these years. Five first lines anti tuberculosis drugs were tested on Lowenstein Jensen medium using standard proportion method. Results: A total of 2661 confirmed isolates of Mycobacterium tuberculosis were seen over the past 13 years. Of the total, 2182 were pulmonary and 479 were extra pulmonary specimens. The patients comprised of those with and without history of previous treatment. These specimens were subjected to drug susceptibility testing. Almost half of the patient had some resistance; multiple drug resistance was seen in 12.3% and 23.0% cases without and with history of previous treatment respectively. Overall resistance to rifampicin was 26.4%, isoniazid 24.1% streptomycin 21.6% ethambutol 13.4% and pyrazinamide 28.4% respectively. Statistically significant difference was seen between primary and acquired resistance. When compared with the reports from previous studies from the same area, there was a trend of gradual increase of drug resistance. Conclusions Resistance to anti tuberculosis drugs is high. Policy message. TB Control Program should start 'DOTS Plus' schemes for which drug susceptibility testing facilities should be available for correctly managing the patients. (author)

  7. Patterns of infections, aetiological agents and antimicrobial resistance at a tertiary care hospital in northern Tanzania.

    Science.gov (United States)

    Kumburu, Happiness Houka; Sonda, Tolbert; Mmbaga, Blandina Theophil; Alifrangis, Michael; Lund, Ole; Kibiki, Gibson; Aarestrup, Frank M

    2017-04-01

    To determine the causative agents of infections and their antimicrobial susceptibility at a tertiary care hospital in Moshi, Tanzania, to guide optimal treatment. A total of 590 specimens (stool (56), sputum (122), blood (126) and wound swabs (286)) were collected from 575 patients admitted in the medical and surgical departments. The bacterial species were determined by conventional methods, and disc diffusion was used to determine the antimicrobial susceptibility pattern of the bacterial isolates. A total of 249 (42.2%) specimens were culture-positive yielding a total of 377 isolates. A wide range of bacteria was isolated, the most predominant being Gram-negative bacteria: Proteus spp. (n = 48, 12.7%), Escherichia coli (n = 44, 11.7%), Pseudomonas spp. (n = 40, 10.6%) and Klebsiella spp (n = 38, 10.1%). Wound infections were characterised by multiple isolates (n = 293, 77.7%), with the most frequent being Proteus spp. (n = 44, 15%), Pseudomonas (n = 37, 12.6%), Staphylococcus (n = 29, 9.9%) and Klebsiella spp. (n = 28, 9.6%). All Staphylococcus aureus tested were resistant to penicillin (n = 22, 100%) and susceptible to vancomycin. Significant resistance to cephalosporins such as cefazolin (n = 62, 72.9%), ceftriaxone (n = 44, 51.8%) and ceftazidime (n = 40, 37.4%) was observed in Gram-negative bacteria, as well as resistance to cefoxitin (n = 6, 27.3%) in S. aureus. The study has revealed a wide range of causative agents, with an alarming rate of resistance to the commonly used antimicrobial agents. Furthermore, the bacterial spectrum differs from those often observed in high-income countries. This highlights the imperative of regular generation of data on aetiological agents and their antimicrobial susceptibility patterns especially in infectious disease endemic settings. The key steps would be to ensure the diagnostic capacity at a sufficient number of sites and implement structures to routinely exchange, compare, analyse and report data. Sentinel sites

  8. Antibiotic resistance

    Directory of Open Access Journals (Sweden)

    Marianne Frieri

    2017-07-01

    Full Text Available Summary: Antimicrobial resistance in bacterial pathogens is a challenge that is associated with high morbidity and mortality. Multidrug resistance patterns in Gram-positive and -negative bacteria are difficult to treat and may even be untreatable with conventional antibiotics. There is currently a shortage of effective therapies, lack of successful prevention measures, and only a few new antibiotics, which require development of novel treatment options and alternative antimicrobial therapies. Biofilms are involved in multidrug resistance and can present challenges for infection control. Virulence, Staphylococcus aureus, Clostridium difficile infection, vancomycin-resistant enterococci, and control in the Emergency Department are also discussed. Keywords: Antibiotic resistance, Biofilms, Infections, Public health, Emergency Department

  9. Ciprofloxacin-resistant Escherichia coli in Central Greece: mechanisms of resistance and molecular identification

    Directory of Open Access Journals (Sweden)

    Mavroidi Angeliki

    2012-12-01

    Full Text Available Abstract Background Fluoroquinolone resistant E. coli isolates, that are also resistant to other classes of antibiotics, is a significant challenge to antibiotic treatment and infection control policies. In Central Greece a significant increase of ciprofloxacin-resistant Escherichia coli has occurred during 2011, indicating the need for further analysis. Methods A total of 106 ciprofloxacin-resistant out of 505 E. coli isolates consecutively collected during an eight months period in a tertiary Greek hospital of Central Greece were studied. Antimicrobial susceptibility patterns and mechanisms of resistance to quinolones were assessed, whereas selected isolates were further characterized by multilocus sequence typing and β-lactamase content. Results Sequence analysis of the quinolone-resistance determining region of the gyrA and parC genes has revealed that 63% of the ciprofloxacin-resistant E. coli harbored a distinct amino acid substitution pattern (GyrA:S83L + D87N; ParC:S80I + E84V, while 34% and 3% carried the patterns GyrA:S83L + D87N; ParC:S80I and GyrA:S83L + D87N; ParC:S80I + E84G respectively. The aac (6’-1b-cr plasmid-mediated quinolone resistance determinant was also detected; none of the isolates was found to carry the qnrA, qnrB and qnrS. Genotyping of a subset of 35 selected ciprofloxacin-resistant E. coli by multilocus sequence typing has revealed the presence of nine sequence types; ST131 and ST410 were the most prevalent and were exclusively correlated with hospital and health care associated infections, while strains belonging to STs 393, 361 and 162 were associated with community acquired infections. The GyrA:S83L + D87N; ParC:S80I + E84V substitution pattern was found exclusively among ST131 ciprofloxacin-resistant E. coli. Extended-spectrum β-lactamase-positive ST131 ciprofloxacin-resistant isolates produced CTX-M-type enzymes; eight the CTX-M-15 and one the CTX-M-3 variant. CTX-M-1 like and KPC-2 enzymes were detected

  10. Clinical Characteristics of Pulmonary Tuberculosis Patients from a Southern Taiwan Hospital-based Survey

    Directory of Open Access Journals (Sweden)

    Chuan-Sheng Wang

    2008-01-01

    Full Text Available This study aimed to identify the clinical characteristics of culture-positive pulmonary tuberculosis (TB patients from a southern Taiwan hospital-based survey between August 1, 2003 and July 31, 2006. Demographics, symptoms, susceptibility patterns, sputum acid-fast bacilli (AFB stain status and treatment outcomes were recorded. The medical records of 154 patients who presented to the Kaohsiung Municipal Hsiao-Kang Hospital were analyzed retrospectively. The mean age of patients was 59.5 years; 115 patients were male and 39 were female. Diabetes mellitus (48/154; 31.2% was the most frequent risk factor for pulmonary TB infection. Nearly all patients (139/154; 90.3% had a cough. Fever was only seen in 27.9% and hemoptysis in 14.9% of patients. The combined resistance rates of Mycobacterium tuberculosis to the tested first-line agents were as follows: isoniazid, 3.2%; rifampin, 7.8%; ethambutol, 5.8%; and streptomycin, 2.6%. The combined resistance rate to any one of four first-line drugs was 12.3%. The combined resistance rate to ofloxacin was 3.9%. The combined resistance rate of multidrug resistant-TB was 1.9%. Sputum AFB stains were positive in 68.2% of cases. Analysis of treatment outcomes showed overall treatment success at 76.6%. The proportions of patients who died, defaulted treatment or in whom treatment failed were 16.2%, 3.9% and 0.0%, respectively. In conclusion, our study showed: (1 a higher frequency of pulmonary TB in male subjects than in other areas of Taiwan; (2 a higher frequency of cough and lower frequency of fever and hemoptysis than previous studies; (3 that the combined resistance rates to isoniazid and streptomycin were lower than both average levels in Taiwan and the global combined drug resistance rate; and (4 a higher proportion of patients responding to treatment and lower proportions of patients suffering mortality, defaulting treatment or not responding to treatment compared with other areas of Taiwan. With regard

  11. Lipoprotein subclass patterns in women with polycystic ovary syndrome (PCOS) compared with equally insulin-resistant women without PCOS.

    LENUS (Irish Health Repository)

    Phelan, N

    2012-02-01

    OBJECTIVES: Women with polycystic ovary syndrome (PCOS) are more insulin resistant and display an atherogenic lipid profile compared with normal women of similar body mass index (BMI). Insulin resistance (IR) at least partially underlies the dyslipidemia of PCOS, but it is unclear whether PCOS status per se confers additional risk. RESEARCH DESIGN AND METHODS: Using a case-control design, we compared plasma lipids and lipoprotein subclasses (using polyacrylamide gel tube electrophoresis) in 70 women with PCOS (National Institutes of Health criteria) and 70 normal women pair matched for age, BMI, and IR (homeostasis model assessment-IR, quantitative insulin sensitivity check index, and the Avignon Index). Subjects were identified as having a (less atherogenic) type A pattern consisting predominantly of large low-density lipoprotein (LDL) subfractions or a (more atherogenic) non-A pattern consisting predominantly of small-dense LDL subfractions. RESULTS: Total, high-density lipoprotein, or LDL cholesterol, or triacylglycerol did not differ between the groups, but very low-density lipoprotein levels (P<0.05) were greater in women with PCOS, whereas a non-A LDL profile was seen in 12.9% compared with 2.9% of controls (P<0.05, chi2). Multiple regression analysis revealed homeostasis model assessment-IR and waist circumference to be independent predictors of very low-density lipoprotein together explaining 40.2% of the overall variance. Logistic regression revealed PCOS status to be the only independent determinant of a non-A LDL pattern (odds ratio 5.48 (95% confidence interval 1.082-27.77; P<0.05). CONCLUSIONS: Compared with women matched for BMI and IR, women with PCOS have potentially important differences in lipid profile with greater very low-density lipoprotein levels and increased rates of a more atherogenic non-A LDL pattern.

  12. Prevalence of Multiple Antibiotics Resistant (MAR) Pseudomonas Species in the Final Effluents of Three Municipal Wastewater Treatment Facilities in South Africa

    Science.gov (United States)

    Odjadjare, Emmanuel E.; Igbinosa, Etinosa O.; Mordi, Raphael; Igere, Bright; Igeleke, Clara L.; Okoh, Anthony I.

    2012-01-01

    The final effluents of three (Alice, Dimbaza, and East London) wastewater treatment plants (WWTPs) were evaluated to determine their physicochemical quality and prevalence of multiple antibiotics resistant (MAR) Pseudomonas species, between August 2007 and July 2008. The annual mean total Pseudomonas count (TPC) was 1.20 × 104 (cfu/100 mL), 1.08 × 104 (cfu/100 mL), and 2.66 × 104 (cfu/100 mL), for the Alice, Dimbaza, and East London WWTPs respectively. The effluents were generally compliant with recommended limits for pH, temperature, TDS, DO, nitrite and nitrate; but fell short of target standards for turbidity, COD, and phosphate. The tested isolates were highly sensitive to gentamicin (100%), ofloxacin (100%), clindamycin (90%), erythromycin (90%) and nitrofurantoin (80%); whereas high resistance was observed against the penicillins (90–100%), rifampin (90%), sulphamethoxazole (90%) and the cephems (70%). MAR index ranged between 0.26 and 0.58. The study demonstrated that MAR Pseudomonas species were quite prevalent in the final effluents of WWTPs in South Africa; and this can lead to serious health risk for communities that depend on the effluent-receiving waters for sundry purposes. PMID:22829792

  13. Whole genome sequencing reveals complex evolution patterns of multidrug-resistant Mycobacterium tuberculosis Beijing strains in patients.

    Directory of Open Access Journals (Sweden)

    Matthias Merker

    Full Text Available Multidrug-resistant (MDR Mycobacterium tuberculosis complex (MTBC strains represent a major threat for tuberculosis (TB control. Treatment of MDR-TB patients is long and less effective, resulting in a significant number of treatment failures. The development of further resistances leads to extensively drug-resistant (XDR variants. However, data on the individual reasons for treatment failure, e.g. an induced mutational burst, and on the evolution of bacteria in the patient are only sparsely available. To address this question, we investigated the intra-patient evolution of serial MTBC isolates obtained from three MDR-TB patients undergoing longitudinal treatment, finally leading to XDR-TB. Sequential isolates displayed identical IS6110 fingerprint patterns, suggesting the absence of exogenous re-infection. We utilized whole genome sequencing (WGS to screen for variations in three isolates from Patient A and four isolates from Patient B and C, respectively. Acquired polymorphisms were subsequently validated in up to 15 serial isolates by Sanger sequencing. We determined eight (Patient A and nine (Patient B polymorphisms, which occurred in a stepwise manner during the course of the therapy and were linked to resistance or a potential compensatory mechanism. For both patients, our analysis revealed the long-term co-existence of clonal subpopulations that displayed different drug resistance allele combinations. Out of these, the most resistant clone was fixed in the population. In contrast, baseline and follow-up isolates of Patient C were distinguished each by eleven unique polymorphisms, indicating an exogenous re-infection with an XDR strain not detected by IS6110 RFLP typing. Our study demonstrates that intra-patient microevolution of MDR-MTBC strains under longitudinal treatment is more complex than previously anticipated. However, a mutator phenotype was not detected. The presence of different subpopulations might confound phenotypic and

  14. Prevalence and patterns of antimicrobial resistance of fecal Escherichia coil among pigs on 47 farrow-to-finish farms with different in-feed medication policies in Ontario and British Columbia.

    Science.gov (United States)

    Akwar, Holy T; Poppe, Cornelis; Wilson, Jeff; Reid-Smith, Richard J; Dyck, Monica; Waddington, Josh; Shang, Dayue; McEwen, Scott A

    2008-01-01

    The main objectives of this study were to determine the prevalence and patterns of antimicrobial resistance in pigs on farms that medicated swine ration and those that did not. A total of 940 isolates of Escherichia coli from 188 pooled fecal samples obtained from weaner and finisher pigs on 47 farrow-to-finish swine farms (34 farms used in-feed medication and 13 did not) were tested for susceptibility to 21 antimicrobials using a breakpoint concentration method. The prevalence of resistance varied widely (0.0% to 81.3%) among the antimicrobials tested. Ninety percent of all the isolates tested were resistant to one or more antimicrobials. The most common multi-drug resistance patterns were to 2 to 6 antimicrobials. Resistance was significantly more frequent (P pigs compared to finisher pigs. These findings indicate that resistance to a broad range of antimicrobials was prevalent among fecal E. coli isolates of pigs on study farms, and that this constitutes a potential reservoir for resistance genes that could spread to pathogens. The findings also provide further evidence that use of medication in swine rations provides selective pressure for antimicrobial resistance in E. coli in pigs.

  15. Organisms cultured and resistance patterns seen in a secondary ...

    African Journals Online (AJOL)

    21% for piperacillin-tazobactam, 2% for ertapenem and. 19% for meropenem). When looking at individual species, it was noted that K. pneumoniae had high resistance to ampicillin (97%), moderate resistance to co-amoxiclav and ciprofloxacin ...

  16. Bacterial profile and their antimicrobial resistance pattern in an intensive care unit of a tertiary care hospital in Dhaka

    Directory of Open Access Journals (Sweden)

    Lovely Barai

    2010-07-01

    Full Text Available Critically ill patients admitted in intensive care units (ICU are always at a higher risk of developing infections with various antibiotic resistant organisms. The objective of this study was to know the antibiotic resistance pattern of the common isolates from blood, urine, respiratory secretions and pus/wound swab of patients admitted in ICU at BIRDEM (Bangladesh Institute of Research and Rehabilitation in Diabetes, Endocrine and Metabolic Disorder hospital, during a one year period from March 2006 to February 2007. A total of 1660 samples were analyzed. Growth was obtained in 34% of the samples yielding 632 organisms. The major organism isolated were Pseudomonas sp. (29.1%, Acinetobacter sp. (27.5%, Candida sp. (12.8%, Escherichia coli (10.3% and Klebsiella sp. (9.7%. Staphylococcus aureus, Enterobacter sp, Citrobacter sp, Enterococcus sp, Providencia sp and Serratia sp accounted for 10.6% of the isolates. All the isolates were highly resistant (>80% to cephalosporins and fluoroquinolones. The frequency of third generation cephalosporin resistant E. coli, Klebsiella and imipenem resistant Pseudomonas and Acinetobacter were >50%. Acinetobacter was remarkably resistant to most antibiotics including imipenem (>70% resistant, but most of the members of the Enterobacteriacae group showed maximum sensitivity to imipenem (50%-94%. The findings of this study might help clinicians to formulate their first line empirical antibiotic treatment regimens for the patients admitted in ICUs. Ibrahim Med. Coll. J. 2010; 4(2: 66-69

  17. the current susceptibility pattern of methicillin resistant ...

    African Journals Online (AJOL)

    DJFLEX

    RESISTANT STAPHYLOCOCCUS AUREUS TO ANTIBIOTICS IN. PORT HARCOURT ... pneumonia, urinary tract infections, wound sepsis, septic arthritis, osteomyelitis and .... in a neonatal and pediatric intensive care unit. Peditric-Med. Clin.

  18. Assessing the nosocomial infections' rate and the antibiotic resistance pattern among the patient hospitalized in beheshti hospital during 2013

    Directory of Open Access Journals (Sweden)

    Manijeh Kadkhodaei

    2018-01-01

    Full Text Available Aims: Nosocomial infection is associated with increased mortality, morbidity, and length of stay. Detection of infection, identify the etiology of bacterial antibiotic resistance pattern, is necessary given the widespread use of antibiotics and antibiotic-resistant organisms. Materials and Methods: This cross-sectional study was done on 288 patients admitted to the Beheshti Hospitals in Kashan based on NNIS definitions according to the state of Health and Medical education. In this study infections and antibiotic resistance symptoms were found. Data analyses were performed with Chi-square test. Results: Among the 288 patients studied, with mean out of hospital infection was 0.80%. Most cases of infection associated were pneumonia. The highest rates of infection were in the Intensive Care Unit (ICU with 51.7%. Nosocomial infection in ICU wards was associated with increased mortality and morbidity. The most common types were ventilator-associated pneumonia. Among the microorganisms, negative Gram was seen more. The common pathogens were including Acinetobacter, Escherichia coli, and Klebsiella. Antimicrobial resistance was generally increasing and had emerged from selective pressure from antibiotic use and transmission through health staff. Conclusion: This study showed a correlation between antibiotic use and resistance of microorganisms is significant. Hence, it seems that reducing aggressive acts and conduct hygiene education and monitoring act of antibiotics is necessary to prevent antibiotic resistance.

  19. Antituberculosis drug resistance patterns in adults with tuberculous meningitis

    DEFF Research Database (Denmark)

    Senbayrak, Seniha; Ozkutuk, Nuri; Erdem, Hakan

    2015-01-01

    BACKGROUND: Tuberculous meningitis (TBM) caused by Mycobacterium tuberculosis resistant to antituberculosis drugs is an increasingly common clinical problem. This study aimed to evaluate drug resistance profiles of TBM isolates in adult patients in nine European countries involving 32 centers...

  20. Identification of Group G Streptococcal Isolates from Companion Animals in Japan and Their Antimicrobial Resistance Patterns.

    Science.gov (United States)

    Tsuyuki, Yuzo; Kurita, Goro; Murata, Yoshiteru; Goto, Mieko; Takahashi, Takashi

    2017-07-24

    In this study, we conducted a species-level identification of group G streptococcal (GGS) isolates from companion animals in Japan and analyzed antimicrobial resistance (AMR) patterns. Strains were isolated from sterile and non-sterile specimens collected from 72 animals with clinical signs or symptoms in April-May, 2015. We identified the strain by 16S rRNA sequencing, mass spectrometry (MS), and an automated method based on their biochemical properties. Antimicrobial susceptibility was determined using the broth microdilution method and E-test. AMR determinants (erm(A), erm(B), mef(A), tet(M), tet(O), tet(K), tet(L), and tet(S)) in corresponding resistant isolates were amplified by PCR. The 16S rRNA sequencing identified the GGS species as Streptococcus canis (n = 68), Streptococcus dysgalactiae subsp. equisimilis (n = 3), and S. dysgalactiae subsp. dysgalactiae (n = 1). However, there were discrepancies between the sequencing data and both the MS and automated identification data. MS and the automated biochemical technique identified 18 and 37 of the 68 sequencing-identified S. canis strains, respectively. The AMR rates were 20.8% for tetracycline and 5.6% for clarithromycin, with minimum inhibitory concentrations (MIC) 50 -MIC 90 of 2-64 and ≤ 0.12-0.25μg/mL, respectively. AMR genotyping showed single or combined genotypes: erm(B) or tet(M)-tet(O)-tet(S). Our findings show the unique characteristics of GGS isolates from companion animals in Japan in terms of species-level identification and AMR patterns.

  1. Survival of civilian and prisoner drug-sensitive, multi- and extensive drug- resistant tuberculosis cohorts prospectively followed in Russia.

    Directory of Open Access Journals (Sweden)

    Yanina Balabanova

    Full Text Available OBJECTIVE AND METHODS: A long-term observational study was conducted in Samara, Russia to assess the survival and risk factors for death of a cohort of non-multidrug resistant tuberculosis (non-MDRTB and multidrug resistant tuberculosis (MDRTB civilian and prison patients and a civilian extensive drug-resistant tuberculosis (XDRTB cohort. RESULTS: MDRTB and XDRTB rates of 54.8% and 11.1% were identified in the region. Half (50% of MDRTB patients and the majority of non-MDRTB patients (71% were still alive at 5 years. Over half (58% of the patients died within two years of establishing a diagnosis of XDRTB. In the multivariate analysis, retreatment (HR = 1.61, 95%CI 1.04, 2.49 and MDRTB (HR = 1.67, 95%CI 1.17, 2.39 were significantly associated with death within the non-MDR/MDRTB cohort. The effect of age on survival was relatively small (HR = 1.01, 95%CI 1.00, 1.02. No specific factor affected survival of XDRTB patients although median survival time for HIV-infected versus HIV-negative patients from this group was shorter (185 versus 496 days. The majority of MDRTB and XDRTB strains (84% and 92% respectively strains belonged to the Beijing family. Mutations in the rpoB (codon 531 in 81/92; 88.8%, katG (mutation S315T in 91/92, 98.9% and inhA genes accounted for most rifampin and isoniazid resistance respectively, mutations in the QRDR region of gyrA for most fluroquinolone resistance (68/92; 73.5%. CONCLUSIONS: Alarmingly high rates of XDRTB exist. Previous TB treatment cycles and MDR were significant risk factors for mortality. XDRTB patients' survival is short especially for HIV-infected patients. Beijing family strains comprise the majority of drug-resistant strains.

  2. Soft lithography of ceramic patterns

    NARCIS (Netherlands)

    Göbel, Ole; Nedelcu, M.; Steiner, U.

    2007-01-01

    Polymer-based precursor solutions are patterned using a soft-lithographic patterning technique to yield sub-micrometer-sized ceramic patterns. By using a polymer-metal-nitrate solution as a lithographic resist, we demonstrate a micromolding procedure using a simple rubber stamp that yields a

  3. Characterization of Antimicrobial Resistance Patterns and Detection of Virulence Genes in Campylobacter Isolates in Italy

    Science.gov (United States)

    Di Giannatale, Elisabetta; Di Serafino, Gabriella; Zilli, Katiuscia; Alessiani, Alessandra; Sacchini, Lorena; Garofolo, Giuliano; Aprea, Giuseppe; Marotta, Francesca

    2014-01-01

    Campylobacter has developed resistance to several antimicrobial agents over the years, including macrolides, quinolones and fluoroquinolones, becoming a significant public health hazard. A total of 145 strains derived from raw milk, chicken faeces, chicken carcasses, cattle faeces and human faeces collected from various Italian regions, were screened for antimicrobial susceptibility, molecular characterization (SmaI pulsed-field gel electrophoresis) and detection of virulence genes (sequencing and DNA microarray analysis). The prevalence of C. jejuni and C. coli was 62.75% and 37.24% respectively. Antimicrobial susceptibility revealed a high level of resistance for ciprofloxacin (62.76%), tetracycline (55.86%) and nalidixic acid (55.17%). Genotyping of Campylobacter isolates using PFGE revealed a total of 86 unique SmaI patterns. Virulence gene profiles were determined using a new microbial diagnostic microarray composed of 70-mer oligonucleotide probes targeting genes implicated in Campylobacter pathogenicity. Correspondence between PFGE and microarray clusters was observed. Comparisons of PFGE and virulence profiles reflected the high genetic diversity of the strains examined, leading us to speculate different degrees of pathogenicity inside Campylobacter populations. PMID:24556669

  4. Trends of anti-tuberculosis drug resistance pattern in new cases and previously treated cases of extrapulmonary tuberculosis cases in referral hospitals in northern India

    Directory of Open Access Journals (Sweden)

    A K Maurya

    2012-01-01

    Full Text Available Background: Drug-resistant tuberculosis is one of major current challenges to global public health. The transmission of resistant strains is increasing as a burden of multidrug-resistant tuberculosis (MDR-TB patients in extra pulmonary tuberculosis (EPTB cases in India. Aim and Objectives: The aim was to study trends of anti-tuberculosis drug resistance pattern in new cases and previously treated cases of EPTB in referral hospitals in northern India. Study Design and Setting: A prospectively observational study and referral medical institutions in northern India. Materials and Methods: All EPTB specimens were processed for Ziehl Neelsen staining, BACTEC culture and BACTEC NAP test for Mycobacterium tuberculosis complex. All M. tuberculosis complex isolates were performed for radiometric-based drug susceptibility pattern against streptomycin, isoniazid, rifampicin and ethambutol using the 1% proportion method. Results: We found that 165/756 (20.5% isolates were identified as M. tuberculosis complex by the NAP test. We observed that 39.9% were resistant to first-line antitubercular drugs. The resistance rate was higher in previously treated patients: H (30.3%, R (16.3%, E (15.7% and S (16.3%. MDR-TB was observed in 13.4%, but, in new cases, this was 11.4% and 19.1% of the previously treated patients (P<0.05. Conclusion: MDR-TB is gradually increased in EPTB cases and predominant resistance to previous treated cases of EPTB. The molecular drug sensitivity test (DST method can be an early decision for chemotherapy in MDR-TB patients. The International Standards of TB Care need to be used by the RNTCP and professional medical associations as a tool to improve TB care in the country.

  5. Community-based short-course treatment of pulmonary tuberculosis in a developing nation. Initial report of an eight-month, largely intermittent regimen in a population with a high prevalence of drug resistance.

    Science.gov (United States)

    Manalo, F; Tan, F; Sbarbaro, J A; Iseman, M D

    1990-12-01

    A community-based tuberculosis case-finding and short-course chemotherapy program was conducted in a suburb of Manila and featured 1 month of daily isoniazid (INH), rifampin (RIF), ethambutol (EMB), and pyrazinamide (PZA) followed by 7 months of twice-weekly, high dose, directly observed INH + EMB + PZA. Church-affiliated lay workers obtained 1,990 sputum specimens from subjects who complained of chronic cough or wasting symptoms; 207 of the specimens were positive on Ziehl-Neelsen smears. On culture, 176 yielded a significant growth of M. tuberculosis. Of these 176 patients, 144 were selected to enter the study; 10 were lost because of withdrawal or death and four (2.7%) because of drug toxicity. This left 130 patients who were followed long-term. Remarkably, 80% (104) were initially shedding drug-resistant organisms; 26% (34) were resistant to one drug, 30% (40) were resistant to two drugs, and 24% (30) were resistant to three or more drugs. Responses to therapy corresponded closely to the extent of drug resistance: 80% (48 of 60) of patients with drug-susceptible or single resistance had a favorable outcome; 43% (28 of 65) were resistant to two or three drugs, and 0% (0 of 5) of those were resistant to four or more drugs. Notable findings of this study were the success of a community-based program in conducting prolonged, directly observed treatment, the unexpectedly high prevalence of multiple-drug-resistant organisms in this population, and the inadequacy of INH + PZA + EMB during the continuation phase of therapy in this setting.

  6. Determination of antimicrobial resistance of Enterococcus strains isolated from pigs and their genotypic characterization by method of amplification of DNA fragments surrounding rare restriction sites (ADSRRS fingerprinting).

    Science.gov (United States)

    Nowakiewicz, Aneta; Ziółkowska, Grażyna; Trościańczyk, Aleksandra; Zięba, Przemysław; Gnat, Sebastian

    2017-03-01

    In this study, we analysed phenotypic resistance profiles and their reflection in the genomic profiles of Enterococcus spp. strains isolated from pigs raised on different farms. Samples were collected from five pig farms (n=90 animals) and tested for Enterococcus. MICs of 12 antimicrobials were determined using the broth microdilution method, and epidemiological molecular analysis of strains belonging to selected species (faecalis, faecium and hirae) was performed using the ADSRRS-fingerprinting (amplification of DNA fragments surrounding rare restriction sites) method with a few modifications. The highest percentage of strains was resistant to tetracycline (73.4 %), erythromycin and tylosin (42.5 %) and rifampin (25.2 %), and a large number of strains exhibited high-level resistance to both kanamycin (25.2 %) and streptomycin (27.6 %). The strains of E. faecalis, E. faecium and E. hirae (n=184) revealed varied phenotypic resistance profiles, among which as many as seven met the criteria for multidrug resistance (30.4 % of strains tested). ADSRRS-fingerprinting analysis produced 17 genotypic profiles of individual strains which were correlated with their phenotypic resistance profiles. Only E. hirae strains susceptible to all of the chemotherapeutics tested had two different ADSRRS profiles. Moreover, eight animals were carriers of more than one genotype belonging to the same Enterococcus spp., mainly E. faecalis. Given the possibility of transmission to humans of the high-resistance/multidrug resistance enterococci and the significant role of pigs as food animals in this process, it is necessary to introduce a multilevel control strategy by carrying out research on the resistance and molecular characteristics of indicator bacterial strains isolated from animals on individual farms.

  7. Inheritance Patterns, Dominance and Cross-Resistance of Cry1Ab- and Cry1Ac-Selected Ostrinia furnacalis (Guenée

    Directory of Open Access Journals (Sweden)

    Tiantao Zhang

    2014-09-01

    Full Text Available Two colonies of Asian corn borer, Ostrinia furnacalis (Guenée, artificially selected from a Bt-susceptible colony (ACB-BtS for resistance to Cry1Ab (ACB-AbR and Cry1Ac (ACB-AcR toxins, were used to analyze inheritance patterns of resistance to Cry1 toxins. ACB-AbR and ACB-AcR evolved significant levels of resistance, with resistance ratios (RR of 39-fold and 78.8-fold to Cry1Ab and Cry1Ac, respectively. The susceptibility of ACB-AbR larvae to Cry1Ac and Cry1F toxins, which had not previously been exposed, were significantly reduced, being >113-fold and 48-fold, respectively. Similarly, susceptibility of ACB-AcR larvae to Cry1Ab and Cry1F were also significantly reduced (RR > nine-fold, RR > 18-fold, respectively, indicating cross-resistance among Cry1Ab, Cry1Ac, and Cry1F toxins. However, ACB-AbR and ACB-AcR larvae were equally susceptible to Cry1Ie as were ACB-BtS larvae, indicating no cross-resistance between Cry1Ie and Cry1Ab or Cry1Ac toxins; this may provide considerable benefits in preventing or delaying the evolution of resistance in ACB to Cry1Ab and Cry1Ac toxins. Backcrossing studies indicated that resistance to Cry1Ab toxin was polygenic in ACB-AbR, but monogenic in ACB-AcR, whilst resistance to Cry1Ac toxin was primarily monogenic in both ACB-AbR and ACB-AcR, but polygenic as resistance increased.

  8. Drug resistance detection and mutation patterns of multidrug resistant tuberculosis strains from children in Delhi

    Directory of Open Access Journals (Sweden)

    Jyoti Arora

    2017-06-01

    Full Text Available A total of 312 sputum samples from pediatric patients presumptive of multidrug resistant tuberculosis were tested for the detection of drug resistance using the GenoTypeMTBDRplus assay. A total of 193 (61.8% patients were smear positive and 119 (38.1% were smear negative by Ziehl–Neelsen staining. Line probe assay (LPA was performed for 208 samples/cultures (193 smear positive samples and 15 cultures from smear negative samples. Valid results were obtained from 198 tests. Of these, 125/198 (63.1% were sensitive to both rifampicin (RIF and isoniazid (INH. 73/198 (36.9% were resistant to at least INH/RIF, out of which 49 (24.7% were resistant to both INH and RIF (multidrug resistant. Children with tuberculosis are often infected by someone close to them, so strengthening of contact tracing in the program may help in early diagnosis to identify additional cases within the household. There is a need to evaluate newer diagnostic assays which have a high sensitivity in the case of smear negative samples, additional samples other than sputum among young children not able to expectorate, and also to fill the gap between estimated and reported cases under the program.

  9. Study on contamination of sheep meat in Shahrekord area with Listeria ivanovii and determination its antibiotic resistance pattern

    Directory of Open Access Journals (Sweden)

    Farid Khalili Borujeni

    2013-06-01

    Full Text Available Background and objectives: Listeria monocytogenes and Listeria ivanovii are two pathogenic species of Listeria. The role of Listeria ivanovii is important in abortion, stillbirth, septicemia in animals and this bacterium sometimes is pathogenic in humans. Contamination of ovine carcasses during the slaughter and processing can cause foodborne infections in humans. In this study we examined the contamination of sheep meat in slaughter house of Shahrekord city to Listeria ivanovii and determined its antibiotic resistance pattern.Material and Methods: A total 200 samples of sheep meat were collected from abattoir and processed by use of two enrichment method. After doing specific biochemical tests and PCR, Listeria spp was identified and antibiotic resistance of isolated Listeria were tested by the agar disc diffusion method. Results: The contamination of sheep carcasses with listeria was 2.5% (5 out of 200 samples. All five isolates (2.5% were recognized as Listeria ivanovii and were resistant to four antibiotics, sensitive to six antibiotics and intermediate to other antibiotics.  Conclusion: According to the contamination rate in sheep carcasses with Listeria ivanovii and the relatively high antibiotic resistance specified in this bacteria, the role of red meat in transmission of Listeria spp. and appropriate use of antibiotics against this bacteria should be considered.

  10. Directory of Open Access Journals (Sweden)

    Fernanda Sampaio Cavalcante

    2013-01-01

    Full Text Available INTRODUCTION: Methicillin-resistant Staphylococcus aureus (MRSA can be difficult to detect at the clinical practice. METHODS: We analyzed 140 MRSA isolates from inpatients to correlate the antimicrobial susceptibility with the SCCmec types. RESULTS: Type III (n = 63 isolates were more resistant to ciprofloxacin, clindamycin, cloramphenicol, erythromycin, gentamicin, and rifampin than type IV (n = 65 ones (p CONCLUSIONS: In regions where these SCCmec types are prevalent, the detection of specific resistant phenotypes could help to predict them, mainly when there are no technical conditions to SCCmec typing.

  11. Characterization of Phenacoccus solenopsis (Tinsley) (Homoptera: Pseudococcidae) Resistance to Emamectin Benzoate: Cross-Resistance Patterns and Fitness Cost Analysis.

    Science.gov (United States)

    Afzal, M B S; Shad, S A

    2016-06-01

    Cotton mealybug Phenacoccus solenopsis (Tinsley) (Homoptera: Pseudococcidae) is a sucking pest of worldwide importance causing huge losses by feeding upon cotton in various parts of the world. Because of the importance of this pest, this research was carried out to select emamectin resistance in P. solenopsis in the laboratory to study cross-resistance, stability, realized heritability, and fitness cost of emamectin resistance. After selection from third generation (G3) to G6, P. solenopsis developed very high emamectin resistance (159.24-fold) when compared to a susceptible unselected population (Unsel pop). Population selected to emamectin benzoate conferred moderate (45.81-fold), low (14.06-fold), and no cross-resistance with abamectin, cypermethrin, and profenofos, respectively compared to the Unsel pop. A significant decline in emamectin resistance was observed in the resistant population when not exposed to emamectin from G7 to G13. The estimated realized heritability (h (2)) for emamectin resistance was 0.84. A high fitness cost was associated with emamectin resistance in P. solenopsis. Results of this study may be helpful in devising insecticide resistance management strategies for P. solenopsis.

  12. Spatial patterns of antimicrobial resistance genes in a cross-sectional sample of pig farms with indoor non-organic production of finishers

    DEFF Research Database (Denmark)

    Birkegård, Anna Camilla; Ersbøll, Annette Kjær; Hisham Beshara Halasa, Tariq

    2017-01-01

    Antimicrobial resistance (AMR) in pig populations is a public health concern. There is a lack of information of spatial distributions of AMR genes in pig populations at large scales. The objective of the study was to describe the spatial pattern of AMR genes in faecal samples from pig farms...... spatial clusters were identified for ermB, ermF, sulII and tet(W). The broad spatial trends in AMR resistance evident in the risk maps were in agreement with the results of the cluster analysis. However, they also showed that there were only small scale spatial differences in the gene levels. We conclude...

  13. Three dysconnectivity patterns in treatment-resistant schizophrenia patients and their unaffected siblings.

    Science.gov (United States)

    Wang, Jicai; Cao, Hongbao; Liao, Yanhui; Liu, Weiqing; Tan, Liwen; Tang, Yanqing; Chen, Jindong; Xu, Xiufeng; Li, Haijun; Luo, Chunrong; Liu, Chunyu; Ries Merikangas, Kathleen; Calhoun, Vince; Tang, Jinsong; Shugart, Yin Yao; Chen, Xiaogang

    2015-01-01

    Among individuals diagnosed with schizophrenia, approximately 20%-33% are recognized as treatment-resistant schizophrenia (TRS) patients. These TRS patients suffer more severely from the disease but struggle to benefit from existing antipsychotic treatments. A few recent studies suggested that schizophrenia may be caused by impaired synaptic plasticity that manifests as functional dysconnectivity in the brain, however, few of those studies focused on the functional connectivity changes in the brains of TRS groups. In this study, we compared the whole brain connectivity variations in TRS patients, their unaffected siblings, and healthy controls. Connectivity network features between and within the 116 automated anatomical labeling (AAL) brain regions were calculated and compared using maps created with three contrasts: patient vs. control, patient vs. sibling, and sibling vs. To evaluate the predictive power of the selected features, we performed a multivariate classification approach. We also evaluated the influence of six important clinical measures (e.g. age, education level) on the connectivity features. This study identified abnormal significant connectivity changes of three patterns in TRS patients and their unaffected siblings: 1) 69 patient-specific connectivity (PCN); 2) 102 shared connectivity (SCN); and 3) 457 unshared connectivity (UCN). While the first two patterns were widely reported by previous non-TRS specific studies, we were among the first to report widespread significant connectivity differences between TRS patient groups and their healthy sibling groups. Observations of this study may provide new insights for the understanding of the neurophysiological mechanisms of TRS.

  14. High-resolution electrical resistivity tomography applied to patterned ground, Wedel Jarlsberg Land, south-west Spitsbergen

    Directory of Open Access Journals (Sweden)

    Marek Kasprzak

    2015-06-01

    Full Text Available This article presents results of two-dimensional electrical resistivity tomography (ERT applied to three types of patterned ground in Wedel-Jarlsberg Land (Svalbard, carried out in late July 2012. The structures investigated include sorted circles, non-sorted polygons and a net with sorted coarser material. ERT was used to recognize the internal ground structure, the shape of permafrost table below the active layer and the geometric relationships between permafrost, ground layering and surface patterns. Results of inversion modelling indicate that the permafrost table occurs at a depth of 0.5–1 m in a mountain valley and 1–2.5 m on raised marine terraces. The permafrost table was nearly planar beneath non-sorted deposits and wavy beneath sorted materials. The mutual relationships between the permafrost table and the shape of a stone circle are different from those typically presented in literature. Ground structure beneath the net with sorted coarser materials is complex as implied in convective models. In non-sorted polygons, the imaging failed to reveal vertical structures between them.

  15. Stopping potential and ion beamlet control for micro-resistive patterning through sub-Debye length plasma apertures

    Directory of Open Access Journals (Sweden)

    Abhishek Chowdhury

    2014-12-01

    Full Text Available Focused multiple ion beamlets from a microwave plasma source is investigated for localized micron-scale modification of substrates in a patterned manner. Plasma electrodes (PE with an array of through apertures having aperture diameters of the order of plasma Debye length are investigated for generating the beamlets. Extraction through sub-Debye length apertures becomes possible when the PE is kept at floating potential. It is found that the current – voltage characteristics of the extracted beamlets exhibits interesting features such as a space-charge-limited region that has a different behaviour than the conventional Child-Langmuir’s law and an extraction-voltage-limited region that does not undergo saturation but exhibits a Schottky-like behaviour similar to that of a vacuum diode. A switching technique to control the motion of individual beamlets is developed and the stopping potential determined. The beamlets are thereafter used to create localized micro-resistive patterns. The experimental results are compared with simulations and reasonably good agreement is obtained.

  16. Multiple antibiotic resistance patterns of rhizospheric bacteria isolated from Phragmites australis growing in constructed wetland for distillery effluent treatment.

    Science.gov (United States)

    Chaturvedi, Sonal; Chandra, Ram; Rai, Vibhuti

    2008-01-01

    Susceptibility patterns of 12 different antibiotics were investigated against rhizospheric bacteria isolated from Phragmites australis from three different zones i.e. upper (0-5 cm), middle (5-10 cm), lower (10-15 cm) in constructed wetland system with and without distillery effluent. The major pollutants of distillery effluent were phenols, sulphide, heavy metals, and higher levels of biological oxygen demand (BOD), chemical oxygen demand (COD) etc. The antibiotic resistance properties of bacteria were correlated with the heavy metal tolerance (one of distillery pollutant). Twenty-two species from contaminated and seventeen species from non-contaminated site were tested by agar disc-diffusion method. The results revealed that more than 63% of total isolates were resistance towards one or more antibiotics tested from all the three different zones of contaminated sites. The multiple-drug resistance property was shown by total 8 isolates from effluent contaminated region out of which 3 isolates were from upper zone, 3 isolates from middle zone and 2 isolates were from lower zone. Results indicated that isolates from contaminated rhizosphere were found more resistant to antibiotics than isolates from non-contaminated rhizosphere. Further this study produces evidence suggesting that tolerance to antibiotics was acquired by isolates for the adaptation and detoxification of all the pollutants present in the effluent at contaminated site. This consequently facilitated the phytoremediation of effluent, which emerges the tolerance and increases resistance to antibiotics.

  17. Early Diet and Later Cancer Risk: Prospective Associations of Dietary Patterns During Critical Periods of Childhood with the GH-IGF Axis, Insulin Resistance and Body Fatness in Younger Adulthood.

    Science.gov (United States)

    Günther, Anke L B; Schulze, Matthias B; Kroke, Anja; Diethelm, Katharina; Joslowski, Gesa; Krupp, Danika; Wudy, Stefan; Buyken, Anette E

    2015-01-01

    Early life, adiposity rebound, and puberty represent critical growth periods when food choices could have long-term relevance for cancer risk. We aimed to relate dietary patterns during these periods to the growth hormone-insulin-like-growth-factor (GH-IGF) axis, insulin resistance, and body fatness in adulthood. Data from the Dortmund Nutritional and Anthropometric Longitudinally Designed (DONALD) Study participants with outcome data at 18-37 years, and ≥2 dietary records during early life (1-2 yr; n = 128), adiposity rebound (4-6 years, n = 179), or puberty (girls 9-14, boys 10-15 yr; n = 213) were used. Dietary patterns at these ages were derived by 1) reduced rank regression (RRR) to explain variation in adult IGF-I, IGF-binding protein-3 (IGFBP-3), homoeostasis model assessment for insulin resistance (HOMA-IR) and fat-mass index; 2) principal component analysis (PCA). Regarding RRR, the patterns "cake/canned fruit/cheese & eggs" (early life), "sweets & dairy" (adiposity rebound) and "high-fat foods" (pubertal boys) were independently associated with higher adult HOMA-IR. Furthermore, the patterns "favorable carbohydrate sources" (early life), "snack & convenience foods" (adiposity rebound), and "traditional & convenience carbohydrates" (pubertal boys) were related to adult IGFBP-3 (P trend trend > 0.1). In conclusion, dietary patterns during sensitive growth periods may be of long-term relevance for adult insulin resistance and IGFBP-3.

  18. THE ANTI-TB DRUG SENSITIVITY OF MYCOBACTERIUM TUBERCULOSIS FROM CEREBROSPINAL FLUID AND BONE TISSUE BIOPSY SPECIMENS OF PATIENTS SUSPECTED TUBERCULOUS MENINGITIS AND SPINAL TB IN DR SOETOMO HOSPITAL INDONESIA

    Directory of Open Access Journals (Sweden)

    Ni Made Mertaniasih

    2014-09-01

    Full Text Available Tuberculous meningitis (TBM is an infection of meningens which potentially life threatening with significant morbidity and mortality. Spinal TB has the same problem with TBM, infection in bone and joint, the delayed diagnosis worsens the prognosis. The rapid and accurate diagnosis plus promt adequate treatment is essential for the good outcome. The aim of this research is to study the first line drug sensitivity of Mycobacterium tuberculosis isolated from specimens of cerebrospinal fluid from suspected tuberculous meningitis patients and bone tissue biopsy from suspected spinal TB patients. The method of this research is TB Laboratory examination in Department of Clinical Microbiology – Dr. Soetomo General Hospital, Indonesia, using the gold standard liquid culture method MGIT 960 System (Becton Dickinson and solid culture method with Lowenstein-Jensen medium. The specimens CSF from 50 TBM patients at January 2013 until May 2014. Positive isolate detection of Mycobacterium tuberculosis complex were 11 isolates (22%, which sensitivity 100% (11/11 isolates to Rifampin (R, Pyrazinamide (Z, Ethambutol (E, and Streptomycin (S; one isolate resistant to Isoniazid, sensitivity to Isoniazid 90,90% (10/11; and received 21 specimens of bone tissue biopsy which positive 5 isolates (23%, all isolates sensitive 100% (5/5 isolates to Rifampin and Pyrazinamide, and 1 isolates resistant to Isoniazid, Ethambutol, and Streptomycin, in which sensitivity 80% (4/5 isolates to Isoniazid, Ethambutol, and Streptomycin. The conclusion of this research is positivity detection 22% of CSF specimens, and 23% of bone tissue biopsy were low. All isolates sensitive 100% to Rifampin and Pyrazinamide, and 80-90% sensitive to Isoniazid.

  19. Prevalence and Pattern of Methicillin Resistant Staphylococcus ...

    African Journals Online (AJOL)

    This trend is on the increase consequently there is prolong hospital stay, increased hospital bills, and increased morbidity and mortality. The widespread use of antimicrobial agents such as the â- lactam antibiotics has contributed to the emergence of Methicillin Resistant Staphylococcus aureus(MRSA); which has become ...

  20. Mutations in rpoB and katG genes in Mycobacterium isolates from the Southeast of Mexico

    Directory of Open Access Journals (Sweden)

    R Zenteno-Cuevas

    2009-05-01

    Full Text Available The most frequent mutations associated with rifampin and isoniazid resistance in Mycobacterium are the substitutions at codons 531 and 315 in the rpoB and katG genes, respectively. Hence, the aim of this study was to characterize these mutations in Mycobacterium isolates from patients suspected to be infected with drug-resistant (DR pulmonary tuberculosis (TB in Veracruz, Mexico. Drug susceptibility testing of 25 clinical isolates revealed that five were susceptible while 20 (80% were DR (15% of the annual prevalence for Veracruz. Of the DR isolates, 15 (75% were resistant to rifampin, 17 (85% to isoniazid and 15 (75% were resistant to both drugs (MDR. Sequencing analysis performed in the isolates showed that 14 (93% had mutations in the rpoB gene; seven of these (47% exhibited a mutation at 531 (S[L. Ten (58% of the 20 resistant isolates showed mutations in katG; nine (52% of these 10 exhibited a mutation at 315 (S[T. In conclusion, the DR profile of the isolates suggests a significant number of different DR-TB strains with a low frequency of mutation at codons 531 and 315 in rpoB and katG, respectively. This result leads us to consider different regions of the same genes, as well as other genes for further analysis, which is important if a genetic-based diagnosis of DR-TB is to be developed for this region.

  1. Sensitivity Pattern of Second Line Anti-Tuberculosis Drugs against Clinical Isolates of Multidrug Resistant Mycobacterium Tuberculosis

    International Nuclear Information System (INIS)

    Ghafoor, T.; Ikram, A.; Abbasi, S. A.; Zaman, G.; Ayyub, M.; Palomino, J. C.; Vandamme, P.; Martin, A.

    2015-01-01

    Objective:To determine the current sensitivity pattern of second line anti-tuberculosis drugs against clinical isolates of Multidrug Resistant Mycobacterium tuberculosis (MDR-TB). Study Design: A cross-sectional study. Place and Duration of Study: Department of Microbiology, Armed Forces Institute of Pathology (AFIP), Rawalpindi, from November 2011 to April 2013. Methodology: Samples received during the study period were processed on BACTEC MGIT 960 system for Mycobacterium tuberculosis (MTB) culture followed by first line drugs susceptibility testing of culture proven MTB isolates. On the basis of resistance to rifampicin and isoniazid, 100 clinical isolates of MDR-TB were further subjected to susceptibility testing against amikacin (AMK), capreomycin (CAP), ofloxacin (OFL) and ethionamide (ETH) as per standard BACTEC MGIT 960 instructions. Results: Out of 100 MDR-TB isolates, 62% were from male patients and 38% from female patients. 97% were sensitive to AMK, 53% to OFL, 87% to CAP; and 87% were sensitive to ETH. Conclusion: The majority of the MDR-TB isolates showed excellent sensitivity against AMK, CAP and ETH. However, sensitivity of MDR-TB isolates against fluoroquinolones like OFL was not encouraging. (author)

  2. Understanding deviations in lithographic patterns near interfaces: Characterization of bottom anti-reflective coatings (BARC) and the BARC resist interface

    Science.gov (United States)

    Lenhart, Joseph L.; Fischer, Daniel; Sambasivan, Sharadha; Lin, Eric K.; Wu, Wen-Li; Guerrero, Douglas J.; Wang, Yubao; Puligadda, Rama

    2007-02-01

    Interactions between a bottom anti-reflective coating (BARC) and a photoresist can critically impact lithographic patterns. For example, a lithographic pattern can shrink or spread near a BARC interface, a process called undercutting or footing respectively, due to incompatibility between the two materials. Experiments were conducted on two industrial BARC coatings in an effort to determine the impact of BARC surface chemistry on the footing and undercutting phenomena. The BARC coatings were characterized by near edge X-ray absorption fine structure (NEXAFS), contact angle measurements, and neutron and X-ray reflectivity. Contact angle measurement using a variety of fluids showed that the fluid contact angles were independent of the type of BARC coating or the BARC processing temperature. NEXAFS measurements showed that the surface chemistry of each BARC was also independent of the processing temperature. These results suggest that acid-base interactions at the BARC-resist interface are not the cause of the footing-undercutting phenomena encountered in lithographic patterns.

  3. Resistance Patterns Associated with HCV NS5A Inhibitors Provide Limited Insight into Drug Binding

    Directory of Open Access Journals (Sweden)

    Moheshwarnath Issur

    2014-11-01

    Full Text Available Direct-acting antivirals (DAAs have significantly improved the treatment of infection with the hepatitis C virus. A promising class of novel antiviral agents targets the HCV NS5A protein. The high potency and broad genotypic coverage are favorable properties. NS5A inhibitors are currently assessed in advanced clinical trials in combination with viral polymerase inhibitors and/or viral protease inhibitors. However, the clinical use of NS5A inhibitors is also associated with new challenges. HCV variants with decreased susceptibility to these drugs can emerge and compromise therapy. In this review, we discuss resistance patterns in NS5A with focus prevalence and implications for inhibitor binding.

  4. CUE: counterfeit-resistant usable eye movement-based authentication via oculomotor plant characteristics and complex eye movement patterns

    Science.gov (United States)

    Komogortsev, Oleg V.; Karpov, Alexey; Holland, Corey D.

    2012-06-01

    The widespread use of computers throughout modern society introduces the necessity for usable and counterfeit-resistant authentication methods to ensure secure access to personal resources such as bank accounts, e-mail, and social media. Current authentication methods require tedious memorization of lengthy pass phrases, are often prone to shouldersurfing, and may be easily replicated (either by counterfeiting parts of the human body or by guessing an authentication token based on readily available information). This paper describes preliminary work toward a counterfeit-resistant usable eye movement-based (CUE) authentication method. CUE does not require any passwords (improving the memorability aspect of the authentication system), and aims to provide high resistance to spoofing and shoulder-surfing by employing the combined biometric capabilities of two behavioral biometric traits: 1) oculomotor plant characteristics (OPC) which represent the internal, non-visible, anatomical structure of the eye; 2) complex eye movement patterns (CEM) which represent the strategies employed by the brain to guide visual attention. Both OPC and CEM are extracted from the eye movement signal provided by an eye tracking system. Preliminary results indicate that the fusion of OPC and CEM traits is capable of providing a 30% reduction in authentication error when compared to the authentication accuracy of individual traits.

  5. Altered Mycobacterium tuberculosis Cell Wall Metabolism and Physiology Associated With RpoB Mutation H526D

    Directory of Open Access Journals (Sweden)

    Victoria L. Campodónico

    2018-03-01

    Full Text Available Background:Mycobacterium tuberculosis (Mtb rpoB mutations are associated with global metabolic remodeling. However, the net effects of rpoB mutations on Mtb physiology, metabolism and function are not completely understood. Based on previous work, we hypothesized that changes in the expression of cell wall molecules in Mtb mutant RpoB 526D lead to changes in cell wall permeability and to altered resistance to environmental stresses and drugs.Methods: The phenotypes of a fully drug-susceptible clinical strain of Mtb and its paired rifampin-monoresistant, RpoB H526D mutant progeny strain were compared.Results: The rpoB mutant showed altered colony morphology, bacillary length and cell wall thickness, which were associated with increased cell wall permeability and susceptibility to the cell wall detergent sodium dodecyl sulfate (SDS after exposure to nutrient starvation. Relative to the isogenic rifampin-susceptible strain, the RpoB H526D mutant showed altered bacterial cellular metabolic activity and an eightfold increase in susceptibility to the cell-wall acting drug vancomycin.Conclusion: Our data suggest that RpoB mutation H526D is associated with altered cell wall physiology and resistance to cell wall-related stress. These findings are expected to contribute to an improved understanding of the pathogenesis of drug-resistant M. tuberculosis infections.

  6. Drug-resistant tuberculosis in Sindh

    International Nuclear Information System (INIS)

    Almani, S.A.; Memon, N.M.; Qureshi, A.F.

    2002-01-01

    Objective: To assess the prevalence of primary and secondary drug resistance amongst the clinical isolates of M.tuberculosis, to identify risk factors and how to overcome this problem. Design: A case series of 50 indoor patients with sputum smear-positive pulmonary tuberculosis. Place and duration of Study: Department of Medicine, Liaquat University of Medical and Health Sciences Jamshoro, Sindh, (Pakistan) from January 1999 to December 2000. Patients and methods: Four first line anti-tuberculous drugs rifampicine, ethambutol and streptomycin were tested for sensitivity pattern. Results: Twelve (26.66%) were sensitive to all four drugs, 12(26.66%) were resistant to one drug, 14 (31.11%) were resistant to two drugs, 2 (4.44%) were resistant to three drugs, and 5(11.11%) were resistant to all four drugs. Resistance to isoniazid was the most common in 27 cases (60%) with primary resistance in 6(13.33%) and secondary resistance in 21(46.66%), followed by resistance to streptomycin in 17 cases (37.77%) with primary resistance in 5(11.11%) and secondary resistance in 12 (26.66%). Resistance to ethambutol in 10 cases (22.22%) and rifampicine in 11 (24.44%) and all cases were secondary. Similarly multi-drugs resistance (MRD) TB was found in 11(24.44%) isolates. Conclusion: This study showed high prevalence of drug resistance among clinical isolates of M. tuberculosis. Their is a need to establish centers at number of places with adequate facilities for susceptibility testing so that the resistant pattern could be ascertained and treatment regimens tailored accordingly. (author)

  7. Resistance patterns of Staphylococcus aureus and Pseudomonas ...

    African Journals Online (AJOL)

    Two hundred (200) strains of S. aureus and P. aeruginosa were isolated from clinical samples collected from patients in Murtala Muhammad Specialist Hospital and Infectious Diseases Hospital, Kano. The confirmed isolates were tested for resistance to quinolones by the agar disk diffusion susceptibility test and the agar ...

  8. Fundamentals of EUV resist-inorganic hardmask interactions

    Science.gov (United States)

    Goldfarb, Dario L.; Glodde, Martin; De Silva, Anuja; Sheshadri, Indira; Felix, Nelson M.; Lionti, Krystelle; Magbitang, Teddie

    2017-03-01

    High resolution Extreme Ultraviolet (EUV) patterning is currently limited by EUV resist thickness and pattern collapse, thus impacting the faithful image transfer into the underlying stack. Such limitation requires the investigation of improved hardmasks (HMs) as etch transfer layers for EUV patterning. Ultrathin (<5nm) inorganic HMs can provide higher etch selectivity, lower post-etch LWR, decreased defectivity and wet strippability compared to spin-on hybrid HMs (e.g., SiARC), however such novel layers can induce resist adhesion failure and resist residue. Therefore, a fundamental understanding of EUV resist-inorganic HM interactions is needed in order to optimize the EUV resist interfacial behavior. In this paper, novel materials and processing techniques are introduced to characterize and improve the EUV resist-inorganic HM interface. HM surface interactions with specific EUV resist components are evaluated for open-source experimental resist formulations dissected into its individual additives using EUV contrast curves as an effective characterization method to determine post-development residue formation. Separately, an alternative adhesion promoter platform specifically tailored for a selected ultrathin inorganic HM based on amorphous silicon (aSi) is presented and the mitigation of resist delamination is exemplified for the cases of positive-tone and negative-tone development (PTD, NTD). Additionally, original wafer priming hardware for the deposition of such novel adhesion promoters is unveiled. The lessons learned in this work can be directly applied to the engineering of EUV resist materials and processes specifically designed to work on such novel HMs.

  9. Influence of standing-wave electric field pattern on the laser damage resistance of HfO sub 2 thin films

    CERN Document Server

    Protopapa, M L; De Tomasi, F; Di Giulio, M; Perrone, M R; Scaglione, S

    2002-01-01

    The standing-wave electric field pattern that forms inside an optical coating as a consequence of laser irradiation is one of the factors influencing the coating laser-induced damage threshold. The influence of the standing-wave electric field profile on the damage resistance to ultraviolet radiation of hafnium dioxide (HfO sub 2) thin films was investigated in this work. To this end, HfO sub 2 thin films of different thicknesses deposited by the electron beam evaporation technique at the same deposition conditions were analyzed. Laser damage thresholds of the samples were measured at 308 nm (XeCl laser) by the photoacoustic beam deflection technique and microscopic inspections. The dependence of the laser damage threshold on the standing-wave electric field pattern was analyzed.

  10. Guideline recommendations and antimicrobial resistance: the need for a change.

    Science.gov (United States)

    Elias, Christelle; Moja, Lorenzo; Mertz, Dominik; Loeb, Mark; Forte, Gilles; Magrini, Nicola

    2017-07-26

    Antimicrobial resistance has become a global burden for which inappropriate antimicrobial use is an important contributing factor. Any decisions on the selection of antibiotics use should consider their effects on antimicrobial resistance. The objective of this study was to assess the extent to which antibiotic prescribing guidelines have considered resistance patterns when making recommendations for five highly prevalent infectious syndromes. We used Medline searches complemented with extensive use of Web engine to identify guidelines on empirical treatment of community-acquired pneumonia, urinary tract infections, acute otitis media, rhinosinusitis and pharyngitis. We collected data on microbiology and resistance patterns and identified discrete pattern categories. We assessed the extent to which recommendations considered resistance, in addition to efficacy and safety, when recommending antibiotics. We identified 135 guidelines, which reported a total of 251 recommendations. Most (103/135, 79%) were from developed countries. Community-acquired pneumonia was the syndrome mostly represented (51, 39%). In only 16 (6.4%) recommendations, selection of empirical antibiotic was discussed in relation to resistance and specific microbiological data. In a further 69 (27.5%) recommendations, references were made in relation to resistance, but the attempt was inconsistent. Across syndromes, 12 patterns of resistance with implications on recommendations were observed. 50% to 75% of recommendations did not attempt to set recommendation in the context of these patterns. There is consistent evidence that guidelines on empirical antibiotic use did not routinely consider resistance in their recommendations. Decision-makers should analyse and report the extent of local resistance patterns to allow better decision-making. © Article author(s) (or their employer(s) unless otherwise stated in the text of the article) 2017. All rights reserved. No commercial use is permitted unless

  11. Neonatal bacteriemia isolates and their antibiotic resistance pattern in neonatal insensitive care unit (NICU at Beasat Hospital, Sanandaj, Iran.

    Directory of Open Access Journals (Sweden)

    Parvin Mohammadi

    2014-05-01

    Full Text Available Bacteremia continues to result in significant morbidity and mortality, particularly among neonates. There is scarce data on neonatal bacteremia in among Iranian neonates. In this study, we determined neonatal bacteremia isolates and their antibiotic resistance pattern in neonatal insensitive care unit at Beasat hospital, Sanandaj, Iran. During one year, all neonates admitted to the NICU were evaluated. Staphylococcal isolates were subjected to determine the prevalence of MRS and mecA gene. A total of 355 blood cultures from suspected cases of sepsis were processed, of which 27 (7.6% were positive for bacterial growth. Of the 27 isolates, 20 (74% were Staphylococcus spp as the leading cause of bacteremia. The incidence of Gram negative bacteria was 04 (14.8%. The isolated bacteria were resistant to commonly used antibiotics. Maximum resistance among Staphylococcus spp was against Penicillin, and Ampicillin. In our study, the isolated bacteria were 7.5 % Vancomycin and Ciprofloxacin sensitive. Oxacillin disk diffusion and PCR screened 35% and 30% mec a positive Staphylococcus spp. The spectrum of neonatal bacteremia as seen in NICU at Beasat hospital confirmed the importance of pathogens such as Staphylococcus spp. Penicillin, Ampicillin and Cotrimoxazol resistance was high in theses isolates with high mecA gene carriage, probably due to antibiotic selection.

  12. Three dysconnectivity patterns in treatment-resistant schizophrenia patients and their unaffected siblings

    Directory of Open Access Journals (Sweden)

    Jicai Wang

    2015-01-01

    Full Text Available Among individuals diagnosed with schizophrenia, approximately 20%–33% are recognized as treatment-resistant schizophrenia (TRS patients. These TRS patients suffer more severely from the disease but struggle to benefit from existing antipsychotic treatments. A few recent studies suggested that schizophrenia may be caused by impaired synaptic plasticity that manifests as functional dysconnectivity in the brain, however, few of those studies focused on the functional connectivity changes in the brains of TRS groups. In this study, we compared the whole brain connectivity variations in TRS patients, their unaffected siblings, and healthy controls. Connectivity network features between and within the 116 automated anatomical labeling (AAL brain regions were calculated and compared using maps created with three contrasts: patient vs. control, patient vs. sibling, and sibling vs. control. To evaluate the predictive power of the selected features, we performed a multivariate classification approach. We also evaluated the influence of six important clinical measures (e.g. age, education level on the connectivity features. This study identified abnormal significant connectivity changes of three patterns in TRS patients and their unaffected siblings: 1 69 patient-specific connectivity (PCN; 2 102 shared connectivity (SCN; and 3 457 unshared connectivity (UCN. While the first two patterns were widely reported by previous non-TRS specific studies, we were among the first to report widespread significant connectivity differences between TRS patient groups and their healthy sibling groups. Observations of this study may provide new insights for the understanding of the neurophysiological mechanisms of TRS.

  13. Isolation of Escherichia coli rpoB mutants resistant to killing by lambda cII protein and altered in pyrE gene attenuation

    DEFF Research Database (Denmark)

    Hammer, Karin; Jensen, Kaj Frank; Poulsen, Peter

    1987-01-01

    Escherichia coli mutants simultaneously resistant to rifampin and to the lethal effects of bacteriophage lambda cII protein were isolated. The sck mutant strains carry alterations in rpoB that allow them to survive cII killing (thus the name sck), but that do not impair either the expression of c......II or the activation by cII of the lambda promoters pE and pI. The sck-1, sck-2, and sck-3 mutations modify transcription termination. The growth of lambda, but not of the N-independent lambda variant, lambda nin-5, is hindered by these mutations, which act either alone or in concert with the bacterial nusA1 mutation....... In contrast to their effect on lambda growth, the three mutations reduce transcription termination in bacterial operons. The E. coli pyrE gene, which is normally regulated by attenuation, is expressed constitutively in the mutant strains. The sck mutations appear to prevent pyrE attenuation by slowing...

  14. Phenotypic and genotypic characterization of antibiotic resistance of methicillin-resistant Staphylococcus aureus isolated from hospital food

    Directory of Open Access Journals (Sweden)

    Farhad Safarpoor Dehkordi

    2017-10-01

    Full Text Available Abstract Background Pathogenic biotypes of the Methicillin-resistant Staphylococcus aureus (MRSA strains are considered to be one of the major cause of food-borne diseases in hospitals. The present investigation was done to study the pattern of antibiotic resistance and prevalence of antibiotic resistance genes of different biotypes of the MRSA strains isolated from various types of hospital food samples. Methods Four-hundred and eighty-five raw and cooked hospital food samples were cultured and MRSA strains were identified using the oxacillin and cefoxitin disk diffusion tests and mecA-based PCR amplification. Isolated strains were subjected to biotyping and their antibiotic resistance patterns were analyzed using the disk diffusion and PCR methods. Results Prevalence of S. aureus and MRSA were 9.69 and 7.62%, respectively. Meat and chicken barbecues had the highest prevalence of MRSA. Prevalence of bovine, ovine, poultry and human-based biotypes in the MRSA strains were 8.10, 8.10, 32.43 and 48.64%, respectively. All of the MRSA strains recovered from soup, salad and rice samples were related to human-based biotypes. MRSA strains harbored the highest prevalence of resistance against penicillin (100%, ceftaroline (100%, tetracycline (100%, erythromycin (89.18% and trimethoprim-sulfamethoxazole (83.78%. TetK (72.97%, ermA (72.97%, msrA (64.86% and aacA-D (62.16% were the most commonly detected antibiotic resistance genes. Conclusions Pattern of antibiotic resistance and also distribution of antibiotic resistance genes were related to the biotype of MRSA strains. Presence of multi-drug resistance and also simultaneous presence of several antibiotic resistance genes in some MRSA isolates showed an important public health issue Further researches are required to found additional epidemiological aspects of the MRSA strains in hospital food samples.

  15. Influence of regular reporting on local Pseudomonas aeruginosa and Acinetobacter spp. sensitivity to antibiotics on consumption of antibiotics and resistance patterns.

    Science.gov (United States)

    Djordjevic, Z M; Folic, M M; Jankovic, S M

    2017-10-01

    Regular surveillance of antimicrobial resistance is an important component of multifaceted interventions directed at the problem with resistance of bacteria causing healthcare-associated infections (HAIs) in intensive care units (ICUs). Our aim was to analyse antimicrobial consumption and resistance among isolates of Pseudomonas aeruginosa and Acinetobacter spp. causing HAIs, before and after the introduction of mandatory reporting of resistance patterns to prescribers. A retrospective observational study was conducted between January 2011 and December 2015, at an interdisciplinary ICU of the Clinical Centre Kragujevac, Serbia. The intervention consisted of continuous resistance monitoring of all bacterial isolates from ICU patients and biannual reporting of results per isolate to prescribers across the hospital. Both utilization of antibiotics and density of resistant isolates of P. aeruginosa and Acinetobacter spp. were followed within the ICU. Resistance densities of P. aeruginosa to all tested antimicrobials were lower in 2015, in comparison with 2011. Although isolates of Acinetobacter spp. had lower resistance density in 2015 than in 2011 to the majority of investigated antibiotics, a statistically significant decrease was noted only for piperacillin/tazobactam. Statistically significant decreasing trends of consumption were recorded for third-generation cephalosporins, aminoglycosides and fluoroquinolones, whereas for the piperacillin/tazobactam, ampicillin/sulbactam and carbapenems, utilization trends were decreasing, but without statistical significance. In the same period, increasing trends of consumption were observed for tigecycline and colistin. Regular monitoring of resistance of bacterial isolates in ICUs and reporting of summary results to prescribers may lead to a significant decrease in utilization of some antibiotics and slow restoration of P. aeruginosa and Acinetobacter spp. susceptibility. © 2017 John Wiley & Sons Ltd.

  16. Urinary Tract Infections Due to Catheterization and Drug Resistance Patterns of Isolated Bacteria

    Directory of Open Access Journals (Sweden)

    M. Mosavian

    2004-07-01

    Full Text Available UTI is the most common infection in all ages and urinary catheters especially long-term catheterization are important predisposing factors of UTI. Urinary catheters are used in different hospital wards as a complementary curative method for the patients who are undergone various surgical procedures, such as : cesarean, hysterectomy , laparotomy, etc and they who are unable to control their voided urine . 226 urine specimens were collected from 119 catheterized patients which had been hospitalized in seven wards of Razi and Golestan hospitals in Ahwas city . At least two urine specimens were collected from each patient , before and after the insertion of the catheter . All of the specimens were inoculated to suitable Media, after transportation to the Microbiology Lab . Isolated colonies were identified and their resistance patterns were determined by the standard disk diffusion method (Kirby –Bauer procedure to 8 different antibiotics. 38 cases (43.6% out of 87 patients showed Bacteriuria in the end of catheterization . They had no bacteriuria symptoms or sign before the catheterization. The most cases(28.9% of bacteriuria occured in 30-39 years group and the lowest cases (2.6 % of them occured in 60-69 years group. Out of 50 bacterial strains isolated from urine cultures , E.coil (with 17 cases was the highest (34% and Staphylococcus aureus , Klebsiella pneumoniae , Edwardsiella tarda , Enterobacter sakazakii (with 2% for each were the lowest cases. E.coli, Enterobacter and Kl. rhinoscleromatis , showed the most resistance to Ampicillin, Penicillin , Cephalexin , and the lowest rate to Nalidixic acid, Gentamicin and Nitrofurantoin . Staphylococcus epidermidis isolates ,also,showed the most resistance (100% to Penicillin and Ampicillin , and the lowest rate to Gentamicin (with 66.7%, Cotrimoxazol and Nitrofurantoin (with 50% .The results of this study suggested that catheterization , especially long- term catheterization causes the rise of

  17. [Sequential prescriptions: Arguments for a change of therapeutic patterns in treatment resistant depressions].

    Science.gov (United States)

    Allouche, G

    2016-02-01

    Among the therapeutic strategies in treatment of resistant depression, the use of sequential prescriptions is discussed here. A number of observations, initially quite isolated and few controlled studies, some large-scale, have been reported, which showed a definite therapeutic effect of certain requirements in sequential treatment of depression. The Sequenced Treatment Alternatives to Relieve Depression Study (STAR*D) is up to now the largest clinical trial exploring treatment strategies in non psychotic resistant depression in real-life conditions with an algorithm of sequential decision. The main conclusions of this study are the following: after two unsuccessful attempts, the chance of remission decreases considerably. A 12-months follow-up showed that the higher the use of the processing steps were high, the more common the relapses were during this period. The pharmacological differences between psychotropic did not cause clinically significant difference. The positive effect of lithium in combination with antidepressants has been known since the work of De Montigny. Antidepressants allow readjustment of physiological sequence involving different monoaminergic systems together. Studies with tricyclic antidepressant-thyroid hormone T3: in depression, decreased norepinephrine at the synaptic receptors believed to cause hypersensitivity of these receptors. Thyroid hormones modulate the activity of adrenergic receptors. There would be a balance of activity between alpha and beta-adrenergic receptors, depending on the bioavailability of thyroid hormones. ECT may in some cases promote pharmacological response in case of previous resistance, or be effective in preventing relapse. Cognitive therapy and antidepressant medications likely have an effect on different types of depression. We can consider the interest of cognitive therapy in a sequential pattern after effective treatment with an antidepressant effect for treatment of residual symptoms, preventing relapses

  18. Frequency, Levels and Predictors of Potential Drug-Drug ...

    African Journals Online (AJOL)

    major or moderate interactions included rifampin + pyrazinamide (14 cases), phenobarbital + diazepam (14), dexamethasone + rifampin (8), amikacin + furosemide (7), furosemide + captopril (7), dexamethasone + phenobarbital (6), phenobarbital + divalproex sodium (6), isoniazid + rifampin (5) amikacin + ibuprofen (5), ...

  19. Tetracycline and trimethoprim/sulfamethoxazole at clinical laboratory: can they help to characterize Staphylococcus aureus carrying different SCCmec types?

    Science.gov (United States)

    Cavalcante, Fernanda Sampaio; Schuenck, Ricardo Pinto; Caboclo, Roberta Mello Ferreira; Ferreira, Dennis de Carvalho; Nouér, Simone Aranha; Santos, Kátia Regina Netto dos

    2013-01-01

    Methicillin-resistant Staphylococcus aureus (MRSA) can be difficult to detect at the clinical practice. We analyzed 140 MRSA isolates from inpatients to correlate the antimicrobial susceptibility with the SCCmec types. Type III (n = 63) isolates were more resistant to ciprofloxacin, clindamycin, cloramphenicol, erythromycin, gentamicin, and rifampin than type IV (n = 65) ones (p < 0.05). Moreover, type IV isolates were susceptible to tetracycline (100%) and trimethoprim/sulfamethoxazole (98%), while type III isolates presented resistance to them. In regions where these SCCmec types are prevalent, the detection of specific resistant phenotypes could help to predict them, mainly when there are no technical conditions to SCCmec typing.

  20. Antimicrobial resistance patterns of Staphylococcus species isolated from cats presented at a veterinary academic hospital in South Africa.

    Science.gov (United States)

    Qekwana, Daniel Nenene; Sebola, Dikeledi; Oguttu, James Wabwire; Odoi, Agricola

    2017-09-15

    Antimicrobial resistance is becoming increasingly important in both human and veterinary medicine. This study investigated the proportion of antimicrobial resistant samples and resistance patterns of Staphylococcus isolates from cats presented at a veterinary teaching hospital in South Africa. Records of 216 samples from cats that were submitted to the bacteriology laboratory of the University of Pretoria academic veterinary hospital between 2007 and 2012 were evaluated. Isolates were subjected to antimicrobial susceptibility testing against a panel of 15 drugs using the disc diffusion method. Chi square and Fisher's exact tests were used to assess simple associations between antimicrobial resistance and age group, sex, breed and specimen type. Additionally, associations between Staphylococcus infection and age group, breed, sex and specimen type were assessed using logistic regression. Staphylococcus spp. isolates were identified in 17.6% (38/216) of the samples submitted and 4.6% (10/216) of these were unspeciated. The majority (61.1%,11/18) of the isolates were from skin samples, followed by otitis media (34.5%, 10/29). Coagulase Positive Staphylococcus (CoPS) comprised 11.1% (24/216) of the samples of which 7.9% (17/216) were S. intermedius group and 3.2% (7/216) were S. aureus. Among the Coagulase Negative Staphylococcus (CoNS) (1.9%, 4/216), S. felis and S. simulans each constituted 0.9% (2/216). There was a significant association between Staphylococcus spp. infection and specimen type with odds of infection being higher for ear canal and skin compared to urine specimens. There were higher proportions of samples resistant to clindamycin 34.2% (13/25), ampicillin 32.4% (2/26), lincospectin 31.6% (12/26) and penicillin-G 29.0% (11/27). Sixty three percent (24/38) of Staphylococcus spp. were resistant to one antimicrobial agent and 15.8% were multidrug resistant (MDR). MDR was more common among S. aureus 28.6% (2/7) than S. intermedius group isolates 11.8% (2

  1. Activation and regulation of the pattern recognition receptors in obesity-induced adipose tissue inflammation and insulin resistance.

    Science.gov (United States)

    Watanabe, Yasuharu; Nagai, Yoshinori; Takatsu, Kiyoshi

    2013-09-23

    Obesity-associated chronic tissue inflammation is a key contributing factor to type 2 diabetes mellitus, and a number of studies have clearly demonstrated that the immune system and metabolism are highly integrated. Recent advances in deciphering the various immune cells and signaling networks that link the immune and metabolic systems have contributed to our understanding of the pathogenesis of obesity-associated inflammation. Other recent studies have suggested that pattern recognition receptors in the innate immune system recognize various kinds of endogenous and exogenous ligands, and have a crucial role in initiating or promoting obesity-associated chronic inflammation. Importantly, these mediators act on insulin target cells or on insulin-producing cells impairing insulin sensitivity and its secretion. Here, we discuss how various pattern recognition receptors in the immune system underlie the etiology of obesity-associated inflammation and insulin resistance, with a particular focus on the TLR (Toll-like receptor) family protein Radioprotective 105 (RP105)/myeloid differentiation protein-1 (MD-1).

  2. Activation and Regulation of the Pattern Recognition Receptors in Obesity-Induced Adipose Tissue Inflammation and Insulin Resistance

    Directory of Open Access Journals (Sweden)

    Kiyoshi Takatsu

    2013-09-01

    Full Text Available Obesity-associated chronic tissue inflammation is a key contributing factor to type 2 diabetes mellitus, and a number of studies have clearly demonstrated that the immune system and metabolism are highly integrated. Recent advances in deciphering the various immune cells and signaling networks that link the immune and metabolic systems have contributed to our understanding of the pathogenesis of obesity-associated inflammation. Other recent studies have suggested that pattern recognition receptors in the innate immune system recognize various kinds of endogenous and exogenous ligands, and have a crucial role in initiating or promoting obesity-associated chronic inflammation. Importantly, these mediators act on insulin target cells or on insulin-producing cells impairing insulin sensitivity and its secretion. Here, we discuss how various pattern recognition receptors in the immune system underlie the etiology of obesity-associated inflammation and insulin resistance, with a particular focus on the TLR (Toll-like receptor family protein Radioprotective 105 (RP105/myeloid differentiation protein-1 (MD-1.

  3. Conjunctival bacterial flora and antibiotic resistance pattern in patients undergoing cataract surgery

    International Nuclear Information System (INIS)

    Ansari, M.R.; Modani, H.

    2008-01-01

    The purpose of this study was to evaluate the conjunctival bacterial flora and its antibiotic susceptibility pattern in eyes of patients undergoing cataract surgery. Conjunctival soap was obtained on the day of surgery before the application of topical anesthetic, antibiotic or povidone-iodine. Culture and antibiotic susceptibility tests were performed. The data was analysed with X/sup 2/ and T tests. Of the 170 patients 89 cases (52.4%) had positive cultures in the eyes. In 79 eyes (88.8%) found coagulase-negative Staphylococcus (CoNS). Eighty two cases (95.3%) of isolated Staphylococcus were susceptible to Amikacin, 86 (100%) sensitive to Ciprofloxacin and 42 (48.8%) sensitive to Ceftazidime. Average susceptibility and resistancy to antibiotics was 2.6 (+-1.8) antibiotics in women and 1.6(+-1.4) in men (P= 0.009). This study showed that the bacterium most frequently found in the conjunctival flora of the patients undergoing cataract surgery was CoNS. Isolates of this bacterium had low CoNS susceptibility rates to Caftazidime and Vancomycin and high susceptibility to Ciprofloxacin and Amikacin. (author)

  4. Bacterial Pathogens and Antimicrobial Resistance Patterns in Pediatric Urinary Tract Infections: A Four-Year Surveillance Study (2009–2012)

    OpenAIRE

    Mirsoleymani, Seyed Reza; Salimi, Morteza; Shareghi Brojeni, Masoud; Ranjbar, Masoud; Mehtarpoor, Mojtaba

    2014-01-01

    The aims of this study were to assess the common bacterial microorganisms causing UTI and their antimicrobial resistance patterns in Bandar Abbas (Southern Iran) during a four-year period. In this retrospective study, samples with a colony count of ≥105 CFU/mL bacteria were considered positive; for these samples, the bacteria were identified, and the profile of antibiotic susceptibility was characterized. From the 19223 samples analyzed, 1513 (7.87%) were positive for bacterial infection. UTI...

  5. Patterns and predictors of antimicrobial resistance among Staphylococcus spp. from canine clinical cases presented at a veterinary academic hospital in South Africa.

    Science.gov (United States)

    Qekwana, Daniel N; Oguttu, James W; Sithole, Fortune; Odoi, Agricola

    2017-04-28

    Antimicrobial resistance in staphylococci, often associated with treatment failure, is increasingly reported in veterinary medicine. The aim of this study was to investigate patterns and predictors of antimicrobial resistance among Staphylococcus spp. isolates from canine samples submitted to the bacteriology laboratory at the University of Pretoria academic veterinary hospital between 2007 and 2012. Retrospective data of 334 Staphylococcus isolates were used to calculate the proportion of samples resistant to 15 antimicrobial agents. The Cochran-Armitage trend test was used to investigate temporal trends and logistic regression models were used to investigate predictors of antimicrobial resistance in Staphylococcus aureus and Staphylococcus pseudintermedius. Results show that 98.2% (55/56) of the S. aureus isolates were resistant to at least one drug while 42.9% were multidrug resistant. Seventy-seven percent (214/278) of the S. pseudintermedius isolates were resistant to at least one drug and 25.9% (72/278) were multidrug resistant. Resistance to lincospectin was more common among S. aureus (64.3%) than S. pseudintermedius (38.9%). Similarly, resistance to clindamycin was higher in S. aureus (51.8%) than S. pseudintermedius (31.7%) isolates. There was a significant (p = 0.005) increase in S. aureus resistance to enrofloxacin over the study period. Similarly, S. pseudintermedius exhibited significant increasing temporal trend in resistance to trimethoprim-sulphamethoxazole (p = 0.004), clindamycin (p = 0.022) and orbifloxacin (p = 0.042). However, there was a significant decreasing temporal trend in the proportion of isolates resistant to doxycycline (p = 0.041), tylosin (p = 0.008), kanamycin (p = 0.017) and amoxicillin/clavulanic acid (p = 0.032). High levels of multidrug resistance and the increasing levels of resistance to sulphonamides, lincosamides and fluoroquinolones among Staphylococcus spp. isolates in this study are concerning. Future

  6. Polystyrene negative resist for high-resolution electron beam lithography

    Directory of Open Access Journals (Sweden)

    Ma Siqi

    2011-01-01

    Full Text Available Abstract We studied the exposure behavior of low molecular weight polystyrene as a negative tone electron beam lithography (EBL resist, with the goal of finding the ultimate achievable resolution. It demonstrated fairly well-defined patterning of a 20-nm period line array and a 15-nm period dot array, which are the densest patterns ever achieved using organic EBL resists. Such dense patterns can be achieved both at 20 and 5 keV beam energies using different developers. In addition to its ultra-high resolution capability, polystyrene is a simple and low-cost resist with easy process control and practically unlimited shelf life. It is also considerably more resistant to dry etching than PMMA. With a low sensitivity, it would find applications where negative resist is desired and throughput is not a major concern.

  7. Dermabacter hominis: a usually daptomycin-resistant gram-positive organism infrequently isolated from human clinical samples

    Science.gov (United States)

    Fernández-Natal, I; Sáez-Nieto, J A; Medina-Pascual, M J; Albersmeier, A; Valdezate, S; Guerra-Laso, J M; Rodríguez, H; Marrodán, T; Parras, T; Tauch, A; Soriano, F

    2013-01-01

    During a 12-year period, Dermabacter hominis was isolated from 21 clinical samples belonging to 14 patients attending a tertiary hospital in León, Spain. Samples included blood cultures (14), peritoneal dialysis catheter exit sites (three), cutaneous abscesses (two), an infected vascular catheter (one) and a wound swab (one). Identification was made by API Coryne™ V2.0, Biolog™ GP2 and 16S rRNA gene amplification. Six febrile patients had positive blood cultures (one, two or three sets) and all of them were treated with teicoplanin (two patients), vancomycin, ampicillin plus gentamicin, amoxicillin/clavulanic acid and ciprofloxacin (one each). An additional patient with a single positive blood culture was not treated, the finding being considered non-significant. In the remaining seven patients the organism was isolated from a single specimen and three of them received antimicrobial treatment (ciprofloxacin, ceftriaxone plus vancomycin and amoxicillin/clavulanic acid). At least ten patients had several underlying diseases and conditions, and no direct mortality was observed in relation to the isolated organism. All isolates were susceptible to vancomycin, rifampin and linezolid. Resistance to other antibiotics varied: erythromycin (100%), clindamycin (78.5%), ciprofloxacin (21.4%) and gentamicin, quinupristin-dalfopristin, benzylpenicillin and imipenem 7.1% each. Thirteen isolates were highly resistant to daptomycin with MICs ranging from 8 to 48 (MIC90 = 32 mg/L); only one was daptomycin-sensitive (MIC = 0.19 mg/L). PMID:25356327

  8. Table 1 Oligonucleotide primers used for SNP verification by Sanger ...

    Indian Academy of Sciences (India)

    charissa

    1 Ao W, Aldous S, Woodruf E, Hicke B, Rea L, Kreiswirth B, Jenison R. Rapid detection of rpoB gene mutations conferring rifampin resistance in Mycobacterium tuberculosis. J Clin Microbiol. 2012; 50: 2433-2440. 2 Bakuła Z, Napiórkowska A, Bielecki J et al. Mutations in the embB gene and their association with ethambutol ...

  9. Performance of μ-RWELL detector vs resistivity of the resistive stage

    Science.gov (United States)

    Bencivenni, G.; De Oliveira, R.; Felici, G.; Gatta, M.; Morello, G.; Ochi, A.; Lener, M. Poli; Tskhadadze, E.

    2018-04-01

    The μ-RWELL is a compact spark-protected single amplification stage Micro-Pattern-Gaseous-Detector (MPGD). The detector amplification stage is realized with a polyimide structure, micro-patterned with a dense matrix of blind-holes, integrated into the readout structure. The anode is formed by a thin Diamond Like Carbon (DLC) resistive layer separated by an insulating glue layer from the readout strips. The introduction of the resistive layer strongly suppressing the transition from streamer to spark gives the possibility to achieve large gains (> 104), without significantly affecting the capability to be efficiently operated in high particle fluxes. In this work we present the results of a systematic study of the μ-RWELL performance as a function of the DLC resistivity. The tests have been performed either with collimated 5.9 keV X-rays or with pion and muon beams at the SPS Secondary Beamline H4 and H8 at CERN.

  10. Changing patterns and trends of multidrug-resistant tuberculosis at referral centre in Northern India: A 4-year experience

    Directory of Open Access Journals (Sweden)

    A K Maurya

    2013-01-01

    Full Text Available Purpose: India has a high burden of drug-resistant tuberculosis (TB, although there is little data on multidrug-resistant tuberculosis (MDR-TB. Although MDR-TB has existed for long time in India, very few diagnostic laboratories are well-equipped to test drug sensitivity. The objectives of this study were to determine the prevalence of MDR-TB, first-line drug resistance patterns and its changing trends in northern India in the 4 years. Materials and Methods: This was a prospective study from July 2007 to December 2010. Microscopy, culture by Bactec460 and p-nitro-α-acetylamino-β-hydroxypropiophenone (NAP test was performed to isolate and identify Mycobacterium tuberculosis (M. tb complex (MTBC. Drug sensitivity testing (DST was performed by 1% proportional method (Bactec460 for four drugs: Rifampicin, isoniazid, ethambutol and streptomycin. Various clinical and demographical profiles were evaluated to analyse risk factors for development of drug resistance. Results: We found the overall prevalence rate of MDR-TB to be 38.8%, increasing from 36.4% in 2007 to 40.8% in 2010. we found that the prevalence of MDR-TB in new and previously treated cases was 29.1% and 43.3% ( P < 0.05; CI 95%. The increasing trend of MDR-TB was more likely in pulmonary TB when compared with extra-pulmonary TB ( P < 0.05; CI 95%. Conclusions: we found a high prevalence (38.8% of MDR-TB both in new cases (29.1% and previously treated cases (43.3%.This study strongly highlights the need to make strategies for testing, surveillance, monitoring and management of such drug-resistant cases.

  11. Resistance patterns of Pseudomonas aeruginosa isolated from HIV ...

    African Journals Online (AJOL)

    negative bacilli in patients with impaired host defences emphasizes the need for information on the antibiotic susceptibility of the organisms that infects such patients. Pseudomonas aeruginosa are becoming increasingly resistant to ...

  12. prevalence and antibiotic resistance patterns of escherichia coli

    African Journals Online (AJOL)

    2014-06-01

    Jun 1, 2014 ... The emergence of resistance to antimicrobial agents is a global public health problem ... tract infections, neonatal meningitis, diarrhoea and septicaemia (1). ..... uncomplicated urinary tract infections in children in developing ...

  13. Cross-resistance to herbicides in annual ryegrass (lolium rigidum)

    International Nuclear Information System (INIS)

    Christopher, J.T.; Powles, S.B.; Liljegren, D.R.; Holtum, J.A.M.

    1991-01-01

    Lolium rigidum Gaud. biotype SLR31 is resistant to the herbicide diclofop-methyl and cross-resistant to several sulfonylurea herbicides. Wheat and the cross-resistant ryegrass exhibit similar patterns of resistance to sulfonylurea herbicides, suggesting that the mechanism of resistance may be similar. Cross-resistant ryegrass is also resistant to the wheat-selective imidazolinone herbicide imazamethabenz. The cross-resistant biotype SLR31 metabolized [phenyl-U- 14 C]chlorsulfuron at a faster rate than a biotype which is susceptible to both diclofop-methyl and chlorsulfuron. A third biotype which is resistant to diclofop-methyl but not to chlorsulfuron metabolized chlorsulfuron at the same rate as the susceptible biotype. The increased metabolism of chlorsulfuron observed in the cross-resistant biotype is, therefore, correlated with the patterns of resistance observed in these L. rigidum biotypes. During high performance liquid chromatography analysis the major metabolite of chlorsulfuron in both susceptible and cross-resistant ryegrass coeluted with the major metabolite produced in wheat. The major product is clearly different from the major product in the tolerant dicot species, flax (Linium usitatissimum). The elution pattern of metabolites of chlorsulfuron was the same for both the susceptible and cross-resistant ryegrass but the cross-resistant ryegrass metabolized chlorsulfuron more rapidly. The investigation of the dose response to sulfonylurea herbicides at the whole plant level and the study of the metabolism of chlorsulfuron provide two independent sets of data which both suggest that the resistance to chlorsulfuron in cross-resistant ryegrass biotype SLR31 involves a wheat-like detoxification system

  14. Analysis of combination drug therapy to develop regimens with shortened duration of treatment for tuberculosis.

    Directory of Open Access Journals (Sweden)

    George L Drusano

    Full Text Available Tuberculosis remains a worldwide problem, particularly with the advent of multi-drug resistance. Shortening therapy duration for Mycobacterium tuberculosis is a major goal, requiring generation of optimal kill rate and resistance-suppression. Combination therapy is required to attain the goal of shorter therapy.Our objective was to identify a method for identifying optimal combination chemotherapy. We developed a mathematical model for attaining this end. This is accomplished by identifying drug effect interaction (synergy, additivity, antagonism for susceptible organisms and subpopulations resistant to each drug in the combination.We studied the combination of linezolid plus rifampin in our hollow fiber infection model. We generated a fully parametric drug effect interaction mathematical model. The results were subjected to Monte Carlo simulation to extend the findings to a population of patients by accounting for between-patient variability in drug pharmacokinetics.All monotherapy allowed emergence of resistance over the first two weeks of the experiment. In combination, the interaction was additive for each population (susceptible and resistant. For a 600 mg/600 mg daily regimen of linezolid plus rifampin, we demonstrated that >50% of simulated subjects had eradicated the susceptible population by day 27 with the remaining organisms resistant to one or the other drug. Only 4% of patients had complete organism eradication by experiment end.These data strongly suggest that in order to achieve the goal of shortening therapy, the original regimen may need to be changed at one month to a regimen of two completely new agents with resistance mechanisms independent of the initial regimen. This hypothesis which arose from the analysis is immediately testable in a clinical trial.

  15. Comparative analysis of antibiotic resistance and phylogenetic group patterns in human and porcine urinary tract infectious Escherichia coli

    DEFF Research Database (Denmark)

    Hancock, Viktoria; Nielsen, E.M.; Krag, L.

    2009-01-01

    Urinary tract infections (UTIs) are one of the most common infectious diseases in humans and domestic animals such as pigs. The most frequent infectious agent in such infections is Escherichia coli. Virulence characteristics of E. coli UTI strains range from highly virulent pyelonephritis strains...... to relatively benign asymptomatic bacteriuria strains. Here we analyse a spectrum of porcine and human UTI E. coli strains with respect to their antibiotic resistance patterns and their phylogenetic groups, determined by multiplex PCR. The clonal profiles of the strains differed profoundly; whereas human...

  16. Smoking, inflammatory patterns, and postprandial hypertriglyceridemia

    Science.gov (United States)

    Background: Smoking is associated with increased postprandial hypertriglyceridemia (PPT). Inflammation and insulin resistance are potential "drivers" for this phenomenon. We tested whether inflammatory patterns and/or insulin resistance explain the effect of smoking on PPT. Methods: Men and women i...

  17. Antibiotic resistance patterns and beta-lactamase identification in ...

    African Journals Online (AJOL)

    Children acquire bacteria from their mother during birth,[3,4] and ... Our results revealed high resistance rates to co-trimoxazole (54.0%), penicillin .... the inclusion of a beta-lactamase inhibitor, clavulanic acid. .... Folate pathway inhibitor/.

  18. Patterns of antimicrobial resistance in Streptococcus suis isolates from pigs with or without streptococcal disease in England between 2009 and 2014.

    Science.gov (United States)

    Hernandez-Garcia, Juan; Wang, Jinhong; Restif, Olivier; Holmes, Mark A; Mather, Alison E; Weinert, Lucy A; Wileman, Thomas M; Thomson, Jill R; Langford, Paul R; Wren, Brendan W; Rycroft, Andrew; Maskell, Duncan J; Tucker, Alexander W

    2017-08-01

    Antimicrobial resistance in Streptococcus suis, a global zoonotic pathogen of pigs, has been mostly studied only in diseased animals using surveys that have not evaluated changes over time. We compared patterns of resistance between S. suis isolates from clinical cases of disease (CC) and non-clinical case (NCC) pigs in England, collected over two discrete periods, 2009-2011 and 2013-2014. Minimum inhibitory concentrations (MIC) of 17 antimicrobials (nine classes) were determined on 405 S. suis isolates categorised by sampling period and disease association to assess changes in resistance over time and association with disease. First, isolates were characterized as resistant or susceptible using published clinical breakpoints. Second, epidemiological cut-offs (ECOFF) were derived from MIC values, and isolates classified as wild type (WT) below the ECOFF and non-wild type (NWT) above the ECOFF. Finally, isolate subsets were analysed for shifts in MIC distribution. NCC isolates were more resistant than CC isolates to cephalosporins, penams, pleuromutilins, potentiated sulphonamides and tetracyclines in both study periods. Resistance levels among CC isolates increased in 2013-2014 relative to 2009-2011 for antimicrobials including aminoglycosides, cephalosporins, fluoroquinolones, pleuromutilins, potentiated sulphonamides and tetracyclines. The prevalence of isolates categorised as NWT for five or more classes of antimicrobials was greater among NCC than CC isolates for both time periods, and increased with time. This study used standardised methods to identify significant shifts in antimicrobial resistance phenotypes of S. suis isolated from pigs in England, not only over time but also between isolates from known clinical cases or disease-free pigs. Copyright © 2017. Published by Elsevier B.V.

  19. Evaluation of the synergistic potential of vancomycin combined with other antimicrobial agents against methicillin-resistant Staphylococcus aureus and coagulase-negative Staphylococcus spp strains

    Directory of Open Access Journals (Sweden)

    Lívia Viganor da Silva

    2011-02-01

    Full Text Available Methicillin-resistant Staphylococcus aureus (MRSA and coagulase-negative Staphylococcus spp (CNS are the most common pathogens that cause serious long term infections in patients. Despite the existence of new antimicrobial agents, such as linezolid, vancomycin (VAN remains the standard therapy for the treatment of infections caused by these multidrug-resistant strains. However, the use of VAN has been associated with a high frequency of therapeutic failures in some clinical scenarios, mainly with decreasing concentration of VAN. This work aims to evaluate the synergic potential of VAN plus sulfamethoxazole/trimethoprim (SXT, VAN plus rifampin (RIF and VAN plus imipenem (IPM in sub-minimum inhibitory concentrations against 22 clinical strains of MRSA and CNS. The checkerboard method showed synergism of VAN/RIF and VAN/SXT against two and three of the 22 strains, respectively. The combination of VAN with IPM showed synergistic effects against 21 out of 22 strains by the E-test method. Four strains were analyzed by the time-kill curve method and synergistic activity was observed with VAN/SXT, VAN/RIF and especially VAN/IPM in sub-inhibitory concentrations. It would be interesting to determine if synergy occurs in vivo. Evidence of in vivo synergy could lead to a reduction of the standard VAN dosage or treatment time.

  20. Prevalence, serotypes and resistance patterns of Salmonella in Danish pig production

    DEFF Research Database (Denmark)

    Arguello, Hector; Sørensen, Gitte; Carvajal, Ana

    2013-01-01

    Typhimurium in finishers and Salmonella Derby in breeding herds while the most prevalent phage types of the S. Typhimurium isolates were DT 12 and DT 120. The antimicrobial resistance analysis yielded a 35.2% of the isolates from the slaughter pigs resistant to one or more antimicrobials while 19.3% were...... resistant to four or more antimicrobials. A significantly higher percentage of resistance to antimicrobials was found in the S. Typhimurium isolates (χ2=4.72, p=0.029), where 42.9% presented resistance to one or more compounds. In breeding herds, just S. Typhimurium and S. 4,5],12:i: – isolates were tested......The objective of this paper is to analyse in further detail the Danish results of the EFSA baseline studies in slaughter pigs and breeding herds, and compare them with the results obtained in (1) the pre-implementation study that was carried out to establish the initial prevalence values...

  1. The effect of resistance level and stability demands on recruitment patterns and internal loading of spine in dynamic flexion and extension using a simple trunk model.

    Science.gov (United States)

    Zeinali-Davarani, Shahrokh; Shirazi-Adl, Aboulfazl; Dariush, Behzad; Hemami, Hooshang; Parnianpour, Mohamad

    2011-07-01

    The effects of external resistance on the recruitment of trunk muscles in sagittal movements and the coactivation mechanism to maintain spinal stability were investigated using a simple computational model of iso-resistive spine sagittal movements. Neural excitation of muscles was attained based on inverse dynamics approach along with a stability-based optimisation. The trunk flexion and extension movements between 60° flexion and the upright posture against various resistance levels were simulated. Incorporation of the stability constraint in the optimisation algorithm required higher antagonistic activities for all resistance levels mostly close to the upright position. Extension movements showed higher coactivation with higher resistance, whereas flexion movements demonstrated lower coactivation indicating a greater stability demand in backward extension movements against higher resistance at the neighbourhood of the upright posture. Optimal extension profiles based on minimum jerk, work and power had distinct kinematics profiles which led to recruitment patterns with different timing and amplitude of activation.

  2. Antibiotic resistance as a global threat: Evidence from China, Kuwait and the United States

    Directory of Open Access Journals (Sweden)

    Rotimi Vincent

    2006-04-01

    Full Text Available Abstract Background Antimicrobial resistance is an under-appreciated threat to public health in nations around the globe. With globalization booming, it is important to understand international patterns of resistance. If countries already experience similar patterns of resistance, it may be too late to worry about international spread. If large countries or groups of countries that are likely to leap ahead in their integration with the rest of the world – China being the standout case – have high and distinctive patterns of resistance, then a coordinated response could substantially help to control the spread of resistance. The literature to date provides only limited evidence on these issues. Methods We study the recent patterns of antibiotic resistance in three geographically separated, and culturally and economically distinct countries – China, Kuwait and the United States – to gauge the range and depth of this global health threat, and its potential for growth as globalization expands. Our primary measures are the prevalence of resistance of specific bacteria to specific antibiotics. We also propose and illustrate methods for aggregating specific "bug-drug" data. We use these aggregate measures to summarize the resistance pattern for each country and to study the extent of correlation between countries' patterns of drug resistance. Results We find that China has the highest level of antibiotic resistance, followed by Kuwait and the U.S. In a study of resistance patterns of several most common bacteria in China in 1999 and 2001, the mean prevalence of resistance among hospital-acquired infections was as high as 41% (with a range from 23% to 77% and that among community- acquired infections was 26% (with a range from 15% to 39%. China also has the most rapid growth rate of resistance (22% average growth in a study spanning 1994 to 2000. Kuwait is second (17% average growth in a period from 1999 to 2003, and the U.S. the lowest (6% from

  3. Isolation and identification of Staphylococcus aureus from milk and milk products and their drug resistance patterns in Anand, Gujarat

    Directory of Open Access Journals (Sweden)

    M. N. Brahmbhatt

    2013-02-01

    Full Text Available Aim: The study was carried out with aim to isolate Staphylococcus aureus from milk and milk products (pedha and curd and determine antibiogram pattern of S. aureus isolates. Materials and Methods: During 9 months duration of study a total of 160 milk and milk product samples (pedha and curd were collected from different places in and around Anand city such as milk collection centre of Co-operative milk dairies, cattle farms, individual household, milk vendors and sweet shops. The samples were collected under aseptic precautions and were enriched in Peptone Water (PW followed by direct plating on selective media viz. Baird-Parker Agar. The presumptive S. aureus isolates were identified by biochemical tests. Antibiogram pattern of S. aureus to antimicrobial agents were evaluated by disk diffusion method. Results: Analysis of result revealed that out of total 160 samples of milk (100 and milk products i.e. curd (30 and pedha (30 resulted in the isolation of 10 isolates (6.25 % of S. aureus. In the present study S. aureus isolates were found variably resistant to the antibiotics tested. The S. aureus isolates showed highest sensitivity towards cephalothin (100.00 %, co-trimoxazole (100.00 %, cephalexin (100.00 % and methicillin (100.00 % followed by gentamicin (90.00 %, ciprofloxacin (80.00 %, oxacillin (70.00 %, streptomycin (60.00 % and ampicillin (60.00 %. The pattern clearly indicated that the overall high percent of S. aureus isolates were resistant to Penicillin-G (100.00 % followed by ampicillin (40.00 %, oxytetracycline and oxacillin (20.00 % and streptomycin and gentamicin (10.00 % Conclusions: Results clearly suggested a possibility of potential public health threat of S. aureus resulting from contamination of milk and milk products with pathogenic bacteria is mainly due to unhygienic processing, handling and unhygienic environment. [Vet World 2013; 6(1.000: 10-13

  4. Padrão de resistência de genótipos de aveia à ferrugem-da-folha na definição de hibridações Resistance pattern of white oat genotypes to crown rust in the definition of crosses

    Directory of Open Access Journals (Sweden)

    Eduardo Alano Vieira

    2006-04-01

    Full Text Available Os objetivos deste trabalho foram: determinar o padrão de resistência/suscetibilidade de 20 genótipos de aveia a 40 isolados de Puccinia coronata f. sp. avenae, coletados em três municípios do Rio Grande do Sul; o padrão de virulência/avirulência desses isolados contra os genótipos de aveia; e indicar genitores para a geração de populações com elevada resistência à ferrugem-da-folha. Os padrões de resistência de Puccinia coronata f. sp. avenae e o de virulência/avirulência dos isolados foram determinados pela avaliação da reação desencadeada pela aspersão dos isolados deste fungo em plântulas de genótipos de aveia. A seleção de genitores foi baseada no índice de complementação de cultivares, proposto neste trabalho. Os genótipos que expressaram resistência ao maior número de isolados foram FAPA6, URS20, UPFA20, CFT1 e FAPA5, ao passo que os genótipos UFRGS15, UPF15, UPF18, UPF19 e UPF16 evidenciaram suscetibilidade ao maior número de isolados. Os cruzamentos mais indicados entre os genótipos estudados são: FAPA6 x Albasul, URS22 x FAPA6, CFT1 x URPEL15 e CFT1 x UFRGS19.The objectives of this work were: to determine the resistance/susceptibility pattern of 20 elite oat genotypes to 40 isolates of Puccinia coronata f. sp. avenae; to determine the pattern of virulence/avirulence of isolates collected in three counties of Rio Grande do Sul to the oat genotypes studied; and to indicate potential parents for the generation of populations with high crown rust resistance. The resistance pattern of oat genotypes and the virulence/avirulence of the fungi were determined by the analysis of the reaction incited by the inoculation of Puccinia coronata f. sp. avenae isolates into seedlings of oat genotypes. The selection of genitors was based on the cultivar complementation index proposed in this work. Genotypes expressing resistance to the larger number of isolates were FAPA6, URS20, UPFA20, CFT1 and FAPA5, while UFRGS15

  5. Prevalence study of enterococus and staphylococci resistance to vancomycin isolated from urinary tract infections

    Directory of Open Access Journals (Sweden)

    Mohammad Kazem Sharifi Yazdi

    2013-07-01

    Full Text Available Background: The role of gram-positive cocci especially Staphylococci species in causing urinary tract infection are well known. Among the Staphylococci species Methicillin Resistance Staphylococcus aureus (MRSA is the most important. The rate of MRSA is increasing worldwide. This is alarming because the danger of these organism in public health. Therefore the aim of this study was to determine the sensitivity of gram-positive cocci, as well as MRSA to vancomycin and other antibiotics.Methods: This was a descriptive study, and were carried out on 300 patients with urinary tract infections (UTI caused by gram-positive cocci, referred to Imam Khomeini hospital during eight months. Prior to the antibiotic sensitivity testing all the isolates were identified according to the standard conventional biochemical procedure, and then the antibiotic susceptibility test were carried out according to Bauer-Kirby method. Results: Among the gram positive cocci causing UTI, the most abundant were Staphylococcus saprophyticus (37.7%, followed by Staphylococcus epidermidis (22.3% and Staphylococcus aureus (18% respectivley. The sex distribution of patients were 163 female (54.3% and 137 male (45.7% respectively, and the prevalence rate of urinary tract infections in female was (8.6% higher than male. The rate of sensitivity of isolated Staphylococci were as followed, sensitive to vancomycine (100%, Ciprofloxacin (89.2%, rifampin (87.6%, and amikacin (71.8% respectivley, but were resistant to penicillin and amoxicillin (100%. The antibiotic sensitivity rate of isolated  Streptococci was to vancomycine (85.1%, ciprofloxacin (50.7% and penicillin (79.1% respectively.Conclusion: Vancomycin is still a suitable antibiotic for the treatment of Staphyloco-ccus infections. Although 6% rate of enterococci resistance to vancomycin is alarming, and use of this antibiotic in the treatment of other gram-positive bacteria should be done with precaution.

  6. Methicillin-Resistant Staphylococcus aureus in the Community in Luanda, Angola: Blurred Boundaries with the Hospital Setting.

    Science.gov (United States)

    Conceição, Teresa; Coelho, Céline; Santos Silva, Isabel; de Lencastre, Hermínia; Aires-de-Sousa, Marta

    2016-01-01

    Although the nosocomial prevalence of methicillin-resistant Staphylococcus aureus (MRSA) in Angola is over 60% and one of the highest in Africa, the extent of MRSA in the community is unknown. To fill this gap, we conducted a hospital-based study in which 158 children attending the emergency ward and ambulatory services of a pediatric hospital in Luanda, the capital of Angola, were screened for S. aureus nasal colonization. Overall, 70 (44.3%) individuals were colonized with S. aureus, of which 20 (28.6%) carried MRSA, resulting in a prevalence of 12.7% (20/158) of MRSA in the population screened. Molecular characterization by pulsed-field gel electrophoresis (PFGE), spa typing, multilocus sequence typing, and SCCmec typing distributed the isolates into two major MRSA clones and one dominant methicillin-susceptible S. aureus (MSSA) lineage, corresponding to the main clones circulating in hospitals in Luanda. The MRSA isolates mainly belonged to clones A (PFGE type A, spa type t105, ST5-IVa-65%) and B (PFGE B, t3869, ST88-IVa-30%), while MSSA isolates mainly belonged to clone L (PFGE type L, t861, ST508-42%). S. aureus isolates showed resistance to penicillin (96%), rifampin (87%), and trimethoprim-sulfamethoxazole (21%). In conclusion, the prevalence of MRSA among children in the community in Luanda is high and seems to originate from hospitals, warranting continuous monitoring and implementation of additional infection control measures.

  7. Repeat Rifaximin for Irritable Bowel Syndrome: No Clinically Significant Changes in Stool Microbial Antibiotic Sensitivity.

    Science.gov (United States)

    Pimentel, M; Cash, B D; Lembo, A; Wolf, R A; Israel, R J; Schoenfeld, P

    2017-09-01

    Rifaximin has demonstrated efficacy and safety for diarrhea-predominant irritable bowel syndrome (IBS-D). To determine the rifaximin repeat treatment effect on fecal bacterial antibiotic susceptibility. Patients with IBS in Trial 3 (TARGET 3) study who responded to open-label rifaximin 550 mg three times daily for 2 weeks, with symptom recurrence within 18 weeks, were randomized to double-blind treatment: two 2-week repeat courses of rifaximin or placebo, separated by 10 weeks. Prospective stool sample collection occurred before and after open-label rifaximin, before and after the first repeat course, and at the end of the study. Susceptibility testing was performed with 11 antibiotics, including rifaximin and rifampin, using broth microdilution or agar dilution methods. Of 103 patients receiving open-label rifaximin, 73 received double-blind rifaximin (n = 37) or placebo (n = 36). A total of 1429 bacterial and yeast isolates were identified, of which Bacteroidaceae (36.7%) and Enterobacteriaceae (33.9%) were the most common. In the double-blind phase, Clostridium difficile was highly susceptible to rifaximin [minimum inhibitory concentration (MIC) range 0.008-1 µg/mL] and rifampin (MIC range 0.004-0.25 µg/mL). Following double-blind rifaximin treatment, Staphylococcus isolates remained susceptible to rifaximin at all visits (MIC 50 range ≤0.06-32 µg/mL). Rifaximin exposure was not associated with long-term cross-resistance of Bacteroidaceae, Enterobacteriaceae, and Enterococcaceae to rifampin or nonrifamycin antibiotics tested. In this study, short-term repeat treatment with rifaximin has no apparent long-term effect on stool microbial susceptibility to rifaximin, rifampin, and nonrifamycin antibiotics. CLINICALTRIALS. NCT01543178.

  8. Simulating pattern-process relationships to validate landscape genetic models

    Science.gov (United States)

    A. J. Shirk; S. A. Cushman; E. L. Landguth

    2012-01-01

    Landscapes may resist gene flow and thereby give rise to a pattern of genetic isolation within a population. The mechanism by which a landscape resists gene flow can be inferred by evaluating the relationship between landscape models and an observed pattern of genetic isolation. This approach risks false inferences because researchers can never feasibly test all...

  9. THE SEGREGATION PATTERN OF INSECT RESISTANCE GENES IN THE PROGENIES AND CROSSES OF TRANSGENIC ROJOLELE RICE

    Directory of Open Access Journals (Sweden)

    Satoto Satoto

    2016-10-01

    Full Text Available Successful application of genetic transformation technique, especially in developing rice variety resistant to brown plant hopper and stem borer, will depend on transgene being expressed and the gene inherited in a stable and predictable manner. This study aimed to analyse transgene segregation pattern of the progenies and the crosses of transgenic rice cv. Rojolele harboring cry1Ab and gna genes. The third generation (T2 of fivetransgenic Rojolele events containing gna and/or cry1Ab were evaluated for two generations to identify the homozygous lines and to study their inheritance. The homozygous lines were selected based on the result of PCR technique. The segregation patterns of gna and cry1Ab were studied in eight F2 populations derived from Rojolele x transgenic Rojolele homozygous for cry1Ab and or gna and their reciprocal crosses. Data  resulted from PCR of F2 population were analysed using a Chi Square test. The study obtained six homozygous lines for gna, namely A22- 1-32, A22-1-37, C72-1-9, F11-1-48, K21-1-39, K21-1-48, and two homozygous lines for cry1Ab, namely K21-1-39 and K21- 1-48. Both cry1Ab and gna transgenes had been inherited through selfing and crossing with their wild type as indicated from the F1 containing gna and cry1Ab as many as 48.4% and 47.4%, respectively. In six of the eight crosses, gna was inherited in a 3:1 ratio consistent with Mendelian inheritance of a single dominant locus, while in the remaining two crosses, gna was segregated in a 1:1 ratio. The presence of cry1Ab in F2 populations also showed a 3:1 segregation ratio in all crosses. In the F2 population derived from F1 plant containing cry1Ab and gna, both transgenes segregated in a 9:3:3:1 dihybrid segregation ratio. This study will add to the diversity of genetic sources for insect resistance and allow further use of these transgenic lines for pyramiding resistance to brown plant hopper and stem borer or  separately in rice breeding programs whenever

  10. Prevalence of community-associated methicillin–resistant ...

    African Journals Online (AJOL)

    Background: Community-associated methicillin-resistant Staphylococcus aureus is increasingly reported. This study investigated its prevalence in urine of healthy women and resistance pattern to other antibiotics. Methods: Urine samples collected from healthy women volunteers in Federal Capital Territory were cultured ...

  11. Antimicrobial Susceptibility of Brucella melitensis Isolates in Peru

    Science.gov (United States)

    2011-03-01

    2011, American Society for Microbiology. All Rights Reserved. Antin1icrobial Susceptibility of Brucella melitensis Isolates in Peru 9 Ryan C. Maves,1...48 human Brucella melitensis biotype 1 strains from Peru between 2000 and 2006. MICs of isolates to doxycycline, azithromycin, gentamicin, rifampin...of testing. Relapses did nut appear to be related tu drug resistance. Infection by Brucella species is a major cause of zoonotic disease

  12. A high-throughput approach to identify compounds that impair envelope integrity in Escherichia coli

    DEFF Research Database (Denmark)

    Baker, Kristin Renee; Jana, Bimal; Franzyk, Henrik

    2016-01-01

    - to 125-fold) the MICs of erythromycin, fusidic acid, novobiocin and rifampin and displayed synergy (fractional inhibitory concentration index, antibiotics by checkerboard assays in two genetically distinct E. coli strains, including the high-risk multidrug-resistant, CTX-M-15-producing...... the discovery of antimicrobial helper drug candidates and targets that enhance the delivery of existing antibiotics by impairing envelope integrity in Gram-negative bacteria....

  13. In vitro susceptibility of Actinobacillus pleuropneumoniae strains to 42 antimicrobial agents.

    Science.gov (United States)

    Gutiérrez, C B; Píriz, S; Vadillo, S; Rodríguez Ferri, E F

    1993-04-01

    Minimal inhibitory concentration of 42 antimicrobial agents was determined against 57 field strains of Actinobacillus pleuropneumoniae isolated from pigs in Spain. Penicillins, aminoglycosides, and tetracyclines had irregular activity; ticarcillin, tobramycin, and doxycycline were the most active of each group, respectively. Macrolides, vancomycin, dapsone, and tiamulin, to which strains had high rate of resistance, were almost ineffective. Thiamphenicol, colistin, rifampin, fosfomycin, mupirocin, and metronidazole had good activity, with resistance ranging between 0 and 8.8%. Finally, cephalosporins (except cephalexin) and quinolones (especially ciprofloxacin, enrofloxacin, and sparfloxacin) were the most active antibiotics against A pleuropneumoniae.

  14. MOLECULAR IDENTIFICATION AND ANTIMICROBIAL RESISTANCE PATTERN OF SEVEN CLINICAL ISOLATES OF Nocardia spp. IN BRAZIL

    Directory of Open Access Journals (Sweden)

    Larissa Anuska Zeni CONDAS

    2015-06-01

    Full Text Available Nocardia is a ubiquitous microorganism related to pyogranulomatous infection, which is difficult to treat in humans and animals. The occurrence of the disease is on the rise in many countries due to an increase in immunosuppressive diseases and treatments. This report of cases from Brazil presents the genotypic characterization and the antimicrobial susceptibility pattern using the disk-diffusion method and inhibitory minimal concentration with E-test® strips. In summary, this report focuses on infections in young adult men, of which three cases were cutaneous, two pulmonary, one neurological and one systemic. The pulmonary, neurological and systemic cases were attributed to immunosuppressive diseases or treatments. Sequencing analysis of the 16S rRNA segments (1491 bp identified four isolates of Nocardia farcinica, two isolates of Nocardia nova and one isolate of Nocardia asiatica. N. farcinica was involved in two cutaneous, one systemic and other pulmonary cases; N. nova was involved in one neurological and one pulmonary case; and Nocardia asiatica in one cutaneous case. The disk-diffusion antimicrobial susceptibility test showed that the most effective antimicrobials were amikacin (100%, amoxicillin/clavulanate (100%, cephalexin (100% and ceftiofur (100%, while isolates had presented most resistance to gentamicin (43%, sulfamethoxazole/trimethoprim (43% and ampicillin (29%. However, on the inhibitory minimal concentration test (MIC test, only one of the four isolates of Nocardia farcinica was resistant to sulfamethoxazole/trimethoprim.

  15. Transgenic expression of the rice Xa21 pattern-recognition receptor in banana (Musa sp.) confers resistance to Xanthomonas campestris pv. musacearum.

    Science.gov (United States)

    Tripathi, Jaindra N; Lorenzen, Jim; Bahar, Ofir; Ronald, Pamela; Tripathi, Leena

    2014-08-01

    Banana Xanthomonas wilt (BXW), caused by the bacterium Xanthomonas campestris pv. musacearum (Xcm), is the most devastating disease of banana in east and central Africa. The spread of BXW threatens the livelihood of millions of African farmers who depend on banana for food security and income. There are no commercial chemicals, biocontrol agents or resistant cultivars available to control BXW. Here, we take advantage of the robust resistance conferred by the rice pattern-recognition receptor (PRR), XA21, to the rice pathogen Xanthomonas oryzae pv. oryzae (Xoo). We identified a set of genes required for activation of Xa21-mediated immunity (rax) that were conserved in both Xoo and Xcm. Based on the conservation, we hypothesized that intergeneric transfer of Xa21 would confer resistance to Xcm. We evaluated 25 transgenic lines of the banana cultivar 'Gonja manjaya' (AAB) using a rapid bioassay and 12 transgenic lines in the glasshouse for resistance against Xcm. About 50% of the transgenic lines showed complete resistance to Xcm in both assays. In contrast, all of the nontransgenic control plants showed severe symptoms that progressed to complete wilting. These results indicate that the constitutive expression of the rice Xa21 gene in banana results in enhanced resistance against Xcm. Furthermore, this work demonstrates the feasibility of PRR gene transfer between monocotyledonous species and provides a valuable new tool for controlling the BXW pandemic of banana, a staple food for 100 million people in east Africa. © 2014 Society for Experimental Biology, Association of Applied Biologists and John Wiley & Sons Ltd.

  16. Resistance monitoring and cross-resistance patterns of three rice planthoppers, Nilaparvata lugens, Sogatella furcifera and Laodelphax striatellus to dinotefuran in China.

    Science.gov (United States)

    Mu, Xi-Chao; Zhang, Wei; Wang, Li-Xiang; Zhang, Shuai; Zhang, Kai; Gao, Cong-Fen; Wu, Shun-Fan

    2016-11-01

    Three rice planthoppers, brown planthopper, Nilaparvata lugens, white-backed planthopper, Sogatella furcifera and small brown planthopper, Laodelphax striatellus, are important pests of cultivated rice in tropical and temperate Asia. They have caused severe economic loss and developed resistance to insecticides from most chemical classes. Dinotefuran is the third neonicotinoid which possesses a broad spectrum and systemic insecticidal activity. We determined the susceptibility of dinotefuran to field populations from major rice production areas in China from 2013 to 2015. All the populations of S. furcifera and L. striatellus were kept susceptible to dinotefuran (0.7 to 1.4-fold of S. furcifera and 1.1-to 3.4-fold of L. striatellus) However, most strains of N. lugens (except FQ15) collected in 2015 had developed moderate resistance to dinotefuran, with resistance ratios (RR) ranging from 23.1 to 100.0 folds. Cross-resistance studies showed that chlorpyrifos-resistant and buprofezin-resistant Sogatella furcifera, chlorpyrifos-resistant and fipronil-resistant L. striatellus, imidacloprid-resistant and buprofezin-resistant Nilaparvata lugens exhibited negligible or no cross-resistance to dinotefuran. Synergism tests showed that piperonyl butoxide (PBO) produced a high synergism of dinotefuran effects in the DY15 and JS15 populations (2.14 and 2.52-fold, respectively). The obvious increase in resistance to dinotefuran in N. lugens indicates that insecticide resistance management strategies are urgently needed to prevent or delay further increase of insecticide resistance in N. lugens. Copyright © 2016 Elsevier B.V. All rights reserved.

  17. antimicrobial susceptibility pattern of Salmonella species

    African Journals Online (AJOL)

    user

    ABSTRACT. Treatment of enteric fever is increasingly becoming very challenging due to the increasing wave of antibiotic resistance. This study is a review of the contemporary antimicrobial susceptibility pattern of. Salmonella species. The antimicrobial susceptibility pattern of Salmonella species to a wide range of.

  18. Resistência a antimicrobianos de Escherichia coli isolada de dejetos suínos em esterqueiras Antibiotic-resistance of Escherichia coli isolates from stored pig slurry

    Directory of Open Access Journals (Sweden)

    F.F.P. Silva

    2008-06-01

    Full Text Available The antimicrobial resistance of 96 Escherichia coli strains isolated from a stabilization pond system on a pig-breeding farm was evaluated. Strains were tested for their resistance against 14 antimicrobial using the agar diffusion method. E. coli strains showed resistance to tetracycline (82.3%, nalidixic acid (64%, ampicilin (41%, sulfamethoxazole/trimethoprin (36%, sulfonamide (34%, cloranphenicol (274%, ciprofloxacin (19%, cefaclor (16%, streptomicyn (7.3%, neomicyn (1%, amoxacilin/ clavulanic acid (1%, and amikacin (1%. No resistance was observed to gentamicin and tobramycin, and 37.5% of E. coli strains were resistant to four or more antimicrobials. The multiresistance pattern was found in strains isolated during all sampled period. Strains showed a high variability in the antimicrobial resistance pattern.

  19. Mobility patterns of persons at risk for drug-resistant tuberculosis in Mumbai, India.

    Science.gov (United States)

    Conners, E; Garfein, R S; Rodwell, T C; Udwadia, Z F; Catanzaro, D G

    2016-12-01

    Tuberculosis (TB) hospital in Mumbai, India. To describe the mobility patterns of persons with suspected drug-resistant tuberculosis (DR-TB) and to assess whether there were significant differences in demographic or risk characteristics based on mobility. Observational cohort study of TB clinic patients at risk for DR-TB. Among 602 participants, 37% had ever moved from their place of birth; 14% were local movers (within state), and 23% were distant movers, between states or countries. Univariate multinomial logistic regression models showed that distant movers were more likely than non-movers to have lower income, less education, a greater number of previous TB episodes, and to have ever smoked. Compared to non-movers, local movers were more likely to have lower income and were more likely to have seen a doctor in the past 2 years. Clinical outcomes, including DR-TB, diabetes, and human immunodeficiency virus (HIV), did not differ between the three mobility groups. Mobility was common among patients at risk for DR-TB in Mumbai. TB programs should consider the implications of mobility on the protracted treatment for DR-TB in India.

  20. In vitro susceptibility of Pasteurella multocida subspecies multocida strains isolated from swine to 42 antimicrobial agents.

    Science.gov (United States)

    Gutiérrez Martin, C B; Rodríguez Ferri, E F

    1993-08-01

    The minimal inhibitory concentrations (MICs) of 42 antimicrobial agents were determined against 59 strains of Pasteurella multocida subspecies multocida, all isolated from swine lungs with lesions indicative of pneumonia. Penicillins (except cloxacillin), aminoglycosides, tetracyclines, erythromycin, josamycin, thiamphenicol, colistin, rifampin and mupirocin showed good activities, with ranging resistance between 0 and 6.8%. Higher resistance was observed for spiramycin and fosfomycin. Tylosin, vancomycin, metronidazole, dapsone and tiamulin, to which strains showed high rates of resistance, were ineffective. Cephalosporins (especially the third-generation cephalosporins) and quinolones (especially the fluorinated quinolones) were the most effective antimicrobial agents against P. multocida subsp. multocida strains and they might be of value for in vivo use.

  1. Analysis of Acinetobacter baumannii resistance patterns in patients with chronic obstructive pulmonary disease (COPD) in terms of choice of effective empiric antibiotic therapy.

    Science.gov (United States)

    Grochowalska, Aneta; Kozioł-Montewka, Maria; Sobieszczańska, Anna

    2017-06-12

    Introduction. Multi-resistant Acinetobacter baumannii isolated from patients has become one of the most hazardous pathogens in health care settings. The aim of the study was to analyze pneumonia caused by Acinetobacter baumannii in patients hospitalized because of exacerbation of chronic obstructive pulmonary diseases (COPD), who were admitted to the Pulmonology Ward of the Masovian Specialistic Hospital in Radom (MSS). The incidence and drug sensitivity of these non-fermenting rods were evaluated, and compliance with antimicrobial procedure with the algorithm of the guidelines in applicable recommendations, was estimated. This should result in determining the local patterns of resistance and verifying therapeutic procedures in accordance with the assumptions of hospital antibiotic policy. In addition, the study examined the effectiveness of empiric and targeted therapy according to the clinical condition of the patient, and the eradication of A. baumannii, in comparison with the aggravating factors of the patient. Materials and Method. The retrospective study included 90 patients with exacerbation of COPD whose etiological factor of infection was A. baumannii, hospitalized in the Department of Pulmonology (MSS) in 2012-2016. Results. Studies were conducted on 90 patients with COPD exacerbation from which A. baumannii was isolated. Co-infections with other bacterial species among 41 patients were additionally noted. The majority of A. baumannii strains showed a high resistance (90%) to fluoroquinolones, ceftazidime, piperacillin/tazobactam. For strains causing a co-infection, drug resistance was successively 44-56%, 44%, 44%. All of patients received empirical therapy. The most commonly used drug was amoxicillin with a clavulanic acid, often combined with fluoroquinolone. This type of therapy was effective among 10% of patients. The mortality in this group was determined at 29%. Among 79% of patients with COPD, a targeted therapy was performed which proved to be

  2. Multilevel integration of patternable low-κ material into advanced Cu BEOL

    Science.gov (United States)

    Lin, Qinghuang; Chen, S. T.; Nelson, A.; Brock, P.; Cohen, S.; Davis, B.; Fuller, N.; Kaplan, R.; Kwong, R.; Liniger, E.; Neumayer, D.; Patel, J.; Shobha, H.; Sooriyakumaran, R.; Purushothaman, S.; Spooner, T.; Miller, R.; Allen, R.; Wisnieff, R.

    2010-04-01

    In this paper, we wish to report, for the first time, on a simple, low-cost, novel way to form dual-damascene copper (Cu) on-chip interconnect or Back-End-Of-the-Line (BEOL) structures using a patternable low dielectric constant (low-κ) dielectric material concept. A patternable low-κ dielectric material combines the functions of a traditional resist and a dielectric material into one single material. It acts as a traditional resist during patterning and is subsequently converted to a low-κ dielectric material during a post-patterning curing process. No sacrificial materials (separate resists or hardmasks) and their related deposition, pattern transfer (etch) and removal (strip) are required to form dual-damascene BEOL patterns. We have successfully demonstrated multi-level dual-damascene integration of a novel patternable low-κ dielectric material into advanced Cu BEOL. This κ=2.7 patternable low-κ material is based on the industry standard SiCOH-based (silsesquioxane polymer) material platform and is compatible with 248 nm optical lithography. Multilevel integration of this patternable low-κ material at 45 nm node Cu BEOL fatwire levels has been demonstrated with very high electrical yields using the current manufacturing infrastructure.

  3. Evaluation of Antibiotic Resistance Patterns and Heavy Metals ...

    African Journals Online (AJOL)

    PROF HORSFALL

    Bacteria Isolated from Contaminated Soils and Sediments from Warri, Delta ... Faculty of Life Sciences, University of Benin, P.M.B 1154, Benin City, Nigeria. ... atmosphere, soil and water bodies (Ali et al., 2013). ... Antimicrobial drug resistance in bacterial pathogens is ... to the laboratory and stored at 4°C prior to microbial.

  4. Metal Oxide Nanoparticle Photoresists for EUV Patterning

    KAUST Repository

    Jiang, Jing

    2014-01-01

    © 2014SPST. Previous studies of methacrylate based nanoparticle have demonstrated the excellent pattern forming capability of these hybrid materials when used as photoresists under 13.5 nm EUV exposure. HfO2 and ZrO2 methacrylate resists have achieved high resolution (∼22 nm) at a very high EUV sensitivity (4.2 mJ/cm2). Further investigations into the patterning process suggests a ligand displacement mechanism, wherein, any combination of a metal oxide with the correct ligand could generate patterns in the presence of the suitable photoactive compound. The current investigation extends this study by developing new nanoparticle compositions with transdimethylacrylic acid and o-toluic acid ligands. This study describes their synthesis and patterning performance under 248 nm KrF laser (DUV) and also under 13.5 nm EUV exposures (dimethylacrylate nanoparticles) for the new resist compositions.

  5. Clostridium difficile Infection and Patient-Specific Antimicrobial Resistance Testing Reveals a High Metronidazole Resistance Rate.

    Science.gov (United States)

    Barkin, Jodie A; Sussman, Daniel A; Fifadara, Nimita; Barkin, Jamie S

    2017-04-01

    Clostridium difficile (CD) infection (CDI) causes marked morbidity and mortality, accounting for large healthcare expenditures annually. Current CDI treatment guidelines focus on clinical markers of patient severity to determine the preferred antibiotic regimen of metronidazole versus vancomycin. The antimicrobial resistance patterns for patients with CD are currently unknown. The aim of this study was to define the antimicrobial resistance patterns for CD. This study included all patients with stools sent for CD testing to a private laboratory (DRG Laboratory, Alpharetta, Georgia) in a 6-month period from across the USA. Patient data was de-identified, with only age, gender, and zip-code available per laboratory protocol. All samples underwent PCR testing followed by hybridization for CD toxin regions A and B. Only patients with CD-positive PCR were analyzed. Antimicrobial resistance testing using stool genomic DNA evaluated presence of imidazole- and vancomycin-resistant genes using multiplex PCR gene detection. Of 2743, 288 (10.5%) stool samples were positive for CD. Six were excluded per protocol. Of 282, 193 (69.4%) were women, and average age was 49.4 ± 18.7 years. Of 282, 62 were PCR positive for toxins A and B, 160 for toxin A positive alone, and 60 for toxin B positive alone. Antimicrobial resistance testing revealed 134/282 (47.5%) patients resistant to imidazole, 17 (6.1%) resistant to vancomycin, and 9 (3.2%) resistant to imidazole and vancomycin. CD-positive patients with presence of imidazole-resistant genes from stool DNA extract was a common phenomenon, while vancomycin resistance was uncommon. Similar to treatment of other infections, antimicrobial resistance testing should play a role in CDI clinical decision-making algorithms to enable more expedited and cost-effective delivery of patient care.

  6. Resistance pattern of clinical isolates of staphylococcus aureus against five groups of antibiotics

    International Nuclear Information System (INIS)

    Farzana, K.; Hameed, A.

    2006-01-01

    Among the samples received in pathology laboratory, Pakistan institute of Medical Science, Islamabad, 5069 samples had bacterial growth, among these 2580 (51%) samples were Gram-positive cocci and 1688 were Staphylococcus aureus during a period of two years. Out of these Gram-positive cocci 56% were resistant to penicillin group, 27% were resistant to cephalosporin group, 22% were resistant to aminoglycoside group 15% were resistant to quinolone group and 31% were resistant to other antibiotics (cotrimaxazole, erythromycin, aztreonam, vancomycin, nitrofurantion and meropenam). Antibio-grams of Gram-positive cocci were determined against various antibiotics by disc diffusion method. The rate of resistance to most of the antibiotics such as ampicillin, piperacillin, carbenicillin, penicillin, cephradine, cefotaxime, erythromycin, ceclor, ofloxacin, pefloxacin, ciprofloxacin, cotrimexazole (septran), gentamicin, meropenem, ceftazidime, erythromycin, tobramycin, enoxacin was higher when tested against the isolates collected from pus as compared to those from blood and urine. Antibiotic resistant strains were more prevalent in pus samples than other clinical isolates (blood and urine). The randomly selected 155 strains of Staphylococcus aureus when tested against five groups of antibiotics showed resistance rate against ampicillin (92%), cephradine (92%), cephradine (60%), and gentamicin (58%). However intermediate resistance was found in case of vancomicin (38%), in hospitalized and non-hospitalized patients. (author)

  7. Prevalence of coagulase-negative staphylococci and determination of antimicrobial resistance in accompany with types of SCCmec in isolated of nosocomial infections

    Directory of Open Access Journals (Sweden)

    Mohammad Reza Arabestani

    2016-03-01

    Full Text Available Background: Coagulase-negative staphylococci (CoNS were considered as contaminats previously, but, during the past decade considered as one of the most common photogenic bacteria in hospital. Resistance to beta-lactams especially methicillin in staphylococcus species is being worrying in hospitals. Rapid identification of mechanisms of resistance and confirmation of their resistance to methicillin is a basic principle for antibiotic treatment. The aim of this study was to determine antibiotic resistance, frequency of mecA gene, and determination of SCCmec types in CoNS isolates from teaching hospitals in Iran. Methods: The descriptive cross-sectional study was carried out one hundred clinical samples isolated from patients with an average age of 7-69 years at teaching hospitals in Hamadan City, Iran, from September 2014 to February 2015. After confirmation of isolates by microbiological standard biochemical tests, antimicrobial susceptibility testing was performed by disk agar diffusion (DAD method. After extraction of isolated genomicm, mecA gene was detected. Then, the types of SCCmec were performed by PCR. Results: In this study, 387 clinical samples were collected which among 100 CoNS isolated, Staphylococcus epidermidis was the most prevalent species with frequency 55 (55%, followed by S. haemolyticus 40(40% and S. saprophyticus 5(5%. The highest antibiotic susceptibility was to rifampin 96(96% and the lowest resistance was detected for trimethoprim/sulfamethoxazole (TMP/SMX 47(47%. None of the strains were resistant to vancomycin. Resistance to methicillin was detected in 50% of CoNS isolates. Typing of SCCmec was performed by The polymerase chain reaction (PCR. Frequency types of SCCmec was type III with frequency 13(13%, type V 11(11%, type II 6(6%, type IV 4 (4%, type I 3(3% respectively. Thirteen isolated was not typable in this study. Conclusion: The result of this study showed that a large percentage of coagulase

  8. Frequency of escherichia coli in patients with community acquired urinary tract infection and their resistance pattern against some commonly used anti bacterials

    International Nuclear Information System (INIS)

    Ahmad, W.; Jamshed, F.; Ahmad, W.

    2015-01-01

    Urinary tract infection (UTI) is a very common health problem and Escherichia coli (E coli) are the most common organisms associated with community acquired UTI. Unfortunately these bacteria have developed extensive resistance against most of the commonly used anti-bacterials. The objective of this study was to determine the frequency and resistance pattern of E coli in patients of community acquired UTI in an area in northern part of Pakistan. Methods: Urine specimens were collected from patients who were clinically diagnosed as community acquired UTI. Urine routine examination (Urine RE) was done and samples positive for UTI (Pus cells >10/High Power Field) were included in the study. These samples were inoculated on Eosin Methylene Blue (EMB) agar plates and incubated at 37 degree C for 36 hours. Suspected colonies were then inoculated further on EMB plates for pure cultures of E coli characterized by certain morphological characteristics. IMViC was applied for the confirmation of E coli. In vitro antibiotic susceptibility tests of E coli were performed with standardized commercial susceptibility discs (OXOID). Results: Out of 50 specimens, positive for UTI by urine RE, 20 showed pure growth of E coli on culture (40%). The majority of the isolates (28%; n=14) were from women while only 12% (n=6) were from men. Escherichia coli showed a high rate of resistance towards Ampicillin (90%), Tetracycline (70%), Erythromycin (70%) and Trimethoprim-Sulfamethoxazole (55%). Sparfloxacin showed better results (45%) than ciprofloxacin (50%). Out of 20 E coli isolates, two (10%) were resistant to all the antibacterials except chloramphenicol, eight isolates (40%) showed resistance to six or more than six while 14 (70%) were resistant to four or more than four drugs. Conclusion: Rate of resistance of E coli against commonly used antibacterials was quite high and majority of the strains showed multidrug resistance. (author)

  9. Inheritance patterns and identification of microsatellite markers linked to the rice blast resistance in BC2F1 population of rice breeding

    Directory of Open Access Journals (Sweden)

    Gous Miah

    2015-03-01

    Full Text Available The BC2F1 population was derived from a cross between rice variety, MR219 (susceptible to blast and Pongsu Seribu 1 (resistant to blast. The objectives of this research were to know the inheritance pattern of blast resistance and to identify the linked markers associated with blast resistance in BC2F1 population. Sixteen microsatellite markers were found as polymorphic between the parents related to blast resistant genes (Pi-genes. Among the selected blast resistant linked markers, two markers RM6836 and RM8225 showed expected testcross ratio (1:1 for single-gene model in the BC2F1 population with the association between resistant and susceptible progeny. A total of 333-BC2F1 plants were challenged with the most virulent pathotype P7.2 of Magnaporthe oryzae. Chi-square (χ2 analysis for phenotypic segregation in single-gene model showed goodness of fit (P = 0.4463 to the expected segregation ratio (1:1. In marker segregation analysis, two polymorphic markers (RM6836 and RM8225 clearly showed goodness of fit to the expected segregation testcross ratio (1:1 for the single-gene model. The marker RM8225 and RM6836 showed significant R2 values higher than 10 for the trait of the blast lesions degree (BLD. The positions of RM6836 and RM8225 markers on rice chromosome 6 and the distance between these two markers is 0.2 cM. We conclude that single dominant gene control the blast resistance in Pongsu Seribu 1 located on chromosome 6, which is linked to RM8225 and RM6836 microsatellite markers. This information could be useful in marker-assisted selection for blast resistance in rice breeding involving Pongsu Seribu 1.

  10. Cost-effective, transfer-free, flexible resistive random access memory using laser-scribed reduced graphene oxide patterning technology.

    Science.gov (United States)

    Tian, He; Chen, Hong-Yu; Ren, Tian-Ling; Li, Cheng; Xue, Qing-Tang; Mohammad, Mohammad Ali; Wu, Can; Yang, Yi; Wong, H-S Philip

    2014-06-11

    Laser scribing is an attractive reduced graphene oxide (rGO) growth and patterning technology because the process is low-cost, time-efficient, transfer-free, and flexible. Various laser-scribed rGO (LSG) components such as capacitors, gas sensors, and strain sensors have been demonstrated. However, obstacles remain toward practical application of the technology where all the components of a system are fabricated using laser scribing. Memory components, if developed, will substantially broaden the application space of low-cost, flexible electronic systems. For the first time, a low-cost approach to fabricate resistive random access memory (ReRAM) using laser-scribed rGO as the bottom electrode is experimentally demonstrated. The one-step laser scribing technology allows transfer-free rGO synthesis directly on flexible substrates or non-flat substrates. Using this time-efficient laser-scribing technology, the patterning of a memory-array area up to 100 cm(2) can be completed in 25 min. Without requiring the photoresist coating for lithography, the surface of patterned rGO remains as clean as its pristine state. Ag/HfOx/LSG ReRAM using laser-scribing technology is fabricated in this work. Comprehensive electrical characteristics are presented including forming-free behavior, stable switching, reasonable reliability performance and potential for 2-bit storage per memory cell. The results suggest that laser-scribing technology can potentially produce more cost-effective and time-effective rGO-based circuits and systems for practical applications.

  11. Antimicrobial resistance patterns of bovine Salmonella enterica isolates submitted to the Wisconsin Veterinary Diagnostic Laboratory: 2006-2015.

    Science.gov (United States)

    Valenzuela, J R; Sethi, A K; Aulik, N A; Poulsen, K P

    2017-02-01

    Salmonellosis on the dairy continues to have a significant effect on animal health and productivity and in the United States. Additionally, Salmonella enterica ssp. enterica causes an estimated 1.2 million cases of human illness annually. Contributing to the morbidity and mortality in both human and domestic animal species is emergence of antimicrobial resistance by Salmonella species and increased incidence of multidrug-resistant isolates. This study describes serotype distribution and the antimicrobial resistance patterns for various Salmonella serotypes isolated from bovine samples submitted to the Wisconsin Veterinary Diagnostic Laboratory (WVDL) over the past 10 yr. Salmonella serotyping and antimicrobial susceptibility testing data were obtained from the laboratory information management system at WVDL. Data from accessions were limited to bovine samples submitted to the WVDL between January 2006 and June 2015 and those that had both a definitive serotype and complete results for antimicrobial susceptibility testing. A total of 4,976 isolates were identified. Salmonella enterica ser. Dublin was the most prevalent serotype identified among bovine samples submitted to the WVDL, accounting for a total of 1,153 isolates (23% of total isolates) over the study period. Along with Dublin, Salmonella enterica ser. Cerro (795, 16%), Newport (720, 14%), Montevideo (421, 8%), Kentucky (419, 8%), and Typhimurium (202, 4%) comprised the top 6 most commonly isolated serotypes during that time. Overall, resistance of bovine Salmonella isolates in the study population remained stable, although decreases in resistance were noted for gentamicin, neomycin, and trimethoprim sulfamethoxazole during the study period. All isolates remained susceptible to enrofloxacin. These data show that antimicrobial susceptibility for bovine Salmonella has changed in the population served by WVDL in the past 10 yr. This information is important for understanding Salmonella disease ecology in

  12. Antimicrobial consumption, costs and resistance patterns: a two year prospective study in a Romanian intensive care unit.

    Science.gov (United States)

    Axente, Carmen; Licker, Monica; Moldovan, Roxana; Hogea, Elena; Muntean, Delia; Horhat, Florin; Bedreag, Ovidiu; Sandesc, Dorel; Papurica, Marius; Dugaesescu, Dorina; Voicu, Mirela; Baditoiu, Luminita

    2017-05-22

    Due to the vulnerable nature of its patients, the wide use of invasive devices and broad-spectrum antimicrobials used, the intensive care unit (ICU) is often called the epicentre of infections. In the present study, we quantified the burden of hospital acquired pathology in a Romanian university hospital ICU, represented by antimicrobial agents consumption, costs and local resistance patterns, in order to identify multimodal interventional strategies. Between 1 st January 2012 and 31 st December 2013, a prospective study was conducted in the largest ICU of Western Romania. The study group was divided into four sub-samples: patients who only received prophylactic antibiotherapy, those with community-acquired infections, patients who developed hospital acquired infections and patients with community acquired infections complicated by hospital-acquired infections. The statistical analysis was performed using the EpiInfo version 3.5.4 and SPSS version 20. A total of 1596 subjects were enrolled in the study and the recorded consumption of antimicrobial agents was 1172.40 DDD/ 1000 patient-days. The presence of hospital acquired infections doubled the length of stay (6.70 days for patients with community-acquired infections versus 16.06/14.08 days for those with hospital-acquired infections), the number of antimicrobial treatment days (5.47 in sub-sample II versus 11.18/12.13 in sub-samples III/IV) and they increased by 4 times compared to uninfected patients. The perioperative prophylactic antibiotic treatment had an average length duration of 2.78 while the empirical antimicrobial therapy was 3.96 days in sample II and 4.75/4.85 days for the patients with hospital-acquired infections. The incidence density of resistant strains was 8.27/1000 patient-days for methicilin resistant Staphylococcus aureus, 7.88 for extended spectrum β-lactamase producing Klebsiella pneumoniae and 4.68/1000 patient-days for multidrug resistant Acinetobacter baumannii. Some of the most

  13. The effect of fibre layering pattern in resisting bending loads of natural fibre-based hybrid composite materials

    Directory of Open Access Journals (Sweden)

    Jusoh Muhamad Shahirul Mat

    2016-01-01

    Full Text Available The effect of fibre layering pattern and hybridization on the flexural properties of composite hybrid laminates between natural fibres of basalt, jute and flax with synthetic fibre of E-glass reinforced epoxy have been investigated experimentally. Results showed that the effect fibre layering pattern was highly significant on the flexural strength and modulus, which were strongly dependent on the hybrid configuration between sandwich-like (SL and intercalation (IC sequence of fibre layers. In addition, specific modulus based on the variation densities of the hybrid laminates was used to discover the best combination either basalt, jute or flax with E-glass exhibits superior properties concerning on the strength to weight-ratio. Generally, SL sequence of glass/basalt exhibited superior strength and stiffness compared with glass/jute and glass/flax in resisting bending loads. In terms of hybridization effect, glass/jute was found to be the best combination with E-glass compared to the rest of natural fibres investigated in the present study. Hence, the proper stacking sequences and material selection are among predominant factors that influence on mechanical properties and very crucial in designing composite hybrid system to meet the desired requirements.

  14. Contrasting patterns of insecticide resistance and knockdown resistance (kdr) in the dengue vectors Aedes aegypti and Aedes albopictus from Malaysia.

    Science.gov (United States)

    Ishak, Intan H; Jaal, Zairi; Ranson, Hilary; Wondji, Charles S

    2015-03-25

    Knowledge on the extent, distribution and mechanisms of insecticide resistance is essential for successful insecticide-based dengue control interventions. Here, we report an extensive resistance profiling of the dengue vectors Aedes aegypti and Aedes albopictus across Malaysia and establish the contribution of knockdown resistance mechanism revealing significant contrast between both species. Aedes mosquitoes were collected from four states in Malaysia in 2010 using ovitraps and tested against six major insecticides using WHO bioassays. Knockdown resistance (kdr) was investigated in both species. A moderate resistance to temephos was detected from samples collected in 2010 in Penang, Kuala Lumpur, Johor Bharu and Kota Bharu (1.5 Malaysia but neither of these mutations were found in Ae. albopictus. Additionally, signatures of selection were detected on the Voltage-gated sodium channel gene in Ae. aegypti but not in Ae. albopictus. The presence of the 1534C allele was significantly associated with pyrethroid resistance and an additive effect to pyrethroid resistance was observed in individuals containing both kdr alleles. Findings from this study will help to design and implement successful insecticide-based interventions against Ae. aegypti and Ae. albopictus to improve dengue control across Malaysia.

  15. International spread of multidrug-resistant Salmonella Schwarzengrund in food products

    DEFF Research Database (Denmark)

    Aarestrup, Frank Møller; Hendriksen, Rene S.; Lockett, Jana

    2007-01-01

    We compared 581 Salmonella enterica serotype Schwarzengrund isolates from persons, food, and food animals in Denmark, Thailand, and the United States by antimicrobial drug susceptibility and pulsed-field gel electrophoresis (PFGE) typing. Resistance, including resistance to nalidixic acid......, was frequent among isolates from persons and chickens in Thailand, persons in the United States, and food imported from Thailand to Denmark and the United States. A total of 183 PFGE patterns were observed, and 136 (23.4%) isolates had the 3 most common patterns. Seven of 14 isolates from persons in Denmark...... had patterns found in persons and chicken meat in Thailand; 22 of 390 human isolates from the United States had patterns found in Denmark and Thailand. This study suggests spread of multidrug-resistant S. Schwarzengrund from chickens to persons in Thailand, and from imported Thai food products...

  16. Reducing contact resistance in graphene devices through contact area patterning.

    Science.gov (United States)

    Smith, Joshua T; Franklin, Aaron D; Farmer, Damon B; Dimitrakopoulos, Christos D

    2013-04-23

    Performance of graphene electronics is limited by contact resistance associated with the metal-graphene (M-G) interface, where unique transport challenges arise as carriers are injected from a 3D metal into a 2D-graphene sheet. In this work, enhanced carrier injection is experimentally achieved in graphene devices by forming cuts in the graphene within the contact regions. These cuts are oriented normal to the channel and facilitate bonding between the contact metal and carbon atoms at the graphene cut edges, reproducibly maximizing "edge-contacted" injection. Despite the reduction in M-G contact area caused by these cuts, we find that a 32% reduction in contact resistance results in Cu-contacted, two-terminal devices, while a 22% reduction is achieved for top-gated graphene transistors with Pd contacts as compared to conventionally fabricated devices. The crucial role of contact annealing to facilitate this improvement is also elucidated. This simple approach provides a reliable and reproducible means of lowering contact resistance in graphene devices to bolster performance. Importantly, this enhancement requires no additional processing steps.

  17. Degradation pattern of black phosphorus multilayer field-effect transistors in ambient conditions: Strategy for contact resistance engineering in BP transistors

    Science.gov (United States)

    Lee, Byung Chul; Kim, Chul Min; Jang, Ho-Kyun; Lee, Jae Woo; Joo, Min-Kyu; Kim, Gyu-Tae

    2017-10-01

    Black phosphorus (BP) has been proposed as a future optoelectronic material owing to its direct bandgap with excellent electrical performances. However, oxygen (O2) and water (H2O) molecules in an ambient condition can create undesired bubbles on the surface of the BP, resulting in hampering its excellent intrinsic properties. Here, we report the electrical degradation pattern of a mechanically exfoliated BP field-effect transistor (FET) in terms of the channel and contact, separately. Various electrical parameters such as the threshold voltage (VTH), carrier mobility (μ), contact resistance (RCT) and channel resistance (RCH) are estimated by the Y function method (YFM) with respect to time (up to 2000 min). It is found that RCT reduces and then, increases with time; whereas, the behavior of RCH is vice versa in ambient conditions. We attribute these effects to oxygen doping at the contact and the surface oxidation effects on the surface of the BP, respectively.

  18. A five-year antimicrobial resistance pattern observed in

    African Journals Online (AJOL)

    , Stepehn AM. Jawetz, Melnick, &. Adelberg's Medical Microbiology. 21st edition. Appleton & Lange publishers. 19985224426. 7. Guyot A. Antibiotic resistance of Shigella in. Monorovia, Liberia. J Trop Doc. 1969;26(2):70-7l. 8. Brito A, Nij B.

  19. Transfer patterns of integron-associated and antibiotic resistance genes in S. flexneri during different time intervals in Tianjin, China

    Directory of Open Access Journals (Sweden)

    J Wang

    2014-01-01

    Full Text Available Background: Shigella is one of the common genera of pathogens responsible for bacterial diarrhoea in humans. According to World Health Organisation (WHO, 800,000-1,700,000 patients in China were infected with Shigella spp. in 2000, and Shigella flexneri is the most common serotype (86%. Objectives: We investigated the transfer patterns of integron-associated and antibiotic resistance genes in S. flexneri during different time intervals in the city of Tianjin in the People′s Republic of China. Materials and Methods: The integrase-encoding and variable regions of the integrons of the bacterial strains were amplified by polymerase chain reaction (PCR, followed by gene sequencing. Fifty-six S. flexneri strains, 32 of which were stored in our laboratory and the other 24 were isolated from tertiary hospitals in Tianjin during different time intervals, were tested for their sensitivity to 12 antibiotics by using the Kirby-Bauer antibiotic testing method (K-B method. Results and Conclusion: Of the 32 strains of S. flexneri isolated from 1981 to 1983 and stored in our laboratory, class 1 integron was detected in 28 strains (87.50%, while 27 strains (84.37% harboured an aminoglycoside resistance gene, aadA, in the variable region of their integrons. Class 1 integron was identified in 22 (91.67% of the 24 S. flexneri strains isolated from 2009 to 2010, whereas the variable region and 3′-end amplification were not present in any of the strains. Class 2 integron was not found in the 1981-1983 group (group A of strains; although 19 (79.17% of the 24 strains in the 2009-2010 group (group B possessed class 2 integron, and the variable region of the integron harboured dfrA1 + sat1 + aadA1 genes, which, respectively, mediate antibiotic resistance to trimethoprim, streptothricin and streptomycin. Seventeen strains of the total 56 possessed both class 1 and 2 integrons. Strains belonging to group A were highly resistant to tetracycline, chloramphenicol and a

  20. Prevalence of community-associated multi-resistant Staphylococcus ...

    African Journals Online (AJOL)

    Antimicrobial resistance has become a great public health problem worldwide and multi-drugvresistance Staphylococcus aureus has been widely reported. This study determined the pattern of resistance to ten commonly used antibiotics. Urine samples collected from healthy women volunteers in the Abuja were cultured ...

  1. Antimicrobial resistance patterns in Danish isolates of Flavobacterium psychrophilum

    DEFF Research Database (Denmark)

    Bruun, Morten Sichlau; Schmidt, A.S.; Madsen, Lone

    2000-01-01

    were tested and the resulting antibiograms were used to predict the theoretical therapeutic efficacy and to evaluate if resistance had changed as a course of time. Antimicrobial agents included in this investigation were oxolinic acid (OXA), amoxicillin (AMX), potentiated sulfadiazine, oxytetracycline...

  2. Resistance pattern and detection of metallo‑beta‑lactamase genes ...

    African Journals Online (AJOL)

    Materials and Methods: Two hundred nonduplicate, consecutive isolates of P. aeruginosa from clinical samples submitted to the Medical Microbiology Laboratory of National Hospital, Abuja were screened for carbapenem resistance using imipenem and meropenem. Phenotypic detection of MBL‑producing strains was ...

  3. Application of the surface azimuthal electrical resistivity survey method to determine patterns of regional joint orientation in glacial tills

    Science.gov (United States)

    Carlson, D.

    2010-01-01

    Joints within unconsolidated material such as glacial till can be primary avenues for the flow of electrical charge, water, and contaminants. To facilitate the siting and design of remediation programs, a need exists to map anisotropic distribution of such pathways within glacial tills by determining the azimuth of the dominant joint set. The azimuthal survey method uses standard resistivity equipment with a Wenner array rotated about a fixed center point at selected degree intervals that yields an apparent resistivity ellipse. From this ellipse, joint set orientation can be determined. Azimuthal surveys were conducted at 21 sites in a 500-km2 (193 mi2) area around Milwaukee, Wisconsin, and more specifically, at sites having more than 30 m (98 ft) of glacial till (to minimize the influence of underlying bedrock joints). The 26 azimuthal surveys revealed a systematic pattern to the trend of the dominant joint set within the tills, which is approximately parallel to ice flow direction during till deposition. The average orientation of the joint set parallel with the ice flow direction is N77??E and N37??E for the Oak Creek and Ozaukee tills, respectively. The mean difference between average direct observation of joint set orientations and average azimuthal resistivity results is 8??, which is one fifth of the difference of ice flow direction between the Ozaukee and Oak Creek tills. The results of this study suggest that the surface azimuthal electrical resistivity survey method used for local in situ studies can be a useful noninvasive method for delineating joint sets within shallow geologic material for regional studies. Copyright ?? 2010 The American Association of Petroleum Geologists/Division of Environmental Geosciences. All rights reserved.

  4. Antibiotic cross-resistance in the lab and resistance co-occurrence in the clinic: Discrepancies and implications in E.coli.

    Science.gov (United States)

    Obolski, Uri; Dellus-Gur, Eynat; Stein, Gideon Y; Hadany, Lilach

    2016-06-01

    Antibiotic resistance is an important public health issue, and vast resources are invested in researching new ways to fight it. Recent experimental works have shown that resistance to some antibiotics can result in increased susceptibility to others, namely induce cross-sensitivity. This phenomenon could be utilized to increase efficiency of antibiotic treatment strategies that minimize resistance. However, as conditions in experimental settings and in the clinic may differ substantially, the implications of cross-sensitivity for clinical settings are not guaranteed and should be examined. In this work we analyzed data of Escherichia coli isolates from patients' blood, sampled in Rabin Medical Center, Israel, to examine co-occurrence of resistance to antibiotics in the clinic. We compared the co-occurrence patterns with cross-sensitivity patterns observed in the lab. Our data showed only positively associated occurrence of resistance, even with antibiotics that were shown to induce cross-sensitivity in laboratory conditions. We used a mathematical model to examine the potential effects of cross-sensitivity versus co-occurrence on the spread of drug resistance. We conclude that resistance frequencies in the clinic can have a substantial effect on the success of treatment strategies, and should be considered alongside experimental evidence of cross-sensitivity. Copyright © 2016. Published by Elsevier B.V.

  5. Quinolones Resistance And R-Plasmids Of Clinical Isolates Of ...

    African Journals Online (AJOL)

    Background: There has been reported incidence in the emergence of. Quinolones resistance in clinical isolates in Nigeria and the level in resistance has been on the increase. Objective: To determine the antimicrobial resistance patterns and plasmids profiles of 67 clinical Pseudomonas species from a teaching hospital ...

  6. Patterned ion exchange membranes for improved power production in microbial reverse-electrodialysis cells

    KAUST Repository

    Liu, Jia

    2014-12-01

    Power production in microbial reverse-electrodialysis cells (MRCs) can be limited by the internal resistance of the reverse electrodialysis stack. Typical MRC stacks use non-conductive spacers that block ion transport by the so-called spacer shadow effect. These spacers can be relatively thick compared to the membrane, and thus they increase internal stack resistance due to high solution (ohmic) resistance associated with a thick spacer. New types of patterned anion and cation exchange membranes were developed by casting membranes to create hemispherical protrusions on the membranes, enabling fluid flow between the membranes without the need for a non-conductive spacer. The use of the patterned membrane decreased the MRC stack resistance by ∼22 Ω, resulting in a 38% increase in power density from 2.50 ± 0.04 W m-2 (non-patterned membrane with a non-conductive spacer) to 3.44 ± 0.02 W m-2 (patterned membrane). The COD removal rate, coulombic efficiency, and energy efficiency of the MRC also increased using the patterned membranes compared to the non-patterned membranes. These results demonstrate that these patterned ion exchange membranes can be used to improve performance of an MRC. © 2014 Elsevier B.V. All rights reserved.

  7. Mapping microbial ecosystems and spoilage-gene flow in breweries highlights patterns of contamination and resistance.

    Science.gov (United States)

    Bokulich, Nicholas A; Bergsveinson, Jordyn; Ziola, Barry; Mills, David A

    2015-03-10

    Distinct microbial ecosystems have evolved to meet the challenges of indoor environments, shaping the microbial communities that interact most with modern human activities. Microbial transmission in food-processing facilities has an enormous impact on the qualities and healthfulness of foods, beneficially or detrimentally interacting with food products. To explore modes of microbial transmission and spoilage-gene frequency in a commercial food-production scenario, we profiled hop-resistance gene frequencies and bacterial and fungal communities in a brewery. We employed a Bayesian approach for predicting routes of contamination, revealing critical control points for microbial management. Physically mapping microbial populations over time illustrates patterns of dispersal and identifies potential contaminant reservoirs within this environment. Habitual exposure to beer is associated with increased abundance of spoilage genes, predicting greater contamination risk. Elucidating the genetic landscapes of indoor environments poses important practical implications for food-production systems and these concepts are translatable to other built environments.

  8. Resistance Pattern and Detection of Metallo-beta-lactamase Genes ...

    African Journals Online (AJOL)

    2018-02-23

    Feb 23, 2018 ... Background: Acquired metallo-β-lactamases (MBLs) pose serious problem both in terms of ... P. aeruginosa from clinical samples submitted to the Medical Microbiology ... pan-drug-resistant .... Phenotypically confirmed MBL producers were stored ... 103 (51.5%); ear swab 32 (16%); urine 27 (13.5%); and.

  9. Prevalence and patterns of antimicrobial resistance of fecal Escherichia coli among pigs on 47 farrow-to-finish farms with different in-feed medication policies in Ontario and British Columbia

    OpenAIRE

    Akwar, Holy T.; Poppe, Cornelis; Wilson, Jeff; Reid-Smith, Richard J.; Dyck, Monica; Waddington, Josh; Shang, Dayue; McEwen, Scott A.

    2008-01-01

    The main objectives of this study were to determine the prevalence and patterns of antimicrobial resistance in pigs on farms that medicated swine ration and those that did not. A total of 940 isolates of Escherichia coli from 188 pooled fecal samples obtained from weaner and finisher pigs on 47 farrow-to-finish swine farms (34 farms used in-feed medication and 13 did not) were tested for susceptibility to 21 antimicrobials using a breakpoint concentration method. The prevalence of resistance ...

  10. Evaluation of metal–nanowire electrical contacts by measuring contact end resistance

    International Nuclear Information System (INIS)

    Park, Hongsik; Beresford, Roderic; Xu, Jimmy; Ha, Ryong; Choi, Heon-Jin; Shin, Hyunjung

    2012-01-01

    It is known, but often unappreciated, that the performance of nanowire (NW)-based electrical devices can be significantly affected by electrical contacts between electrodes and NWs, sometimes to the extent that it is really the contacts that determine the performance. To correctly understand and design NW device operation, it is thus important to carefully measure the contact resistance and evaluate the contact parameters, specific contact resistance and transfer length. A four-terminal pattern or a transmission line model (TLM) pattern has been widely used to measure contact resistance of NW devices and the TLM has been typically used to extract contact parameters of NW devices. However, the conventional method assumes that the electrical properties of semiconducting NW regions covered by a metal are not changed after electrode formation. In this study, we report that the conventional methods for contact evaluation can give rise to considerable errors because of an altered property of the NW under the electrodes. We demonstrate that more correct contact resistance can be measured from the TLM pattern rather than the four-terminal pattern and correct contact parameters including the effects of changed NW properties under electrodes can be evaluated by using the contact end resistance measurement method. (paper)

  11. Drug resistance patterns of bacterial isolates from infected wounds ...

    African Journals Online (AJOL)

    unhcc

    The resistance rate of S. aureus for penicillin was at 69.7%. Conclusions: High ... January 2013 to 30 December 2015 was conducted. BRHRLC is one of ... Wound infection, bacterial isolates, culture and antimicrobial susceptibility 113. Ethiop. J. Health ... Socio-demographic characteristic of patients and types of bacterial ...

  12. Determination of antimicrobial resistance pattern and Extended-Spectrum Beta Lactamases producing Pseudomonas aeruginosa strains isolated from clinical specimens of Hajar and Kashani Hospitals,Shahrekord 1387

    Directory of Open Access Journals (Sweden)

    Mana Shojapour

    2011-09-01

    Full Text Available Background: Pseudomonas aeruginosa is one of the leading causes of hospital infections in patients hospitalized for a 10 day period or over. It is also considered to be the most important cause of the burn wound infection. Approximately 75% of deaths in burned patients are due to wound infection and the subsequent septicemia. Clinical use of antibiotics has increasingly led to the global distribution of P. aeruginosa isolates with multi-drug resistance. The study was launched to determine the antimicrobial susceptibility pattern and the presence of the extended-spectrum-beta lactamase (ESBL in P.aeruginosa strains isolated from clinical specimens. Methods: Totally, 175 P. aeruginosa strains were isolated from clinical samples and identified by standard methods. The pattern of antimicrobial resistance was then performed on the isolates using Disk Agar Diffusion (DAD according to CLSI Guideline. Primary screening test for ESBL producing strains was performed by ceftazidim antibiotic disk using disk diffusion method. Combined disk method was used to confirm ESBL producing bacteria. Results: The rate of antimicrobial resistance of P.aeruginosa isolates were 64% to ticarcillin, 52.2% to cefepime, 68.6% to ticarcillin/clavolanic acid, 68.6% to ceftazidime, 67.4% to amikacin, 68.6% to gentamicin, 48% to imipenem, 77.7% to ciprofloxacin and 5.1% to polymixcine B. In the primary screening test, 120 isolates of P.aeruginosa strains were resistant to ceftazidime. In the combined disk method, 66 isolates (55% were positive for ESBLs. Conclusion: Polymixcine B was found to be the most effective antimicrobial agent in this study. Bacteria carrying ESBL genes may increase mortality and morbidity. Thus, their accurate diagnosis is of extreme importance to prevent from the treatment failure resulted from improper antibiotic administration.

  13. Methicillin resistant Staphylococcus aureus in Ethiopia: a meta-analysis.

    Science.gov (United States)

    Eshetie, Setegn; Tarekegn, Fentahun; Moges, Feleke; Amsalu, Anteneh; Birhan, Wubet; Huruy, Kahsay

    2016-11-21

    The burden of methicillin resistant Staphylococcus aureus is a major public health concern worldwide; however the overall epidemiology of multidrug resistant strains is neither coordinated nor harmonized, particularly in developing countries including Ethiopia. Therefore, the aim of this meta-analysis was to assess the burden of methicillin resistant Staphylococcos aureus and its antibiotic resistance pattern in Ethiopia at large. PubMed, Google Scholar, and lancet databases were searched and a total of 20 studies have been selected for meta-analysis. Six authors have independently extracts data on the prevalence of methicillin resistant Staphylococcus aureus among clinical isolates of Staphylococcus aureus. Statistical analysis was achieved by using Open meta-analyst (version 3.13) and Comprehensive meta-analysis (version 3.3) softwares. The overall prevalence of methicillin resistant Staphylococcus aureus and its antibiotic resistance pattern were pooled by using the forest plot, table and figure with 95% CI. The pooled prevalence of methicillin resistant Staphylococcus aureus was 32.5% (95% CI, 24.1 to 40.9%). Moreover, methicillin resistant Staphylococcus aureus strains were found to be highly resistant to penicillin, ampicillin, erythromycin, and amoxicillin, with a pooled resistance ratio of 99.1, 98.1, 97.2 and 97.1%, respectively. On the other hand, comparably low levels of resistance ratio were noted to vancomycin, 5.3%. The overall burden of methicillin resistant Staphylococcus aureus is considerably high, besides these strains showed extreme resistance to penicillin, ampicillin, erythromycin and amoxicillin. In principle, appropriate use of antibiotics, applying safety precautions are the key to reduce the spread of multidrug resistant strains, methicillin resistant Staphylococcus aureus in particular.

  14. Resistance of Uropathogens in Asymptomatic Urinary Tract Infection ...

    African Journals Online (AJOL)

    Worldwide the surveillance of antimicrobial resistance among urinary tract pathogens is useful to determine the important trend and geographical variation of uropathogens. The study evaluated the pathogen frequency, resistance rate and pattern among HIV-infected Nigerians. Midstream urine samples taken for culture ...

  15. Pharmacokinetics of Pyrazinamide and Optimal Dosing Regimens for Drug-Sensitive and -Resistant Tuberculosis.

    Science.gov (United States)

    Chirehwa, Maxwell T; McIlleron, Helen; Rustomjee, Roxana; Mthiyane, Thuli; Onyebujoh, Philip; Smith, Peter; Denti, Paolo

    2017-08-01

    Pyrazinamide is used in the treatment of tuberculosis (TB) because its sterilizing effect against tubercle bacilli allows the shortening of treatment. It is part of standard treatment for drug-susceptible and drug-resistant TB, and it is being considered as a companion drug in novel regimens. The aim of this analysis was to characterize factors contributing to the variability in exposure and to evaluate drug exposures using alternative doses, thus providing evidence to support revised dosing recommendations for drug-susceptible and multidrug-resistant tuberculosis (MDR-TB). Pyrazinamide pharmacokinetic (PK) data from 61 HIV/TB-coinfected patients in South Africa were used in the analysis. The patients were administered weight-adjusted doses of pyrazinamide, rifampin, isoniazid, and ethambutol in fixed-dose combination tablets according to WHO guidelines and underwent intensive PK sampling on days 1, 8, 15, and 29. The data were interpreted using nonlinear mixed-effects modeling. PK profiles were best described using a one-compartment model with first-order elimination. Allometric scaling was applied to disposition parameters using fat-free mass. Clearance increased by 14% from the 1st day to the 29th day of treatment. More than 50% of patients with weight less than 55 kg achieved lower pyrazinamide exposures at steady state than the targeted area under the concentration-time curve from 0 to 24 h of 363 mg · h/liter. Among patients with drug-susceptible TB, adding 400 mg to the dose for those weighing 30 to 54 kg improved exposure. Average pyrazinamide exposure in different weight bands among patients with MDR-TB could be matched by administering 1,500 mg, 1,750 mg, and 2,000 mg to patients in the 33- to 50-kg, 51- to 70-kg, and greater than 70-kg weight bands, respectively. Copyright © 2017 American Society for Microbiology.

  16. Latitudinal Gradients in Induced and Constitutive Resistance against Herbivores.

    Science.gov (United States)

    Anstett, Daniel N; Chen, Wen; Johnson, Marc T J

    2016-08-01

    Plants are hypothesized to evolve increased defense against herbivores at lower latitudes, but an increasing number of studies report evidence that contradicts this hypothesis. Few studies have examined the evolution of constitutive and induced resistance along latitudinal gradients. When induction is not considered, underlying patterns of latitudinal clines in resistance can be obscured because plant resistance represents a combination of induced and constitutive resistance, which may show contrasting patterns with latitude. Here, we asked if there are latitudinal gradients in constitutive versus induced resistance by using genotypes of Oenothera biennis (Onagraceae) sampled along an 18° latitudinal gradient. We conducted two bioassay experiments to compare the resistance of plant genotypes against one generalist (Spodoptera exigua) and one specialist (Acanthoscelidius acephalus) herbivore. These insects were assayed on: i) undamaged control plants, ii) plants that had been induced with jasmonic acid, and iii) plants induced with herbivore damage. Additionally, we examined latitudinal gradients of constitutive and induced chemical resistance by measuring the concentrations of total phenolics, the concentration of oxidized phenolics, and the percentage of phenolics that were oxidized. Spodoptera exigua showed lower performance on plants from lower latitudes, whereas A. acephalus showed no latitudinal pattern. Constitutive total phenolics were greater in plants from lower latitudes, but induced plants showed higher total phenolics at higher latitudes. Oxidative activity was greatest at higher latitudes regardless of induction. Overall, both latitude and induction have an impact on different metrics of plant resistance to herbivory. Further studies should consider the effect of induction and herbivore specialization more explicitly, which may help to resolve the controversy in latitudinal gradients in herbivory and defense.

  17. Occurrence and antimicrobial resistance patterns of Listeria monocytogenes isolated from vegetables

    Directory of Open Access Journals (Sweden)

    Vanessa de Vasconcelos Byrne

    2016-06-01

    Full Text Available Abstract Although the consumption of fresh and minimally processed vegetables is considered healthy, outbreaks related to the contamination of these products are frequently reported. Among the food-borne pathogens that contaminate vegetables is Listeria monocytogenes, a ubiquitous organism that exhibits the ability to survive and multiply at refrigerated temperatures. This study aimed to evaluate the occurrence of L. monocytogenes in vegetables as well as the antimicrobial resistance of isolates. The results showed that 3.03% of samples were contaminated with L. monocytogenes, comprising 2.22% of raw vegetables and 5.56% of ready-to-eat vegetables. Multiplex PCR confirmed the virulence potential of the isolates. Antimicrobial resistance profiling showed that 50% of the isolates were susceptible to the antibiotics used. The resistance of one isolate to penicillin G, a commonly employed therapeutic agent, and the presence of serotype 4b, a serotype commonly associated with food-borne outbreaks, could be potential health hazards for consumers.

  18. Prevalence of the molecular marker of chloroquine resistance ( pfcrt ...

    African Journals Online (AJOL)

    In line with the World Health Organization (WHO) guideline on chloroquine (CQ) resistance, CQ was withdrawn as the first-line antimalarial drug in Nigeria in 2005 as a result of ... We monitored the resistance pattern 5 years after withdrawal of CQ, using the pfcrt K76T mutation as a molecular marker for CQ resistance.

  19. Antimicrobial resistance in aerobic bacteria isolated from oral ...

    African Journals Online (AJOL)

    ... varied antimicrobial susceptibility patterns. The oral cavities of hunting dogs are laden with multi-drug resistant bacteria of significant public health importance that could be transferred to humans through contaminated hunted games and bite wound. Keywords: Aerobic bacteria, Antimicrobial resistance, Dogs, Oral cavity, ...

  20. Nasal carriage of methicilli-resistant staphylococcus aureus with ...

    African Journals Online (AJOL)

    Staphylococcus aureus isolates were collected from anterior nares of fifty healthy adults in Zaria and their antibiotic susceptibility patterns determined. Seventy-two percent (72%) of the isolates were methicillin-resistant S. aureus, while 20% were methicillin-susceptible. The isolates were generally resistant to multiple ...

  1. Resistance Pattern and Molecular Characterization of Enterotoxigenic Escherichia coli (ETEC Strains Isolated in Bangladesh.

    Directory of Open Access Journals (Sweden)

    Yasmin A Begum

    Full Text Available Enterotoxigenic Escherichia coli (ETEC is a common cause of bacterial infection leading to acute watery diarrhea in infants and young children as well as in travellers to ETEC endemic countries. Ciprofloxacin is a broad-spectrum antimicrobial agent nowadays used for the treatment of diarrhea. This study aimed to characterize ciprofloxacin resistant ETEC strains isolated from diarrheal patients in Bangladesh.A total of 8580 stool specimens from diarrheal patients attending the icddr,b Dhaka hospital was screened for ETEC between 2005 and 2009. PCR and Ganglioside GM1- Enzyme Linked Immuno sorbent Assay (ELISA was used for detection of Heat labile (LT and Heat stable (ST toxins of ETEC. Antimicrobial susceptibilities for commonly used antibiotics and the minimum inhibitory concentration (MIC of nalidixic acid, ciprofloxacin and azithromycin were examined. DNA sequencing of representative ciprofloxacin resistant strains was performed to analyze mutations of the quinolone resistance-determining region of gyrA, gyrB, parC and parE. PCR was used for the detection of qnr, a plasmid mediated ciprofloxacin resistance gene. Clonal variations among ciprofloxacin resistant (CipR and ciprofloxacin susceptible (CipS strains were determined by Pulsed-field gel electrophoresis (PFGE.Among 1067 (12% ETEC isolates identified, 42% produced LT/ST, 28% ST and 30% LT alone. Forty nine percent (n = 523 of the ETEC strains expressed one or more of the 13 tested colonization factors (CFs as determined by dot blot immunoassay. Antibiotic resistance of the ETEC strains was observed as follows: ampicillin 66%, azithromycin 27%, ciprofloxacin 27%, ceftriazone 13%, cotrimaxazole 46%, doxycycline 44%, erythromycin 96%, nalidixic acid 83%, norfloxacin 27%, streptomycin 48% and tetracycline 42%. Resistance to ciprofloxacin increased from 13% in 2005 to 34% in 2009. None of the strains was resistant to mecillinam. The MIC of the nalidixic acid and ciprofloxacin of representative

  2. Bacterial resistance and impetigo treatment trends: a review.

    Science.gov (United States)

    Bangert, Scott; Levy, Moise; Hebert, Adelaide A

    2012-01-01

    Impetigo is a common cutaneous infection that is especially prevalent in children. The prevalence of colonization and infection with resistant strains is continually increasing, forcing clinicians to reevaluate treatment strategies. Newer topical agents are effective in treating infections with resistant strains and may help minimize resistance and adverse effects from systemic agents. Use of topical disinfectants to decrease colonization is an important adjunctive measure. Physicians should be aware of local resistance patterns in impetigo to help guide therapy. © 2012 Wiley Periodicals, Inc.

  3. The genetic diversity and phenotypic characterisation of Streptococcus agalactiae isolates from Rio de Janeiro, Brazil

    Directory of Open Access Journals (Sweden)

    Ana Beatriz de Almeida Corrêa

    2011-12-01

    Full Text Available Streptococcus agalactiae isolates are more common among pregnant women, neonates and nonpregnant adults with underlying diseases compared to other demographic groups. In this study, we evaluate the genetic and phenotypic diversity in S. agalactiae strains from Rio de Janeiro (RJ that were isolated from asymptomatic carriers. We analysed these S. agalactiae strains using pulsed-field gel electrophoresis (PFGE, serotyping and antimicrobial susceptibility testing, as well as by determining the macrolide resistance phenotype, and detecting the presence of the ermA/B, mefA/E and lnuB genes. The serotypes Ia, II, III and V were the most prevalent serotypes observed. The 60 strains analysed were susceptible to penicillin, vancomycin and levofloxacin. Resistance to clindamycin, chloramphenicol, erythromycin, rifampin and tetracycline was observed. Among the erythromycin and/or clindamycin resistant strains, the ermA, ermB and mefA/E genes were detected and the constitutive macrolides, lincosamides and streptogramin B-type resistance was the most prevalent phenotype observed. The lnuB gene was not detected in any of the strains studied. We found 56 PFGE electrophoretic profiles and only 22 of them were allocated in polymorphism patterns. This work presents data on the genetic diversity and prevalent capsular serotypes among RJ isolates. Approximately 85% of these strains came from pregnant women; therefore, these data may be helpful in developing future prophylaxis and treatment strategies for neonatal syndromes in RJ.

  4. Antibiotic resistant Salmonella and Escherichia coli isolated from ...

    African Journals Online (AJOL)

    Results: A hundred and four indigenous chicken rectal swabs were analysed, of which 67.3% were contaminated with Escherichia coli and 12.5% with Salmonella typhimurium. Seventy Escherichia coli isolates showed resistance phenotypes to one, two or more antibiotics. The most common antimicrobial resistance pattern ...

  5. Determination of phylogenetic groups and antibiotic resistance pattern of Enterotoxigenic Escherishia coli isolates from diarrheoic cases in Bam City by PCR

    Directory of Open Access Journals (Sweden)

    Hesam Alizade

    2015-04-01

    Full Text Available Background and Aim: Purposes of this study were to determine the phylogenetic groups, prevalence of enterotoxigenic pathotype and antibiotic resistance of Escherichia coli (E. coli isolates from diarrheic cases in Bam city. Materials and Methods: In this study 155 E. coli were isolated from diarrheic samples in Bam city. Phylogenetic groups of isolates and enterotoxigenic pathotype were determined by detection of chuA, yjaA, TspE4C2 and ST, LT genes respectively. Results: One hundred fifty five examined isolates were distributed in phylogenetic groups: A (71.60%, B1 (3.22%, B2 (9.67% and D (15.48%. The genes for enterotoxigenic pathotype were detected in 52 isolates (33.54%, which ST gene were found in 29 isolates, LT in 16 isolates and LT, ST genes in 7 isolates. Twenty nine ST gene positive isolates were distributed in three phylogenetic groups A (48.28%, D (41.38% and B2 (10.34%. According to the antibiotic susceptibility tests maximum and minimum antibiotic resistance rate was against to trimethoprim/sulfamethoxazole (74.19% and ciprofloxacin and gentamycin (9.67%. Fifteen multiple antibiotic resistance patterns were detected in four phylogenetic groups. Conclusions: Escherichia coli isolates from enterotoxigenic pathotype have a considerable antibiotic resistance rate in Bam city and were distributed in different phylogenetic groups. Since a considerable number of isolates were negative for LT and ST genes, it is necessary to study the other virulence genes and their phylogenetic background in E. coli isolates from diarrheic cases in Bam city.

  6. Overcoming etch challenges related to EUV based patterning (Conference Presentation)

    Science.gov (United States)

    Metz, Andrew W.; Cottle, Hongyun; Honda, Masanobu; Morikita, Shinya; Kumar, Kaushik A.; Biolsi, Peter

    2017-04-01

    Research and development activities related to Extreme Ultra Violet [EUV] defined patterning continue to grow for cost and extreme process control challenges of Self-Aligned Quad Patterning [SAQP] with continued momentum for EUV ecosystem readiness could provide cost advantages in addition to improved intra-level overlay performance relative to multiple patterning approaches. However, Line Edge Roughness [LER] and Line Width Roughness [LWR] performance of EUV defined resist images are still far from meeting technology needs or ITRS spec performance. Furthermore, extreme resist height scaling to mitigate flop over exacerbates the plasma etch trade-offs related to traditional approaches of PR smoothing, descum implementation and maintaining 2D aspect ratios of short lines or elliptical contacts concurrent with ultra-high photo resist [PR] selectivity. In this paper we will discuss sources of LER/LWR, impact of material choice, integration, and innovative plasma process techniques and describe how TELTM VigusTM CCP Etchers can enhance PR selectivity, reduce LER/LWR, and maintain 2D aspect ratio of incoming patterns. Beyond traditional process approaches this paper will show the utility of: [1] DC Superposition in enhancing EUV resist hardening and selectivity, increasing resistance to stress induced PR line wiggle caused by CFx passivation, and mitigating organic planarizer wiggle; [2] Quasi Atomic Layer Etch [Q-ALE] for ARC open eliminating the tradeoffs between selectivity, CD, and shrink ratio control; and [3] ALD+Etch FUSION technology for feature independent CD shrink and LER reduction. Applicability of these concepts back transferred to 193i based lithography is also confirmed.

  7. Lactobacillus salivarius CTC2197 Prevents Salmonella enteritidis Colonization in Chickens

    OpenAIRE

    Pascual, Mònica; Hugas, Marta; Badiola, Jose Ignacio; Monfort, Josep Maria; Garriga, Margarita

    1999-01-01

    A rifampin-resistant Lactobacillus salivarius strain, CTC2197, was assessed as a probiotic in poultry, by studying its ability to prevent Salmonella enteritidis C-114 colonization in chickens. When the probiotic strain was dosed by oral gavage together with S. enteritidis C-114 directly into the proventriculus in 1-day-old Leghorn chickens, the pathogen was completely removed from the birds after 21 days. The same results were obtained when the probiotic strain was also administered through t...

  8. Photolithography-free laser-patterned HF acid-resistant chromium-polyimide mask for rapid fabrication of microfluidic systems in glass

    International Nuclear Information System (INIS)

    Zamuruyev, Konstantin O; Zrodnikov, Yuriy; Davis, Cristina E

    2017-01-01

    Excellent chemical and physical properties of glass, over a range of operating conditions, make it a preferred material for chemical detection systems in analytical chemistry, biology, and the environmental sciences. However, it is often compromised with SU8, PDMS, or Parylene materials due to the sophisticated mask preparation requirements for wet etching of glass. Here, we report our efforts toward developing a photolithography-free laser-patterned hydrofluoric acid-resistant chromium-polyimide tape mask for rapid prototyping of microfluidic systems in glass. The patterns are defined in masking layer with a diode-pumped solid-state laser. Minimum feature size is limited to the diameter of the laser beam, 30 µ m; minimum spacing between features is limited by the thermal shrinkage and adhesive contact of the polyimide tape to 40 µ m. The patterned glass substrates are etched in 49% hydrofluoric acid at ambient temperature with soft agitation (in time increments, up to 60 min duration). In spite of the simplicity, our method demonstrates comparable results to the other current more sophisticated masking methods in terms of the etched depth (up to 300 µ m in borosilicate glass), feature under etch ratio in isotropic etch (∼1.36), and low mask hole density. The method demonstrates high yield and reliability. To our knowledge, this method is the first proposed technique for rapid prototyping of microfluidic systems in glass with such high performance parameters. The proposed method of fabrication can potentially be implemented in research institutions without access to a standard clean-room facility. (paper)

  9. Occurrence and antimicrobial resistance patterns of Listeria monocytogenes isolated from vegetables.

    Science.gov (United States)

    de Vasconcelos Byrne, Vanessa; Hofer, Ernesto; Vallim, Deyse Christina; de Castro Almeida, Rogeria Comastri

    2016-01-01

    Although the consumption of fresh and minimally processed vegetables is considered healthy, outbreaks related to the contamination of these products are frequently reported. Among the food-borne pathogens that contaminate vegetables is Listeria monocytogenes, a ubiquitous organism that exhibits the ability to survive and multiply at refrigerated temperatures. This study aimed to evaluate the occurrence of L. monocytogenes in vegetables as well as the antimicrobial resistance of isolates. The results showed that 3.03% of samples were contaminated with L. monocytogenes, comprising 2.22% of raw vegetables and 5.56% of ready-to-eat vegetables. Multiplex PCR confirmed the virulence potential of the isolates. Antimicrobial resistance profiling showed that 50% of the isolates were susceptible to the antibiotics used. The resistance of one isolate to penicillin G, a commonly employed therapeutic agent, and the presence of serotype 4b, a serotype commonly associated with food-borne outbreaks, could be potential health hazards for consumers. Copyright © 2016 Sociedade Brasileira de Microbiologia. Published by Elsevier Editora Ltda. All rights reserved.

  10. A METHOD FOR CREATING STRUCTURES OR DEVICES USING AN ORGANIC ICE RESIST

    DEFF Research Database (Denmark)

    2017-01-01

    The invention relates to a method for creating an organic resist on a surface of a cooled substrate, the method comprising the steps of condensing a vapour into a solid film on the surface of the cooled substrate; patterning at least part of the solid film by exposing selected portions of said...... solid film to at least one electron beam thereby creating the organic resist on 5 the surface of the cooled substrate in accordance with a predetermined pattern; wherein the created organic resist remains essentially intact at ambient conditions; and using the created organic resist as a mask...... for creating semiconductor structures and/or semiconductor devices....

  11. Dietary pattern trajectories during 15 years of follow-up and HbA1c, insulin resistance and diabetes prevalence among Chinese adults.

    Science.gov (United States)

    Batis, Carolina; Mendez, Michelle A; Sotres-Alvarez, Daniela; Gordon-Larsen, Penny; Popkin, Barry

    2014-08-01

    Most research on dietary patterns and health outcomes does not include longitudinal exposure data. We used an innovative technique to capture dietary pattern trajectories and their association with haemoglobin A1c (HbA1c), homeostasis model of insulin resistance (HOMA-IR) and prevalence of newly diagnosed diabetes. We included 4096 adults with 3-6 waves of diet data (1991-2006) and biomarkers measured in 2009 from the China Health and Nutrition Survey. Diet was assessed with three 24-h recalls and a household food inventory. We used a dietary pattern previously identified with reduced rank regression that positively predicted diabetes in 2006 (high in wheat products and soy milk and low in rice, legumes, poultry, eggs and fish). We estimated a score for this dietary pattern for each subject at each wave. Using latent class trajectory analysis, we grouped subjects with similar dietary pattern score trajectories over time into five classes. Three trajectory classes were stable over time, and in two classes the diet became unhealthier over time (upward trend in dietary pattern score). Among two classes with similar scores in 2006, the one with the lower (healthier) initial score had an HbA1c 1.64% lower (-1.64 (95% CI -3.17 to -0.11)) and non-significantly a HOMA-IR 6.47% lower (-6.47 (-17.37 to 4.42)) and lower odds of diabetes (0.86 (0.44 to 1.67)). Our findings suggest that dietary pattern trajectories with healthier scores longitudinally had a lower HbA1c compared with those with unhealthier scores, even when the trajectories had similar scores in the end point. Published by the BMJ Publishing Group Limited. For permission to use (where not already granted under a licence) please go to http://group.bmj.com/group/rights-licensing/permissions.

  12. An 11-year analysis of the prevalent uropathogens and the changing pattern of Escherichia coli antibiotic resistance in 38,530 community urinary tract infections, Dublin 1999-2009.

    Science.gov (United States)

    Cullen, I M; Manecksha, R P; McCullagh, E; Ahmad, S; O'Kelly, F; Flynn, R; McDermott, T E D; Murphy, P; Grainger, R; Fennell, J P; Thornhill, J A

    2013-03-01

    Knowledge of local antimicrobial resistance patterns is essential for evidence-based empirical antibiotic prescribing, and a cutoff point of 20% has been suggested as the level of resistance at which an agent should no longer be used empirically. We sought to identify the changing incidence of causative uropathogens over an 11-year period. We also examined the trends in antibiotic resistance encountered in both the pooled urine samples and those where the causative organism was Escherichia coli. A retrospective analysis of the antimicrobial resistance within the positive community urine isolates over the 11-year period, 1999 to 2009, in a single Dublin teaching hospital was performed. In total 38,530 positive urine samples processed at our laboratory originated in the community of which 23,838 (56.7%) had E. coli as the infecting organism. The prevalence of E. coli has been increasing in recent years in community UTIs with 70.4% of UTIs in the community caused by E.coli in 2009. Ampicillin and trimethoprim were the least-active agents against E. coli with mean 11-year resistance rates of 60.8 and 31.5%, respectively. Significant trends of increasing resistance over the 11-year period were identified for trimethoprim, co-amoxyclav, cefuroxime and gentamicin. Ciprofloxacin remains a reasonable empirical antibiotic choice in this community with an 11-year resistance rate of 10.6%. Higher antibiotic resistance rates were identified in the male population and in children. Resistance rates to commonly prescribed antibiotics are increasing significantly. This data will enable evidence-based empirical prescribing which will ensure more effective treatment and lessen the emergence of resistant uropathogens in the community.

  13. Occurrence of antimicrobial resistance in bacteria from diagnostic samples from dogs.

    Science.gov (United States)

    Pedersen, Karl; Pedersen, Kristina; Jensen, Helene; Finster, Kai; Jensen, Vibeke F; Heuer, Ole E

    2007-10-01

    To study the occurrence of antimicrobial resistance among common bacterial pathogens from dogs and relate resistance patterns to data on consumption of antimicrobials. The antimicrobial susceptibility patterns of 201 Staphylococcus intermedius, 37 Streptococcus canis, 39 Pseudomonas aeruginosa, 25 Pasteurella multocida, 29 Proteus spp. and 449 Escherichia coli isolates from clinical submissions from dogs were determined by a broth-dilution method for determination of minimal inhibitory concentration. Data for consumption of antimicrobials were retrieved from VetStat, a national database for reporting antimicrobial prescriptions. The majority of the antimicrobials prescribed for dogs were broad-spectrum compounds, and extended-spectrum penicillins, cephalosporins and sulphonamides + trimethoprim together accounted for 81% of the total amount used for companion animals. Resistance to cephalosporins and amoxicillin with clavulanic acid was very low for all bacterial species examined, except for P. aeruginosa, and resistance to sulphonamides and trimethoprim was low for most species. Among the S. intermedius isolates, 60.2% were resistant to penicillin, 30.2% to fusidic acid and 27.9% to macrolides. Among E. coli isolates, the highest level of resistance was recorded for ampicillin, sulphonamides, trimethoprim, tetracyclines and streptomycin. Certain differences in resistance patterns between isolates from different sites or organs were noticed for E. coli, S. intermedius and Proteus isolates. This investigation provided data on occurrence of antimicrobial resistance in important pathogenic bacteria from dogs, which may be useful for the small animal practitioner. Resistance was low to the compounds that were most often used, but unfortunately, these compounds were broad-spectrum. Data on resistance and usage may form a background for the establishment of a set of recommendations for prudent use of antimicrobials for companion animals.

  14. Nasal Colonization rate of Staphylococcus aureus strains among Health Care Service Employee’s of Teaching University Hospitals in Yazd

    Directory of Open Access Journals (Sweden)

    Khalili Mohammad Bagher

    2009-10-01

    Full Text Available This study was carried out to find the extent of staphylococcal carriages including Methicillin resistant Staphylococcus aureus MRSA in employee's of teaching university hospitals in Yazd. Nasal swabs of 742 employees in four different medical teaching hospitals in Yazd were collected, and tested for detection of staphylococci strains. Out of 742 employees, 94 (12.7% were carrier of staphylococcus aurus and 57 (11.38% for methicillin resistant Staphylococcus aureus (MRSA respectively. Prevalence of Staphylococci aureus and MRSA in individual hospitals and wards were different. In general the highest carriers were personnel of dialysis ward and the lowest pediatrics wards. Resistance rate of MRSA against Ciprofloxacin, Vancomycin, and Rifampin were found to be as 28.1%, 10.5% and 35.1% respectively.

  15. Incidence of multidrug-resistant, extensively drug-resistant and pan-drug-resistant bacteria in children hospitalized at Dr. Hasan Sadikin general hospital Bandung Indonesia

    Science.gov (United States)

    Adrizain, R.; Suryaningrat, F.; Alam, A.; Setiabudi, D.

    2018-03-01

    Antibiotic resistance has become a global issue, with 700,000 deaths attributable to multidrug-resistance (MDR) occurring each year. Centers for Disease Control and Prevention (CDC) show rapidly increasing rates of infection due to antibiotic-resistant bacteria. The aim of the study isto describe the incidence of MDR, extensively drug-resistant (XDR) and pan drug-resistant (PDR) in Enterococcus spp., Staphylococcus aureus, K. pneumonia, Acinetobacter baumanii, P. aeruginosin, and Enterobacter spp. (ESKAPE) pathogens in children admitted to Dr. Hasan Sadikin Hospital. All pediatric patients having blood culture drawn from January 2015 to December 2016 were retrospectively studied. Data include the number of drawn blood culture, number of positive results, type of bacteria, sensitivity pattern. International standard definitions for acquired resistance by ECDC and CDC was used as definitions for MDR, XDR and PDR bacteria. From January 2015 to December 2016, 299 from 2.542 (11.7%) blood culture was positive, with Staphylococcus aureus, Enterococcus spp., Enterobacteriaceae, Pseudomonas aeruginosa, Acinetobacter spp., respectively 5, 6, 24, 5, 20 with total 60 (20%). The MDR and XDR pathogen found were 47 and 13 patients, respectively.

  16. Cross-resistance, inheritance and biochemical mechanisms of imidacloprid resistance in B-biotype Bemisia tabaci.

    Science.gov (United States)

    Wang, Zhenyu; Yao, Mingde; Wu, Yidong

    2009-11-01

    The B-type Bemisia tabaci (Gennadius) has become established in many regions in China, and neonicotinoids are extensively used to control this pest. Imidacloprid resistance in a laboratory-selected strain of B-type B. tabaci was characterised in order to provide the basis for recommending resistance management tactics. The NJ-Imi strain of B-type B. tabaci was selected from the NJ strain with imidacloprid for 30 generations. The NJ-Imi strain exhibited 490-fold resistance to imidacloprid, high levels of cross-resistance to three other neonicotinoids, low levels of cross-resistance to monosultap, cartap and spinosad, but no cross-resistance to abamectin and cypermethrin. Imidacloprid resistance in the NJ-Imi strain was autosomal and semi-dominant. It is shown that enhanced detoxification mediated by cytochrome-P450-dependent monooxygenases contributes to imidacloprid resistance to some extent in the NJ-Imi strain. Results from synergist bioassays and cross-resistance patterns indicated that target-site insensitivity may be involved in imidacloprid resistance in the NJ-Imi strain of B. tabaci. Although oxidative detoxification mediated by P450 monooxygenases is involved in imidacloprid resistance in the NJ-Imi strain of B-type B. tabaci, target-site modification as an additional resistance mechanism cannot be ruled out. Considering the high risk of cross-resistance, neonicotinoids should be regarded as a single group when implementing an insecticide rotation scheme in B. tabaci control. (c) 2009 Society of Chemical Industry.

  17. Combined 2-D Electrical Resistivity and Self Potential Survey to Investigate the Pattern of the Watukosek Fault System Around the Lusi Eruption Site, Indonesia.

    Science.gov (United States)

    Mazzini, A.; Husein, A.; Mauri, G.; Lupi, M.; Hadi, S.; Kemna, A.

    2015-12-01

    The Lusi mud eruption is located in the Sidoarjo area, Indonesia and is continuously erupting hot mud since its birth in May 2006. A comprehensive combined electrical resistivity and self-potential (SP) survey was performed in the 7 km2 area inside the Lusi embankment that had been built to contain the erupted mud and to prevent flooding of the surrounding roads and settlements. The goal of the geophysical survey is to map the near-surface occurrence of the Watukosek fault system, upon which LUSI resides, delineate its spatial pattern and monitor its development. We completed six lines of measurements combining resistivity measurement using Wenner configuration and SP measurements using roll-along technique. Three subparallel lines were located either to the north and to the south of the main crater. Each line was approximately W-E oriented extending for ~1.26 km. The surveyed regions consist of dried mud breccia (containing clayey-silty-sandy admixture with clast up to ~ 10 cm in size). The thickness of the dry walkable mud is approximately 2-3 m and the deeper layer consist of water saturated mud that could be vulnerable to a liquefaction scenario in case of significant seismic activity in the region. The resistivity data were inverted into 2-D resistivity images with a maximum exploration depth of almost 200 m. The resistivity images consistently reveal a region of about 300 m in width (between 30-90 m depth) characterized by anomalous resistivities, which are lower than the value observed in the surounding area. The position of these anomalies is also supported by the SP data, which suggests that their origin is related to fluid flow path in the subsurface. Thus the combined resistivity and SP results allow inference of an improved model of the Watukosek fault system.

  18. Changing prevalence and antibiotic drug resistance pattern of pathogens seen in community-acquired pediatric urinary tract infections at a tertiary care hospital of North India

    OpenAIRE

    Patwardhan, Vrushali; Kumar, Dinesh; Goel, Varun; Singh, Sarman

    2017-01-01

    b>Introduction: Timely treatment of urinary tract infection (UTI) with appropriate antibiotic administration is of immense importance in children to reduce the consequences. Aims and Objectives: The aim and objective of this study was to assess the temporal changes in the microbiological profiles and antimicrobial resistance patterns of uropathogens in pediatric community-acquired UTI. Materials and Methods: This is a retrospective analysis of data collected over a Scattered period of 5...

  19. Geographic patterns of Plasmodium falciparum drug resistance distinguished by differential responses to amodiaquine and chloroquine

    Science.gov (United States)

    Sá, Juliana Martha; Twu, Olivia; Hayton, Karen; Reyes, Sahily; Fay, Michael P.; Ringwald, Pascal; Wellems, Thomas E.

    2009-01-01

    Chloroquine (CQ) resistance (CQR) in Plasmodium falciparum originated from at least six foci in South America, Asia, and Oceania. Malaria parasites from these locations exhibit contrasting resistance phenotypes that are distinguished by point mutations and microsatellite polymorphisms in and near the CQR transporter gene, pfcrt, and the multidrug resistance transporter gene, pfmdr1. Amodiaquine (AQ), a 4-aminoquinoline related to CQ, is recommended and often used successfully against CQ-resistant P. falciparum in Africa, but it is largely ineffective across large regions of South America. The relationship of different pfcrt and pfmdr1 combinations to these drug-resistant phenotypes has been unclear. In two P. falciparum genetic crosses, particular pfcrt and pfmdr1 alleles from South America interact to yield greater levels of resistance to monodesethylamodiaquine (MDAQ; the active metabolite of AQ) than to CQ, whereas a pfcrt allele from Southeast Asia and Africa is linked to greater CQ than MDAQ resistance with all partner pfmdr1 alleles. These results, together with (i) available haplotype data from other parasites; (ii) evidence for an emerging focus of AQ resistance in Tanzania; and (iii) the persistence of 4-aminoquinoline-resistant parasites in South America, where CQ and AQ use is largely discontinued, suggest that different histories of drug use on the two continents have driven the selection of distinct suites of pfcrt and pfmdr1 mutations. Increasing use of AQ in Africa poses the threat of a selective sweep of highly AQ-resistant, CQ-resistant parasites with pfcrt and pfmdr1 mutations that are as advantaged and persistent as in South America. PMID:19884511

  20. The wild tomato species Solanum chilense shows variation in pathogen resistance between geographically distinct populations.

    Science.gov (United States)

    Stam, Remco; Scheikl, Daniela; Tellier, Aurélien

    2017-01-01

    Wild tomatoes are a valuable source of disease resistance germplasm for tomato ( Solanum lycopersicum ) breeders. Many species are known to possess a certain degree of resistance against certain pathogens; however, evolution of resistance traits is yet poorly understood. For some species, like Solanum chilense , both differences in habitat and within species genetic diversity are very large. Here we aim to investigate the occurrence of spatially heterogeneous coevolutionary pressures between populations of S. chilense . We investigate the phenotypic differences in disease resistance within S. chilense against three common tomato pathogens ( Alternaria solani , Phytophthora infestans and a Fusarium sp .) and confirm high degrees of variability in resistance properties between selected populations. Using generalised linear mixed models, we show that disease resistance does not follow the known demographic patterns of the species. Models with up to five available climatic and geographic variables are required to best describe resistance differences, confirming the complexity of factors involved in local resistance variation. We confirm that within S. chilense , resistance properties against various pathogens show a mosaic pattern and do not follow environmental patterns, indicating the strength of local pathogen pressures. Our study can form the basis for further investigations of the genetic traits involved.

  1. Hepatitis C Virus Genotype 1 to 6 Protease Inhibitor Escape Variants: In Vitro Selection, Fitness, and Resistance Patterns in the Context of the Infectious Viral Life Cycle.

    Science.gov (United States)

    Serre, Stéphanie B N; Jensen, Sanne B; Ghanem, Lubna; Humes, Daryl G; Ramirez, Santseharay; Li, Yi-Ping; Krarup, Henrik; Bukh, Jens; Gottwein, Judith M

    2016-06-01

    Hepatitis C virus (HCV) NS3 protease inhibitors (PIs) are important components of novel HCV therapy regimens. Studies of PI resistance initially focused on genotype 1. Therefore, knowledge about the determinants of PI resistance for the highly prevalent genotypes 2 to 6 remains limited. Using Huh7.5 cell culture-infectious HCV recombinants with genotype 1 to 6 NS3 protease, we identified protease positions 54, 155, and 156 as hot spots for the selection of resistance substitutions under treatment with the first licensed PIs, telaprevir and boceprevir. Treatment of a genotype 2 isolate with the newer PIs vaniprevir, faldaprevir, simeprevir, grazoprevir, paritaprevir, and deldeprevir identified positions 156 and 168 as hot spots for resistance; the Y56H substitution emerged for three newer PIs. Substitution selection also depended on the specific recombinant. The substitutions identified conferred cross-resistance to several PIs; however, most substitutions selected under telaprevir or boceprevir treatment conferred less resistance to certain newer PIs. In a single-cycle production assay, across genotypes, PI treatment primarily decreased viral replication, which was rescued by PI resistance substitutions. The substitutions identified resulted in differential effects on viral fitness, depending on the original recombinant and the substitution. Across genotypes, fitness impairment induced by resistance substitutions was due primarily to decreased replication. Most combinations of substitutions that were identified increased resistance or fitness. Combinations of resistance substitutions with fitness-compensating substitutions either rescued replication or compensated for decreased replication by increasing assembly. This comprehensive study provides insight into the selection patterns and effects of PI resistance substitutions for HCV genotypes 1 to 6 in the context of the infectious viral life cycle, which is of interest for clinical and virological HCV research

  2. Organic ice resists

    DEFF Research Database (Denmark)

    Tiddi, William; Elsukova, Anna; Le, Hoa Thanh

    2017-01-01

    Electron-beam lithography (EBL) is the backbone technology for patterning nanostructures and manufacturing nanodevices. It involves processing and handling synthetic resins in several steps, each requiring optimization and dedicated instrumentation in cleanroom environments. Here, we show...... that simple organic molecules, e.g. alcohols, condensed to form thin-films at low temperature demonstrate resist-like capabilities for EBL applications and beyond. The entire lithographic process takes place in a single instrument, and avoids exposing chemicals to the user and the need of cleanrooms. Unlike...... EBL that requires large samples with optically flat surfaces, we patterned on fragile membranes only 5-nm-thin, and 2 x 2 mm2 diamond samples. We created patterns on the nm to sub-mm scale, as well as three-dimensional structures by stacking layers of frozen organic molecules. Finally, using plasma...

  3. Candidate genes for cross-resistance against DNA-damaging drugs

    DEFF Research Database (Denmark)

    Wittig, Rainer; Nessling, Michelle; Will, Rainer D

    2002-01-01

    Drug resistance of tumor cells leads to major drawbacks in the treatment of cancer. To identify candidate genes for drug resistance, we compared the expression patterns of the drug-sensitive human malignant melanoma cell line MeWo and three derived sublines with acquired resistance to the DNA...... as several apoptosis-related genes, in particular STK17A and CRYAB. As MPP1 and CRYAB are also among the 14 genes differentially expressed in all three of the drug-resistant sublines, they represent the strongest candidates for resistance against DNA-damaging drugs....

  4. SOURCE OF THE FITNESS DEFECT IN RIFAMYCIN-RESISTANT M. TUBERCULOSIS RNA POLYMERASE AND THE MECHANISM OF COMPENSATION BY MUTATIONS IN THE β'-SUBUNIT.

    Science.gov (United States)

    Stefan, Maxwell A; Ugur, Fatima S; Garcia, George A

    2018-04-16

    Mycobacterium tuberculosis (MTB) is a critical threat to human health due to the increased prevalence of rifampin resistance (RMP R ). Fitness defects have been observed in RMP R mutants having amino acid substitutions in the β-subunit of RNA polymerase (RNAP). In clinical isolates, this fitness defect can be ameliorated by the presence of secondary mutations in the double-psi β-barrel (DPBB) domain of the β'-subunit of RNAP. To identify factors contributing to the fitness defects observed in vivo, several in vitro RNA transcription assays were utilized to probe initiation, elongation, termination, and 3' -RNA hydrolysis with the wild-type and RMP R MTB RNAPs. We found that the less prevalent RMP R mutants exhibit significantly poorer termination efficiencies relative to wild-type, an important factor for proper gene expression. We also found that several mechanistic aspects of transcription of the RMP R mutant RNAPs are impacted relative to wild-type. For the clinically most prevalent, βS450L mutant, these defects are mitigated by the presence of secondary/compensatory mutations in the DPBB domain of the β'-subunit. Copyright © 2018 American Society for Microbiology.

  5. Current and Emerging Topical Antibacterials and Antiseptics: Agents, Action, and Resistance Patterns.

    Science.gov (United States)

    Williamson, Deborah A; Carter, Glen P; Howden, Benjamin P

    2017-07-01

    Bacterial skin infections represent some of the most common infectious diseases globally. Prevention and treatment of skin infections can involve application of a topical antimicrobial, which may be an antibiotic (such as mupirocin or fusidic acid) or an antiseptic (such as chlorhexidine or alcohol). However, there is limited evidence to support the widespread prophylactic or therapeutic use of topical agents. Challenges involved in the use of topical antimicrobials include increasing rates of bacterial resistance, local hypersensitivity reactions (particularly to older agents, such as bacitracin), and concerns about the indiscriminate use of antiseptics potentially coselecting for antibiotic resistance. We review the evidence for the major clinical uses of topical antibiotics and antiseptics. In addition, we review the mechanisms of action of common topical agents and define the clinical and molecular epidemiology of antimicrobial resistance in these agents. Moreover, we review the potential use of newer and emerging agents, such as retapamulin and ebselen, and discuss the role of antiseptic agents in preventing bacterial skin infections. A comprehensive understanding of the clinical efficacy and drivers of resistance to topical agents will inform the optimal use of these agents to preserve their activity in the future. Copyright © 2017 American Society for Microbiology.

  6. Prevalence and antimicrobial susceptibility pattern of methicillin resistant Staphylococcus aureus isolated from clinical samples at Yekatit 12 Hospital Medical College, Addis Ababa, Ethiopia.

    Science.gov (United States)

    Dilnessa, Tebelay; Bitew, Adane

    2016-08-09

    Staphylococcus aureus particularly MRSA strains are one of the major causes of community and hospital acquired bacterial infections. They are also becoming increasingly multi-drug resistant and have recently developed resistance to vancomycin, which has been used successfully to treat MRSA for many years. In-vitro determination of drug resistance patterns of S. aureus is critical for the selection of effective drugs for the treatment of staphylococci infections. The main aim of this study was to determine the prevalence of methicillin resistant S. aureus strains from different clinical specimens from patients referred for routine culture and sensitivity testing. A cross sectional study was conducted among 1360 participants at Yekatit 12 Hospital Medical College in Ethiopia from September 2013 to April 2014. Clinical samples from various anatomical sites of study participants were cultured on blood agar and mannitol salt agar and identified to be S. aureus by using catalase, coagulase and DNAse tests. S. aureus isolates then were screened for MRSA using 30 μg cefoxitin disc and other 11 antimicrobial drugs by disc diffusion procedure, and agar dilution and E tests for vancomycin. All S. aureus isolates examined for beta-lactamase production by employing nitrocefin. Data were analyzed using SPSS version 20 software and logistic regressions were applied to assess any association between dependent and independent variables. Of 1360 clinical specimens analyzed S. aureus was recovered from (194, 14.3 %). Rate of isolation of S. aureus with regard to clinical specimens was the highest in pus (118, 55.4 %).No S. aureus was isolated from CSF and urethral discharge. Out of 194 S. aureus isolates, (34, 17.5 %) were found out to be MRSA and the remaining (160, 82.5 %) were MSSA. Ninety eight (50.5 %) S. aureus were multi drug resistant and the highest isolates were resistant to penicillin (187, 96.4 %) and least resistant for clindamycin (23, 11.9 %) and vancomycin

  7. Antimicrobial resistance of fecal isolates of salmonella and shigella ...

    African Journals Online (AJOL)

    Salmonellosis and Shigellosis coupled with increased levels of multidrug resistances are public health problems, especially in developing countries. This study was aimed at determining the prevalence of fecal Salmonella and Shigella spp and its antimicrobial resistance patterns. A retrospective study was conducted on ...

  8. Effect of physiological age on radiation resistance of some bacteria that are highly radiation resistant

    International Nuclear Information System (INIS)

    Keller, L.C.; Maxcy, R.B.

    1984-01-01

    Physiological age-dependent variation in radiation resistance was studied for three bacteria that are highly radiation resistant: Micrococcus radiodurans, Micrococcus sp. isolate C-3, and Moraxella sp. isolate 4. Stationary-phase cultures of M. radiodurans and isolate C-3 were much more resistant to gamma radiation than were log-phase cultures. This pattern of relative resistance was reversed for isolate 4. Resistance of isolate 4 to UV light was also greater during log phase, although heat resistance and NaCl tolerance after heat stresses were greater during stationary phase. Radiation-induced injury of isolate 4 compared with injury of Escherichia coli B suggested that the injury process, as well as the lethal process, was affected by growth phase. The hypothesis that growth rate affects radiation resistance was tested, and results were interpreted in light of the probable confounding effect of methods used to alter growth rates of bacteria. These results indicate that dose-response experiments should be designed to measure survival during the most resistant growth phase of the organism under study. The timing is particularly important when extrapolations of survival results might be made to potential irradiation processes for foods. 17 references

  9. Nationwide survey of Helicobacter pylori antibiotic resistance in Thailand.

    Science.gov (United States)

    Vilaichone, Ratha-Korn; Gumnarai, Pornpen; Ratanachu-Ek, Thawee; Mahachai, Varocha

    2013-12-01

    The objectives of this study are to survey the antibiotic-resistant pattern of Helicobacter pylori infection in different geographical locations in Thailand and to determine factors associated with antibiotic resistance. Dyspeptic patients undergoing upper gastrointestinal endoscopy from the Northern, Northeastern, Central, and Southern regions of Thailand between January 2004 and December 2012 were enrolled in this study. Two antral gastric biopsies were obtained for culture; susceptibility tests were performed using E-test. A total of 3964 were enrolled, and 1350 patients (34.1%) were infected with H. pylori as identified by rapid urease test. Cultures were positive in 619 isolates. E-test for amoxicillin, clarithromycin, metronidazole, and tetracycline were successful in 400 isolates and for levofloxacin and ciprofloxacin in 208 isolates. Antibiotic resistance was present in 50.3% including amoxicillin 5.2%, tetracycline 1.7%, clarithromycin 3.7%, metronidazole 36%, ciprofloxacin 7.7%, levofloxacin 7.2%, and multi-drugs in 4.2%. Clarithromycin resistance was significantly more common in those older than 40 years (i.e., 100% versus 0%; P = 0.04). The prevalence of metronidazole resistant in Southern Thailand was significantly higher than in the Northeastern region (66.7% versus 33.3% P = 0.04). Metronidazole resistance remains the most common antibiotic resistant type of H. pylori in Thailand. The pattern of H. pylori antibiotic resistance over 9 years demonstrated a fall in clarithromycin resistance such that currently age >40 years is a predictor for clarithromycin resistance in Thailand. Quinolone resistance is a growing problem. © 2013.

  10. Gamma radiation-induced mutant of NSIC RC144 with broad-spectrum resistance to bacterial blight

    International Nuclear Information System (INIS)

    Alfonso, A.A.; Avellanoza, E.S.; Miranda, R.T.; Espejo, E.O.; Garcia, N.S.

    2014-01-01

    Mutant lines derived from gamma radiation-treated commercial variety NSIC RC144 were produced and screened for novel resistance to bacterial blight, one of the most serious diseases of rice. Preliminary screening of a bulk M2 population through induced method using race 3 of the pathogen Xanthomonas oryzae pv. oryzae (Xoo) resulted in the selection of 89 resistant plants. Subsequent repeated bacterial blight screenings and generation advance for five seasons resulted in the selection of two highly resistant M7 sister lines whose origin can be traced to a single M2 plant. DNA fingerprinting using 63 genome-wide simple sequence repeat (SSR) markers revealed an identical pattern in these lines. Using the same set of markers, they also exhibited 98% similarity to wild type NSIC RC144 indicating that the resistance is due to mutation and not due to genetic admixture or seed impurity. Two seasons of bacterial blight screening using 14 local isolates representing ten races of Xoo revealed an identical reaction pattern in these lines. The reaction pattern was observed to be unique compared to known patterns in four IRBB isolines (IRBB 4, 5, 7 and 21) with strong resistant reaction to bacterial blight suggesting possible novel resistance. The susceptible reaction in F1 testcrosses using Xoo race 6 and the segregation patterns in two F2 populations that fit with the expected 3 susceptible: 1 resistant ratio (P = 0.4, ns) suggest a single-gene recessive mutation in these lines. These mutants are now being used as resistance donor in the breeding program while further molecular characterization to map and characterize the mutated gene is being pursued

  11. Resistance pattern of Helicobacter pylori strains to clarithromycin, metronidazole, and amoxicillin in Isfahan, Iran

    Directory of Open Access Journals (Sweden)

    Farzad Khademi

    2013-01-01

    Full Text Available Background: Helicobacter pylori (H. pylori resistance to antibiotics has become a global problem and is an important factor in determining the outcome of treatment of infected patients. The purpose of this study was to determine the H. pylori resistance to clarithromycin, metronidazole, and amoxicillin in gastrointestinal disorders patients. Materials and Methods: In this study, a total of 260 gastric antrum biopsy specimens were collected from patients with gastrointestinal disorders who referred to Endoscopy Section of the Isfahan Hospitals. The E-test and Modified Disk Diffusion Method (MDDM were used to verify the prevalence of antibiotic resistance in 78 H. pylori isolates to the clarithromycin, metronidazole, and amoxicillin. Results: H. pylori resistance to clarithromycin, metronidazole, and amoxicillin were 15.3, 55.1, and 6.4%, respectively. In this studyΈ we had one multidrug resistance (MDR isolates from patient with gastritis and peptic ulcer disease. Conclusion: Information on antibiotic susceptibility profile plays an important role in empiric antibiotic treatment and management of refractive cases. According to the results obtained in this study, H. pylori resistance to clarithromycin and metronidazole was relatively high. MDR strains are emerging and will have an effect on the combination therapy.

  12. Drug resistance pattern of M. tuberculosis in category II treatment failure pulmonary tuberculosis patients

    Directory of Open Access Journals (Sweden)

    Fahmida Rahman

    2013-01-01

    Full Text Available This study was designed to determine the extent of drug resistance of M. tuberculosis (MTB isolated from category II treatment failure pulmonary tuberculosis (PTB patients. A total of 100 Ziehl-Neelsen (Z-N smear positive category II failure PTB patients were included in this study. Sputum culture was done in Lowenstein-Jensen (L-J media. Conventional proportion method on Lowenstein-Jensen (L-J media was used to determine the drug susceptibility of M. tuberculosis to isoniazid (INH, rifampicin (RMP, ofloxacin (OFX and kanamycin (KA. Out of 100 sputum samples, a total of 87 samples were positive by culture. Drug susceptibility test (DST revealed that 82 (94.25% isolates were resistant to one or more anti -TB drugs. Resistance to isoniazide (INH, rifampicin (RMP, ofloxacin (OFX and kanamycin (KA was 94.25%, 82.75%, 29.90% and 3.45% respectively. Among these isolates, 79.31% and 3.45% isolates were multi-drug resistant (MDR and extended drug resistant (XDR M. tuberculosis respectively. High rate of anti-tubercular drug resistance was observed among the category II treatment failure TB patients. Ibrahim Med. Coll. J. 2013; 7(1: 9-11

  13. Pattern of steroid-resistant nephrotic syndrome in children and the ...

    African Journals Online (AJOL)

    Background. Steroid-resistant nephrotic syndrome (SRNS) is a common problem in paediatric nephrology practice. There is currently little information on the spectrum of histopathological lesions in children presenting with SRNS in India and other south-east Asian countries. Objective. To determine the histopathological ...

  14. Antibiotic resistance pattern and prevalence of qacEΔ1 and sul1 genes in Pseudomonas aeruginosa from hospital wastewater

    Directory of Open Access Journals (Sweden)

    Rosa Maria Pinto Novaes

    2018-05-01

    Full Text Available Introduction: Hospital effluents may pose great environmental risk due to the presence of pathogenic microorganisms, drugs and chemical components. Pseudomonas aeruginosa is an opportunistic pathogen frequently found in hospital environment. Objective: To evaluate the resistome of P. aeruginosa from the hospital wastewater treatment plant (HWTP in a hospital complex of Rio de Janeiro city. Method: Twenty isolates from the five stages of the HWTP were identified as P. aeruginosa by 16S rRNA gene sequencing analysis. Susceptibility to antibiotics was determined according to CLSI and qacEΔ1 and sul1 genes were detected by PCR. Sulphonamide residues were investigated by high performance liquid chromatography coupled to sequential mass spectrometry. Results: The sulfamethoxazole has been demonstrated at a level below 50 ng L-1. Sulfonamide resistance (80% has been demonstrated followed by quinolone class (50% and 13 susceptibility patterns to antimicrobials. The qacEΔ1-sul1 genes were detected in 100% of isolates suggesting the presence of class 1 integrons in the whole HWTP. Conclusions: The results signalized limitations of HWTP and propagation of resistance genes in all stages of the HWTP. These data also contribute to the environmental sanitary surveillance in the design of prevention actions against negative impact on the public health.

  15. Alternative Evolutionary Paths to Bacterial Antibiotic Resistance Cause Distinct Collateral Effects.

    Science.gov (United States)

    Barbosa, Camilo; Trebosc, Vincent; Kemmer, Christian; Rosenstiel, Philip; Beardmore, Robert; Schulenburg, Hinrich; Jansen, Gunther

    2017-09-01

    When bacteria evolve resistance against a particular antibiotic, they may simultaneously gain increased sensitivity against a second one. Such collateral sensitivity may be exploited to develop novel, sustainable antibiotic treatment strategies aimed at containing the current, dramatic spread of drug resistance. To date, the presence and molecular basis of collateral sensitivity has only been studied in few bacterial species and is unknown for opportunistic human pathogens such as Pseudomonas aeruginosa. In the present study, we assessed patterns of collateral effects by experimentally evolving 160 independent populations of P. aeruginosa to high levels of resistance against eight commonly used antibiotics. The bacteria evolved resistance rapidly and expressed both collateral sensitivity and cross-resistance. The pattern of such collateral effects differed to those previously reported for other bacterial species, suggesting interspecific differences in the underlying evolutionary trade-offs. Intriguingly, we also identified contrasting patterns of collateral sensitivity and cross-resistance among the replicate populations adapted to the same drug. Whole-genome sequencing of 81 independently evolved populations revealed distinct evolutionary paths of resistance to the selective drug, which determined whether bacteria became cross-resistant or collaterally sensitive towards others. Based on genomic and functional genetic analysis, we demonstrate that collateral sensitivity can result from resistance mutations in regulatory genes such as nalC or mexZ, which mediate aminoglycoside sensitivity in β-lactam-adapted populations, or the two-component regulatory system gene pmrB, which enhances penicillin sensitivity in gentamicin-resistant populations. Our findings highlight substantial variation in the evolved collateral effects among replicates, which in turn determine their potential in antibiotic therapy. © The Author 2017. Published by Oxford University Press on

  16. Plasmid Conjugation in E. coli and Drug Resistance | Igwe ...

    African Journals Online (AJOL)

    This study aimed at determining the antibiotics susceptibility pattern of E. coli isolates claimed to be multidrug resistance using disc diffusion method. It also determined the presence of transferable resistance plasmids through conjugation and evaluated the medical significance of plasmid encoding E. coli and drug ...

  17. Differences in Rhodococcus equi Infections Based on Immune Status and Antibiotic Susceptibility of Clinical Isolates in a Case Series of 12 Patients and Cases in the Literature

    Science.gov (United States)

    Suzuki, Yasuhiro; Ribes, Julie A.; Thornton, Alice

    2016-01-01

    Rhodococcus equi is an unusual zoonotic pathogen that can cause life-threatening diseases in susceptible hosts. Twelve patients with R. equi infection in Kentucky were compared to 137 cases reported in the literature. Although lungs were the primary sites of infection in immunocompromised patients, extrapulmonary involvement only was more common in immunocompetent patients (P antibiotics, preferably selected from vancomycin, imipenem, clarithromycin/azithromycin, ciprofloxacin, rifampin, or cotrimoxazole. Local antibiograms should be checked prior to using cotrimoxazole due to developing resistance. PMID:27631004

  18. Isolation of multi-drug resistant Paenibacillus sp. from fertile soil: An imminent menace of spreading resistance.

    Digital Repository Service at National Institute of Oceanography (India)

    Pednekar, P.B.; Jain, R.; Thakur, N.L.; Mahajan, G.B.

    There are a good number of reports in the literature stating spread of resistance from normal soil flora to nosocomial microorganism through various ways. Similarly during the study of antimicrobial susceptibility pattern in the microflora, a multi...

  19. [In vitro drug release behavior of carrier made of porous glass ceramics].

    Science.gov (United States)

    Wang, De-ping; Huang, Wen-hai; Zhou, Nai

    2002-09-01

    To conduct the in vitro test on drug release of rifampin encapsulated in a carrier made of porous phosphate glass ceramics and to analyze main factors which affect the drug release rate. A certain quantitative of rifampin was sealed in a hollow cylindrical capsule which consisted of chopped calcium phosphate crystal fiber obtained from glass crystallization. The rifampin concentration was measured in the simulated physiological solution in which the capsule soaked. Rifampin could be released in a constant rate from the porous glass ceramic carrier in a long time. The release rate was dependent on the size of crystal fiber and the wall thickness of the capsule. This kind of calcium phosphate glass ceramics can be a candidate of the carrier materials used as long term drug therapy after osteotomy surgery.

  20. Prevalence and antimicrobial resistance pattern of Salmonella in animal feed produced in Namibia.

    Science.gov (United States)

    Shilangale, Renatus P; Di Giannatale, Elisabetta; Chimwamurombe, Percy M; Kaaya, Godwin P

    2012-01-01

    The occurrence of Salmonella is a global challenge in the public health and food production sectors. Our study investigated the prevalence, serovar and antimicrobial susceptibility of strains of Salmonella serovars isolated from animal feed (meat-and-bone and blood meal) samples from two commercial abattoirs in Namibia. A total of 650 samples (n=650) were examined for the presence of Salmonella. Results showed that 10.9% (n=71) were positive for Salmonella. Of the Salmonella serovars isolated, S. Chester was the most commonly isolated serovar (19.7%), followed by S. Schwarzengrund at 12.7%. From the Salmonella isolates, 19.7% (n=14) were resistant to one or more of the antimicrobials (nalidixic acid, trimethoprim-sulfamethoxazole, sulfisoxazole, streptomycin and/or tetracycline), whereas 80.3% (n=57) were susceptible to all 16 antimicrobials tested. Resistance to sulfisoxazole and the trimethroprimsuflamethoxazole combination were the most common. The resistant isolates belonged to ten different Salmonella serovars. The susceptibility of most of the Salmonella isolated to the antimicrobials tested indicates that anti-microbial resistance is not as common and extensive in Namibia as has been reported in many other countries. It also appears that there is a range of antimicrobials available that are effective in managing Salmonella infections in Namibia. However, there is some evidence that resistance is developing and this will need further monitoring to ensure it does not become a problem.

  1. The wild tomato species Solanum chilense shows variation in pathogen resistance between geographically distinct populations

    Directory of Open Access Journals (Sweden)

    Remco Stam

    2017-01-01

    Full Text Available Wild tomatoes are a valuable source of disease resistance germplasm for tomato (Solanum lycopersicum breeders. Many species are known to possess a certain degree of resistance against certain pathogens; however, evolution of resistance traits is yet poorly understood. For some species, like Solanum chilense, both differences in habitat and within species genetic diversity are very large. Here we aim to investigate the occurrence of spatially heterogeneous coevolutionary pressures between populations of S. chilense. We investigate the phenotypic differences in disease resistance within S. chilense against three common tomato pathogens (Alternaria solani, Phytophthora infestans and a Fusarium sp. and confirm high degrees of variability in resistance properties between selected populations. Using generalised linear mixed models, we show that disease resistance does not follow the known demographic patterns of the species. Models with up to five available climatic and geographic variables are required to best describe resistance differences, confirming the complexity of factors involved in local resistance variation. We confirm that within S. chilense, resistance properties against various pathogens show a mosaic pattern and do not follow environmental patterns, indicating the strength of local pathogen pressures. Our study can form the basis for further investigations of the genetic traits involved.

  2. The wild tomato species Solanum chilense shows variation in pathogen resistance between geographically distinct populations

    Science.gov (United States)

    Scheikl, Daniela; Tellier, Aurélien

    2017-01-01

    Wild tomatoes are a valuable source of disease resistance germplasm for tomato (Solanum lycopersicum) breeders. Many species are known to possess a certain degree of resistance against certain pathogens; however, evolution of resistance traits is yet poorly understood. For some species, like Solanum chilense, both differences in habitat and within species genetic diversity are very large. Here we aim to investigate the occurrence of spatially heterogeneous coevolutionary pressures between populations of S. chilense. We investigate the phenotypic differences in disease resistance within S. chilense against three common tomato pathogens (Alternaria solani, Phytophthora infestans and a Fusarium sp.) and confirm high degrees of variability in resistance properties between selected populations. Using generalised linear mixed models, we show that disease resistance does not follow the known demographic patterns of the species. Models with up to five available climatic and geographic variables are required to best describe resistance differences, confirming the complexity of factors involved in local resistance variation. We confirm that within S. chilense, resistance properties against various pathogens show a mosaic pattern and do not follow environmental patterns, indicating the strength of local pathogen pressures. Our study can form the basis for further investigations of the genetic traits involved. PMID:28133579

  3. Occurrence of antibiotic resistant bacteria in dogs presented with ...

    African Journals Online (AJOL)

    Otitis is one of the most common infections in dogs. This has been associated with misuse of antibiotics thereby promoting the emergence of multi-resistant micro-organisms. This study was conducted to determine the occurrence and the antibiotic resistance pattern of bacterial pathogens associated with otitis in dogs ...

  4. Antibiotic resistant Staphylococcus aureus in Abia State of Nigeria ...

    African Journals Online (AJOL)

    The S. aureus. isolates varied in their antibiotic susceptibility pattern when tested for their sensitivity to 16 antibiotics. Eighty percent of the isolates were resistant to more than one antimicrobial agent. All the isolates showed resistance to nalidixic acid and 100% sensitivity to rifampicin. Key words: Staphylococcus aureus, ...

  5. Antibiotic Resistances of Yogurt Starter Cultures Streptococcus thermophilus and Lactobacillus bulgaricus

    OpenAIRE

    Sozzi, Tommaso; Smiley, Martin B.

    1980-01-01

    Twenty-nine strains of Lactobacillus bulgaricus and 15 strains of Streptococcus thermophilus were tested for resistance to 35 antimicrobial agents by using commercially available sensitivity disks. Approximately 35% of the isolates had uncharacteristic resistance patterns.

  6. Bacteriological profile and antimicrobial susceptibility patterns of ...

    African Journals Online (AJOL)

    Bacterial identification and antimicrobial susceptibility patterns were ... setting and there are antibiotic-resistant uropathogens among the studied population. ... used antibiotics must be a continuous process so as to provide physicians with up ...

  7. Detection of E.Coli Strains Containing Shiga Toxin (Stx1/2 Gene in Diarrheal Specimens from Children Less than 5 Years Old by PCR Technique and Study of the Patterns of Antibiotic Resistance

    Directory of Open Access Journals (Sweden)

    MR Pourmand

    2009-10-01

    Full Text Available Introduction: Shiga toxin- producing Escherichia coli (STEC is an emerging bacterial pathogen in developing countries that causes several diseases such as diarrhea, hemorrhagic colitis (HC and hemolytic uremic syndrome (HUS, particularly in children. Aim of the research was detection of STEC in diarrheal specimens from under 5 year olds and study of the patterns of antibiotic resistance of these strains. Methods: In the study,300 fecal samples were collected from children with diarrhea referring to Ali Asghar Hospital. E.coli species were isolated by standard bacteriological and biochemical tests. Presence of shiga toxin genes (stx1/2 was investigated by PCR technique (Qiagen. Antibiogram test for strains containing the toxin gene was performed using 16 different antibiotic discs (MAST by disc diffusion agar (Kirby-Bauer method. Results: From 39 E.coli isolates, 9(23.1% strains were detected by PCR to contain stx1/2 gene. One strain was resistant to all 16 antibiotics. All the STEC strains were sensitive to meropenem (MRP, imipenem (IMI, gentamycin (GEN and nitrofurantoin (NI. 4(44.44% strains showed multi-drug resistant pattern. All these 4strains were resistant to cotrimoxazole(SxT. Also, 6(66.66% strains were resistant to at least one antibiotic. Conclusion: In Iran, shiga toxin- producing Escherichia coli (STEC may be a commonly bacterial pathogen causing diarrhea, particularly in children. Therefore, we should use new techniques for investigation of these strains. Increase in number of emerging and new strains that could be resistant to classic antibiotics such as cotrimoxazole may be foreseen. It is suggested that antibiotics prescription programs in treatment of diarrhea causing E.coli strains be updated.

  8. Characterization of Multidrug Resistant E. faecalis Strains from Pigs of Local Origin by ADSRRS-Fingerprinting and MALDI -TOF MS; Evaluation of the Compatibility of Methods Employed for Multidrug Resistance Analysis.

    Directory of Open Access Journals (Sweden)

    Aneta Nowakiewicz

    Full Text Available The aim of this study was to characterize multidrug resistant E. faecalis strains from pigs of local origin and to analyse the relationship between resistance and genotypic and proteomic profiles by amplification of DNA fragments surrounding rare restriction sites (ADSRRS-fingerprinting and matrix-assisted laser desorption ionization time-of-flight mass spectrometry (MALDI -TOF MS. From the total pool of Enterococcus spp. isolated from 90 pigs, we selected 36 multidrug resistant E. faecalis strains, which represented three different phenotypic resistance profiles. Phenotypic resistance to tetracycline, macrolides, phenicols, and lincomycin and high-level resistance to aminoglycosides were confirmed by the occurrence of at least one corresponding resistance gene in each strain. Based on the analysis of the genotypic and phenotypic resistance of the strains tested, five distinct resistance profiles were generated. As a complement of this analysis, profiles of virulence genes were determined and these profiles corresponded to the phenotypic resistance profiles. The demonstration of resistance to a wide panel of antimicrobials by the strains tested in this study indicates the need of typing to determine the spread of resistance also at the local level. It seems that in the case of E. faecalis, type and scope of resistance strongly determines the genotypic pattern obtained with the ADSRRS-fingerprinting method. The ADSRRS-fingerprinting analysis showed consistency of the genetic profiles with the resistance profiles, while analysis of data with the use of the MALDI- TOF MS method did not demonstrate direct reproduction of the clustering pattern obtained with this method. Our observations were confirmed by statistical analysis (Simpson's index of diversity, Rand and Wallace coefficients. Even though the MALDI -TOF MS method showed slightly higher discrimination power than ADSRRS-fingerprinting, only the latter method allowed reproduction of the

  9. Comparison of Detection Rate and Mutational Pattern of Drug-Resistant Mutations Between a Large Cohort of Genotype B and Genotype C Hepatitis B Virus-Infected Patients in North China.

    Science.gov (United States)

    Li, Xiaodong; Liu, Yan; Xin, Shaojie; Ji, Dong; You, Shaoli; Hu, Jinhua; Zhao, Jun; Wu, Jingjing; Liao, Hao; Zhang, Xin-Xin; Xu, Dongping

    2017-06-01

    The study aimed to investigate the association of prevalent genotypes in China (HBV/C and HBV/B) with HBV drug-resistant mutations. A total of 13,847 nucleos(t)ide analogue (NA)-treated patients with chronic HBV infection from North China were enrolled. HBV genotypes and resistant mutations were determined by direct sequencing and confirmed by clonal sequencing if necessary. HBV/B, HBV/C, and HBV/D occupied 14.3%, 84.9%, and 0.8% across the study population, respectively. NA usage had no significant difference between HBV/B- and HBV/C-infected patients. Lamivudine-resistant mutations were more frequently detected in HBV/C-infected patients, compared with HBV/B-infected patients (31.67% vs. 25.26%, p M250 V/I/L substitution (0.67% vs. 1.46%, p < 0.01). Multidrug-resistant mutations (defined as coexistence of mutation to nucleoside and nucleotide analogues) were detected in 104 patients. HBV/C-infected patients had a higher detection rate of multidrug-resistant mutation than HBV/B-infected patients (0.83% vs. 0.35%, p < 0.05). The study for the first time clarified that HBV/C-infected patients had a higher risk to develop multidrug-resistant mutations, compared with HBV/B-infected patients; and HBV/C- and HBV/B-infected patients had different inclinations in the ETV-resistant mutational pattern.

  10. Functional and molecular surveillance of Helicobacter pylori antibiotic resistance in Kuala Lumpur.

    Directory of Open Access Journals (Sweden)

    Xinsheng Teh

    Full Text Available BACKGROUND: Helicobacter pylori is the etiological agent for diseases ranging from chronic gastritis and peptic ulcer disease to gastric adenocarcinoma and primary gastric B-cell lymphoma. Emergence of resistance to antibiotics possesses a challenge to the effort to eradicate H. pylori using conventional antibiotic-based therapies. The molecular mechanisms that contribute to the resistance of these strains have yet to be identified and are important for understanding the evolutional pattern and selective pressure imposed by the environment. METHODS AND FINDINGS: H. pylori was isolated from 102 patients diagnosed with gastrointestinal diseases, who underwent endoscopy at University Malaya Medical Centre (UMMC. The isolates were tested for their susceptibility on eleven antibiotics using Etest. Based on susceptibility test, 32.3% of the isolates were found to have primary metronidazole resistance; followed by clarithromycin (6.8% and fluoroquinolones (6.8%. To further investigate the resistant strains, mutational patterns of gene rdxA, frxA, gyrA, gyrB, and 23S rRNA were studied. Consistent with the previous reports, metronidazole resistance was prevalent in the local population. However, clarithromycin, fluoroquinolone and multi-drug resistance were shown to be emerging. Molecular patterns correlated well with phenotypic data. Interestingly, multi-drug resistant (MDR strains were found to be associated with higher minimum inhibitory concentration (MIC than their single-drug resistant (SDR counterparts. Most importantly, clarithromycin-resistant strains were suggested to have a higher incidence for developing multi-drug resistance. CONCLUSION: Data from this study highlighted the urgency to monitor closely the prevalence of antibiotic resistance in the Malaysian population; especially that of clarithromycin and multi-drug resistance. Further study is needed to understand the molecular association between clarithromycin resistance and multi

  11. Pattern of steroid-resistant nephrotic syndrome in children and the ...

    African Journals Online (AJOL)

    Steroid-resistant nephrotic syndrome (SRNS) remains a challenge for paediatric nephrologists. e underlying histopathology usually affects the course of the disease and the response to treatment.[1] ere is still controversy over the role of renal biopsy in the management of children with. SRNS.[2] Studies by the International ...

  12. Multidrug resistant shigella flexneri infection simulating intestinal intussusception

    Directory of Open Access Journals (Sweden)

    Srirangaraj Sreenivasan

    2016-01-01

    Full Text Available Shigella enteritis remains an important cause of mortality and morbidity in all age groups, in developing as well as developed countries. Owing to the emerging resistance to multiple antibiotics among Shigella spp., it has been recognized as a major global public health concern and warrants constant monitoring of its resistance pattern. We report a case of segmental ileitis caused by non.-ESBL producing multidrug resistant Shigella flexneri in an infant clinically mimicking intussusception, which was effectively treated by ceftriaxone.

  13. Surveillance for Travel and Domestically Acquired Multidrug-Resistant Human Shigella Infections-Pennsylvania, 2006-2014.

    Science.gov (United States)

    Li, Yu Lung; Tewari, Deepanker; Yealy, Courtney C; Fardig, David; M'ikanatha, Nkuchia M

    2016-01-01

    Shigellosis is a leading cause of enteric infections in the United States. We compared antimicrobial resistance in Shigella infections related to overseas travel (travel-associated) and in those acquired domestically by analyzing antimicrobial resistance patterns, geographic distributions, and pulsed-field gel electrophoresis (PFGE) patterns. We tested samples (n = 204) from a collection of isolates recovered from patients in Pennsylvania between 2006 and 2014. Isolates were grouped into travel- and non-travel-associated categories. Eighty-one (79.4%) of the Shigella isolates acquired during international travel were resistant to multiple antibiotics compared to 53 (52.1%) of the infections transmitted in domestic settings. A majority (79.4%) of isolates associated with international travel demonstrated resistance to aminoglycosides and tetracyclines, whereas 47 (46.1%) of the infections acquired domestically were resistant to tetracycline. Almost all isolates (92.2%) transmitted in domestic settings were resistant to aminoglycosides, and 5 isolates from adult male patients were resistant to azithromycin, a drug often used for empiric treatment of severe shigellosis. Twenty (19.6%) isolates associated with illnesses acquired during overseas travel in 4 countries were resistant to quinolones. One S. sonnei PFGE pattern was traced to a multidrug-resistant isolate acquired overseas that had caused a multistate outbreak of shigellosis, suggesting global dissemination of a drug-resistant species. Resistance to certain drugs-for example, tetracycline-increased in both overseas- and domestic-acquired infections during the study period. The prevalence of resistance to macrolides (azithromycin) and third-generation cephalosporins (ceftriaxone) was less than 1%; however, efforts to better monitor changes in drug resistance over time combined with increased antimicrobial stewardship are essential at the local, national, and global levels.

  14. Transparent electrode designs based on optimal nano-patterning of metallic films

    KAUST Repository

    Catrysse, Peter B.

    2010-09-10

    Transparent conductive electrodes are critical to the operation of optoelectronic devices, such as photovoltaic cells and light emitting diodes. Effective electrodes need to combine excellent electrical and optical properties. Metal oxides, such as indium tin oxide, are commonly used. There is substantial interest in replacing them, however, motivated by practical problems and recent discoveries regarding the optics of nano-patterned metals. When designing nano-patterned metallic films for use as electrodes, one needs to account for both optical and electrical properties. In general, it is insufficient to optimize nano-structured films based upon optical properties alone, since structural variations will also affect the electrical properties. In this work, we investigate the need for simultaneous optical and electrical performance by analyzing the optical properties of a class of nano-patterned metallic electrodes that is obtained by a constant-sheet-resistance transformation. Within such a class the electrical and optical properties can be separated, i.e., the sheet resistance can be kept constant and the transmittance can be optimized independently. For simple one-dimensional periodic patterns with constant sheet-resistance, we find a transmission maximum (polarization-averaged) when the metal sections are narrow (< 40 nm, ~ 10% metal fill-factor) and tall (> 100 nm). Our design carries over to more complex two-dimensional (2D) patterns. This is significant as there are no previous reports regarding numerical studies on the optical and electrical properties of 2D nano-patterns in the context of electrode design.

  15. Antimicrobial Resistance of Shigella flexneri Serotype 1b Isolates in China.

    Directory of Open Access Journals (Sweden)

    Xianyan Cui

    Full Text Available Shigella flexneri serotype 1b is among the most prominent serotypes in developing countries, followed by serotype 2a. However, only limited data is available on the global phenotypic and genotypic characteristics of S. flexneri 1b. In the present study, 40 S. flexneri 1b isolates from different regions of China were confirmed by serotyping and biochemical characterization. Antimicrobial susceptibility testing showed that 85% of these isolates were multidrug-resistant strains and antibiotic susceptibility profiles varied between geographical locations. Strains from Yunnan were far more resistant than those from Xinjiang, while only one strain from Shanghai was resistant to ceftazidime and aztreonam. Fifteen cephalosporin resistant isolates were identified in this study. ESBL genes (blaSHV, blaTEM, blaOXA, and blaCTX-M and ampC genes (blaMOX, blaFOX, blaMIR(ACT-1, blaDHA, blaCIT and blaACC were subsequently detected among the 15 isolates. The results showed that these strains were positive only for blaTEM, blaOXA, blaCTX-M, intI1, and intI2. Furthermore, pulsed-field gel electrophoresis (PFGE analysis showed that the 40 isolates formed different profiles, and the PFGE patterns of Xinjiang isolates were distinct from Yunnan and Shanghai isolates by one obvious, large, missing band. In summary, similarities in resistance patterns were observed in strains with the same PFGE pattern. Overall, the results supported the need for more prudent selection and use of antibiotics in China. We suggest that antibiotic susceptibility testing should be performed at the start of an outbreak, and antibiotic use should be restricted to severe Shigella cases, based on resistance pattern variations observed in different regions. The data obtained in the current study might help to develop a strategy for the treatment of infections caused by S. flexneri 1b in China.

  16. Application of Matrix Projection Exposure Using a Liquid Crystal Display Panel to Fabricate Thick Resist Molds

    Science.gov (United States)

    Fukasawa, Hirotoshi; Horiuchi, Toshiyuki

    2009-08-01

    The patterning characteristics of matrix projection exposure using an analog liquid crystal display (LCD) panel in place of a reticle were investigated, in particular for oblique patterns. In addition, a new method for fabricating practical thick resist molds was developed. At first, an exposure system fabricated in past research was reconstructed. Changes in the illumination optics and the projection lens were the main improvements. Using fly's eye lenses, the illumination light intensity distribution was homogenized. The projection lens was changed from a common camera lens to a higher-grade telecentric lens. In addition, although the same metal halide lamp was used as an exposure light source, the central exposure wavelength was slightly shortened from 480 to 450 nm to obtain higher resist sensitivity while maintaining almost equivalent contrast between black and white. Circular and radial patterns with linewidths of approximately 6 µm were uniformly printed in all directions throughout the exposure field owing to these improvements. The patterns were smoothly printed without accompanying stepwise roughness caused by the cell matrix array. On the bases of these results, a new method of fabricating thick resist molds for electroplating was investigated. It is known that thick resist molds fabricated using the negative resist SU-8 (Micro Chem) are useful because very high aspect patterns are printable and the side walls are perpendicular to the substrate surfaces. However, the most suitable exposure wavelength of SU-8 is 365 nm, and SU-8 is insensitive to light of 450 nm wavelength, which is most appropriate for LCD matrix exposure. For this reason, a novel multilayer resist process was proposed, and micromolds of SU-8 of 50 µm thickness were successfully obtained. As a result, feasibility for fabricating complex resist molds including oblique patterns was demonstrated.

  17. Use of KRS-XE positive chemically amplified resist for optical mask manufacturing

    Science.gov (United States)

    Ashe, Brian; Deverich, Christina; Rabidoux, Paul A.; Peck, Barbara; Petrillo, Karen E.; Angelopoulos, Marie; Huang, Wu-Song; Moreau, Wayne M.; Medeiros, David R.

    2002-03-01

    The traditional mask making process uses chain scission-type resists such as PBS, poly(butene-1-sulfone), and ZEP, poly(methyl a-chloroacrylate-co-a-methylstyrene) for making masks with dimensions greater than 180nm. PBS resist requires a wet etch process to produce patterns in chrome. ZEP was employed for dry etch processing to meet the requirements of shrinking dimensions, optical proximity corrections and phase shift masks. However, ZEP offers low contrast, marginal etch resistance, organic solvent development, and concerns regarding resist heating with its high dose requirements1. Chemically Amplified Resist (CAR) systems are a very good choice for dimensions less than 180nm because of their high sensitivity and contrast, high resolution, dry etch resistance, aqueous development, and process latitude2. KRS-XE was developed as a high contrast CA resist based on ketal protecting groups that eliminate the need for post exposure bake (PEB). This resist can be used for a variety of electron beam exposures, and improves the capability to fabricate masks for devices smaller than 180nm. Many factors influence the performance of resists in mask making such as post apply bake, exposure dose, resist develop, and post exposure bake. These items will be discussed as well as the use of reactive ion etching (RIE) selectivity and pattern transfer.

  18. Water soluble and metal-containing electron beam resist poly(sodium 4-styrenesulfonate)

    International Nuclear Information System (INIS)

    Abbas, Arwa Saud; Alqarni, Sondos; Shokouhi, Babak Baradaran; Yavuz, Mustafa; Cui, Bo

    2014-01-01

    Popular electron beam resists such as PMMA, ZEP and HSQ all use solvent or base solutions for processing, which may attack the sub-layers or substrate that are made out of organic semiconducting materials. In this study we show that water soluble poly(sodium 4-styrenesulfonate), or sodium PSS, can be used as a negative electron beam resist developed in water. Moreover, since PSS contains metal sodium, its dry etching resistance is much higher than PMMA. It is notable that sodium PSS’s sensitivity and contrast is still far inferior to organic resists such as PMMA, thus it is not suitable for patterning dense and high-resolution structures. Nevertheless, feature size down to 40 nm was achieved for sparse patterns. Lastly, using very low energy (here 2 keV) electron beam lithography and liftoff process using water only, patterning of metal layer on an organic conductive material P3HT was achieved. The metallization of an organic conducting material may find applications in organic semiconductor devices such as OLED. (paper)

  19. Spatial patterns of Antimicrobial Resistance Genes in Danish Pig Farms

    DEFF Research Database (Denmark)

    Birkegård, Anna Camilla; Ersbøll, A. K.; Hisham Beshara Halasa, Tariq

    2016-01-01

    antimicrobial resistance genes, ermB, ermF, sulI, sulII, tet(M), tet(O) and tet(W), was quantified by a high-throughput qPCR. It was evaluated whether the sample method resulted in a study population representative of Danish pig farms with finishers where it was found that the study population was biased...

  20. Conjugated polymer dots for ultra-stable full-color fluorescence patterning.

    Science.gov (United States)

    Chang, Kaiwen; Liu, Zhihe; Chen, Haobin; Sheng, Lan; Zhang, Sean Xiao-An; Chiu, Daniel T; Yin, Shengyan; Wu, Changfeng; Qin, Weiping

    2014-11-12

    Stable full-color fluorescence patterning are achieved by multicolor polymer-dot inks. The fluorescent patterns show extraordinary stability upon various treatments, offering a superior combination of bright fluorescence, excellent photostability, chemical resistance, and eco-friendship. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Increase of the electrical resistance of thin copper film due to 14 MeV neutron irradiation

    International Nuclear Information System (INIS)

    Agrawal, S.K.; Kumar, U.; Nigam, A.K.; Singh, S.P.

    1981-01-01

    The variation in the electrical resistance of thin copper film (500 A 0 thick), grown on the glass slide has been measured with increasing 14 MeV neutron irradiation time. The electrical resistance vs irradiation time curve shows an interesting behaviour after an irradiation of 40 minutes. However, there is a net increase in the electrical resistance with increasing neutron dose. The maximum increase in the observed electrical resistance after an irradiation of 115 mins, is 4.45%. The microstructural studies of irradiated film were made using TEM and TED techniques. The TEM patterns up to an irradiation time of 1.00 hr do not show any appreciable change in the microstructure. The TED patterns also do not show any appreciable change in the diffraction pattern up to an irradiation time of 1.0 hr. But after an irradiation time of 1.5 hrs, two extra rings appear in the TED pattern which disappear with increasing neutron irradiation time

  2. Postoperative Nosocomial Infections and Antimicrobial Resistance ...

    African Journals Online (AJOL)

    Postoperative Nosocomial Infections and Antimicrobial Resistance Pattern of Bacteria Isolates among Patients Admitted at Felege Hiwot Referral Hospital, Bahirdar, ... Wound swab and venous blood samples were collected and processed for bacterial isolation and antimicrobial susceptibility testing following standard ...

  3. Hydrodynamic resistance and flow patterns in the gills of a tilapine fish.

    Science.gov (United States)

    Strother, James A

    2013-07-15

    The gills of teleost fishes are often discussed as an archetypal counter-current exchange system, capable of supporting the relatively high metabolic rates of some fishes despite the low oxygen solubility of water. Despite an appreciation for the physiology of exchange at the gills, many questions remain regarding the hydrodynamical basis of ventilation in teleost fishes. In this study, the hydrodynamic resistance and flow fields around the isolated gills of a tilapia, Oreochromis mossambicus, were measured as a function of the applied pressure head. At ventilatory pressures typical of a fish at rest, the hydrodynamic resistance of the gills was nearly constant, the flow was laminar, shunting of water around the gills was essentially absent, and the distribution of water flow was relatively uniform. However, at the higher pressures typical of an active or stressed fish, some of these qualities were lost. In particular, at elevated pressures there was a decrease in the hydrodynamic resistance of the gills and substantial shunting of water around the gills. These effects suggest mechanical limits to maximum aerobic performance during activity or under adverse environmental conditions.

  4. Antimicrobial resistance and PCR-ribotyping of Shigella responsible for foodborne outbreaks occurred in southern Brazil

    Directory of Open Access Journals (Sweden)

    Cheila Minéia Daniel de Paula

    2010-12-01

    Full Text Available Little information about Shigella responsible for foodborne shigellosis is available in Brazil. The present study aimed to investigate the antimicrobial resistance and PCR-ribotyping patterns of Shigella isolates responsible for foodborne outbreaks occurred in Rio Grande do Sul State (RS, Southern Brazil in the period between 2003 and 2007. Shigella strains (n=152 were isolated from foods and fecal samples of victims of shigellosis outbreaks investigated by the Surveillance Service. Identification of the strains at specie level indicated that 71.1% of them were S. flexneri, 21.5% S. sonnei, and 0.7% S. dysenteriae. Ten strains (6.7% were identified only as Shigella spp. An increasing occurrence of S. sonnei was observed after 2004. Most of the strains were resistant to streptomycin (88.6%, followed by ampicillin (84.6%, and sulfamethoxazole/trimethoprim (80.5 %. Resistant strains belonged to 73 patterns, and pattern A (resistance to ampicillin, sulfamethoxazole/trimethoprim, tetracycline, streptomycin, chloramphenicol, and intermediate resistance to kanamycin grouped the largest number of isolates (n=36. PCR-ribotyping identified three banding patterns (SH1, SH2, and SH3. SH1 grouped all S. flexneri and SH2 grouped all S. sonnei. The S. dysenteriae strain belonged to group SH3. According to the results, several Shigella isolates shared the same PCR-rybotyping banding pattern and the same resistance profile, suggesting that closely related strains were responsible for the outbreaks. However, other molecular typing methods need to be applied to confirm the clonal relationship of these isolates.

  5. Antimicrobial resistance mechanisms among Campylobacter.

    Science.gov (United States)

    Wieczorek, Kinga; Osek, Jacek

    2013-01-01

    Campylobacter jejuni and Campylobacter coli are recognized as the most common causative agents of bacterial gastroenteritis in the world. Humans most often become infected by ingesting contaminated food, especially undercooked chicken, but also other sources of bacteria have been described. Campylobacteriosis is normally a self-limiting disease. Antimicrobial treatment is needed only in patients with more severe disease and in those who are immunologically compromised. The most common antimicrobial agents used in the treatment of Campylobacter infections are macrolides, such as erythromycin, and fluoroquinolones, such as ciprofloxacin. Tetracyclines have been suggested as an alternative choice in the treatment of clinical campylobacteriosis but in practice are not often used. However, during the past few decades an increasing number of resistant Campylobacter isolates have developed resistance to fluoroquinolones and other antimicrobials such as macrolides, aminoglycosides, and beta-lactams. Trends in antimicrobial resistance have shown a clear correlation between use of antibiotics in the veterinary medicine and animal production and resistant isolates of Campylobacter in humans. In this review, the patterns of emerging resistance to the antimicrobial agents useful in treatment of the disease are presented and the mechanisms of resistance to these drugs in Campylobacter are discussed.

  6. Potential mechanisms of resistance to microtubule inhibitors.

    Science.gov (United States)

    Kavallaris, Maria; Annereau, Jean-Philippe; Barret, Jean-Marc

    2008-06-01

    Antimitotic drugs targeting the microtubules, such as the taxanes and vinca alkaloids, are widely used in the treatment of neoplastic diseases. Development of drug resistance over time, however, limits the efficacy of these agents and poses a clinical challenge to long-term improvement of patient outcomes. Understanding the mechanism(s) of drug resistance becomes paramount to allowing for alternative, if not improved, therapeutic options that might circumvent this challenge. Vinflunine, a novel microtubule inhibitor, has shown superior preclinical antitumor activity, and displays a different pattern of resistance, compared with other agents in the vinca alkaloid class.

  7. Mutations in rpoB and katG genes of multidrug resistant ...

    African Journals Online (AJOL)

    Introduction: Tuberculosis remains the leading causes of death worldwide with frequencies of mutations in rifampicin and isoniazid resistant Mycobacterium tuberculosis isolates varying according to geographical location. There is limited information in Zimbabwe on specific antibiotic resistance gene mutation patterns in ...

  8. CLINICAL CHARACTERISTICS AND ANTIBIOTIC RESISTANCE PATTERN OF PATHOGENS IN PEDIATRIC URINARY TRACT INFECTION.

    Science.gov (United States)

    Amornchaicharoensuk, Yupaporn

    2016-09-01

    Medical records of children less than 15-years of age admitted to hospital for urinary tract infection (UTI) from January 2010 to December 2014 were reviewed. Among 100 children (59% males and 41% females) with upper UTI, the most common pathogen (88%) was Escherichia coli, of which 69% were nonextended spectrum beta-lactamase (ESBL) and 19 % ESBL producers. Resistance to ampicillin and trimethoprim/sulfamethoxazole was 90% and 60%, respectively. All ESBL-producing E. coli were resistant to ampicillin and third generation cephalosporins (cefotaxime and ceftriaxone), while 87% and 1.5% of non ESBL-producing E. coli were resistant to ampicillin and the two third generation cephalosporins, respectively. These data highlight the high prevalence of ESBL-producing E. coli in pediatric UTI and the potential problem in treating such infections.

  9. Inheritance of Arabica Coffee Resistance to Radopholus similisCobb.

    Directory of Open Access Journals (Sweden)

    Retno Hulupi

    2007-05-01

    Full Text Available A research to get inheritance of Arabica coffee resistance to Radopholus similisnematode was done in screen house and laboratory of Indonesian Coffee and Cocoa Research Institute, also at endemic area of coffee plantation, using F1, F1 R and F2 crossing between BP 542 A(resistant x Andungsari 1 (susceptible with their reciprocal, and BP 542 A x Kartika 1. The purpose of this study that was conducted at seedling stage is to formulate a Strategy for Arabica coffee breeding to get resistant varieties to nematode. As the variables of resistance were weight of seedling biomass, percent of root weight deviation, number of root nematodes, number of soil nematodes, reproduction and percent of necrotic root. Using discriminant analysis and fastclus, those data variables were analyzed for genetic of resistance with Statistical Analysis System programme version 8. Genetic study on the inheritance of resistance to R. similiswas started with evaluation of homozigosity of BP 542 A was resistant parent. The result showed that BP 542 A was heterozygous. Therefore, segregation test could not be suggested with segregation pattern principals as Mendel proposed. Segregation test on BP 542 A showed that it was heterozygote and the resistance was controlled by single gene with complete dominant effect, so the progeny segregated in 75% resistant and 25% susceptible. The result of the test showed the absence of maternal effect for root weight deviation and percentage of necrotic root variables, which meant that no cytoplasmic inheritance was involved. Based on the test of segregation ratio, almost all of the resistance was not appropriate for monogenic and or digenic segregation pattern as expected due to non allelic gene interaction that caused epistasis. Key words: Inheritance, resistance, Arabica coffee, Radopholus similis.

  10. Salience network dynamics underlying successful resistance of temptation

    Science.gov (United States)

    Nomi, Jason S; Calhoun, Vince D; Stelzel, Christine; Paschke, Lena M; Gaschler, Robert; Goschke, Thomas; Walter, Henrik; Uddin, Lucina Q

    2017-01-01

    Abstract Self-control and the ability to resist temptation are critical for successful completion of long-term goals. Contemporary models in cognitive neuroscience emphasize the primary role of prefrontal cognitive control networks in aligning behavior with such goals. Here, we use gaze pattern analysis and dynamic functional connectivity fMRI data to explore how individual differences in the ability to resist temptation are related to intrinsic brain dynamics of the cognitive control and salience networks. Behaviorally, individuals exhibit greater gaze distance from target location (e.g. higher distractibility) during presentation of tempting erotic images compared with neutral images. Individuals whose intrinsic dynamic functional connectivity patterns gravitate toward configurations in which salience detection systems are less strongly coupled with visual systems resist tempting distractors more effectively. The ability to resist tempting distractors was not significantly related to intrinsic dynamics of the cognitive control network. These results suggest that susceptibility to temptation is governed in part by individual differences in salience network dynamics and provide novel evidence for involvement of brain systems outside canonical cognitive control networks in contributing to individual differences in self-control. PMID:29048582

  11. The emergence and outbreak of multidrug-resistant typhoid fever in China.

    Science.gov (United States)

    Yan, Meiying; Li, Xinlan; Liao, Qiaohong; Li, Fang; Zhang, Jing; Kan, Biao

    2016-06-22

    Typhoid fever remains a severe public health problem in developing countries. The emergence of resistant typhoid, particularly multidrug-resistant typhoid infections, highlights the necessity of monitoring the resistance characteristics of this invasive pathogen. In this study, we report a typhoid fever outbreak caused by multidrug-resistant Salmonella enterica serovar Typhi strains with an ACSSxtT pattern. Resistance genes conferring these phenotypes were harbored by a large conjugative plasmid, which increases the threat of Salmonella Typhi and thus requires close surveillance for dissemination of strains containing such genes.

  12. Prevalence of genotypic HIV-1 drug resistance in Thailand, 2002

    Directory of Open Access Journals (Sweden)

    Watitpun Chotip

    2003-03-01

    Full Text Available Abstract Background The prices of reverse transcriptase (RT inhibitors in Thailand have been reduced since December 1, 2001. It is expected that reduction in the price of these inhibitors may influence the drug resistance mutation pattern of HIV-1 among infected people. This study reports the frequency of HIV-1 genetic mutation associated with drug resistance in antiretroviral-treated patients from Thailand. Methods Genotypic resistance testing was performed on samples collected in 2002 from 88 HIV-1 infected individuals. Automated DNA sequencing was used to genotype the HIV-1 polymerase gene isolated from patients' plasma. Results Resistance to protease inhibitors, nucleoside and non-nucleoside reverse transcriptase inhibitors were found in 10 (12%, 42 (48% and 19 (21% patients, respectively. The most common drug resistance mutations in the protease gene were at codon 82 (8%, 90 (7% and 54 (6%, whereas resistant mutations at codon 215 (45%, 67 (40%, 41 (38% and 184 (27% were commonly found in the RT gene. This finding indicates that genotypic resistance to nucleoside reverse transcriptase inhibitors was prevalent in 2002. The frequency of resistant mutations corresponding to non-nucleoside reverse transcriptase inhibitors was three times higher-, while resistant mutation corresponding to protease inhibitors was two times lower than those frequencies determined in 2001. Conclusion This study shows that the frequencies of RT inhibitor resistance mutations have been increased after the reduction in the price of RT inhibitors since December 2001. We believe that this was an important factor that influenced the mutation patterns of HIV-1 protease and RT genes in Thailand.

  13. Patterned YBa2Cu3O7-x thin films from photopolymerizable precursors

    International Nuclear Information System (INIS)

    Hung, Y.; Agostinelli, J.A.

    1990-01-01

    A technique which combines the fabrication and patterning of thin films of the high T c superconductor YBa 2 Cu 3 O 7-x has been developed. The technique possesses the essential features of the metalorganic decomposition method with the additional attribute that the metalorganic precursor is photopolymerizable. Patterns are generated directly in the precursor film using optical exposure through a mask followed by development in a solvent. A subsequent thermal treatment transforms the patterned precursor film to the oriented superconducting phase with c axis perpendicular to the substrate surface. Resistivity measurements for such a patterned film on a single crystal (100)MgO substrate show an onset to the superconducting state occurring at 85 K with zero resistivity below 67 K

  14. Changes in antimicrobial susceptibility patterns of Klebsiella pneumoniae, Escherichia coli and Staphylococcus aureus over the past decade

    DEFF Research Database (Denmark)

    Barfod, Toke Seierøe; Wibroe, Elisabeth Arnberg; Braüner, Julie Vestergaard

    2015-01-01

    in resistance patterns were noted up to 2014. CONCLUSIONS: A comprehensive and manageable inventory of the resistance patterns of the major bacteria covering the 2004-2008 period is presented. Clinicians are encouraged to use the pocket-size table as guidance when choosing antibiotic treatment. FUNDING: none......INTRODUCTION: Development of antimicrobial resistance is an ongoing and increasing problem. To provide the best possible treatment for patients it is crucial that clinicians are aware of the local antimicrobial susceptibility patterns. The aim of this study was to present an overview...... susceptibility at Hvidovre Hospital, Denmark, from 2004 to 2008. Due to a suspected rise in resistance in Staphylococcus aureus, Escherichia coli and Klebsiella pneumoniae after this period, updated data for these bacteria are shown for selected antibiotics until 2014. The department receives samples from...

  15. Occurrence Of Virulence Factors And Antimicrobial Resistance In Pasteurella Multocida Strains Isolated From Slaughter Cattle In Iran

    Directory of Open Access Journals (Sweden)

    Faham eKhamesipour

    2014-10-01

    Full Text Available A total of 30 Pasteurella multocida strains isolated from 333 pneumonic and apparently health slaughter cattle were examined for capsule biosynthesis genes and 23 virulence associated genes by polymerase chain reaction. The disc diffusion technique was used to determine antimicrobial resistance profiles among the isolates. Of the isolates, 23 belonged to capsular type A, 5 to capsular type D and two isolates were untypeable. The distribution of the capsular types in pneumonic lungs and in apparently health lungs was statistically similar. All virulence genes tested were detected among the isolates derived from pneumonic lungs; whereas isolates derived from apparently health lungs carried 16 of the 23 genes. The frequently detected genes among isolates from pneumonic lungs were exbD, hgbA, hgbB, ompA, ompH, oma87 and sodC; whereas tadD, toxA and pmHAS genes occurred less frequently. Most of the adhesins and superoxide dismutases; and all of the iron acquisition and protectin proteins occurred at significantly (p≤0.05 higher frequencies in isolates from pneumonic lungs. Isolates from apparently healthy lungs didn’t carry the following genes; hsf-1, hsf-2, tadD, toxA, nanB, nanH and pmHAS. One adhesion (hsf-1 and two iron acquisition (exbD and tonB genes occurred at significantly (p≤0.05 higher frequencies among capA isolates. All the P. multocida isolates were susceptible to ciprofloxacin, co-trimoxazole, doxycycline, enrofloxacin, nitrofurantoin and tetracyclines. Different proportions of the isolates were however resistant to ampicillin, amoxicillin, erythromycin, lincomycin, penicillin, rifampin, streptomycin and florfenicol. Our results reveal presence of virulence factors in P. multocida strains isolated from symptomatic and asymptomatic bovids. A higher frequency of the factors among isolates from symptomatic study animals may suggest their role in pathogenesis of P. multocida-associated bovine respiratory disease. The results further

  16. Mixture for producing fracture-resistant, fiber-reinforced ceramic material by microwave heating

    Science.gov (United States)

    Meek, T.T.; Blake, R.D.

    1985-04-03

    A fracture-resistant, fiber-reinforced ceramic substrate is produced by a method which involves preparing a ceramic precursor mixture comprising glass material, a coupling agent, and resilient fibers, and then exposing the mixture to microwave energy. The microwave field orients the fibers in the resulting ceramic material in a desired pattern wherein heat later generated in or on the substrate can be dissipated in a desired geometric pattern parallel to the fiber pattern. Additionally, the shunt capacitance of the fracture-resistant, fiber-reinforced ceramic substrate is lower which provides for a quicker transit time for electronic pulses in any conducting pathway etched into the ceramic substrate.

  17. [Analysis of antibiotic susceptibility of foodborne Listeria monocytogenes in China].

    Science.gov (United States)

    Yang, Yang; Fu, Ping; Guo, Yunchang; Liu, Xiurmei

    2008-03-01

    To study the antibiotic susceptibility of foodborne Listeria monocytogenes in China. The susceptibilities of 476 strains of foodborne Listeria monocytogenes to antibiotics were determined in Broth Microdilution Susceptibility Testing in Clinical and Laboratory Standards Institute. The antibiotics of gentamicin, ampicillin, penicillin, tetracycline, doxycycline, imipenem, erythromycin, ciprofloxacin, levofloxacin, cephalothin, rifampin, vancomycin, chloramphenicol, Trimethoprim-sulfamethoxazole, ampicillin-sulbactam were used. The rates of antibiotic resistance in 467 is olates were 4.5%. Tetracycline resistance was most prevalent, accouting for 4.07% . The foods that the rates of antibiotic resistance were highest were vegetable (10%). Among 14 provinces, Jilin, Hubei and Hebei were the third top, the rate of which were 19.6% and 9.1% and 8%, respectively. It was suggested that antibiotic resistance exists in foodborne Listeria monocytogenes to a certain extent in China. It should pay more attention to the use of drugs in prevention and clinic treatment to reduce the antibiotic resistant strains.

  18. Updating the International Standards for Tuberculosis Care. Entering the era of molecular diagnostics.

    Science.gov (United States)

    Hopewell, Philip C; Fair, Elizabeth L; Uplekar, Mukund

    2014-03-01

    The International Standards for Tuberculosis Care, first published in 2006 (Lancet Infect Dis 2006;6:710-725.) with a second edition in 2009 ( www.currytbcenter.ucsf.edu/international/istc_report ), was produced by an international coalition of organizations funded by the United States Agency for International Development. Development of the document was led jointly by the World Health Organization and the American Thoracic Society, with the aim of promoting engagement of all care providers, especially those in the private sector in low- and middle-income countries, in delivering high-quality services for tuberculosis. In keeping with World Health Organization recommendations regarding rapid molecular testing, as well as other pertinent new recommendations, the third edition of the Standards has been developed. After decades of dormancy, the technology available for tuberculosis care and control is now rapidly evolving. In particular, rapid molecular testing, using devices with excellent performance characteristics for detecting Mycobacterium tuberculosis and rifampin resistance, and that are practical and affordable for use in decentralized facilities in low-resource settings, is being widely deployed globally. Used appropriately, both within tuberculosis control programs and in private laboratories, these devices have the potential to revolutionize tuberculosis care and control, providing a confirmed diagnosis and a determination of rifampin resistance within a few hours, enabling appropriate treatment to be initiated promptly. Major changes have been made in the standards for diagnosis. Additional important changes include: emphasis on the recognition of groups at increased risk of tuberculosis; updating the standard on antiretroviral treatment in persons with tuberculosis and human immunodeficiency virus infection; and revising the standard on treating multiple drug-resistant tuberculosis.

  19. Patterned microstructures formed with MeV Au implantation in Si(1 0 0)

    International Nuclear Information System (INIS)

    Rout, Bibhudutta; Greco, Richard R.; Zachry, Daniel P.; Dymnikov, Alexander D.; Glass, Gary A.

    2006-01-01

    Energetic (MeV) Au implantation in Si(1 0 0) (n-type) through masked micropatterns has been used to create layers resistant to KOH wet etching. Microscale patterns were produced in PMMA and SU(8) resist coatings on the silicon substrates using P-beam writing and developed. The silicon substrates were subsequently exposed using 1.5 MeV Au 3+ ions with fluences as high as 1 x 10 16 ions/cm 2 and additional patterns were exposed using copper scanning electron microscope calibration grids as masks on the silicon substrates. When wet etched with KOH microstructures were created in the silicon due to the resistance to KOH etching cause by the Au implantation. The process of combining the fabrication of masked patterns with P-beam writing with broad beam Au implantation through the masks can be a promising, cost-effective process for nanostructure engineering with Si

  20. Molecular serotyping and antimicrobial resistance profiles of Actinobacillus pleuropneumoniae isolated from pigs in South Korea.

    Science.gov (United States)

    Kim, Boram; Hur, Jin; Lee, Ji Yeong; Choi, Yoonyoung; Lee, John Hwa

    2016-09-01

    Actinobacillus pleuropneumoniae (APP) causes porcine pleuropneumonia (PP). Serotypes and antimicrobial resistance patterns in APP isolates from pigs in Korea were examined. Sixty-five APP isolates were genetically serotyped using standard and multiplex PCR (polymerase chain reaction). Antimicrobial susceptibilities were tested using the standardized disk-agar method. PCR was used to detect β-lactam, gentamicin and tetracycline-resistance genes. The random amplified polymorphic DNA (RAPD) patterns were determined by PCR. Korean pigs predominantly carried APP serotypes 1 and 5. Among 65 isolates, one isolate was sensitive to all 12 antimicrobials tested in this study. Sixty-two isolates was resistant to tetracycline and 53 isolates carried one or five genes including tet(B), tet(A), tet(H), tet(M)/tet(O), tet(C), tet(G) and/or tet(L)-1 markers. Among 64 strains, 9% and 26.6% were resistance to 10 and three or more antimicrobials, respectively. Thirteen different antimicrobial resistance patterns were observed and RAPD analysis revealed a separation of the isolates into two clusters: cluster II (6 strains resistant to 10 antimicrobials) and cluster I (the other 59 strains). Results show that APP serotypes 1 and 5 are the most common in Korea, and multi-drug resistant strains are prevalent. RAPD analysis demonstrated that six isolates resistant to 10 antimicrobials belonged to the same cluster.