WorldWideScience

Sample records for resonance plasma etching

  1. Plasma etching of polymers like SU8 and BCB

    Science.gov (United States)

    Mischke, Helge; Gruetzner, Gabi; Shaw, Mark

    2003-01-01

    Polymers with high viscosity, like SU8 and BCB, play a dominant role in MEMS application. Their behavior in a well defined etching plasma environment in a RIE mode was investigated. The 40.68 MHz driven bottom electrode generates higher etch rates combined with much lower bias voltages by a factor of ten or a higher efficiency of the plasma with lower damaging of the probe material. The goal was to obtain a well-defined process for the removal and structuring of SU8 and BCB using fluorine/oxygen chemistry, defined using variables like electron density and collision rate. The plasma parameters are measured and varied using a production proven technology called SEERS (Self Excited Electron Resonance Spectroscopy). Depending on application and on Polymer several metals are possible (e.g., gold, aluminum). The characteristic of SU8 and BCB was examined in the case of patterning by dry etching in a CF4/O2 chemistry. Etch profile and etch rate correlate surprisingly well with plasma parameters like electron density and electron collision rate, thus allowing to define to adjust etch structure in situ with the help of plasma parameters.

  2. Low-loss, submicron chalcogenide integrated photonics with chlorine plasma etching

    Energy Technology Data Exchange (ETDEWEB)

    Chiles, Jeff; Malinowski, Marcin; Rao, Ashutosh [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Novak, Spencer; Richardson, Kathleen [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Department of Materials Science and Engineering, COMSET, Clemson University, Clemson, South Carolina 29634 (United States); Fathpour, Sasan, E-mail: fathpour@creol.ucf.edu [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Department of Electrical Engineering and Computer Science, University of Central Florida, Orlando, Florida 32816 (United States)

    2015-03-16

    A chlorine plasma etching-based method for the fabrication of high-performance chalcogenide-based integrated photonics on silicon substrates is presented. By optimizing the etching conditions, chlorine plasma is employed to produce extremely low-roughness etched sidewalls on waveguides with minimal penalty to propagation loss. Using this fabrication method, microring resonators with record-high intrinsic Q-factors as high as 450 000 and a corresponding propagation loss as low as 0.42 dB/cm are demonstrated in submicron chalcogenide waveguides. Furthermore, the developed chlorine plasma etching process is utilized to demonstrate fiber-to-waveguide grating couplers in chalcogenide photonics with high power coupling efficiency of 37% for transverse-electric polarized modes.

  3. Low-loss, submicron chalcogenide integrated photonics with chlorine plasma etching

    International Nuclear Information System (INIS)

    Chiles, Jeff; Malinowski, Marcin; Rao, Ashutosh; Novak, Spencer; Richardson, Kathleen; Fathpour, Sasan

    2015-01-01

    A chlorine plasma etching-based method for the fabrication of high-performance chalcogenide-based integrated photonics on silicon substrates is presented. By optimizing the etching conditions, chlorine plasma is employed to produce extremely low-roughness etched sidewalls on waveguides with minimal penalty to propagation loss. Using this fabrication method, microring resonators with record-high intrinsic Q-factors as high as 450 000 and a corresponding propagation loss as low as 0.42 dB/cm are demonstrated in submicron chalcogenide waveguides. Furthermore, the developed chlorine plasma etching process is utilized to demonstrate fiber-to-waveguide grating couplers in chalcogenide photonics with high power coupling efficiency of 37% for transverse-electric polarized modes

  4. CH4/H2/Ar electron cyclotron resonance plasma etching for GaAs-based field effect transistors

    NARCIS (Netherlands)

    Hassel, van J.G.; Es, van C.M.; Nouwens, P.A.M.; Maahury, J.H.; Kaufmann, L.M.F.

    1995-01-01

    Electron cyclotron resonance (ECR) plasma etch processes with CH4/H2/AR have been investigated on different III–Vsemiconductor materials (GaAs, AlGaAs, InGaAs, and InP). The passivation depth as a function of the GaAs carrierconcentration and the recovery upon annealing at different temperatures

  5. Correlation of III/V semiconductor etch results with physical parameters of high-density reactive plasmas excited by electron cyclotron resonance

    Science.gov (United States)

    Gerhard, FRANZ; Ralf, MEYER; Markus-Christian, AMANN

    2017-12-01

    Reactive ion etching is the interaction of reactive plasmas with surfaces. To obtain a detailed understanding of this process, significant properties of reactive composite low-pressure plasmas driven by electron cyclotron resonance (ECR) were investigated and compared with the radial uniformity of the etch rate. The determination of the electronic properties of chlorine- and hydrogen-containing plasmas enabled the understanding of the pressure-dependent behavior of the plasma density and provided better insights into the electronic parameters of reactive etch gases. From the electrical evaluation of I(V) characteristics obtained using a Langmuir probe, plasmas of different compositions were investigated. The standard method of Druyvesteyn to derive the electron energy distribution functions by the second derivative of the I(V) characteristics was replaced by a mathematical model which has been evolved to be more robust against noise, mainly, because the first derivative of the I(V) characteristics is used. Special attention was given to the power of the energy dependence in the exponent. In particular, for plasmas that are generated by ECR with EM modes, the existence of Maxwellian distribution functions is not to be taken as a self-evident fact, but the bi-Maxwellian distribution was proven for Ar- and Kr-stabilized plasmas. In addition to the electron temperature, the global uniform discharge model has been shown to be useful for calculating the neutral gas temperature. To what extent the invasive method of using a Langmuir probe could be replaced with the non-invasive optical method of emission spectroscopy, particularly actinometry, was investigated, and the resulting data exhibited the same relative behavior as the Langmuir data. The correlation with etchrate data reveals the large chemical part of the removal process—most striking when the data is compared with etching in pure argon. Although the relative amount of the radial variation of plasma density and

  6. Plasma etching: Yesterday, today, and tomorrow

    Energy Technology Data Exchange (ETDEWEB)

    Donnelly, Vincent M.; Kornblit, Avinoam [Department of Chemical and Biomolecular Engineering, University of Houston, Houston, Texas 77204 (United States)

    2013-09-15

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices.

  7. Plasma etching: Yesterday, today, and tomorrow

    International Nuclear Information System (INIS)

    Donnelly, Vincent M.; Kornblit, Avinoam

    2013-01-01

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices

  8. High temperature electron cyclotron resonance etching of GaN, InN, and AlN

    International Nuclear Information System (INIS)

    Shul, R.J.; Kilcoyne, S.P.; Hagerott Crawford, M.; Parmeter, J.E.; Vartuli, C.B.; Abernathy, C.R.; Pearton, S.J.

    1995-01-01

    Electron cyclotron resonance etch rates for GaN, InN, and AlN are reported as a function of temperature for Cl 2 /H 2 /CH 4 /Ar and Cl 2 /H 2 /Ar plasmas. Using Cl 2 /H 2 /CH 4 /Ar plasma chemistry, GaN etch rates remain relatively constant from 30 to 125 degree C and then increase to a maximum of 2340 A/min at 170 degree C. The InN etch rate decreases monotonically from 30 to 150 degree C and then rapidly increases to a maximum of 2300 A/min at 170 degree C. This is the highest etch rate reported for this material. The AlN etch rate decreases throughout the temperature range studied with a maximum of 960 A/min at 30 degree C. When CH 4 is removed from the plasma chemistry, the GaN and InN etch rates are slightly lower, with less dramatic changes with temperature. The surface composition of the III--V nitrides remains unchanged after exposure to the Cl 2 /H 2 /CH 4 /Ar plasma over the temperatures studied

  9. Plasma atomic layer etching using conventional plasma equipment

    International Nuclear Information System (INIS)

    Agarwal, Ankur; Kushner, Mark J.

    2009-01-01

    The decrease in feature sizes in microelectronics fabrication will soon require plasma etching processes having atomic layer resolution. The basis of plasma atomic layer etching (PALE) is forming a layer of passivation that allows the underlying substrate material to be etched with lower activation energy than in the absence of the passivation. The subsequent removal of the passivation with carefully tailored activation energy then removes a single layer of the underlying material. If these goals are met, the process is self-limiting. A challenge of PALE is the high cost of specialized equipment and slow processing speed. In this work, results from a computational investigation of PALE will be discussed with the goal of demonstrating the potential of using conventional plasma etching equipment having acceptable processing speeds. Results will be discussed using inductively coupled and magnetically enhanced capacitively coupled plasmas in which nonsinusoidal waveforms are used to regulate ion energies to optimize the passivation and etch steps. This strategy may also enable the use of a single gas mixture, as opposed to changing gas mixtures between steps

  10. Plasma/Neutral-Beam Etching Apparatus

    Science.gov (United States)

    Langer, William; Cohen, Samuel; Cuthbertson, John; Manos, Dennis; Motley, Robert

    1989-01-01

    Energies of neutral particles controllable. Apparatus developed to produce intense beams of reactant atoms for simulating low-Earth-orbit oxygen erosion, for studying beam-gas collisions, and for etching semiconductor substrates. Neutral beam formed by neutralization and reflection of accelerated plasma on metal plate. Plasma ejected from coaxial plasma gun toward neutralizing plate, where turned into beam of atoms or molecules and aimed at substrate to be etched.

  11. Plasma etching of patterned tungsten

    International Nuclear Information System (INIS)

    Franssila, S.

    1993-01-01

    Plasma etching of tungsten is discussed from the viewpoint of thin film structure and integrated circuit process engineering. The emphasis is on patterned tungsten etching for silicon device and X-ray mask fabrication. After introducing tungsten etch chemistries and mechanisms, microstructural aspects of tungsten films (crystal structure, grain size, film density, defects, impurities) in relation to etching are discussed. Approaches to etch process optimization are presented, and the current state-of-the-art of patterned tungsten etching is reviewed. (orig.)

  12. Device fabrication by plasma etching

    International Nuclear Information System (INIS)

    Mogab, C.J.

    1980-01-01

    Plasma etching as applied to many of the materials encountered in the fabrication of LSI's is complicated by loading effect-the dependence of etch rate on the integrated surface area to be etched. This problem is alleviated by appropriate choice of etchant and etching conditions. Appropriate choice of system parameters, generally most concerned with the inherent lifetime of etchant species, may also result in improvement of etch rate uniformity on a wafer-by-wafer basis

  13. SU-8 etching in inductively coupled oxygen plasma

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted; Keller, Stephan Sylvest; Jensen, Flemming

    2013-01-01

    Structuring or removal of the epoxy based, photo sensitive polymer SU-8 by inductively coupled plasma reactive ion etching (ICP-RIE) was investigated as a function of plasma chemistry, bias power, temperature, and pressure. In a pure oxygen plasma, surface accumulation of antimony from the photo......-initiator introduced severe roughness and reduced etch rate significantly. Addition of SF6 to the plasma chemistry reduced the antimony surface concentration with lower roughness and higher etch rate as an outcome. Furthermore the etch anisotropy could be tuned by controlling the bias power. Etch rates up to 800 nm...

  14. High electronegativity multi-dipolar electron cyclotron resonance plasma source for etching by negative ions

    DEFF Research Database (Denmark)

    Stamate, Eugen; Draghici, M.

    2012-01-01

    A large area plasma source based on 12 multi-dipolar ECR plasma cells arranged in a 3 x 4 matrix configuration was built and optimized for silicon etching by negative ions. The density ratio of negative ions to electrons has exceeded 300 in Ar/SF6 gas mixture when a magnetic filter was used...... to reduce the electron temperature to about 1.2 eV. Mass spectrometry and electrostatic probe were used for plasma diagnostics. The new source is free of density jumps and instabilities and shows a very good stability for plasma potential, and the dominant negative ion species is F-. The magnetic field...... in plasma volume is negligible and there is no contamination by filaments. The etching rate by negative ions measured in Ar/SF6/O-2 mixtures was almost similar with that by positive ions reaching 700 nm/min. (C) 2012 American Institute of Physics...

  15. High-Density Plasma-Induced Etch Damage of GaN

    International Nuclear Information System (INIS)

    Baca, A.G.; Han, J.; Lester, L.F.; Pearton, S.J.; Ren, F.; Shul, R.J.; Willison, C.G.; Zhang, L.; Zolper, J.C.

    1999-01-01

    Anisotropic, smooth etching of the group-III nitrides has been reported at relatively high rates in high-density plasma etch systems. However, such etch results are often obtained under high de-bias and/or high plasma flux conditions where plasma induced damage can be significant. Despite the fact that the group-III nitrides have higher bonding energies than more conventional III-V compounds, plasma-induced etch damage is still a concern. Attempts to minimize such damage by reducing the ion energy or increasing the chemical activity in the plasma often result in a loss of etch rate or anisotropy which significantly limits critical dimensions and reduces the utility of the process for device applications requiring vertical etch profiles. It is therefore necessary to develop plasma etch processes which couple anisotropy for critical dimension and sidewall profile control and high etch rates with low-damage for optimum device performance. In this study we report changes in sheet resistance and contact resistance for n- and p-type GaN samples exposed to an Ar inductively coupled plasma (ICP). In general, plasma-induced damage was more sensitive to ion bombardment energies as compared to plasma flux. In addition, p-GaN was typically more sensitive to plasma-induced damage as compared to n-GaN

  16. Plasma etching of niobium-SiO/sub x/ layers

    International Nuclear Information System (INIS)

    Schelle, D.; Tiller, H.J.

    1986-01-01

    CF 4 -plasma etching of niobium and SiO/sub x/ layers has been investigated in a r.f. diode reactor. Etch rates increase linearly with increasing power density and also increase with pressure. The etch rate ratio can be changed using different etch gases or operating in different plasma modes (PE or IEPE). Changing from the ion enhanced plasma etching mode (IEPE) to plasma etching mode (PE) the etch rate ratio is changing by a factor of ten. On the basis of etch rate dependences on process parametes and thermodynamic data it has been suggested the generation of fluorine radicals as the rate limiting step. A general etching model has been proposed, which explains qualitatively and quantitatively (on account of data from literature) the measured results. (author)

  17. Inductively coupled plasma etching of III-V antimonides in BCl3/SiCl4 etch chemistry

    International Nuclear Information System (INIS)

    Swaminathan, K.; Janardhanan, P.E.; Sulima, O.V.

    2008-01-01

    Inductively coupled plasma etching of GaSb using BCl 3 /SiCl 4 etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved (∼ 4 μm/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth ∼ 90 μm. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range

  18. More vertical etch profile using a Faraday cage in plasma etching

    Science.gov (United States)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Ryu, Jung-Hyun; Moon, Sang Heup

    1999-05-01

    Scanning electron microscope images of sidewalls obtained by plasma etching of an SiO2 film with and without a Faraday cage have been compared. When the substrate film is etched in the Faraday cage, faceting is effectively suppressed and the etch profile becomes more vertical regardless of the process conditions. This is because the electric potential in the cage is nearly uniform and therefore distortion of the electric field at the convex corner of a microfeature is prevented. The most vertical etch profile is obtained when the cage is used in fluorocarbon plasmas, where faceting is further suppressed due to the decrease in the chemical sputtering yield and the increase in the radical/ion flux on the substrate.

  19. Plasma etching of electrospun polymeric nanofibres

    Energy Technology Data Exchange (ETDEWEB)

    Verdonck, Patrick [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil)]. E-mail: verdonck@imec.be; Braga Caliope, Priscila [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Moral Hernandez, Emilio del [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Silva, Ana Neilde R. da [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); FATEC-SP, Pca Fernando Prestes, 30 Sao Paulo, SP (Brazil)

    2006-10-25

    Electrospun polymeric nanofibres have several applications because of their high surface area to volume and high length to diameter ratios. This paper investigates the influence of plasma etching on these fibres and the etching mechanisms. For the characterization, SEM analysis was performed to determine the forms and shapes of the fibres and SEM photos were analysed by the technique of mathematical morphology, in order to determine the area on the sample occupied by the fibres and the frequency distribution of the nanofibre diameters. The results showed that the oxygen plasma etches the nanofibres much faster when ion bombardment is present. The form of the fibres is not altered by the etching, indicating the possibility of transport of oxygen atoms over the fibre surface. The most frequent diameter, somewhat surprisingly, is not significantly dependent on the etching process, and remains of the order of 80 nm, indicating that fibres with smaller diameters are etched at high rates.

  20. Optical diagnostics for plasma etching

    NARCIS (Netherlands)

    Bisschops, T.H.J.; Kroesen, G.M.W.; Veldhuizen, van E.M.; de Zeeuw, C.J.H.; Timmermans, C.J.

    1985-01-01

    Several optical diagnostics were used to det. plasma properties and etch rates in an single wafer etch reactor. Results of UV-visible spectroscopy and IR absorption spectroscopy, indicating different mol. species and their densities are presented. The construction of an interferometer to det. the

  1. Pulsed high-density plasmas for advanced dry etching processes

    International Nuclear Information System (INIS)

    Banna, Samer; Agarwal, Ankur; Cunge, Gilles; Darnon, Maxime; Pargon, Erwine; Joubert, Olivier

    2012-01-01

    Plasma etching processes at the 22 nm technology node and below will have to satisfy multiple stringent scaling requirements of microelectronics fabrication. To satisfy these requirements simultaneously, significant improvements in controlling key plasma parameters are essential. Pulsed plasmas exhibit considerable potential to meet the majority of the scaling challenges, while leveraging the broad expertise developed over the years in conventional continuous wave plasma processing. Comprehending the underlying physics and etching mechanisms in pulsed plasma operation is, however, a complex undertaking; hence the full potential of this strategy has not yet been realized. In this review paper, we first address the general potential of pulsed plasmas for plasma etching processes followed by the dynamics of pulsed plasmas in conventional high-density plasma reactors. The authors reviewed more than 30 years of academic research on pulsed plasmas for microelectronics processing, primarily for silicon and conductor etch applications, highlighting the potential benefits to date and challenges in extending the technology for mass-production. Schemes such as source pulsing, bias pulsing, synchronous pulsing, and others in conventional high-density plasma reactors used in the semiconductor industry have demonstrated greater flexibility in controlling critical plasma parameters such as ion and radical densities, ion energies, and electron temperature. Specifically, plasma pulsing allows for independent control of ion flux and neutral radicals flux to the wafer, which is key to eliminating several feature profile distortions at the nanometer scale. However, such flexibility might also introduce some difficulty in developing new etching processes based on pulsed plasmas. Therefore, the main characteristics of continuous wave plasmas and different pulsing schemes are compared to provide guidelines for implementing different schemes in advanced plasma etching processes based on

  2. Development of deep silicon plasma etching for 3D integration technology

    Directory of Open Access Journals (Sweden)

    Golishnikov А. А.

    2014-02-01

    Full Text Available Plasma etch process for thought-silicon via (TSV formation is one of the most important technological operations in the field of metal connections creation between stacked circuits in 3D assemble technology. TSV formation strongly depends on parameters such as Si-wafer thickness, aspect ratio, type of metallization material, etc. The authors investigate deep silicon plasma etch process for formation of TSV with controllable profile. The influence of process parameters on plasma etch rate, silicon etch selectivity to photoresist and the structure profile are researched in this paper. Technology with etch and passivation steps alternation was used as a method of deep silicon plasma etching. Experimental tool «Platrane-100» with high-density plasma reactor based on high-frequency ion source with transformer coupled plasma was used for deep silicon plasma etching. As actuation gases for deep silicon etching were chosen the following gases: SF6 was used for the etch stage and CHF3 was applied on the polymerization stage. As a result of research, the deep plasma etch process has been developed with the following parameters: silicon etch rate 6 µm/min, selectivity to photoresist 60 and structure profile 90±2°. This process provides formation of TSV 370 µm deep and about 120 µm in diameter.

  3. Inductively coupled plasma etching of III-V antimonides in BCl{sub 3}/SiCl{sub 4} etch chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Swaminathan, K. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)], E-mail: swaminak@ece.osu.edu; Janardhanan, P.E.; Sulima, O.V. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)

    2008-10-01

    Inductively coupled plasma etching of GaSb using BCl{sub 3}/SiCl{sub 4} etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved ({approx} 4 {mu}m/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth {approx} 90 {mu}m. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range.

  4. Design of etch holes to compensate spring width loss for reliable resonant frequencies

    International Nuclear Information System (INIS)

    Jang, Yun-Ho; Kim, Jong-Wan; Kim, Yong-Kweon; Kim, Jung-Mu

    2012-01-01

    A pattern width loss during the fabrication of lateral silicon resonators degrades resonant frequency reliability since such a width loss causes the significant deviation of spring stiffness. Here we present a design guide for etch holes to obtain reliable resonant frequencies by controlling etch holes geometries. The new function of an etch hole is to generate the comparable amount of the width loss between springs and etch holes, in turn to minimize the effect of the spring width loss on resonant frequency shift and deviation. An analytic expression reveals that a compensation factor (CF), defined by the circumference (C u ) of a unit etch hole divided by its silicon area (A u ), is a key parameter for reliable frequencies. The protrusive etch holes were proposed and compared with square etch holes to demonstrate the frequency reliability according to CF values and etch hole shapes. The normalized resonant frequency shift and deviation of the protrusive etch hole (−13.0% ± 6.9%) were significantly improved compared to those of a square etch hole with a small CF value (−42.8% ± 14.8%). The proposed design guide based on the CF value and protrusive shapes can be used to achieve reliable resonant frequencies for high performance silicon resonators. (technical note)

  5. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching(SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition,etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000?C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  6. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching (SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition, etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000◦C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  7. Deep glass etched microring resonators based on silica-on-silicon technology

    DEFF Research Database (Denmark)

    Ou, Haiyan; Rottwitt, Karsten; Philipp, Hugh Taylor

    2006-01-01

    Microring resonators fabricated on silica-on-silicon technology using deep glass etching are demonstrated. The fabrication procedures are introduced and the transmission spectrum of a resonator is presented.......Microring resonators fabricated on silica-on-silicon technology using deep glass etching are demonstrated. The fabrication procedures are introduced and the transmission spectrum of a resonator is presented....

  8. Separated Type Atmospheric Pressure Plasma Microjets Array for Maskless Microscale Etching

    Directory of Open Access Journals (Sweden)

    Yichuan Dai

    2017-06-01

    Full Text Available Maskless etching approaches such as microdischarges and atmospheric pressure plasma jets (APPJs have been studied recently. Nonetheless, a simple, long lifetime, and efficient maskless etching method is still a challenge. In this work, a separated type maskless etching system based on atmospheric pressure He/O2 plasma jet and microfabricated Micro Electro Mechanical Systems (MEMS nozzle have been developed with advantages of simple-structure, flexibility, and parallel processing capacity. The plasma was generated in the glass tube, forming the micron level plasma jet between the nozzle and the surface of polymer. The plasma microjet was capable of removing photoresist without masks since it contains oxygen reactive species verified by spectra measurement. The experimental results illustrated that different features of microholes etched by plasma microjet could be achieved by controlling the distance between the nozzle and the substrate, additive oxygen ratio, and etch time, the result of which is consistent with the analysis result of plasma spectra. In addition, a parallel etching process was also realized by plasma microjets array.

  9. Silicon etching of difluoromethane atmospheric pressure plasma jet combined with its spectroscopic analysis

    Science.gov (United States)

    Sung, Yu-Ching; Wei, Ta-Chin; Liu, You-Chia; Huang, Chun

    2018-06-01

    A capacitivly coupled radio-frequency double-pipe atmospheric-pressure plasma jet is used for etching. An argon carrier gas is supplied to the plasma discharge jet; and CH2F2 etch gas is inserted into the plasma discharge jet, near the silicon substrate. Silicon etchings rate can be efficiently-controlled by adjusting the feeding etching gas composition and plasma jet operating parameters. The features of silicon etched by the plasma discharge jet are discussed in order to spatially spreading plasma species. Electronic excitation temperature and electron density are detected by increasing plasma power. The etched silicon profile exhibited an anisotropic shape and the etching rate was maximum at the total gas flow rate of 4500 sccm and CH2F2 concentration of 11.1%. An etching rate of 17 µm/min was obtained at a plasma power of 100 W.

  10. Plasma etching a ceramic composite. [evaluating microstructure

    Science.gov (United States)

    Hull, David R.; Leonhardt, Todd A.; Sanders, William A.

    1992-01-01

    Plasma etching is found to be a superior metallographic technique for evaluating the microstructure of a ceramic matrix composite. The ceramic composite studied is composed of silicon carbide whiskers (SiC(sub W)) in a matrix of silicon nitride (Si3N4), glass, and pores. All four constituents are important in evaluating the microstructure of the composite. Conventionally prepared samples, both as-polished or polished and etched with molten salt, do not allow all four constituents to be observed in one specimen. As-polished specimens allow examination of the glass phase and porosity, while molten salt etching reveals the Si3N4 grain size by removing the glass phase. However, the latter obscures the porosity. Neither technique allows the SiC(sub W) to be distinguished from the Si3N4. Plasma etching with CF4 + 4 percent O2 selectively attacks the Si3N4 grains, leaving SiC(sub W) and glass in relief, while not disturbing the pores. An artifact of the plasma etching reaction is the deposition of a thin layer of carbon on Si3N4, allowing Si3N4 grains to be distinguished from SiC(sub W) by back scattered electron imaging.

  11. Plasma Etching of Tapered Features in Silicon for MEMS and Wafer Level Packaging Applications

    International Nuclear Information System (INIS)

    Ngo, H-D; Hiess, Andre; Seidemann, Volker; Studzinski, Daniel; Lange, Martin; Leib, Juergen; Shariff, Dzafir; Ashraf, Huma; Steel, Mike; Atabo, Lilian; Reast, Jon

    2006-01-01

    This paper is a brief report of plasma etching as applied to pattern transfer in silicon. It will focus more on concept overview and strategies for etching of tapered features of interest for MEMS and Wafer Level Packaging (WLP). The basis of plasma etching, the dry etching technique, is explained and plasma configurations are described elsewhere. An important feature of plasma etching is the possibility to achieve etch anisotropy. The plasma etch process is extremely sensitive to many variables such as mask material, mask openings and more important the plasma parameters

  12. Features of copper etching in chlorine-argon plasma

    International Nuclear Information System (INIS)

    Efremov, A.M.; Svettsov, V.I.

    1995-01-01

    Chlorine mixtures with inert gases including argon exhibit promise as plasma feed gases for etching metals and semiconductors in the microelectronics industry. It was shown that even strong dilution of reactive gas with an inert gas (up to 80-90% of the latter) has virtually no effect in decreasing the rate of plasma etching of materials such as silicon and gallium arsenide, compared to etching in pure chlorine. The principal reactive species responsible for etching these substrates are chlorine atoms therefore, a possible explanation of the effect is an increase in the rate of bulk generation of chlorine atoms in the presence of argon. In this work the authors studied the influence of argon on the rate of copper etching in chlorine, because copper, unlike the above substrates, reacts effectively not only with the atoms but with the ground-state molecules of chlorine

  13. Particle behavior in an ECR plasma etch tool

    International Nuclear Information System (INIS)

    Blain, M.G.; Tipton, G.D.; Holber, W.M.; Westerfield, P.L.; Maxwell, K.L.

    1993-01-01

    Sources of particles in a close-coupled electron cyclotron resonance (ECR) polysilicon plasma etch source include flaking of films deposited on chamber surfaces, and shedding of material from electrostatic wafer chucks. A large, episodic increase in the number of particles added to a wafer in a clean system is observed more frequently for a plasma-on than for a gas-only source condition. For polymer forming process conditions, particles were added to wafers by a polymer film which was observed to fracture and flake away from chamber surfaces. The presence of a plasma, especially when rf bias is applied to the wafer, caused more particles to be ejected from the walls and added to wafers than the gas-only condition; however, no significant influence was observed with different microwave powers. A study of effect of electrode temperatures on particles added showed that thermophoretic forces are not significant for this ECR configuration. Particles originating from the electrostatic chuck were observed to be deposited on wafers in much larger numbers in the presence of the plasma as compared to gas-only conditions

  14. Shapes of agglomerates in plasma etching reactors

    International Nuclear Information System (INIS)

    Huang, F.Y.; Kushner, M.J.

    1997-01-01

    Dust particle contamination of wafers in reactive ion etching (RIE) plasma tools is a continuing concern in the microelectronics industry. It is common to find that particles collected on surfaces or downstream of the etch chamber are agglomerates of smaller monodisperse spherical particles. The shapes of the agglomerates vary from compact, high fractal dimension structures to filamentary, low fractal dimension structures. These shapes are important with respect to the transport of particles in RIE tools under the influence electrostatic and ion drag forces, and the possible generation of polarization forces. A molecular dynamics simulation has been developed to investigate the shapes of agglomerates in plasma etching reactors. We find that filamentary, low fractal dimension structures are generally produced by smaller (<100s nm) particles in low powered plasmas where the kinetic energy of primary particles is insufficient to overcome the larger Coulomb repulsion of a compact agglomerate. This is analogous to the diffusive regime in neutral agglomeration. Large particles in high powered plasmas generally produce compact agglomerates of high fractal dimension, analogous to ballistic agglomeration of neutrals. copyright 1997 American Institute of Physics

  15. Thermal de-isolation of silicon microstructures in a plasma etching environment

    International Nuclear Information System (INIS)

    Lee, Yong-Seok; Jang, Yun-Ho; Kim, Yong-Kweon; Kim, Jung-Mu

    2013-01-01

    This paper presents a theoretical and experimental strategy for thermal de-isolation of silicon microstructures during a plasma etching process. Heat sinking blocks and thin metal layers are implemented around a thermally isolated mass to avoid severe spring width losses by a steep temperature rise. Thermal de-isolation significantly reduces the fabrication errors from −51.0% to −9.0% and from −39.5% to −6.7% for spring widths and resonant frequencies, respectively. Thermal de-isolation also reduces the standard deviation of resonant frequencies from 8.7% to 1.5% across a wafer, which clearly demonstrates the proposed method. (paper)

  16. Modeling of the angular dependence of plasma etching

    International Nuclear Information System (INIS)

    Guo Wei; Sawin, Herbert H.

    2009-01-01

    An understanding of the angular dependence of etching yield is essential to investigate the origins of sidewall roughness during plasma etching. In this article the angular dependence of polysilicon etching in Cl 2 plasma was modeled as a combination of individual angular-dependent etching yields for ion-initiated processes including physical sputtering, ion-induced etching, vacancy generation, and removal. The modeled etching yield exhibited a maximum at ∼60 degree sign off-normal ion angle at low flux ratio, indicative of physical sputtering. It transformed to the angular dependence of ion-induced etching with the increase in the neutral-to-ion flux ratio. Good agreement between the modeling and the experiments was achieved for various flux ratios and ion energies. The variation of etching yield in response to the ion angle was incorporated in the three-dimensional profile simulation and qualitative agreement was obtained. The surface composition was calculated and compared to x-ray photoelectron spectroscopy (XPS) analysis. The modeling indicated a Cl areal density of 3x10 15 atoms/cm 2 on the surface that is close to the value determined by the XPS analysis. The response of Cl fraction to ion energy and flux ratio was modeled and correlated with the etching yields. The complete mixing-layer kinetics model with the angular dependence effect will be used for quantitative surface roughening analysis using a profile simulator in future work.

  17. Inductively Coupled Plasma-Induced Etch Damage of GaN p-n Junctions

    International Nuclear Information System (INIS)

    SHUL, RANDY J.; ZHANG, LEI; BACA, ALBERT G.; WILLISON, CHRISTI LEE; HAN, JUNG; PEARTON, S.J.; REN, F.

    1999-01-01

    Plasma-induced etch damage can degrade the electrical and optical performance of III-V nitride electronic and photonic devices. We have investigated the etch-induced damage of an Inductively Coupled Plasma (ICP) etch system on the electrical performance of mesa-isolated GaN pn-junction diodes. GaN p-i-n mesa diodes were formed by Cl 2 /BCl 3 /Ar ICP etching under different plasma conditions. The reverse leakage current in the mesa diodes showed a strong relationship to chamber pressure, ion energy, and plasma flux. Plasma induced damage was minimized at moderate flux conditions (≤ 500 W), pressures ≥2 mTorr, and at ion energies below approximately -275 V

  18. Wafer scale oblique angle plasma etching

    Science.gov (United States)

    Burckel, David Bruce; Jarecki, Jr., Robert L.; Finnegan, Patrick Sean

    2017-05-23

    Wafer scale oblique angle etching of a semiconductor substrate is performed in a conventional plasma etch chamber by using a fixture that supports a multiple number of separate Faraday cages. Each cage is formed to include an angled grid surface and is positioned such that it will be positioned over a separate one of the die locations on the wafer surface when the fixture is placed over the wafer. The presence of the Faraday cages influences the local electric field surrounding each wafer die, re-shaping the local field to be disposed in alignment with the angled grid surface. The re-shaped plasma causes the reactive ions to follow a linear trajectory through the plasma sheath and angled grid surface, ultimately impinging the wafer surface at an angle. The selected geometry of the Faraday cage angled grid surface thus determines the angle at with the reactive ions will impinge the wafer.

  19. Etching properties of BLT films in CF4/Ar plasma

    International Nuclear Information System (INIS)

    Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il

    2003-01-01

    CF 4 /Ar plasma mass content and etching rate behavior of BLT thin films were investigated in inductively coupled plasma (ICP) reactor as functions of CF 4 /Ar gas mixing ratio, rf power, and dc bias voltage. The variation of relative volume densities for F and Ar atoms were measured by the optical emission spectroscopy (OES). The etching rate as functions of Ar content showed the maximum of 803 A/min at 80 % Ar addition into CF 4 plasma. The presence of maximum etch rate may be explained by the concurrence of two etching mechanisms such as physical sputtering and chemical reaction. The role of Ar ion bombardment includes destruction of metal (Bi, La, Ti)-O bonds as well as support of chemical reaction of metals with fluorine atoms

  20. Cold plasmas

    International Nuclear Information System (INIS)

    Franz, G.

    1990-01-01

    This textbook discusses the following topics: Phenomenological description of a direct current glow discharge; the plasma (temperature distribution and measurement, potential variation, electron energy distribution function, charge neutralization, wall potentials, plasma oscillations); Production of charge carriers (ions, electrons, ionization in the cathode zone, negative glowing zone, Faraday dark space, positive column, anode zone, hollow cathode discharges); RF-discharges (charge carrier production, RF-Shields, scattering mechanisms); Sputtering (ion-surface interaction, kinetics, sputtering yield and energy distribution, systems and conditions, film formation and stresses, contamination, bias techniques, multicomponent film deposition, cohesion, magnetrons, triode systems, plasma enhanced chemical vapor deposition); Dry etching (sputter etching, reactive etching, topography, process control, quantitative investigations); Etching mechanisms (etching of Si and SiO 2 with CF 4 , of III/V-compound-semiconductors, combination of isotrope and anisotrope etching methods, surface cleaning); ion beam systems (applications, etching); Dyclotron-resonance-systems (electron cyclotron resonance systems, whistler-sources and 'resonant inductive plasma etching'); Appendix (electron energy distribution functions, Bohm's transition zone, plasma oscillations, scattering cross sections and mean free path, metastable states, Child-Langmuir-Schottky equation, loss mechanisms, charge carrier distribution in the positive column, breakdown at high frequencies, motion in a magnetic field, skin depth of an electric field for a HF-discharge, whistler waves, dispersion relations for plane wave propagation). (orig.) With 138 figs

  1. Etching and oxidation of InAs in planar inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Dultsev, F.N., E-mail: fdultsev@thermo.isp.nsc.ru [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation); Kesler, V.G. [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation)

    2009-10-15

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH{sub 4}/H{sub 2}/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  2. Etching and oxidation of InAs in planar inductively coupled plasma

    Science.gov (United States)

    Dultsev, F. N.; Kesler, V. G.

    2009-10-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4/H 2/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  3. Etching and oxidation of InAs in planar inductively coupled plasma

    International Nuclear Information System (INIS)

    Dultsev, F.N.; Kesler, V.G.

    2009-01-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4 /H 2 /Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  4. Dry-plasma-free chemical etch technique for variability reduction in multi-patterning (Conference Presentation)

    Science.gov (United States)

    Kal, Subhadeep; Mohanty, Nihar; Farrell, Richard A.; Franke, Elliott; Raley, Angelique; Thibaut, Sophie; Pereira, Cheryl; Pillai, Karthik; Ko, Akiteru; Mosden, Aelan; Biolsi, Peter

    2017-04-01

    Scaling beyond the 7nm technology node demands significant control over the variability down to a few angstroms, in order to achieve reasonable yield. For example, to meet the current scaling targets it is highly desirable to achieve sub 30nm pitch line/space features at back-end of the line (BEOL) or front end of line (FEOL); uniform and precise contact/hole patterning at middle of line (MOL). One of the quintessential requirements for such precise and possibly self-aligned patterning strategies is superior etch selectivity between the target films while other masks/films are exposed. The need to achieve high etch selectivity becomes more evident for unit process development at MOL and BEOL, as a result of low density films choices (compared to FEOL film choices) due to lower temperature budget. Low etch selectivity with conventional plasma and wet chemical etch techniques, causes significant gouging (un-intended etching of etch stop layer, as shown in Fig 1), high line edge roughness (LER)/line width roughness (LWR), non-uniformity, etc. In certain circumstances this may lead to added downstream process stochastics. Furthermore, conventional plasma etches may also have the added disadvantage of plasma VUV damage and corner rounding (Fig. 1). Finally, the above mentioned factors can potentially compromise edge placement error (EPE) and/or yield. Therefore a process flow enabled with extremely high selective etches inherent to film properties and/or etch chemistries is a significant advantage. To improve this etch selectivity for certain etch steps during a process flow, we have to implement alternate highly selective, plasma free techniques in conjunction with conventional plasma etches (Fig 2.). In this article, we will present our plasma free, chemical gas phase etch technique using chemistries that have high selectivity towards a spectrum of films owing to the reaction mechanism ( as shown Fig 1). Gas phase etches also help eliminate plasma damage to the

  5. Influence of redeposition on the plasma etching dynamics

    International Nuclear Information System (INIS)

    Stafford, L.; Margot, J.; Delprat, S.; Chaker, M.; Pearton, S. J.

    2007-01-01

    This work reports on measurements of the degree of redeposition of sputtered species during the etching of platinum (Pt), barium-strontium-titanate (BST), strontium-bismuth-tantalate (SBT), and photoresist (PR) in a high-density argon plasma. While PR exhibits a redeposition-free behavior, the degree of redeposition of Pt, BST, and SBT species increases from 10% to 95% as the argon pressure increases from 0.5 to 10 mTorr. These results are in good agreement with the predictions of a simple model accounting for the backscattering of sputtered species following their interaction with the gas phase. Based on these results and using other experimental data reported in the literature, it is further demonstrated that, depending on the plasma etching conditions, redeposition effects can induce misinterpretation of the etch rate data

  6. Effects of gas-flow structures on radical and etch-product density distributions on wafers in magnetomicrowave plasma etching reactors

    International Nuclear Information System (INIS)

    Ikegawa, Masato; Kobayashi, Jun'ichi; Fukuyama, Ryoji

    2001-01-01

    To achieve high etch rate, uniformity, good selectivity, and etch profile control across large diameter wafers, the distributions of ions, radicals, and etch products in magnetomicrowave high-etch-rate plasma etching reactors must be accurately controlled. In this work the effects of chamber heights, a focus ring around the wafer, and gas supply structures (or gas flow structures) on the radicals and etch products flux distribution onto the wafer were examined using the direct simulation Monte Carlo method and used to determine the optimal reactor geometry. The pressure uniformity on the wafer was less than ±1% when the chamber height was taller than 60 mm. The focus ring around the wafer produced uniform radical and etch-product fluxes but increased the etch-product flux on the wafer. A downward-flow gas-supply structure (type II) produced a more uniform radical distribution than that produced by a radial gas-supply structure (type I). The impact flow of the type II structure removed etch products from the wafer effectively and produced a uniform etch-product distribution even without the focus ring. Thus the downward-flow gas-supply structure (type II) was adopted in the design for the second-generation of a magnetomicrowave plasma etching reactor with a higher etching rate

  7. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    Science.gov (United States)

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  8. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    International Nuclear Information System (INIS)

    Marciano, F.R.; Bonetti, L.F.; Pessoa, R.S.; Massi, M.; Santos, L.V.; Trava-Airoldi, V.J.

    2009-01-01

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  9. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Marciano, F.R., E-mail: fernanda@las.inpe.b [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil); Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Bonetti, L.F. [Clorovale Diamantes Industria e Comercio Ltda, Estr. do Torrao de Ouro, 500-Sao Jose dos Campos, 12229-390, SP (Brazil); Pessoa, R.S.; Massi, M. [Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Santos, L.V.; Trava-Airoldi, V.J. [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil)

    2009-08-03

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  10. Reactive-ion etching of nylon fabric meshes using oxygen plasma for creating surface nanostructures

    International Nuclear Information System (INIS)

    Salapare, Hernando S.; Darmanin, Thierry; Guittard, Frédéric

    2015-01-01

    Graphical abstract: - Highlights: • Reactive-ion etching (RIE) is employed to nylon 6,6 fabrics to achieve surface texturing and improved wettability. • FTIR spectra of the treated samples exhibited decreased transmittance of amide and carboxylic acid groups due to etching. • Etching is enhanced for higher power plasma treatments and for samples with larger mesh sizes. • Decreased crystallinity was achieved after plasma treatment. • Higher power induced higher negative DC self-bias voltage on the samples that favored anisotropic and aggressive etching. - Abstract: A facile one-step oxygen plasma irradiation in reactive ion etching (RIE) configuration is employed to nylon 6,6 fabrics with different mesh sizes to achieve surface nanostructures and improved wettability for textile and filtration applications. To observe the effects of power and irradiation time on the samples, the experiments were performed using constant irradiation time in varying power and using constant power in varying irradiation times. Results showed improved wettability after the plasma treatment. The FTIR spectra of all the treated samples exhibited decreased transmittance of the amide and carboxylic acid groups due to surface etching. The changes in the surface chemistry are supported by the SEM data wherein etching and surface nanostructures were observed for the plasma-treated samples. The etching of the surfaces is enhanced for higher power plasma treatments. The thermal analysis showed that the plasma treatment resulted in decreased crystallinity. Surface chemistry showed that the effects of the plasma treatment on the samples have no significant difference for all the mesh sizes. However, surface morphology showed that the sizes of the surface cracks are the same for all the mesh sizes but samples with larger mesh sizes exhibited enhanced etching as compared to the samples with smaller mesh sizes. Higher power induced higher negative DC self-bias voltage on the samples that

  11. 3-Dimensional Modeling of Capacitively and Inductively Coupled Plasma Etching Systems

    Science.gov (United States)

    Rauf, Shahid

    2008-10-01

    Low temperature plasmas are widely used for thin film etching during micro and nano-electronic device fabrication. Fluid and hybrid plasma models were developed 15-20 years ago to understand the fundamentals of these plasmas and plasma etching. These models have significantly evolved since then, and are now a major tool used for new plasma hardware design and problem resolution. Plasma etching is a complex physical phenomenon, where inter-coupled plasma, electromagnetic, fluid dynamics, and thermal effects all have a major influence. The next frontier in the evolution of fluid-based plasma models is where these models are able to self-consistently treat the inter-coupling of plasma physics with fluid dynamics, electromagnetics, heat transfer and magnetostatics. We describe one such model in this paper and illustrate its use in solving engineering problems of interest for next generation plasma etcher design. Our 3-dimensional plasma model includes the full set of Maxwell equations, transport equations for all charged and neutral species in the plasma, the Navier-Stokes equation for fluid flow, and Kirchhoff's equations for the lumped external circuit. This model also includes Monte Carlo based kinetic models for secondary electrons and stochastic heating, and can take account of plasma chemistry. This modeling formalism allows us to self-consistently treat the dynamics in commercial inductively and capacitively coupled plasma etching reactors with realistic plasma chemistries, magnetic fields, and reactor geometries. We are also able to investigate the influence of the distributed electromagnetic circuit at very high frequencies (VHF) on the plasma dynamics. The model is used to assess the impact of azimuthal asymmetries in plasma reactor design (e.g., off-center pump, 3D magnetic field, slit valve, flow restrictor) on plasma characteristics at frequencies from 2 -- 180 MHz. With Jason Kenney, Ankur Agarwal, Ajit Balakrishna, Kallol Bera, and Ken Collins.

  12. High-density plasma etching characteristics of indium-gallium-zinc oxide thin films in CF4/Ar plasma

    International Nuclear Information System (INIS)

    Joo, Young-Hee; Kim, Chang-Il

    2015-01-01

    We investigated the etching process of indium-gallium-zinc oxide (IGZO) thin films in an inductively coupled plasma system. The dry etching characteristics of the IGZO thin films were studied by varying the CF 4 /Ar gas mixing ratio, RF power, DC-bias voltage, and process pressure. We determined the following optimized process conditions: an RF power of 700 W, a DC-bias voltage of − 150 V, and a process pressure of 2 Pa. A maximum etch rate of 25.63 nm/min for the IGZO thin films was achieved in a plasma with CF 4 /Ar(= 25:75), and the selectivity of IGZO to Al and TiN was found to be 1.3 and 0.7, respectively. We determined the ionic composition of the CF 4 /Ar plasma using optical emission spectroscopy. Analysis of chemical reactions at the IGZO thin film surfaces was performed using X-ray photoelectron spectroscopy. - Highlights: • IGZO thin film was etched by CF 4 /Ar plasma as a function of gas mixing ratio. • IGZO bonds were broken Ar + sputtering and then reacted with the C-F x radicals. • The physical sputtering is dominant in etch control compared with chemical etching

  13. Etching mechanism of niobium in coaxial Ar/Cl2 radio frequency plasma

    International Nuclear Information System (INIS)

    Upadhyay, J.; Im, Do; Popović, S.; Vušković, L.; Valente-Feliciano, A.-M.; Phillips, L.

    2015-01-01

    The understanding of the Ar/Cl 2 plasma etching mechanism is crucial for the desired modification of inner surface of the three dimensional niobium (Nb) superconductive radio frequency cavities. Uniform mass removal in cylindrical shaped structures is a challenging task because the etch rate varies along the direction of gas flow. The study is performed in the asymmetric coaxial radio-frequency (rf) discharge with two identical Nb rings acting as a part of the outer electrode. The dependence of etch rate uniformity on pressure, rf power, dc bias, Cl 2 concentration, diameter of the inner electrode, temperature of the outer cylinder, and position of the samples in the structure is determined. To understand the plasma etching mechanisms, we have studied several factors that have important influence on the etch rate and uniformity, which include the plasma sheath potential, Nb surface temperature, and the gas flow rate

  14. Micro-texturing into DLC/diamond coated molds and dies via high density oxygen plasma etching

    Directory of Open Access Journals (Sweden)

    Yunata Ersyzario Edo

    2015-01-01

    Full Text Available Diamond-Like Carbon (DLC and Chemical Vapor Deposition (CVD-diamond films have been widely utilized not only as a hard protective coating for molds and dies but also as a functional substrate for bio-MEMS/NEMS. Micro-texturing into these hard coated molds and dies provides a productive tool to duplicate the original mother micro-patterns onto various work materials and to construct any tailored micro-textures for sensors and actuators. In the present paper, the high density oxygen plasma etching method is utilized to make micro-line and micro-groove patterns onto the DLC and diamond coatings. Our developing oxygen plasma etching system is introduced together with characterization on the plasma state during etching. In this quantitative plasma diagnosis, both the population of activated species and the electron and ion densities are identified through the emissive light spectroscopy and the Langmuir probe method. In addition, the on-line monitoring of the plasmas helps to describe the etching process. DLC coated WC (Co specimen is first employed to describe the etching mechanism by the present method. Chemical Vapor Deposition (CVD diamond coated WC (Co is also employed to demonstrate the reliable capacity of the present high density oxygen plasma etching. This oxygen plasma etching performance is discussed by comparison of the etching rates.

  15. Etching of uranium dioxide in nitrogen trifluoride RF plasma glow discharge

    Science.gov (United States)

    Veilleux, John Mark

    1999-10-01

    A series of room temperature, low pressure (10.8 to 40 Pa), low power (25 to 210 W) RF plasma glow discharge experiments with UO2 were conducted to demonstrate that plasma treatment is a viable method for decontaminating UO2 from stainless steel substrates. Experiments were conducted using NF3 gas to decontaminate depleted uranium dioxide from stainless-steel substrates. Results demonstrated that UO2 can be completely removed from stainless-steel substrates after several minutes processing at under 200 W. At 180 W and 32.7 Pa gas pressure, over 99% of all UO2 in the samples was removed in just 17 minutes. The initial etch rate in the experiments ranged from 0.2 to 7.4 mum/min. Etching increased with the plasma absorbed power and feed gas pressure in the range of 10.8 to 40 Pa. A different pressure effect on UO2 etching was also noted below 50 W in which etching increased up to a maximum pressure, ˜23 Pa, then decreased with further increases in pressure. A computer simulation, CHEMKIN, was applied to predict the NF3 plasma species in the experiments. The code was validated first by comparing its predictions of the NF3 plasma species with mass spectroscopy etching experiments of silicon. The code predictions were within +/-5% of the measured species concentrations. The F atom radicals were identified as the primary etchant species, diffusing from the bulk plasma to the UO2 surface and reacting to form a volatile UF6, which desorbed into the gas phase to be pumped away. Ions created in the plasma were too low in concentration to have a major effect on etching, but can enhance the etch rate by removing non-volatile reaction products blocking the reaction of F with UO2. The composition of these non-volatile products were determined based on thermodynamic analysis and the electronic structure of uranium. Analysis identified possible non-volatile products as the uranium fluorides, UF2-5, and certain uranium oxyfluorides UO2F, UO2F2, UOF3, and UOF 4 which form over the

  16. High density plasma via hole etching in SiC

    International Nuclear Information System (INIS)

    Cho, H.; Lee, K.P.; Leerungnawarat, P.; Chu, S.N.G.; Ren, F.; Pearton, S.J.; Zetterling, C.-M.

    2001-01-01

    Throughwafer vias up to 100 μm deep were formed in 4H-SiC substrates by inductively coupled plasma etching with SF 6 /O 2 at a controlled rate of ∼0.6 μm min-1 and use of Al masks. Selectivities of >50 for SiC over Al were achieved. Electrical (capacitance-voltage: current-voltage) and chemical (Auger electron spectroscopy) analysis techniques showed that the etching produced only minor changes in reverse breakdown voltage, Schottky barrier height, and near surface stoichiometry of the SiC and had high selectivity over common frontside metallization. The SiC etch rate was a strong function of the incident ion energy during plasma exposure. This process is attractive for power SiC transistors intended for high current, high temperature applications and also for SiC micromachining

  17. Method of plasma etching Ga-based compound semiconductors

    Science.gov (United States)

    Qiu, Weibin; Goddard, Lynford L.

    2012-12-25

    A method of plasma etching Ga-based compound semiconductors includes providing a process chamber and a source electrode adjacent to the process chamber. The process chamber contains a sample comprising a Ga-based compound semiconductor. The sample is in contact with a platen which is electrically connected to a first power supply, and the source electrode is electrically connected to a second power supply. The method includes flowing SiCl.sub.4 gas into the chamber, flowing Ar gas into the chamber, and flowing H.sub.2 gas into the chamber. RF power is supplied independently to the source electrode and the platen. A plasma is generated based on the gases in the process chamber, and regions of a surface of the sample adjacent to one or more masked portions of the surface are etched to create a substantially smooth etched surface including features having substantially vertical walls beneath the masked portions.

  18. High-density plasma etching characteristics of indium-gallium-zinc oxide thin films in CF{sub 4}/Ar plasma

    Energy Technology Data Exchange (ETDEWEB)

    Joo, Young-Hee; Kim, Chang-Il

    2015-05-29

    We investigated the etching process of indium-gallium-zinc oxide (IGZO) thin films in an inductively coupled plasma system. The dry etching characteristics of the IGZO thin films were studied by varying the CF{sub 4}/Ar gas mixing ratio, RF power, DC-bias voltage, and process pressure. We determined the following optimized process conditions: an RF power of 700 W, a DC-bias voltage of − 150 V, and a process pressure of 2 Pa. A maximum etch rate of 25.63 nm/min for the IGZO thin films was achieved in a plasma with CF{sub 4}/Ar(= 25:75), and the selectivity of IGZO to Al and TiN was found to be 1.3 and 0.7, respectively. We determined the ionic composition of the CF{sub 4}/Ar plasma using optical emission spectroscopy. Analysis of chemical reactions at the IGZO thin film surfaces was performed using X-ray photoelectron spectroscopy. - Highlights: • IGZO thin film was etched by CF{sub 4}/Ar plasma as a function of gas mixing ratio. • IGZO bonds were broken Ar{sup +} sputtering and then reacted with the C-F{sub x} radicals. • The physical sputtering is dominant in etch control compared with chemical etching.

  19. Pulsed Plasma with Synchronous Boundary Voltage for Rapid Atomic Layer Etching

    Energy Technology Data Exchange (ETDEWEB)

    Economou, Demetre J.; Donnelly, Vincent M.

    2014-05-13

    Atomic Layer ETching (ALET) of a solid with monolayer precision is a critical requirement for advancing nanoscience and nanotechnology. Current plasma etching techniques do not have the level of control or damage-free nature that is needed for patterning delicate sub-20 nm structures. In addition, conventional ALET, based on pulsed gases with long reactant adsorption and purging steps, is very slow. In this work, novel pulsed plasma methods with synchronous substrate and/or “boundary electrode” bias were developed for highly selective, rapid ALET. Pulsed plasma and tailored bias voltage waveforms provided controlled ion energy and narrow energy spread, which are critical for highly selective and damage-free etching. The broad goal of the project was to investigate the plasma science and engineering that will lead to rapid ALET with monolayer precision. A combined experimental-simulation study was employed to achieve this goal.

  20. Nano-structuring of PTFE surface by plasma treatment, etching, and sputtering with gold

    International Nuclear Information System (INIS)

    Reznickova, Alena; Kolska, Zdenka; Hnatowicz, Vladimir; Svorcik, Vaclav

    2011-01-01

    Properties of pristine, plasma modified, and etched (by water and methanol) polytetrafluoroethylene (PTFE) were studied. Gold nanolayers sputtered on this modified PTFE have been also investigated. Contact angle, measured by goniometry, was studied as a function of plasma exposure and post-exposure aging times. Degradation of polymer chains was examined by etching of plasma modified PTFE in water or methanol. The amount of ablated and etched layer was measured by gravimetry. In the next step the pristine, plasma modified, and etched PTFE was sputtered with gold. Changes in surface morphology were observed using atomic force microscopy. Chemical structure of modified polymers was characterized by X-ray photoelectron spectroscopy (XPS). Surface chemistry of the samples was investigated by electrokinetic analysis. Sheet resistance of the gold layers was measured by two-point technique. The contact angle of the plasma modified PTFE decreases with increasing exposure time. The PTFE amount, ablated by the plasma treatment, increases with the plasma exposure time. XPS measurements proved that during the plasma treatment the PTFE macromolecular chains are degraded and oxidized and new –C–O–C–, –C=O, and –O–C=O groups are created in modified surface layer. Surface of the plasma modified PTFE is weakly soluble in methanol and intensively soluble in water. Zeta potential and XPS shown dramatic changes in PTFE surface chemistry after the plasma exposure, water etching, and gold deposition. When continuous gold layer is formed a rapid decrease of the sheet resistance of the gold layer is observed.

  1. High-throughput anisotropic plasma etching of polyimide for MEMS

    International Nuclear Information System (INIS)

    Bliznetsov, Vladimir; Manickam, Anbumalar; Ranganathan, Nagarajan; Chen, Junwei

    2011-01-01

    This note describes a new high-throughput process of polyimide etching for the fabrication of MEMS devices with an organic sacrificial layer approach. Using dual frequency superimposed capacitively coupled plasma we achieved a vertical profile of polyimide with an etching rate as high as 3.5 µm min −1 . After the fabrication of vertical structures in a polyimide material, additional steps were performed to fabricate structural elements of MEMS by deposition of a SiO 2 layer and performing release etching of polyimide. (technical note)

  2. High rate dry etching of InGaZnO by BCl3/O2 plasma

    Science.gov (United States)

    Park, Wanjae; Whang, Ki-Woong; Gwang Yoon, Young; Hwan Kim, Jeong; Rha, Sang-Ho; Seong Hwang, Cheol

    2011-08-01

    This paper reports the results of the high-rate dry etching of indium gallium zinc oxide (IGZO) at room temperature using BCl3/O2 plasma. We achieved an etch rate of 250 nm/min. We inferred from the x-ray photoelectron spectroscopy analysis that BOx or BOClx radicals generated from BCl3/O2 plasma cause the etching of the IGZO material. O2 initiates the etching of IGZO, and Ar removes nonvolatile byproducts from the surface during the etching process. Consequently, a smooth etched surface results when these gases are added to the etch gas.

  3. Improvement of Plating Characteristics Between Nickel and PEEK by Plasma Treatment and Chemical Etching

    International Nuclear Information System (INIS)

    Lee, Hye W.; Lee, Jong K.; Park, Ki Y.

    2009-01-01

    Surface of PEEK(poly-ether-ether-ketone) was modified by chemical etching, plasma treatment and mechanical grinding to improve the plating adhesion. The plating characteristics of these samples were studied by the contact angle, plating thickness, gloss and adhesion. Chemical etching and plasma treatment increased wettability, adhesion and gloss. The contact angle of as-received PEEK was 61 .deg. . The contact angles of chemical etched, plasma treated or both were improved to the range of 15∼33 .deg. . In the case of electroless plating, the thickest layer without blister was 1.6 μm. The adhesion strengths by chemical etching, plasma treatment or both chemical etching and plasma treatment were 75 kgf/cm 2 , 102 kgf/cm 2 , 113 kgf/cm 2 , respectively, comparing to the 24 kgf/cm 2 of as-received. In the case of mechanically ground PEEKs, the adhesion strengths were higher than those unground, with the sacrifice of surface gloss. The gloss of untreated PEEK were greater than mechanically ground PEEKs. Plating thickness increased linearly with the plating times

  4. Optimum inductively coupled plasma etching of fused silica to remove subsurface damage layer

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Xiaolong; Liu, Ying, E-mail: liuychch@ustc.edu.cn; Liu, Zhengkun; Qiu, Keqiang; Xu, Xiangdong; Hong, Yilin; Fu, Shaojun

    2015-11-15

    Highlights: • SSD layer of fused silica is removed by ICP etch with surface roughness of 0.23 nm. • Metal contamination is successfully avoided by employing an isolation device. • Unique low-density plasma induced pitting damage is discovered and eliminated. • Lateral etching of SSD is avoided due to the improvement of etching anisotropy. - Abstract: In this work, we introduce an optimum ICP etching technique that successfully removes the subsurface damage (SSD) layer of fused silica without causing plasma induced surface damage (PISD) or lateral etching of SSD. As one of the commonest PISD initiators, metal contamination from reactor chamber is prevented by employing a simple isolation device. Based on this device, a unique low-density pitting damage is discovered and subsequently eliminated by optimizing the etching parameters. Meanwhile etching anisotropy also improves a lot, thus preventing the lateral etching of SSD. Using this proposed technique, SSD layer of fused silica is successfully removed with a surface roughness of 0.23 nm.

  5. Infinitely high etch selectivity during CH4/H2/Ar inductively coupled plasma (ICP) etching of indium tin oxide (ITO) with photoresist mask

    International Nuclear Information System (INIS)

    Kim, D.Y.; Ko, J.H.; Park, M.S.; Lee, N.-E.

    2008-01-01

    Under certain conditions during ITO etching using CH 4 /H 2 /Ar inductively coupled plasmas, the etch rate selectivity of ITO to photoresist (PR) was infinitely high because the ITO films continued to be etched, but a net deposition of the α-C:H layer occurred on the top of the PR. Analyses of plasmas and etched ITO surfaces suggested that the continued consumption of the carbon and hydrogen in the deposited α-C:H layer by their chemical reaction with In and Sn atoms in the ITO resulting in the generation of volatile metal-organic etch products and by the ion-enhanced removal of the α-C:H layer presumably play important roles in determining the ITO etch rate and selectivity

  6. Etching of Niobium Sample Placed on Superconducting Radio Frequency Cavity Surface in Ar/CL2 Plasma

    International Nuclear Information System (INIS)

    Upadhyay, Janardan; Phillips, Larry; Valente, Anne-Marie

    2011-01-01

    Plasma based surface modification is a promising alternative to wet etching of superconducting radio frequency (SRF) cavities. It has been proven with flat samples that the bulk Niobium (Nb) removal rate and the surface roughness after the plasma etchings are equal to or better than wet etching processes. To optimize the plasma parameters, we are using a single cell cavity with 20 sample holders symmetrically distributed over the cell. These holders serve the purpose of diagnostic ports for the measurement of the plasma parameters and for the holding of the Nb sample to be etched. The plasma properties at RF (100 MHz) and MW (2.45 GHz) frequencies are being measured with the help of electrical and optical probes at different pressures and RF power levels inside of this cavity. The niobium coupons placed on several holders around the cell are being etched simultaneously. The etching results will be presented at this conference.

  7. Etching of Niobium Sample Placed on Superconducting Radio Frequency Cavity Surface in Ar/CL2 Plasma

    Energy Technology Data Exchange (ETDEWEB)

    Janardan Upadhyay, Larry Phillips, Anne-Marie Valente

    2011-09-01

    Plasma based surface modification is a promising alternative to wet etching of superconducting radio frequency (SRF) cavities. It has been proven with flat samples that the bulk Niobium (Nb) removal rate and the surface roughness after the plasma etchings are equal to or better than wet etching processes. To optimize the plasma parameters, we are using a single cell cavity with 20 sample holders symmetrically distributed over the cell. These holders serve the purpose of diagnostic ports for the measurement of the plasma parameters and for the holding of the Nb sample to be etched. The plasma properties at RF (100 MHz) and MW (2.45 GHz) frequencies are being measured with the help of electrical and optical probes at different pressures and RF power levels inside of this cavity. The niobium coupons placed on several holders around the cell are being etched simultaneously. The etching results will be presented at this conference.

  8. Planarization of the diamond film surface by using the hydrogen plasma etching with carbon diffusion process

    International Nuclear Information System (INIS)

    Kim, Sung Hoon

    2001-01-01

    Planarization of the free-standing diamond film surface as smooth as possible could be obtained by using the hydrogen plasma etching with the diffusion of the carbon species into the metal alloy (Fe, Cr, Ni). For this process, we placed the free-standing diamond film between the metal alloy and the Mo substrate like a metal-diamond-molybdenum (MDM) sandwich. We set the sandwich-type MDM in a microwave-plasma-enhanced chemical vapor deposition (MPECVD) system. The sandwich-type MDM was heated over ca. 1000 .deg. C by using the hydrogen plasma. We call this process as the hydrogen plasma etching with carbon diffusion process. After etching the free-standing diamond film surface, we investigated surface roughness, morphologies, and the incorporated impurities on the etched diamond film surface. Finally, we suggest that the hydrogen plasma etching with carbon diffusion process is an adequate etching technique for the fabrication of the diamond film surface applicable to electronic devices

  9. Investigation of plasma etch damage to porous oxycarbosilane ultra low-k dielectric

    International Nuclear Information System (INIS)

    Bruce, R L; Engelmann, S; Purushothaman, S; Volksen, W; Frot, T J; Magbitang, T; Dubois, G; Darnon, M

    2013-01-01

    There has been much interest recently in porous oxycarbosilane (POCS)-based materials as the ultra-low k dielectric (ULK) in back-end-of-line (BEOL) applications due to their superior mechanical properties compared to traditional organosilicate-based ULK materials at equivalent porosity and dielectric constant. While it is well known that plasma etching and strip processes can cause significant damage to ULK materials in general, little has been reported about the effect of plasma damage to POCS as the ULK material. We investigated the effect of changing the gas discharge chemistry and substrate bias in the dielectric trench etch and also the subsequent effect of the cap-open etch on plasma damage to POCS during BEOL integration. Large differences in surface roughness and damage behaviour were observed by changing the fluorocarbon depositing conditions. These damage behaviour trends will be discussed and potential rationalizations offered based on the formation of pits and craters at the etch front that lead to surface roughness and microtrenching. (paper)

  10. Suboxide/subnitride formation on Ta masks during magnetic material etching by reactive plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hu; Muraki, Yu; Karahashi, Kazuhiro; Hamaguchi, Satoshi, E-mail: hamaguch@ppl.eng.osaka-u.ac.jp [Center for Atomic and Molecular Technologies, Osaka University, Yamadaoka 2-1, Suita 565-0871 (Japan)

    2015-07-15

    Etching characteristics of tantalum (Ta) masks used in magnetoresistive random-access memory etching processes by carbon monoxide and ammonium (CO/NH{sub 3}) or methanol (CH{sub 3}OH) plasmas have been examined by mass-selected ion beam experiments with in-situ surface analyses. It has been suggested in earlier studies that etching of magnetic materials, i.e., Fe, Ni, Co, and their alloys, by such plasmas is mostly due to physical sputtering and etch selectivity of the process arises from etch resistance (i.e., low-sputtering yield) of the hard mask materials such as Ta. In this study, it is shown that, during Ta etching by energetic CO{sup +} or N{sup +} ions, suboxides or subnitrides are formed on the Ta surface, which reduces the apparent sputtering yield of Ta. It is also shown that the sputtering yield of Ta by energetic CO{sup +} or N{sup +} ions has a strong dependence on the angle of ion incidence, which suggests a correlation between the sputtering yield and the oxidation states of Ta in the suboxide or subnitride; the higher the oxidation state of Ta, the lower is the sputtering yield. These data account for the observed etch selectivity by CO/NH{sub 3} and CH{sub 3}OH plasmas.

  11. Plasma Etching of superconducting radio frequency cavity by Ar/Cl2 capacitively coupled Plasma

    Science.gov (United States)

    Upadhyay, Janardan; Popovic, Svetozar; Valente-Feliciano, Anne-Marie; Phillips, Larry; Vuskovic, Lepsha

    2016-09-01

    We are developing plasma processing technology of superconducting radio frequency (SRF) cavities. The formation of dc self-biases due to surface area asymmetry in this type of plasma and its variation on the pressure, rf power and gas composition was measured. Enhancing the surface area of the inner electrode to reduce the asymmetry was studied by changing the contour of the inner electrode. The optimized contour of the electrode based on these measurements was chosen for SRF cavity processing. To test the effect of the plasma etching on the cavity rf performance, a 1497 MHz single cell SRF cavity is used, which previously mechanically polished, buffer chemically etched afterwards and rf tested at cryogenic temperatures for a baseline test. Plasma processing was accomplished by moving axially the inner electrode and the gas flow inlet in a step-wise manner to establish segmented plasma processing. The cavity is rf tested afterwards at cryogenic temperatures. The rf test and surface condition results are presented.

  12. Plasma Etching for Failure Analysis of Integrated Circuit Packages

    NARCIS (Netherlands)

    Tang, J.; Schelen, J.B.J.; Beenakker, C.I.M.

    2011-01-01

    Plastic integrated circuit packages with copper wire bonds are decapsulated by a Microwave Induced Plasma system. Improvements on microwave coupling of the system are achieved by frequency tuning and antenna modification. Plasmas with a mixture of O2 and CF4 showed a high etching rate around 2

  13. Etching mechanism of niobium in coaxial Ar/Cl2 radio frequency plasma

    Energy Technology Data Exchange (ETDEWEB)

    Upadhyay, Janardan [Old Dominion Univ., Norfolk, VA (United States); Im, Do [Old Dominion Univ., Norfolk, VA (United States); Popovic, Svetozar [Old Dominion Univ., Norfolk, VA (United States); Valente-Feliciano, Anne -Marie [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Phillips, H. Larry [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Vuskovic, Leposova [Old Dominion Univ., Norfolk, VA (United States)

    2015-03-18

    The understanding of the Ar/Cl2 plasma etching mechanism is crucial for the desired modification of inner surface of the three dimensional niobium (Nb) superconductive radio frequency cavities. Uniform mass removal in cylindrical shaped structures is a challenging task because the etch rate varies along the direction of gas flow. The study is performed in the asymmetric coaxial radio-frequency (rf) discharge with two identical Nb rings acting as a part of the outer electrode. The dependence of etch rate uniformity on pressure, rf power, dc bias, Cl2 concentration, diameter of the inner electrode, temperature of the outer cylinder, and position of the samples in the structure is determined. Furthermore, to understand the plasma etching mechanisms, we have studied several factors that have important influence on the etch rate and uniformity, which include the plasma sheath potential, Nb surface temperature, and the gas flow rate.

  14. Enhanced photoluminescence from porous silicon by hydrogen-plasma etching

    International Nuclear Information System (INIS)

    Wang, Q.; Gu, C.Z.; Li, J.J.; Wang, Z.L.; Shi, C.Y.; Xu, P.; Zhu, K.; Liu, Y.L.

    2005-01-01

    Porous silicon (PS) was etched by hydrogen plasma. On the surface a large number of silicon nanocone arrays and nanocrystallites were formed. It is found that the photoluminescence of the H-etched porous silicon is highly enhanced. Correspondingly, three emission centers including red, green, and blue emissions are shown to contribute to the enhanced photoluminescence of the H-etched PS, which originate from the recombination of trapped electrons with free holes due to Si=O bonding at the surface of the silicon nanocrystallites, the quantum size confinement effect, and oxygen vacancy in the surface SiO 2 layer, respectively. In particular, the increase of SiO x (x<2) formed on the surface of the H-etched porous silicon plays a very important role in enhancing the photoluminescence properties

  15. Dry etching of ITO by magnetic pole enhanced inductively coupled plasma for display and biosensing devices

    Energy Technology Data Exchange (ETDEWEB)

    Meziani, T. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Vatican City State, Holy See,) (Italy)]. E-mail: tarik.meziani@jrc.it; Colpo, P. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Va) (Italy)]. E-mail: pascal.colpo@jrc.it; Lambertini, V. [Centro Ricerche Fiat, Strada Torino 50, 10043 Orbassano (TO) (Italy); Ceccone, G. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Va) (Italy); Rossi, F. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Va) (Italy)

    2006-03-15

    The dry etching of indium tin oxide (ITO) layers deposited on glass substrates was investigated in a high density inductively coupled plasma (ICP) source. This innovative low pressure plasma source uses a magnetic core in order to concentrate the electromagnetic energy on the plasma and thus provides for higher plasma density and better uniformity. Different gas mixtures were tested containing mainly hydrogen, argon and methane. In Ar/H{sub 2} mixtures and at constant bias voltage (-100 V), the etch rate shows a linear dependence with input power varying the same way as the ion density, which confirms the hypothesis that the etching process is mainly physical. In CH{sub 4}/H{sub 2} mixtures, the etch rate goes through a maximum for 10% CH{sub 4} indicating a participation of the radicals to the etching process. However, the etch rate remains quite low with this type of gas mixture (around 10 nm/min) because the etching mechanism appears to be competing with a deposition process. With CH{sub 4}/Ar mixtures, a similar feature appeared but the etch rate was much higher, reaching 130 nm/min at 10% of CH{sub 4} in Ar. The increase in etch rate with the addition of a small quantity of methane indicates that the physical etching process is enhanced by a chemical mechanism. The etching process was monitored by optical emission spectroscopy that appeared to be a valuable tool for endpoint detection.

  16. GPU based 3D feature profile simulation of high-aspect ratio contact hole etch process under fluorocarbon plasmas

    Science.gov (United States)

    Chun, Poo-Reum; Lee, Se-Ah; Yook, Yeong-Geun; Choi, Kwang-Sung; Cho, Deog-Geun; Yu, Dong-Hun; Chang, Won-Seok; Kwon, Deuk-Chul; Im, Yeon-Ho

    2013-09-01

    Although plasma etch profile simulation has been attracted much interest for developing reliable plasma etching, there still exist big gaps between current research status and predictable modeling due to the inherent complexity of plasma process. As an effort to address this issue, we present 3D feature profile simulation coupled with well-defined plasma-surface kinetic model for silicon dioxide etching process under fluorocarbon plasmas. To capture the realistic plasma surface reaction behaviors, a polymer layer based surface kinetic model was proposed to consider the simultaneous polymer deposition and oxide etching. Finally, the realistic plasma surface model was used for calculation of speed function for 3D topology simulation, which consists of multiple level set based moving algorithm, and ballistic transport module. In addition, the time consumable computations in the ballistic transport calculation were improved drastically by GPU based numerical computation, leading to the real time computation. Finally, we demonstrated that the surface kinetic model could be coupled successfully for 3D etch profile simulations in high-aspect ratio contact hole plasma etching.

  17. Characterization of plasma etching damage on p-type GaN using Schottky diodes

    International Nuclear Information System (INIS)

    Kato, M.; Mikamo, K.; Ichimura, M.; Kanechika, M.; Ishiguro, O.; Kachi, T.

    2008-01-01

    The plasma etching damage in p-type GaN has been characterized. From current-voltage and capacitance-voltage characteristics of Schottky diodes, it was revealed that inductively coupled plasma (ICP) etching causes an increase in series resistance of the Schottky diodes and compensation of acceptors in p-type GaN. We investigated deep levels near the valence band of p-type GaN using current deep level transient spectroscopy (DLTS), and no deep level originating from the ICP etching damage was observed. On the other hand, by capacitance DLTS measurements for n-type GaN, we observed an increase in concentration of a donor-type defect with an activation energy of 0.25 eV after the ICP etching. The origin of this defect would be due to nitrogen vacancies. We also observed this defect by photocapacitance measurements for ICP-etched p-type GaN. For both n- and p-type GaN, we found that the low bias power ICP etching is effective to reduce the concentration of this defect introduced by the high bias power ICP etching

  18. Evolution of titanium residue on the walls of a plasma-etching reactor and its effect on the polysilicon etching rate

    Energy Technology Data Exchange (ETDEWEB)

    Hirota, Kosa, E-mail: hirota-kousa@sme.hitachi-hitec.com; Itabashi, Naoshi; Tanaka, Junichi [Hitachi, Ltd., Central Research Laboratory, 1-280, Higashi-Koigakubo, Kokubunji, Tokyo 185-8601 (Japan)

    2014-11-01

    The variation in polysilicon plasma etching rates caused by Ti residue on the reactor walls was investigated. The amount of Ti residue was measured using attenuated total reflection Fourier transform infrared spectroscopy with the HgCdTe (MCT) detector installed on the side of the reactor. As the amount of Ti residue increased, the number of fluorine radicals and the polysilicon etching rate increased. However, a maximum limit in the etching rate was observed. A mechanism of rate variation was proposed, whereby F radical consumption on the quartz reactor wall is suppressed by the Ti residue. The authors also investigated a plasma-cleaning method for the removal of Ti residue without using a BCl{sub 3} gas, because the reaction products (e.g., boron oxide) on the reactor walls frequently cause contamination of the product wafers during etching. CH-assisted chlorine cleaning, which is a combination of CHF{sub 3} and Cl{sub 2} plasma treatment, was found to effectively remove Ti residue from the reactor walls. This result shows that CH radicals play an important role in deoxidizing and/or defluorinating Ti residue on the reactor walls.

  19. Room temperature inductively coupled plasma etching of InAs/InSb in BCl 3/Cl 2/Ar

    KAUST Repository

    Sun, Jian; Kosel, Jü rgen

    2012-01-01

    Inductively coupled plasma (ICP) etching of InAs and InSb at room temperature has been investigated using BCl 3/Cl 2/Ar plasma. Specifically, the etch rate and post-etching surface morphology were investigated as functions of the gas composition

  20. Etching of Niobium in an Argon-Chlorine Capacitively Coupled Plasma

    Science.gov (United States)

    Radovanov, Svetlana; Samolov, Ana; Upadhyay, Janardan; Peshl, Jeremy; Popovic, Svetozar; Vuskovic, Leposava; Applied Materials, Varian Semiconductor Team; Old Dominion University Team

    2016-09-01

    Ion assisted etching of the inner surfaces of Nb superconducting radio frequency (SRF) cavities requires control of incident ion energies and fluxes to achieve the desired etch rate and smooth surfaces. In this paper, we combine numerical simulation and experiment to investigate Ar /Cl2 capacitively coupled plasma (CCP) in cylindrical reactor geometry. Plasma simulations were done in the CRTRS 2D/3D code that self-consistently solves for CCP power deposition and electrostatic potential. The experimental results are used in combination with simulation predictions to understand the dependence of plasma parameters on the operating conditions. Using the model we were able to determine the ion current and flux at the Nb substrate. Our simulations indicate the relative importance of the current voltage phase shift and displacement current at different pressures and powers. For simulation and the experiment we have used a test structure with a pillbox cavity filled with niobium ring-type samples. The etch rate of these samples was measured. The probe measurements were combined with optical emission spectroscopy in pure Ar for validation of the model. The authors acknowledge Dr Shahid Rauf for developing the CRTRS code. Support DE-SC0014397.

  1. Characterization of silicon isotropic etch by inductively coupled plasma etcher for microneedle array fabrication

    International Nuclear Information System (INIS)

    Ji, J; Tay, F E H; Miao Jianmin; Sun Jianbo

    2006-01-01

    This work investigates the isotropic etching properties in inductively coupled plasma (ICP) etcher for microneedle arrays fabrication. The effects of process variables including powers, gas and pressure on needle structure generation are characterized by factorial design of experiment (DOE). The experimental responses of vertical etching depth, lateral etching length, ratio of vertical etching depth to lateral etching length and photoresist etching rate are reported. The relevance of the etching variables is also presented. The obtained etching behaviours for microneedle structure generation will be applied to develop recipes to fabricate microneedles in designed dimensions

  2. Characterization of silicon isotropic etch by inductively coupled plasma etcher for microneedle array fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Ji, J [Mechanical Engineering National University of Singapore, 119260, Singapore (Singapore); Tay, F E H [Mechanical Engineering National University of Singapore, 119260, Singapore (Singapore); Miao Jianmin [MicroMachines Center, School of Mechanical and Aerospace Engineering, Nanyang Technologica l University, 50 Nanyang Avenue, 639798 (Singapore); Sun Jianbo [MicroMachines Center, School of Mechanical and Aerospace Engineering, Nanyang Technologica l University, 50 Nanyang Avenue, 639798 (Singapore)

    2006-04-01

    This work investigates the isotropic etching properties in inductively coupled plasma (ICP) etcher for microneedle arrays fabrication. The effects of process variables including powers, gas and pressure on needle structure generation are characterized by factorial design of experiment (DOE). The experimental responses of vertical etching depth, lateral etching length, ratio of vertical etching depth to lateral etching length and photoresist etching rate are reported. The relevance of the etching variables is also presented. The obtained etching behaviours for microneedle structure generation will be applied to develop recipes to fabricate microneedles in designed dimensions.

  3. Etching of UO2 in NF3 RF Plasma Glow Discharge

    International Nuclear Information System (INIS)

    John M. Veilleux

    1999-01-01

    A series of room temperature, low pressure (10.8 to 40 Pa), low power (25 to 210 W) RF plasma glow discharge experiments with UO 2 were conducted to demonstrate that plasma treatment is a viable method for decontaminating UO 2 from stainless steel substrates. Experiments were conducted using NF 3 gas to decontaminate depleted uranium dioxide from stainless-steel substrates. Depleted UO 2 samples each containing 129.4 Bq were prepared from 100 microliter solutions of uranyl nitrate hexahydrate solution. The amorphous UO 2 in the samples had a relatively low density of 4.8 gm/cm 3 . Counting of the depleted UO 2 on the substrate following plasma immersion was performed using liquid scintillation counting with alpha/beta discrimination due to the presence of confounding beta emitting daughter products, 234 Th and 234 Pa. The alpha emission peak from each sample was integrated using a gaussian and first order polynomial fit to improve quantification. The uncertainties in the experimental measurement of the etched material were estimated at about ± 2%. Results demonstrated that UO 2 can be completely removed from stainless-steel substrates after several minutes processing at under 200 W. At 180 W and 32.7 Pa gas pressure, over 99% of all UO 2 in the samples was removed in just 17 minutes. The initial etch rate in the experiments ranged from 0.2 to 7.4 microm/min. Etching increased with the plasma absorbed power and feed gas pressure in the range of 10.8 to 40 Pa. A different pressure effect on UO 2 etching was also noted below 50 W in which etching increased up to a maximum pressure, approximately23 Pa, then decreased with further increases in pressure

  4. Formation of plasma induced surface damage in silica glass etching for optical waveguides

    International Nuclear Information System (INIS)

    Choi, D.Y.; Lee, J.H.; Kim, D.S.; Jung, S.T.

    2004-01-01

    Ge, B, P-doped silica glass films are widely used as optical waveguides because of their low losses and inherent compatibility with silica optical fibers. These films were etched by ICP (inductively coupled plasma) with chrome etch masks, which were patterned by reactive ion etching (RIE) using chlorine-based gases. In some cases, the etched surfaces of silica glass were very rough (root-mean square roughness greater than 100 nm) and we call this phenomenon plasma induced surface damage (PISD). Rough surface cannot be used as a platform for hybrid integration because of difficulty in alignment and bonding of active devices. PISD reduces the etch rate of glass and it is very difficult to remove residues on a rough surface. The objective of this study is to elucidate the mechanism of PISD formation. To achieve this goal, PISD formation during different etching conditions of chrome etch mask and silica glass was investigated. In most cases, PISD sources are formed on a glass surface after chrome etching, and metal compounds are identified in theses sources. Water rinse after chrome etching reduces the PISD, due to the water solubility of metal chlorides. PISD is decreased or even disappeared at high power and/or low pressure in glass etching, even if PISD sources were present on the glass surface before etching. In conclusion, PISD sources come from the chrome etching process, and polymer deposition on these sources during the silica etching cause the PISD sources to grow. In the area close to the PISD source there is a higher ion flux, which causes an increase in the etch rate, and results in the formation of a pit

  5. Dry etching of LaNiO3 thin films using inductively coupled plasma

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Dong-Pyo; Kim, Kyoung-Tae; Kim, Chang-Il; Lee, Cheol-In; Kim, Tae-Hyung

    2006-01-01

    The etching characteristics of LaNiO 3 (LNO) thin films and SiO 2 in Cl 2 /Ar plasma were investigated. LNO etch rates decreased with increasing Cl 2 fraction in Ar plasma and the working pressure. Langmuir probe measurement showed a noticeable influence of Cl 2 /Ar mixing ratio on electron temperature, electron density, and ion current density. The modeling of volume kinetics for charged particles and OES measurements for neutral atoms indicated monotonous changes of both densities and fluxes of active species such as chlorine atoms and positive ions. The LNO etch rate behavior may be explained by physical mechanisms

  6. Reduction of etching damage in lead-zirconate-titanate thin films with inductively coupled plasma

    International Nuclear Information System (INIS)

    Lim, Kyu-Tae; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2003-01-01

    In this work, we etched lead-zirconate-titanate (PZT) films with various additive gases (O 2 and Ar) in Cl 2 /CF 4 plasmas, while mixing ratio was fixed at 8/2. After the etching, the plasma induced damages are characterized in terms of hysteresis curves, leakage current, retention properties, and switching polarization. When the electrical properties of PZT etched in O 2 or Ar added to Cl 2 /CF 4 were compared, the value of remanent polarization in O 2 added to Cl 2 /CF 4 plasma is higher than that in Ar added plasma. The maximum etch rate of the PZT thin films was 145 nm/min for 30% Ar added Cl 2 /CF 4 gas having mixing ratio of 8/2 and 110 nm/min for 10% O 2 added to that same gas mixture. In order to recover the ferroelectric properties of the PZT thin films after etching, we annealed the etched PZT thin films at 550 deg. C in an O 2 atmosphere for 10 min. From the hysteresis curves, leakage current, retention property, and switching polarization, the reduction of the etching damage and the recovery via the annealing turned out to be more effective when O 2 was added to Cl 2 /CF 4 than Ar. X-ray diffraction showed that the structural damage was lower when O 2 was added to Cl 2 /CF 4 and the improvement in the ferroelectric properties of the annealed samples was consistent with the increased intensities of the (100) and the (200) PZT peaks

  7. Dry cleaning of fluorocarbon residues by low-power electron cyclotron resonance hydrogen plasma

    CERN Document Server

    Lim, S H; Yuh, H K; Yoon Eui Joon; Lee, S I

    1988-01-01

    A low-power ( 50 W) electron cyclotron resonance hydrogen plasma cleaning process was demonstrated for the removal of fluorocarbon residue layers formed by reactive ion etching of silicon dioxide. The absence of residue layers was confirmed by in-situ reflection high energy electron diffraction and cross-sectional high resolution transmission electron microscopy. The ECR hydrogen plasma cleaning was applied to contact cleaning of a contact string structure, resulting in comparable contact resistance arising during by a conventional contact cleaning procedure. Ion-assisted chemical reaction involving reactive atomic hydrogen species generated in the plasma is attributed for the removal of fluorocarbon residue layers.

  8. Etching mechanism of MgO thin films in inductively coupled Cl2/Ar plasma

    International Nuclear Information System (INIS)

    Efremov, A.M.; Koo, Seong-Mo; Kim, Dong-Pyo; Kim, Kyoung-Tae; Kim, Chang-Il

    2004-01-01

    The etching mechanism of MgO thin films in Cl 2 /Ar plasma was investigated. It was found that the increasing Ar in the mixing ratio of Cl 2 /Ar plasma causes nonmonotonic MgO etch rate, which reaches a maximum value at 70%Ar+30%Cl 2 . Langmuir probe measurement showed the noticeable influence of Cl 2 /Ar mixing ratio on electron temperature and electron density. The zero-dimensional plasma model indicated monotonic changes of both densities and fluxes of active species. At the same time, analyses of surface kinetics showed the possibility of nonmonotonic etch rate behavior due to the concurrence of physical and chemical pathways in ion-assisted chemical reaction

  9. Characterization of the CH4/H2/Ar high density plasma etching process for ZnSe

    Science.gov (United States)

    Eddy, C. R.; Leonhardt, D.; Shamamian, V. A.; Butler, J. E.

    2001-05-01

    High density plasma etching of zinc selenide using CH4/H2/Ar plasma chemistries is investigated. Mass spectrometry, using through-the-platen sampling, is used to identify and monitor etch products evolving from the surface during etching. The identifiable primary etch products are Zn, Se, ZnH2, SeH2, Zn(CH3)2, and Se(CH3)2. Their concentrations are monitored as ion and neutral fluxes (both in intensity and composition), ion energy, and substrate temperature are varied. General insights about the surface chemistry mechanisms of the etch process are given from these observations. Regions of process parameter space best suited for moderate rate, anisotropic, and low damage etching of ZnSe are proposed.

  10. A Nanoscale Plasma Etching Process for Pole Tip Recession of Perpendicular Recording Magnetic Head

    OpenAIRE

    LIU, Shoubin; HE, Dayao

    2017-01-01

    The pole tip of perpendicular recording head is constructed in a stacked structure with materials of NiCoFe, NiFe, Al2O3 and AlTiC. The surfaces of different materials are set at different heights below the air-bearing surface of slider. This paper presented a plasma dry etching process for Pole Tip Recession (PTR) based on an ion beam etching system. Ar and O2 mixed plasma at small incident angles have a high removal rate to the nonmagnetic material. It was utilised to etch the reference sur...

  11. The effect of SF6 addition in a Cl2/Ar inductively coupled plasma for deep titanium etching

    Science.gov (United States)

    Laudrel, E.; Tillocher, T.; Meric, Y.; Lefaucheux, P.; Boutaud, B.; Dussart, R.

    2018-05-01

    Titanium is a material of interest for the biomedical field and more particularly for body implantable devices. Titanium deep etching by plasma was carried out in an inductively coupled plasma with a chlorine-based chemistry for the fabrication of titanium-based microdevices. Bulk titanium etch rate was first studied in Cl2/Ar plasma mixture versus the source power and the self-bias voltage. The plasma was characterized by Langmuir probe and by optical emission spectroscopy. The addition of SF6 in the plasma mixture was investigated. Titanium etch rate was optimized and reached a value of 2.4 µm · min-1. The nickel hard mask selectivity was also enhanced. The etched titanium surface roughness was reduced significantly.

  12. Investigation of Plasma Etching for Superconducting RF Cavities Surface Preparation. Final Report

    International Nuclear Information System (INIS)

    Vuskovic, Leposava

    2009-01-01

    Our results show that plasma-treated samples are comparable or superior to a BCP sample, both in the size of features and sharpness of the boundaries between individual features at the surface. Plasma treatment of bulk Nb cavities is a promising technique for microwave cavities preparation used in particle acceleration application. Etching rates are sufficiently high to enable efficient removal of mechanically damaged surface layer with high reproducibility. No impurities are deposited on the bulk Nb surface during plasma treatment. Surface topology characteristic are promising for complex cavity geometry, since discharge conforms the profile of the reaction chamber. In view of these experimental results, we propose plasma treatment for producing microwave cavities with high Q factor instead of using bulk Nb treated with wet etching process.

  13. Electron cyclotron resonance ion stream etching of tantalum for x-ray mask absorber

    International Nuclear Information System (INIS)

    Oda, Masatoshi; Ozawa, Akira; Yoshihara, Hideo

    1993-01-01

    Electron cyclotron resonance ion stream etching of Ta film was investigated for preparing x-ray mask absorber patterns. Ta is etched by the system at a high rate and with high selectivity. Using Cl 2 as etching gas, the etch rate decreases rapidly with decreasing pattern width below 0.5 μm and large undercutting is observed. The problems are reduced by adding Ar or O 2 gas to the Cl 2 . Etching with a mixture of Cl 2 and O 2 produces highly accurate Ta absorber patterns for x-ray masks. The pattern width dependence of the etch rate and the undercutting were simulated with a model that takes account of the angular distribution of active species incident on the sample. The experimental results agree well with those calculated assuming that the incidence angles are distributed between -36 degrees and 36 degrees. The addition of O 2 or Ar enhances ion assisted etching. 16 refs., 16 figs

  14. Model polymer etching and surface modification by a time modulated RF plasma jet: role of atomic oxygen and water vapor

    Science.gov (United States)

    Luan, P.; Knoll, A. J.; Wang, H.; Kondeti, V. S. S. K.; Bruggeman, P. J.; Oehrlein, G. S.

    2017-01-01

    The surface interaction of a well-characterized time modulated radio frequency (RF) plasma jet with polystyrene, poly(methyl methacrylate) and poly(vinyl alcohol) as model polymers is investigated. The RF plasma jet shows fast polymer etching but mild chemical modification with a characteristic carbonate ester and NO formation on the etched surface. By varying the plasma treatment conditions including feed gas composition, environment gaseous composition, and treatment distance, we find that short lived species, especially atomic O for Ar/1% O2 and 1% air plasma and OH for Ar/1% H2O plasma, play an essential role for polymer etching. For O2 containing plasma, we find that atomic O initiates polymer etching and the etching depth mirrors the measured decay of O atoms in the gas phase as the nozzle-surface distance increases. The etching reaction probability of an O atom ranging from 10-4 to 10-3 is consistent with low pressure plasma research. We also find that adding O2 and H2O simultaneously into Ar feed gas quenches polymer etching compared to adding them separately which suggests the reduction of O and OH density in Ar/O2/H2O plasma.

  15. Evaluation of Pentafluoroethane and 1,1-Difluoroethane for a Dielectric Etch Application in an Inductively Coupled Plasma Etch Tool

    Science.gov (United States)

    Karecki, Simon; Chatterjee, Ritwik; Pruette, Laura; Reif, Rafael; Sparks, Terry; Beu, Laurie; Vartanian, Victor

    2000-07-01

    In this work, a combination of two hydrofluorocarbon compounds, pentafluoroethane (FC-125, C2HF5) and 1,1-difluoroethane (FC-152a, CF2H-CH3), was evaluated as a potential replacement for perfluorocompounds in dielectric etch applications. A high aspect ratio oxide via etch was used as the test vehicle for this study, which was conducted in a commercial inductively coupled high density plasma etch tool. Both process and emissions data were collected and compared to those provided by a process utilizing a standard perfluorinated etch chemistry (C2F6). Global warming (CF4, C2F6, CHF3) and hygroscopic gas (HF, SiF4) emissions were characterized using Fourier transform infrared (FTIR) spectroscopy. FC-125/FC-152a was found to produce significant reductions in global warming emissions, on the order of 68 to 76% relative to the reference process. Although etch stopping, caused by a high degree of polymer deposition inside the etched features, was observed, process data otherwise appeared promising for an initial study, with good resist selectivity and etch rates being achieved.

  16. Etching of polymers, proteins and bacterial spores by atmospheric pressure DBD plasma in air

    Science.gov (United States)

    Kuzminova, A.; Kretková, T.; Kylián, O.; Hanuš, J.; Khalakhan, I.; Prukner, V.; Doležalová, E.; Šimek, M.; Biederman, H.

    2017-04-01

    Many studies proved that non-equilibrium discharges generated at atmospheric pressure are highly effective for the bio-decontamination of surfaces of various materials. One of the key processes that leads to a desired result is plasma etching and thus the evaluation of etching rates of organic materials is of high importance. However, the comparison of reported results is rather difficult if impossible as different authors use diverse sources of atmospheric plasma that are operated at significantly different operational parameters. Therefore, we report here on the systematic study of the etching of nine different common polymers that mimic the different structures of more complicated biological systems, bovine serum albumin (BSA) selected as the model protein and spores of Bacillus subtilis taken as a representative of highly resistant micro-organisms. The treatment of these materials was performed by means of atmospheric pressure dielectric barrier discharge (DBD) sustained in open air at constant conditions. All tested polymers, BSA and spores, were readily etched by DBD plasma. However, the measured etching rates were found to be dependent on the chemical structure of treated materials, namely on the presence of oxygen in the structure of polymers.

  17. Comprehensive Study of SF_6/O_2 Plasma Etching for Mc-Silicon Solar Cells

    International Nuclear Information System (INIS)

    Li Tao; Zhou Chun-Lan; Wang Wen-Jing

    2016-01-01

    The mask-free SF_6/O_2 plasma etching technique is used to produce surface texturization of mc-silicon solar cells for efficient light trapping in this work. The SEM images and mc-silicon etching rate show the influence of plasma power, SF_6/O_2 flow ratios and etching time on textured surface. With the acidic-texturing samples as a reference, the reflection and IQE spectra are obtained under different experimental conditions. The IQE spectrum measurement shows an evident increase in the visible and infrared responses. By using the optimized plasma power, SF_6/O_2 flow ratios and etching time, the optimal efficiency of 15.7% on 50 × 50 mm"2 reactive ion etching textured mc-silicon silicon solar cells is achieved, mostly due to the improvement in the short-circuit current density. The corresponding open-circuit voltage, short-circuit current density and fill factor are 611 mV, 33.6 mA/cm"2, 76.5%, respectively. It is believed that such a low-cost and high-performance texturization process is promising for large-scale industrial silicon solar cell manufacturing. (paper)

  18. Fabrication of SiC nanopillars by inductively coupled SF6/O2 plasma etching

    International Nuclear Information System (INIS)

    Choi, J H; Bano, E; Latu-Romain, L; Dhalluin, F; Chevolleau, T; Baron, T

    2012-01-01

    In this paper, we demonstrate a top-down fabrication technique for nanometre scale silicon carbide (SiC) pillars using inductively coupled plasma etching. A set of experiments in SF 6 -based plasma was carried out in order to realize high aspect ratio SiC nanopillars. The etched SiC nanopillars using a small circular mask pattern (115 nm diameter) show high aspect ratio (7.4) with a height of 2.2 µm at an optimum bias voltage (300 V) and pressure (6 mTorr). Under the optimal etching conditions using a large circular mask pattern with 370 nm diameter, the obtained SiC nanopillars exhibit high anisotropy features (6.4) with a large etch depth (>7 µm). The etch characteristic of the SiC nanopillars under these conditions shows a high etch rate (550 nm min -1 ) and a high selectivity (over 60 for Ni). We also studied the etch profile of the SiC nanopillars and mask evolution over the etching time. As the mask pattern size shrinks in nanoscale, vertical and lateral mask erosion plays a crucial role in the etch profile of the SiC nanopillars. Long etching process makes the pillars appear with a hexagonal shape, coming from the crystallographic structure of α-SiC. It is found that the feature of pillars depends not only on the etching process parameters, but also on the crystallographic structure of the SiC phase. (paper)

  19. Plasma surface modification of polypropylene track-etched membrane to improve its performance properties

    Science.gov (United States)

    Kravets, L. I.; Elinson, V. M.; Ibragimov, R. G.; Mitu, B.; Dinescu, G.

    2018-02-01

    The surface and electrochemical properties of polypropylene track-etched membrane treated by plasma of nitrogen, air and oxygen are studied. The effect of the plasma-forming gas composition on the surface morphology is considered. It has been found that the micro-relief of the membrane surface formed under the gas-discharge etching, changes. Moreover, the effect of the non-polymerizing gas plasma leads to formation of oxygen-containing functional groups, mostly carbonyl and carboxyl. It is shown that due to the formation of polar groups on the surface and its higher roughness, the wettability of the plasma-modified membranes improves. In addition, the presence of polar groups on the membrane surface layer modifies its electrochemical properties so that conductivity of plasma-treated membranes increase.

  20. Feedback control of chlorine inductively coupled plasma etch processing

    International Nuclear Information System (INIS)

    Lin Chaung; Leou, K.-C.; Shiao, K.-M.

    2005-01-01

    Feedback control has been applied to poly-Si etch processing using a chlorine inductively coupled plasma. Since the positive ion flux and ion energy incident upon the wafer surface are the key factors that influence the etch rate, the ion current and the root mean square (rms) rf voltage on the wafer stage, which are measured using an impedance meter connected to the wafer stage, are adopted as the controlled variables to enhance etch rate. The actuators are two 13.56 MHz rf power generators, which adjust ion density and ion energy, respectively. The results of closed-loop control show that the advantages of feedback control can be achieved. For example, with feedback control, etch rate variation under the transient chamber wall condition is reduced roughly by a factor of 2 as compared to the open-loop case. In addition, the capability of the disturbance rejection was also investigated. For a gas pressure variation of 20%, the largest etch rate variation is about 2.4% with closed-loop control as compared with as large as about 6% variation using open-loop control. Also the effect of ion current and rms rf voltage on etch rate was studied using 2 2 factorial design whose results were used to derive a model equation. The obtained formula was used to adjust the set point of ion current and rf voltage so that the desired etch rate was obtained

  1. The effect of CF4 addition on Ru etching with inductively coupled plasma

    International Nuclear Information System (INIS)

    Lim, Kyu Tae; Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il

    2003-01-01

    Ru thin films were etched in CF 4 /O 2 plasma using an ICP (inductively coupled plasma etching) system. The etch rate of Ru thin films was examined as a function of gas mixing ratio. The maximum etch rate of Ru thin films was 168 nm/min at a CF 4 /O 2 gas mixing ratio of 10 %. The selectivity of Ru over SiO 2 was 1.3. From the OES (optical emission spectroscopy), the optical emission intensity of the O radical had a maximum value at 10 % of CF 4 gas concentration and decrease with further addition of CF 4 gas. From XPS (x-ray photoelectron spectroscopy) analysis, Ru-F bonds by the chemical reaction of Ru and F appeared in the surface of the etched Ru thin film in CF 4 /O 2 chemistry. RuF 3-4 compounds were suggested as a surface passivation layer that reduces the chemical reactions between Ru and O radicals. In a FE-SEM (field emission scanning electron microscope) micrograph, we had an almost perpendicular taper angle of 89 .deg.

  2. Model polymer etching and surface modification by a time modulated RF plasma jet: role of atomic oxygen and water vapor

    International Nuclear Information System (INIS)

    Luan, P; Knoll, A J; Wang, H; Oehrlein, G S; Kondeti, V S S K; Bruggeman, P J

    2017-01-01

    The surface interaction of a well-characterized time modulated radio frequency (RF) plasma jet with polystyrene, poly(methyl methacrylate) and poly(vinyl alcohol) as model polymers is investigated. The RF plasma jet shows fast polymer etching but mild chemical modification with a characteristic carbonate ester and NO formation on the etched surface. By varying the plasma treatment conditions including feed gas composition, environment gaseous composition, and treatment distance, we find that short lived species, especially atomic O for Ar/1% O 2 and 1% air plasma and OH for Ar/1% H 2 O plasma, play an essential role for polymer etching. For O 2 containing plasma, we find that atomic O initiates polymer etching and the etching depth mirrors the measured decay of O atoms in the gas phase as the nozzle-surface distance increases. The etching reaction probability of an O atom ranging from 10 −4 to 10 −3 is consistent with low pressure plasma research. We also find that adding O 2 and H 2 O simultaneously into Ar feed gas quenches polymer etching compared to adding them separately which suggests the reduction of O and OH density in Ar/O 2 /H 2 O plasma. (letter)

  3. Research on plasma etching of nuclear fuel material

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Yong Soo; Min, Jin Young [Hanyang University, Seoul (Korea)

    1998-04-01

    Based on the experimental result that the highest etching rate is obtained at 20% O{sub 2} mole fraction regardless of r.f. power and temperature and the RGA analysis result that major reaction product is UF{sub 6}, overall reaction of UO{sub 2} reaction in CF{sub 4}/O{sub 2} plasma is established: 8UO{sub 2} + 12CF{sub 4} + 3O{sub 2} {yields} 8UF{sub 6} + 12CO{sub 2-X} XPS confirms that at lower O{sub 2} mole fraction than 20%, the reaction is retarded by carbon residual on the surface, while XRD demonstrates that at higher O{sub 2} mole fraction than 20% U atom forms hyper-stoichiometric UO{sub 2} such as U{sub 3}O{sub 7}, U{sub 4}O{sub 9}, U{sub 3}O{sub 8}, and UO{sub 3}, rather than interacts to form volatile uranium fluoride. The reaction of UO{sub 2} with CF{sub 4}/O{sub 2} plasma follows a linear kinetics law with time, a surface-reaction controlling step, and the activation energy, 2.98 kcal/mol,is derived at 150 {approx} 450 deg C based on the kinetics. The maximum etching rate is 1100 monolayers/min. at 370 deg C under r.f. power of 150W, which is equivalent to 0.4 {mu}m/min. This etching rate is as fast as that of Si wafer in the semi-conductor processing, therefore, it is conclusively expected that CF{sub 4}/O{sub 2} mixed gas plasma process may be highly applicable to remove TRU coming form DUPIC fuel manufacturing process and enough to reduce residual TRU less than 0.01%. (author). 26 refs., 50 figs., 4 tabs.

  4. Silicon dioxide etching process for fabrication of micro-optics employing pulse-modulated electron-beam-excited plasma

    International Nuclear Information System (INIS)

    Takeda, Keigo; Ohta, Takayuki; Ito, Masafumi; Hori, Masaru

    2006-01-01

    Silicon dioxide etching process employing a pulse-modulated electron-beam-excited plasma (EBEP) has been developed for a fabrication process of optical micro-electro-mechanical systems (MEMSs). Nonplanar dielectric materials were etched by using self-bias induced by the electron beam generating the plasma. In order to investigate the effect of pulse modulation on electron beam, plasma diagnostics were carried out in the EBEP employing C 4 F 8 gas diluted with Ar gas by using a Langmuir single probe and time resolved optical emission spectroscopy. It was found that the pulse-modulated EBEP has an excellent potential to reduce the plasma-induced thermal damage on a photoresist film on a substrate to get the uniform etching and the anisotropic SiO 2 etching in comparison with the conventional EBEP. The pulse-modulated EBEP enabled us to get the high etch rate of SiO 2 of 375 nm/min without any additional bias power supply. Furthermore, the microfabrication on the core area of optical fiber was realized. These results indicate that the pulse-modulated EBEP will be a powerful tool for the application to optical MEMS process

  5. The interplay between surface charging and microscale roughness during plasma etching of polymeric substrates

    Science.gov (United States)

    Memos, George; Lidorikis, Elefterios; Kokkoris, George

    2018-02-01

    The surface roughness developed during plasma etching of polymeric substrates is critical for a variety of applications related to the wetting behavior and the interaction of surfaces with cells. Toward the understanding and, ultimately, the manipulation of plasma induced surface roughness, the interplay between surface charging and microscale roughness of polymeric substrates is investigated by a modeling framework consisting of a surface charging module, a surface etching model, and a profile evolution module. The evolution of initially rough profiles during plasma etching is calculated by taking into account as well as by neglecting charging. It is revealed, on the one hand, that the surface charging contributes to the suppression of root mean square roughness and, on the other hand, that the decrease of the surface roughness induces a decrease of the charging potential. The effect of charging on roughness is intense when the etching yield depends solely on the ion energy, and it is mitigated when the etching yield additionally depends on the angle of ion incidence. The charging time, i.e., the time required for reaching a steady state charging potential, is found to depend on the thickness of the polymeric substrate, and it is calculated in the order of milliseconds.

  6. Etch characteristics of (Pb,Sr)TiO3 thin films using CF4/Ar inductively coupled plasma

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2003-01-01

    The investigations of the (Pb,Sr)TiO 3 (PST) etching characteristics in CF 4 /Ar plasma were carried out using the inductively coupled plasma system. Experiments showed that an increase of the Ar mixing ratio under constant pressure and input power conditions leads to increasing etch rate of PST, which reaches a maximum of 740 A/min when the Ar is 80% of the gas mixture. To understand the etching mechanism, the surface state of the etched PST samples was investigated using x-ray photoelectron spectroscopy. It was found that Pb and Ti atoms were removed mainly by the ion-assisted etching mechanism. At the same time, Sr forms extremely low volatile fluorides and therefore can be removed only by physical (sputter) etching

  7. Oxygen and nitrogen plasma etching of three-dimensional hydroxyapatite/chitosan scaffolds fabricated by additive manufacturing

    Science.gov (United States)

    Myung, Sung-Woon; Kim, Byung-Hoon

    2016-01-01

    Three-dimensional (3D) chitosan and hydroxyapatite (HAp)/chitosan (CH) scaffolds were fabricated by additive manufacturing, then their surfaces were etched with oxygen (O2) and nitrogen (N2) plasma. O2 and N2 plasma etching was performed to increase surface properties such as hydrophilicity, roughness, and surface chemistry on the scaffolds. After etching, hydroxyapatite was exposed on the surface of 3D HAp/CH scaffolds. The surface morphology and chemical properties were characterized by contact angle measurement, scanning electron microscopy, X-ray diffraction, and attenuated total reflection Fourier infrared spectroscopy. The cell viability of 3D chitosan scaffolds was examined by 3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide assay. The differentiation of preosteoblast cells was evaluated by alkaline phosphatase assay. The cell viability was improved by O2 and N2 plasma etching of 3D chitosan scaffolds. The present fabrication process for 3D scaffolds might be applied to a potential tool for preparing biocompatible scaffolds.

  8. Consequences of atomic layer etching on wafer scale uniformity in inductively coupled plasmas

    Science.gov (United States)

    Huard, Chad M.; Lanham, Steven J.; Kushner, Mark J.

    2018-04-01

    Atomic layer etching (ALE) typically divides the etching process into two self-limited reactions. One reaction passivates a single layer of material while the second preferentially removes the passivated layer. As such, under ideal conditions the wafer scale uniformity of ALE should be independent of the uniformity of the reactant fluxes onto the wafers, provided all surface reactions are saturated. The passivation and etch steps should individually asymptotically saturate after a characteristic fluence of reactants has been delivered to each site. In this paper, results from a computational investigation are discussed regarding the uniformity of ALE of Si in Cl2 containing inductively coupled plasmas when the reactant fluxes are both non-uniform and non-ideal. In the parameter space investigated for inductively coupled plasmas, the local etch rate for continuous processing was proportional to the ion flux. When operated with saturated conditions (that is, both ALE steps are allowed to self-terminate), the ALE process is less sensitive to non-uniformities in the incoming ion flux than continuous etching. Operating ALE in a sub-saturation regime resulted in less uniform etching. It was also found that ALE processing with saturated steps requires a larger total ion fluence than continuous etching to achieve the same etch depth. This condition may result in increased resist erosion and/or damage to stopping layers using ALE. While these results demonstrate that ALE provides increased etch depth uniformity, they do not show an improved critical dimension uniformity in all cases. These possible limitations to ALE processing, as well as increased processing time, will be part of the process optimization that includes the benefits of atomic resolution and improved uniformity.

  9. CoSix contact resistance after etching and ashing plasma exposure

    International Nuclear Information System (INIS)

    Katahira, Ken; Fukasawa, Masanaga; Kobayashi, Shoji; Takizawa, Toshifumi; Isobe, Michio; Hamaguchi, Satoshi; Nagahata, Kazunori; Tatsumi, Tetsuya

    2009-01-01

    The authors investigated the contact resistance fluctuation caused by CoSi x damage in plasma etching and ashing processes. They found that CoSi x layers damaged by plasma process exposure are readily oxidized when exposed to air resulting in increased resistance. They also found that the contact resistance increases more when CH 3 F is used instead of CF 4 during etching process. The lower the mass number of dominant ions becomes, the deeper the ions penetrate. Molecular dynamics simulation revealed that dissociated species from lighter ions penetrate deeper and that this stimulates deeper oxidation. They also found that contact resistance further increased by using postetch ashing plasma even in an H 2 /N 2 ashing process in which O 2 was not used. Here, too, the reason for this is that the ion penetration causes deep oxidation. They observed that the contact resistance has a linear relationship with the oxide concentration in CoSi x . This leads to the conclusion that it is essential to precisely control the ion energy as well as to properly select the ion species in the plasma process in the fabrication of next-generation semiconductor devices.

  10. High-density plasma etching of III-nitrides: Process development, device applications and damage remediation

    Science.gov (United States)

    Singh, Rajwinder

    Plasma-assisted etching is a key technology for III-nitride device fabrication. The inevitable etch damage resulting from energetic pattern transfer is a challenge that needs to be addressed in order to optimize device performance and reliability. This dissertation focuses on the development of a high-density inductively-coupled plasma (ICP) etch process for III-nitrides, the demonstration of its applicability to practical device fabrication using a custom built ICP reactor, and development of techniques for remediation of etch damage. A chlorine-based standard dry etch process has been developed and utilized in fabrication of a number of electronic and optoelectronic III-nitride devices. Annealing studies carried out at 700°C have yielded the important insight that the annealing time necessary for making good-quality metal contacts to etch processed n-GaN is very short (water, prior to metallization, removes some of the etch damage and is helpful in recovering contact quality. In-situ treatment consisting of a slow ramp-down of rf bias at the end of the etch is found to achieve the same effect as the ex-situ treatment. This insitu technique is significantly advantageous in a large-scale production environment because it eliminates a process step, particularly one involving treatment in hydrochloric acid. ICP equipment customization for scaling up the process to full 2-inch wafer size is described. Results on etching of state of the art 256 x 256 AlGaN focal plane arrays of ultraviolet photodetectors are reported, with excellent etch uniformity over the wafer area.

  11. O2 Plasma Etching and Antistatic Gun Surface Modifications for CNT Yarn Microelectrode Improve Sensitivity and Antifouling Properties.

    Science.gov (United States)

    Yang, Cheng; Wang, Ying; Jacobs, Christopher B; Ivanov, Ilia N; Venton, B Jill

    2017-05-16

    Carbon nanotube (CNT) based microelectrodes exhibit rapid and selective detection of neurotransmitters. While different fabrication strategies and geometries of CNT microelectrodes have been characterized, relatively little research has investigated ways to selectively enhance their electrochemical properties. In this work, we introduce two simple, reproducible, low-cost, and efficient surface modification methods for carbon nanotube yarn microelectrodes (CNTYMEs): O 2 plasma etching and antistatic gun treatment. O 2 plasma etching was performed by a microwave plasma system with oxygen gas flow and the optimized time for treatment was 1 min. The antistatic gun treatment flows ions by the electrode surface; two triggers of the antistatic gun was the optimized number on the CNTYME surface. Current for dopamine at CNTYMEs increased 3-fold after O 2 plasma etching and 4-fold after antistatic gun treatment. When the two treatments were combined, the current increased 12-fold, showing the two effects are due to independent mechanisms that tune the surface properties. O 2 plasma etching increased the sensitivity due to increased surface oxygen content but did not affect surface roughness while the antistatic gun treatment increased surface roughness but not oxygen content. The effect of tissue fouling on CNT yarns was studied for the first time, and the relatively hydrophilic surface after O 2 plasma etching provided better resistance to fouling than unmodified or antistatic gun treated CNTYMEs. Overall, O 2 plasma etching and antistatic gun treatment improve the sensitivity of CNTYMEs by different mechanisms, providing the possibility to tune the CNTYME surface and enhance sensitivity.

  12. Redeposition of etch products on sidewalls during SiO2 etching in a fluorocarbon plasma. I. Effect of particle emission from the bottom surface in a CF4 plasma

    International Nuclear Information System (INIS)

    Min, Jae-Ho; Hwang, Sung-Wook; Lee, Gyeo-Re; Moon, Sang Heup

    2002-01-01

    The effect of etch-product redeposition on sidewall properties during the etching of step-shaped SiO 2 patterns in a CF 4 plasma was examined using a Faraday cage located in a transformer coupled plasma etcher. Sidewall properties were observed for two cases: with and without particles emitted from the bottom surface in normal contact with the sidewall. Particles sputtered from the bottom surface were redeposited on the sidewall, which contributes to the formation of a passivation layer on the surface of the latter. The passivation layer consisted of silicon oxide, Si x O y , and fluorocarbon, C x F y , the latter comprising the major species. Ar plasma experiments confirmed that C x F y or a fluorocarbon polymer must be present on the sidewall in order for the Si x O y species to be deposited on the surface. The redeposited particles, which were largely F-deficient fluorocarbon species, as evidenced by x-ray photoelectron spectroscopy analyses, functioned as precursors for fluorocarbon polymerization, resulting in a rough sidewall surface. The chemical etch rates of SiO 2 were retarded by the redeposition of particles, which eventually formed a thick layer, eventually covering the bulk SiO 2 . Auger electron spectroscopy analyses of the sidewall surface affected by the emission from the bottom suggest that the surface consists of three distinct layers: a surface-carbon layer, a redeposition-etch combined layer, and bulk SiO 2

  13. Combining retraction edge lithography and plasma etching for arbitrary contour nanoridge fabrication

    Science.gov (United States)

    Zhao, Yiping; Jansen, Henri; de Boer, Meint; Berenschot, Erwin; Bouwes, Dominique; Gironès, Miriam; Huskens, Jurriaan; Tas, Niels

    2010-09-01

    Edge lithography in combination with fluorine-based plasma etching is employed to avoid the dependence on crystal orientation in single crystal silicon to create monolithic nanoridges with arbitrary contours. This is demonstrated by using a mask with circular structures and Si etching at cryogenic temperature with SF6+O2 plasma mixtures. Initially, the explored etch recipe was used with Cr as the masking material. Although nanoridges with perfect vertical sidewalls have been achieved, Cr causes severe sidewall roughness due to line edge roughness. Therefore, an SU-8 polymer is used instead. Although the SU-8 pattern definition needs further improvement, we demonstrate the possibility of fabricating Si nanoridges of arbitrary contours providing a width below 50 nm and a height between 25 and 500 nm with smooth surface finish. Artifacts in the ridge profile are observed and are mainly caused by the bird's beak phenomenon which is characteristic for the used LOCOS process.

  14. Room temperature inductively coupled plasma etching of InAs/InSb in BCl 3/Cl 2/Ar

    KAUST Repository

    Sun, Jian

    2012-10-01

    Inductively coupled plasma (ICP) etching of InAs and InSb at room temperature has been investigated using BCl 3/Cl 2/Ar plasma. Specifically, the etch rate and post-etching surface morphology were investigated as functions of the gas composition, ICP power, process pressure, and RF chuck power. An optimized process has been developed, yielding anisotropic etching and very smooth surfaces with roughnesses of 0.25 nm for InAs, and 0.57 nm for InSb, which is comparable with the surface of epi-ready polished wafers. The process provides moderate etching rates of 820 /min for InAs and 2800 /min for InSb, and the micro-masking effect is largely avoided. © 2012 Elsevier B.V. All rights reserved.

  15. In vacuo substrate pretreatments for enhancing nanodiamond formation in electron cyclotron resonance plasma

    International Nuclear Information System (INIS)

    Teii, Kungen; Kouzuma, Yutaka; Uchino, Kiichiro

    2006-01-01

    Substrate pretreatment conditions at low pressures have been examined for enhancing nanocrystalline diamond formation on silicon in electron cyclotron resonance (ECR) plasma. Three kinds of pretreatments (I) exposure to an ECR H 2 plasma with application of a substrate bias from -100 to +30 V (II) hot-filament heating in H 2 gas, and (III) hot-filament heating in vacuum, were used alone or followed by carburization prior to a two-step process of ion-enhanced nucleation in an ECR plasma and subsequent growth in a hot-filament system. The number density of diamond particles after the final growth step was greatly increased up to the order of 10 7 -10 8 cm -2 when applying pretreatment (I) at the bias of 0 V corresponding to the ion-bombardment energy of around 10 eV. In this treatment, a clean and smooth surface with minimal damage was made by the dominance of anisotropic etching by hydrogen ions over isotropic etching by hydrogen atoms. The number density of diamond particles was still more increased when applying pretreatment (II), but the treated surface was unfavorably contaminated and roughened

  16. Guidelines for etching silicon MEMS structures using fluorine high-density plasmas at cryogenic temperatures

    NARCIS (Netherlands)

    de Boer, Meint J.; Gardeniers, Johannes G.E.; Jansen, Henricus V.; Gilde, M.J.; Roelofs, Gerard; Sasserath, Jay N.; Elwenspoek, Michael Curt

    This paper presents guidelines for the deep reactive ion etching (DRIE) of silicon MEMS structures, employing SF6/O2-based high-density plasmas at cryogenic temperatures. Procedures of how to tune the equipment for optimal results with respect to etch rate and profile control are described. Profile

  17. Acid etching and plasma sterilization fail to improve osseointegration of grit blasted titanium implants

    DEFF Research Database (Denmark)

    Mortensen, Mikkel Saksø; Jakobsen, Stig Storgaard; Saksø, Henrik

    2012-01-01

    Interaction between implant surface and surrounding bone influences implant fixation. We attempted to improve the bone-implant interaction by 1) adding surface micro scale topography by acid etching, and 2) removing surface-adherent pro-inflammatory agents by plasma cleaning. Implant fixation...... was evaluated by implant osseointegration and biomechanical fixation.The study consisted of two paired animal sub-studies where 10 skeletally mature Labrador dogs were used. Grit blasted titanium alloy implants were inserted press fit in each proximal tibia. In the first study grit blasted implants were...... compared with acid etched grit blasted implants. In the second study grit blasted implants were compared with acid etched grit blasted implants that were further treated with plasma sterilization. Implant performance was evaluated by histomorphometrical investigation (tissue-to-implant contact, peri-implant...

  18. Plasma treatment of porous GaAs surface formed by electrochemical etching method: Characterization and properties

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.

    2008-12-01

    Porous GaAs samples were formed by electrochemical anodic etching of Zn doped p-type GaAs (100) wafers at different etching parameters (time, mode of applied voltage or current and electrolyte). The effect of etching parameters and plasma surface treatment on the optical properties of the prepared sample has been investigated by using room temperature photoluminescence (PL), Raman spectroscopy and reflectance spectroscopic measurements in the range (400-800 nm). The surface morphological changes were studied by using atomic force microscope. It has been found that etching parameters can be controlled to produce a considerably low optical reflectivity porous GaAs layer, attractive for use in solar cells. In addition, it has been observed that the deposition of plasma polymerized HMDSO thin film on porous GaAs surface can be utilized to produce a surface with novel optical properties interesting for solar cells and optoelectronic devices. (author)

  19. Uniform lateral etching of tungsten in deep trenches utilizing reaction-limited NF3 plasma process

    Science.gov (United States)

    Kofuji, Naoyuki; Mori, Masahito; Nishida, Toshiaki

    2017-06-01

    The reaction-limited etching of tungsten (W) with NF3 plasma was performed in an attempt to achieve the uniform lateral etching of W in a deep trench, a capability required by manufacturing processes for three-dimensional NAND flash memory. Reaction-limited etching was found to be possible at high pressures without ion irradiation. An almost constant etching rate that showed no dependence on NF3 pressure was obtained. The effect of varying the wafer temperature was also examined. A higher wafer temperature reduced the threshold pressure for reaction-limited etching and also increased the etching rate in the reaction-limited region. Therefore, the control of the wafer temperature is crucial to controlling the etching amount by this method. We found that the uniform lateral etching of W was possible even in a deep trench where the F radical concentration was low.

  20. Magnetically enhanced triode etching of large area silicon membranes in a molecular bromine plasma

    International Nuclear Information System (INIS)

    Wolfe, J.C.; Sen, S.; Pendharkar, S.V.; Mauger, P.; Shimkunas, A.R.

    1992-01-01

    The optimization of a process for etching 125 mm silicon membranes formed on 150 mm wafers and bonded to Pyrex rings is discussed. A magnetically enhanced triode etching system was designed to provide an intense, remote plasma surrounding the membrane while, at the same time, suppressing the discharge over the membrane itself. For the optimized molecular bromine process, the silicon etch rate is 40 nm/min and the selectivity relative to SiO 2 is 160:1. 14 refs., 6 figs

  1. Optimization of time on CF_4/O_2 etchant for inductive couple plasma reactive ion etching of TiO_2 thin film

    International Nuclear Information System (INIS)

    Adzhri, R.; Fathil, M. F. M.; Ruslinda, A. R.; Gopinath, Subash C. B.; Voon, C. H.; Foo, K. L.; Nuzaihan, M. N. M.; Azman, A. H.; Zaki, M.; Arshad, M. K. Md.; Hashim, U.; Ayub, R. M.

    2016-01-01

    In this work, we investigate the optimum etching of titanium dioxide (TiO_2) using inductive couple plasma reactive ion etching (ICP-RIE) on our fabricated devices. By using a combination of CF_4/O_2 gases as plasma etchant with ratio of 3:1, three samples of TiO_2 thin film were etched with different time duration of 10 s, 15 s and 20 s. The ion bombardment of CF_4 gases with plasma enhancement by O_2 gas able to break the oxide bond of TiO_2 and allow anisotropic etch profile with maximum etch rate of 18.6 nm/s. The sample was characterized by using optical profilometer to determine the depth of etched area and scanning electron microscopy (SEM) for etch profile characterization.

  2. Prevention of sidewall redeposition of etched byproducts in the dry Au etch process

    International Nuclear Information System (INIS)

    Aydemir, A; Akin, T

    2012-01-01

    In this paper we present a new technique of etching thin Au film in a dual frequency inductively coupled plasma (ICP) system on Si substrate to prevent the redeposition of etched Au particles over the sidewall of the masking material known as veils. First, the effect of the lithography step was investigated. Then the effects of etch chemistry and the process parameters on the redeposition of etched Au particles on the sidewall of the masking material were investigated. The redeposition effect was examined by depositing a thin Ti film over the masking material acting as a hard mask. The results showed that depositing a thin Ti film over the masking material prevents the formation of veils after etching Au in plasma environments for submicron size structures. Based on the results of this study, we propose a new technique that completely eliminates formation of veils after etching Au in plasma environments for submicron size structures. (paper)

  3. A study on decontamination of TRU, Co, and Mo using plasma surface etching technique

    International Nuclear Information System (INIS)

    Seo, Y.D.; Kim, Y.S.; Paek, S.H.; Lee, K.H.; Jung, C.H.; Oh, W.Z.

    2001-01-01

    Recently dry decontamination/surface-cleaning technology using plasma etching has been focused in the nuclear industry. In this study, the applicability and the effectiveness of this new dry processing technique are experimentally investigated by examining the etching reaction of UO 2 , Co, and Mo in r.f. plasma with the etchant gas of CF 4 /O 2 mixture. UO 2 is chosen as a representing material for uranium and TRU (TRans-Uranic) compounds and metallic Co and Mo are selected because they are the principal contaminants in the spent nuclear components such as valves and pipes made of stainless steel or INCONEL. Results show that in all cases maximum etching rate is achieved when the mole fraction of O 2 to CF 4 /O 2 mixture gas is 20 %, regardless of temperature and r.f. power. (author)

  4. Surface Roughening of Polystyrene and Poly(methyl methacrylate in Ar/O2 Plasma Etching

    Directory of Open Access Journals (Sweden)

    Amy E. Wendt

    2010-12-01

    Full Text Available Selectively plasma-etched polystyrene-block-poly(methyl methacrylate (PS-b-PMMA diblock copolymer masks present a promising alternative for subsequent nanoscale patterning of underlying films. Because mask roughness can be detrimental to pattern transfer, this study examines roughness formation, with a focus on the role of cross-linking, during plasma etching of PS and PMMA. Variables include ion bombardment energy, polymer molecular weight and etch gas mixture. Roughness data support a proposed model in which surface roughness is attributed to polymer aggregation associated with cross-linking induced by energetic ion bombardment. In this model, RMS roughness peaks when cross-linking rates are comparable to chain scissioning rates, and drop to negligible levels for either very low or very high rates of cross-linking. Aggregation is minimal for very low rates of cross-linking, while very high rates produce a continuous cross-linked surface layer with low roughness. Molecular weight shows a negligible effect on roughness, while the introduction of H and F atoms suppresses roughness, apparently by terminating dangling bonds. For PS etched in Ar/O2 plasmas, roughness decreases with increasing ion energy are tentatively attributed to the formation of a continuous cross-linked layer, while roughness increases with ion energy for PMMA are attributed to increases in cross-linking from negligible to moderate levels.

  5. High-density plasma-induced etch damage of wafer-bonded AlGaInP/mirror/Si light-emitting diodes

    CERN Document Server

    Wuu, D S; Huang, S H; Chung, C R

    2002-01-01

    Dry etch of wafer-bonded AlGaInP/mirror/Si light-emitting diodes (LEDs) with planar electrodes was performed by high-density plasma using an inductively coupled plasma (ICP) etcher. The etching characteristics were investigated by varying process parameters such as Cl sub 2 /N sub 2 gas combination, chamber pressure, ICP power and substrate-bias power. The corresponding plasma properties (ion flux and dc bias), in situ measured by a Langmuir probe, show a strong relationship to the etch results. With a moderate etch rate of 1.3 mu m/min, a near vertical and smooth sidewall profile can be achieved under a Cl sub 2 /(Cl sub 2 +N sub 2) gas mixture of 0.5, ICP power of 800 W, substrate-bias power of 100 W, and chamber pressure of 0.67 Pa. Quantitative analysis of the plasma-induced damage was attempted to provide a means to study the mechanism of leakage current and brightness with various dc bias voltages (-110 to -328 V) and plasma duration (3-5 min) on the wafer-bonded LEDs. It is found that the reverse leaka...

  6. Three-dimensional photonic crystals created by single-step multi-directional plasma etching.

    Science.gov (United States)

    Suzuki, Katsuyoshi; Kitano, Keisuke; Ishizaki, Kenji; Noda, Susumu

    2014-07-14

    We fabricate 3D photonic nanostructures by simultaneous multi-directional plasma etching. This simple and flexible method is enabled by controlling the ion-sheath in reactive-ion-etching equipment. We realize 3D photonic crystals on single-crystalline silicon wafers and show high reflectance (>95%) and low transmittance (photonic bandgap. Moreover, our method simply demonstrates Si-based 3D photonic crystals that show the photonic bandgap effect in a shorter wavelength range around 0.6 μm, where further fine structures are required.

  7. Prediction of plasma-induced damage distribution during silicon nitride etching using advanced three-dimensional voxel model

    Energy Technology Data Exchange (ETDEWEB)

    Kuboi, Nobuyuki, E-mail: Nobuyuki.Kuboi@jp.sony.com; Tatsumi, Tetsuya; Kinoshita, Takashi; Shigetoshi, Takushi; Fukasawa, Masanaga; Komachi, Jun; Ansai, Hisahiro [Device and Material Research Group, RDS Platform, Sony Corporation, 4-14-1 Asahi-cho, Atsugi, Kanagawa 243-0014 (Japan)

    2015-11-15

    The authors modeled SiN film etching with hydrofluorocarbon (CH{sub x}F{sub y}/Ar/O{sub 2}) plasma considering physical (ion bombardment) and chemical reactions in detail, including the reactivity of radicals (C, F, O, N, and H), the area ratio of Si dangling bonds, the outflux of N and H, the dependence of the H/N ratio on the polymer layer, and generation of by-products (HCN, C{sub 2}N{sub 2}, NH, HF, OH, and CH, in addition to CO, CF{sub 2}, SiF{sub 2}, and SiF{sub 4}) as ion assistance process parameters for the first time. The model was consistent with the measured C-F polymer layer thickness, etch rate, and selectivity dependence on process variation for SiN, SiO{sub 2}, and Si film etching. To analyze the three-dimensional (3D) damage distribution affected by the etched profile, the authors developed an advanced 3D voxel model that can predict the time-evolution of the etched profile and damage distribution. The model includes some new concepts for gas transportation in the pattern using a fluid model and the property of voxels called “smart voxels,” which contain details of the history of the etching situation. Using this 3D model, the authors demonstrated metal–oxide–semiconductor field-effect transistor SiN side-wall etching that consisted of the main-etch step with CF{sub 4}/Ar/O{sub 2} plasma and an over-etch step with CH{sub 3}F/Ar/O{sub 2} plasma under the assumption of a realistic process and pattern size. A large amount of Si damage induced by irradiated hydrogen occurred in the source/drain region, a Si recess depth of 5 nm was generated, and the dislocated Si was distributed in a 10 nm deeper region than the Si recess, which was consistent with experimental data for a capacitively coupled plasma. An especially large amount of Si damage was also found at the bottom edge region of the metal–oxide–semiconductor field-effect transistors. Furthermore, our simulation results for bulk fin-type field-effect transistor side-wall etching

  8. Dry etching technology for semiconductors

    CERN Document Server

    Nojiri, Kazuo

    2015-01-01

    This book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits.  The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes.  The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning ...

  9. Beam Simulation Studies of Plasma-Surface Interactions in Fluorocarbon Etching of Silicon and Silicon Dioxide

    Science.gov (United States)

    Gray, David C.

    1992-01-01

    A molecular beam apparatus has been constructed which allows the synthesis of dominant species fluxes to a wafer surface during fluorocarbon plasma etching. These species include atomic F as the primary etchant, CF _2 as a potential polymer forming precursor, and Ar^{+} or CF _{rm x}^{+} type ions. Ionic and neutral fluxes employed are within an order of magnitude of those typical of fluorocarbon plasmas and are well characterized through the use of in -situ probes. Etching yields and product distributions have been measured through the use of in-situ laser interferometry and line-of-sight mass spectrometry. XPS studies of etched surfaces were performed to assess surface chemical bonding states and average surface stoichiometry. A useful design guide was developed which allows optimal design of straight -tube molecular beam dosers in the collisionally-opaque regime. Ion-enhanced surface reaction kinetics have been studied as a function of the independently variable fluxes of free radicals and ions, as well as ion energy and substrate temperature. We have investigated the role of Ar ^{+} ions in enhancing the chemistries of F and CF_2 separately, and in combination on undoped silicon and silicon dioxide surfaces. We have employed both reactive and inert ions in the energy range most relevant to plasma etching processes, 20-500 eV, through the use of Kaufman and ECR type ion sources. The effect of increasing ion energy on the etching of fluorine saturated silicon and silicon dioxide surfaces was quantified through extensions of available low energy physical sputtering theory. Simple "site"-occupation models were developed for the quantification of the ion-enhanced fluorine etching kinetics in these systems. These models are suitable for use in topography evolution simulators (e.g. SAMPLE) for the predictive modeling of profile evolution in non-depositing fluorine-based plasmas such as NF_3 and SF_6. (Copies available exclusively from MIT Libraries, Rm. 14

  10. Model etch profiles for ion energy distribution functions in an inductively coupled plasma reactor

    International Nuclear Information System (INIS)

    Chen, W.; Abraham-Shrauner, B.; Woodworth, J.R.

    1999-01-01

    Rectangular trench profiles are modeled with analytic etch rates determined from measured ion distribution functions. The pattern transfer step for this plasma etch is for trilayer lithography. Argon and chlorine angular ion energy distribution functions measured by a spherical collector ring analyzer are fit to a sum of drifting Maxwellian velocity distribution functions with anisotropic temperatures. The fit of the model ion distribution functions by a simulated annealing optimization procedure converges adequately for only two drifting Maxwellians. The etch rates are proportional to analytic expressions for the ion energy flux. Numerical computation of the etch profiles by integration of the characteristic equations for profile points and connection of the profiles points is efficient. copyright 1999 American Vacuum Society

  11. Effect of oxygen plasma etching on pore size-controlled 3D polycaprolactone scaffolds for enhancing the early new bone formation in rabbit calvaria.

    Science.gov (United States)

    Kook, Min-Suk; Roh, Hee-Sang; Kim, Byung-Hoon

    2018-05-02

    This study was to investigate the effects of O 2 plasma-etching of the 3D polycaprolactone (PCL) scaffold surface on preosteoblast cell proliferation and differentiation, and early new bone formation. The PCL scaffolds were fabricated by 3D printing technique. After O 2 plasma treatment, surface characterizations were examined by scanning electron microscopy, atomic force microscopy, and contact angle. MTT assay was used to determine cell proliferation. To investigate the early new bone formation, rabbits were sacrificed at 2 weeks for histological analyses. As the O 2 plasma etching time is increased, roughness and hydrophilicity of the PCL scaffold surface increased. The cell proliferation and differentiation on plasma-etched samples was significantly increased than on untreated samples. At 2 weeks, early new bone formation in O 2 plasma-etched PCL scaffolds was the higher than that of untreated scaffolds. The O 2 plasma-etched PCL scaffolds showed increased preosteoblast differentiation as well as increased new bone formation.

  12. Relationship between deprotection and film thickness loss during plasma etching of positive tone chemically amplified resists

    International Nuclear Information System (INIS)

    Mahorowala, A.P.; Medeiros, D.R.

    2001-01-01

    Positive tone chemically amplified (CA) resists have demonstrated the sensitivity, contrast, and resolution necessary to print state-of-the-art subwavelength features using 248 nm and more recently 193 nm lithography. These materials are also being considered for printing sub-100 nm features with 157 nm and next-generation lithography technologies such as extreme ultraviolet and electron beam projection lithography. The basis for solubility differential and image formation in these resists is the acid catalyzed deprotection of labile protecting groups of an inherently base soluble polymer. The deprotection is effected by the photochemical generation of strong acid during the exposure process. Such acid-catalyzed deprotection reactions can also occur in unexposed resist areas when etched in a plasma. This can be due to UV exposure, high-energy ion bombardment, elevated substrate temperatures, or interaction of the resist surface with plasma species to form acidic moieties. Deprotection has been associated with resist mass loss and film shrinkage during plasma etching, leaving inadequate masking material for the entire etch step. In this article, we report the film thickness loss of several unexposed CA resists as a function of etch time in a variety of plasmas and correlate these data with film composition, monitored by Fourier transform infrared spectroscopy. These results are compared with theoretical predictions based on generally accepted deprotection mechanisms. Our findings indicate that the 'acidic' nature of certain plasmas such as Cl 2 /O 2 can result in deprotection in the resist film, even in the absence of a photoacid generator. Additionally, the data suggest that the nature of the resist polymer and, in turn, the identity of the deprotection products directly influence resist mass loss and etch rate linearity, both of which can be controlled by careful selection of resist materials

  13. Etching Enhancement Followed by Nitridation on Low-k SiOCH Film in Ar/C5F10O Plasma

    Science.gov (United States)

    Miyawaki, Yudai; Shibata, Emi; Kondo, Yusuke; Takeda, Keigo; Kondo, Hiroki; Ishikawa, Kenji; Okamoto, Hidekazu; Sekine, Makoto; Hori, Masaru

    2013-02-01

    The etching rates of low-dielectric-constant (low-k), porous SiOCH (p-SiOCH) films were increased by nitrogen-added Ar/C5F10O plasma etching in dual-frequency (60 MHz/2 MHz)-excited parallel plate capacitively coupled plasma. Previously, perfluoropropyl vinyl ether [C5F10O] provided a very high density of CF3+ ions [Nagai et al.: Jpn. J. Appl. Phys. 45 (2006) 7100]. Surface nitridation on the p-SiOCH surface exposed to Ar/N2 plasma led to the etching of larger amounts of p-SiOCH in Ar/C5F10O plasma, which depended on the formation of bonds such as =C(sp2)=N(sp2)- and -C(sp)≡N(sp).

  14. Mechanisms for plasma etching of HfO{sub 2} gate stacks with Si selectivity and photoresist trimming

    Energy Technology Data Exchange (ETDEWEB)

    Shoeb, Juline; Kushner, Mark J. [Department of Electrical and Computer Engineering, Iowa State University, Ames, Iowa 50011 (United States); Department of Electrical Engineering and Computer Science, University of Michigan, Ann Arbor, Michigan 48109-2122 (United States)

    2009-11-15

    To minimize leakage currents resulting from the thinning of the insulator in the gate stack of field effect transistors, high-dielectric constant (high-k) metal oxides, and HfO{sub 2} in particular, are being implemented as a replacement for SiO{sub 2}. To speed the rate of processing, it is desirable to etch the gate stack (e.g., metal gate, antireflection layers, and dielectric) in a single process while having selectivity to the underlying Si. Plasma etching using Ar/BCl{sub 3}/Cl{sub 2} mixtures effectively etches HfO{sub 2} while having good selectivity to Si. In this article, results from integrated reactor and feature scale modeling of gate-stack etching in Ar/BCl{sub 3}/Cl{sub 2} plasmas, preceded by photoresist trimming in Ar/O{sub 2} plasmas, are discussed. It was found that BCl{sub n} species react with HfO{sub 2}, which under ion impact, form volatile etch products such as B{sub m}OCl{sub n} and HfCl{sub n}. Selectivity to Si is achieved by creating Si-B bonding as a precursor to the deposition of a BCl{sub n} polymer which slows the etch rate relative to HfO{sub 2}. The low ion energies required to achieve this selectivity then challenge one to obtain highly anisotropic profiles in the metal gate portion of the stack. Validation was performed with data from literature. The effect of bias voltage and key reactant probabilities on etch rate, selectivity, and profile are discussed.

  15. Etching of UO2 in NF3 RF Plasma Glow Discharge

    Energy Technology Data Exchange (ETDEWEB)

    Veilleux, John M. [Univ. of California, Berkeley, CA (United States)

    1999-08-01

    A series of room temperature, low pressure (10.8 to 40 Pa), low power (25 to 210 W) RF plasma glow discharge experiments with UO2 were conducted to demonstrate that plasma treatment is a viable method for decontaminating UO2 from stainless steel substrates. Experiments were conducted using NF3 gas to decontaminate depleted uranium dioxide from stainless-steel substrates. Depleted UO2 samples each containing 129.4 Bq were prepared from 100 microliter solutions of uranyl nitrate hexahydrate solution. The amorphous UO2 in the samples had a relatively low density of 4.8 gm/cm3. Counting of the depleted UO2 on the substrate following plasma immersion was performed using liquid scintillation counting with alpha/beta discrimination due to the presence of confounding beta emitting daughter products, 234Th and 234Pa. The alpha emission peak from each sample was integrated using a gaussian and first order polynomial fit to improve quantification. The uncertainties in the experimental measurement of the etched material were estimated at about ± 2%. Results demonstrated that UO2 can be completely removed from stainless-steel substrates after several minutes processing at under 200 W. At 180 W and 32.7 Pa gas pressure, over 99% of all UO2 in the samples was removed in just 17 minutes. The initial etch rate in the experiments ranged from 0.2 to 7.4 μm/min. Etching increased with the plasma absorbed power and feed gas pressure in the range of 10.8 to 40 Pa. A different pressure effect on UO2 etching was also noted below 50 W in which etching increased up to a maximum pressure, ~23 Pa, then decreased with further increases in pressure.

  16. Low-k SiOCH Film Etching Process and Its Diagnostics Employing Ar/C5F10O/N2 Plasma

    Science.gov (United States)

    Nagai, Mikio; Hayashi, Takayuki; Hori, Masaru; Okamoto, Hidekazu

    2006-09-01

    We proposed an environmental harmonic etching gas of C5F10O (CF3CF2CF2OCFCF2), and demonstrated the etching of low-k SiOCH films employing a dual-frequency capacitively coupled etching system. Dissociative ionization cross sections for the electron impact ionizations of C5F10O and c-C4F8 gases have been measured by quadrupole mass spectroscopy (QMS). The dissociative ionization cross section of CF3+ from C5F10O gas was much higher than those of other ionic species, and 10 times higher than that of CF3+ from C4F8 gas. CF3+ is effective for increasing the etching rate of SiO2. As a result, the etching rate of SiOCH films using Ar/C5F10O/N2 plasma was about 1000 nm/min, which is much higher than that using Ar/C4F8/N2 plasma. The behaviours of fluorocarbon radicals in Ar/C5F10O/N2 plasma, which were measured by infrared diode laser absorption spectroscopy, were similar to those in Ar/C4F8/N2 plasma. The densities of CF and CF3 radicals were markedly decreased with increasing N2 flow rate. Etching rate was controlled by N2 flow rate. A vertical profile of SiOCH with a high etching rate and less microloading was realized using Ar/C5F10O/N2 plasma chemistry.

  17. Temperature dependence on plasma-induced damage and chemical reactions in GaN etching processes using chlorine plasma

    Science.gov (United States)

    Liu, Zecheng; Ishikawa, Kenji; Imamura, Masato; Tsutsumi, Takayoshi; Kondo, Hiroki; Oda, Osamu; Sekine, Makoto; Hori, Masaru

    2018-06-01

    Plasma-induced damage (PID) on GaN was optimally reduced by high-temperature chlorine plasma etching. Energetic ion bombardments primarily induced PID involving stoichiometry, surface roughness, and photoluminescence (PL) degradation. Chemical reactions under ultraviolet (UV) irradiation and chlorine radical exposure at temperatures higher than 400 °C can be controlled by taking into account the synergism of simultaneous photon and radical irradiations to effectively reduce PID.

  18. Plasma treatment of porous GaAs surface formed by electrochemical etching method: Characterization and properties

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.

    2010-01-01

    Porous GaAs samples were formed by electrochemical anodic etching of Zn doped p-type GaAs (100) wafers at different etching parameters (time, mode of applied voltage or current and electrolyte). The effect of etching parameters and plasma surface treatment on the optical properties of the prepared sample has been investigated by using room temperature photoluminescence (PL), Raman spectroscopy and reflectance spectroscopic measurements in the range (400-800 nm). The surface morphological changes were studied by using atomic force microscope. (author)

  19. The effect of plasma etching on the surface topography of niobium superconducting radio frequency cavities

    Science.gov (United States)

    Radjenović, B.; Radmilović-Radjenović, M.

    2014-11-01

    In this letter the evolution of the surface topography of a niobium superconducting radio frequency cavity caused by different plasma etching modes (isotropic and anisotropic) is studied by the three-dimensional level set method. The initial rough surface is generated starting from an experimental power spectral density. The time dependence of the rms roughness is analyzed and the growth exponential factors β are determined for two etching modes (isotropic and anisotropic) assuming that isotropic etching is a much more effective mechanism of smoothing. The obtained simulation results could be useful for optimizing the parameters of the etching processes needed to obtain high quality niobium surfaces for superconducting radio frequency cavities.

  20. Etch Defect Characterization and Reduction in Hard-Mask-Based Al Interconnect Etching

    International Nuclear Information System (INIS)

    Lee, H.J.; Hung, C.L.; Leng, C.H.; Lian, N.T.; Young, L.W.

    2009-01-01

    This paper identifies the defect adders, for example, post hard-mask etch residue, post metal etch residue, and blocked etch metal island and investigates the removal characteristics of these defects within the oxide-masked Al etching process sequence. Post hard-mask etch residue containing C atom is related to the hardening of photoresist after the conventional post-RIE ashing at 275 degree C. An in situ O 2 -based plasma ashing on RIE etcher was developed to prevent the photoresist hardening from the high-ashing temperature; followed wet stripping could successfully eliminate such hardened polymeric residue. Post metal etch residue was caused from the attack of the Al sidewall by Cl atoms, and too much CHF 3 addition in the Al main etch step passivated the surface of Al resulting in poor capability to remove the Al-containing residue. The lower addition of CHF 3 in the Al main etch step would benefit from the residue removal. One possibility of blocked etch metal island creating was due to the micro masking formed on the opening of Ti N during the hard-mask patterning. We report that an additional Ti N surface pretreatment with the Ar/CHF 3 /N 2 plasmas could reduce the impact of the micro masking residues on blocked metal etch.

  1. Ripple formation on Si surfaces during plasma etching in Cl2

    Science.gov (United States)

    Nakazaki, Nobuya; Matsumoto, Haruka; Sonobe, Soma; Hatsuse, Takumi; Tsuda, Hirotaka; Takao, Yoshinori; Eriguchi, Koji; Ono, Kouichi

    2018-05-01

    Nanoscale surface roughening and ripple formation in response to ion incidence angle has been investigated during inductively coupled plasma etching of Si in Cl2, using sheath control plates to achieve the off-normal ion incidence on blank substrate surfaces. The sheath control plate consisted of an array of inclined trenches, being set into place on the rf-biased electrode, where their widths and depths were chosen in such a way that the sheath edge was pushed out of the trenches. The distortion of potential distributions and the consequent deflection of ion trajectories above and in the trenches were then analyzed based on electrostatic particle-in-cell simulations of the plasma sheath, to evaluate the angular distributions of ion fluxes incident on substrates pasted on sidewalls and/or at the bottom of the trenches. Experiments showed well-defined periodic sawtooth-like ripples with their wave vector oriented parallel to the direction of ion incidence at intermediate off-normal angles, while relatively weak corrugations or ripplelike structures with the wave vector perpendicular to it at high off-normal angles. Possible mechanisms for the formation of surface ripples during plasma etching are discussed with the help of Monte Carlo simulations of plasma-surface interactions and feature profile evolution. The results indicate the possibility of providing an alternative to ion beam sputtering for self-organized formation of ordered surface nanostructures.

  2. Angular dependence of the redeposition rates during SiO2 etching in a CF4 plasma

    International Nuclear Information System (INIS)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Lee, Gyeo-Re; Moon, Sang Heup

    2001-01-01

    The angular dependence of the redeposition rates during SiO 2 etching in a CF 4 plasma was studied using three types of Faraday cages located in a transformer coupled plasma etcher. The SiO 2 substrates were fixed on sample holder slopes that have different angles to the cathode. The substrate was subjected to one of three processes depending on the design of the Faraday cage, i.e., redeposition of sputtered particles from the SiO 2 bottom surface (case I), substrate etching by incident ions (case II), or simultaneous etching and redeposition (case III). Both the redeposition and the etch rates were measured by changing the substrate-surface angle and the self-bias voltage in the range of -100 to -800 V. The redeposition-only rates (case I) at -450 and -800 V closely followed the quadratic curve of the angle whereas the rates at -100 V followed the cubic curve, indicating different mechanisms of the bottom SiO 2 etching depending on the energy regimes. The steep increase of the redeposition rate with the angle was attributed to three factors: the substrate-bottom distance, the angular distribution of emitted particles from the bottom surface, and the particle incident angle on the substrate surface. The etch-only rate curves (case II) closely followed the cosine of the surface angle. The etch-rate curve changed into a reverse-S shape when the substrate was subjected to simultaneous etching and redeposition (case III). The net etch rate for case III decreased drastically above 60 deg. , showing a negative value, i.e., a net redeposition, beyond 75 deg. . The drastic decrease in the net etch rate coincided with the steep increase in the redeposition rate, implying the significant effect of redeposition

  3. Plasma etching of (Ba,Sr)TiO3 thin films using inductively coupled Cl2/Ar and BCl3/Cl2/Ar plasma

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2005-01-01

    BST thin films were etched with inductively coupled plasmas. A chemically assisted physical etch of BST was experimentally confirmed by ICP under various gas mixtures. After a 20% addition of BCl 3 to the Cl 2 /Ar mixture, resulting in an increased the chemical effect. As increases of RF power and substrate power, and decrease of working pressure, the ion energy flux and chlorine atoms density increased. The maximum etch rate of the BST thin films was 90.1 nm/min, and at the RF power, substrate power, and working pressure were 700 W, 300 W, and 1.6 Pa, respectively. It was proposed that sputter etching is dominant etching mechanism while the contribution of chemical reaction is relatively low due to low volatility of etching products

  4. Diamond growth on Fe-Cr-Al alloy by H2-plasma enhanced graphite etching

    International Nuclear Information System (INIS)

    Li, Y. S.; Hirose, A.

    2007-01-01

    Without intermediate layer and surface pretreatment, adherent diamond films with high initial nucleation density have been deposited on Fe-15Cr-5Al (wt. %) alloy substrate. The deposition was performed using microwave hydrogen plasma enhanced graphite etching in a wide temperature range from 370 to 740 degree sign C. The high nucleation density and growth rate of diamond are primarily attributed to the unique precursors used (hydrogen plasma etched graphite) and the chemical nature of the substrate. The improvement in diamond adhesion to steel alloys is ascribed to the important role played by Al, mitigation of the catalytic function of iron by suppressing the preferential formation of loose graphite intermediate phase on steel surface

  5. Self-formation of a nanonet of fluorinated carbon nanowires on the Si surface by combined etching in fluorine-containing plasma

    Science.gov (United States)

    Amirov, I. I.; Gorlachev, E. S.; Mazaletskiy, L. A.; Izyumov, M. O.; Alov, N. V.

    2018-03-01

    In this work, we report a technique of the self-formation of a nanonet of fluorinated carbon nanowires on the Si surface using a combined etching in fluorine-containing C4F8/Ar and SF6 plasmas. Using scanning electron microscopy, atomic force microscopy and x-ray photoelectron spectroscopy, we show that after the etching of Si in the C4F8/Ar plasma, a fluorinated carbon film of nanometer-scale thickness is formed on its surface and its formation accelerates at elevated temperatures. After a subsequent short-term etching in the SF6 plasma, the film is modified into a nanonet of self-formed fluorinated carbon nanowires.

  6. Towards the perfect three-way junction: plasma etching and planar optical waveguides

    International Nuclear Information System (INIS)

    Boswell, R.W.; Love, J.D.

    1989-01-01

    A research program is presented in which plasma etching techniques on a microscopic scale will be used to manufacture multiple low-loss wavelength independent Y-junctions, so the optical signals they carry are efficiently coupled, meaning that signals losses should be minimal

  7. Effect of Cl2- and HBr-based inductively coupled plasma etching on InP surface composition analyzed using in situ x-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Bouchoule, S.; Vallier, L.; Patriarche, G.; Chevolleau, T.; Cardinaud, C.

    2012-01-01

    A Cl 2 -HBr-O 2 /Ar inductively coupled plasma (ICP) etching process has been adapted for the processing of InP-based heterostructures in a 300-mm diameter CMOS etching tool. Smooth and anisotropic InP etching is obtained at moderate etch rate (∼600 nm/min). Ex situ x-ray energy dispersive analysis of the etched sidewalls shows that the etching anisotropy is obtained through a SiO x passivation mechanism. The stoichiometry of the etched surface is analyzed in situ using angle-resolved x-ray photoelectron spectroscopy. It is observed that Cl 2 -based ICP etching results in a significantly P-rich surface. The phosphorous layer identified on the top surface is estimated to be ∼1-1.3-nm thick. On the other hand InP etching in HBr/Ar plasma results in a more stoichiometric surface. In contrast to the etched sidewalls, the etched surface is free from oxides with negligible traces of silicon. Exposure to ambient air of the samples submitted to Cl 2 -based chemistry results in the complete oxidation of the P-rich top layer. It is concluded that a post-etch treatment or a pure HBr plasma step may be necessary after Cl 2 -based ICP etching for the recovery of the InP material.

  8. CoSi{sub x} contact resistance after etching and ashing plasma exposure

    Energy Technology Data Exchange (ETDEWEB)

    Katahira, Ken; Fukasawa, Masanaga; Kobayashi, Shoji; Takizawa, Toshifumi; Isobe, Michio; Hamaguchi, Satoshi; Nagahata, Kazunori; Tatsumi, Tetsuya [Nagasaki Production Division 1, Sony Semiconductor Kyushu Corporation, 1883-43 Tsukuba-machi, Isahaya-shi, Nagasaki 854-0065 (Japan); Semiconductor Technology Development Division, Semiconductor Business Group, Sony Corporation, 4-14-1 Asahi-cho, Atsugi-shi, Kanagawa 243-0014 (Japan); Center for Atomic and Molecular Technologies, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan); Semiconductor Technology Development Division, Semiconductor Business Group, Sony Corporation, 4-14-1 Asahi-cho, Atsugi-shi, Kanagawa 243-0014 (Japan)

    2009-07-15

    The authors investigated the contact resistance fluctuation caused by CoSi{sub x} damage in plasma etching and ashing processes. They found that CoSi{sub x} layers damaged by plasma process exposure are readily oxidized when exposed to air resulting in increased resistance. They also found that the contact resistance increases more when CH{sub 3}F is used instead of CF{sub 4} during etching process. The lower the mass number of dominant ions becomes, the deeper the ions penetrate. Molecular dynamics simulation revealed that dissociated species from lighter ions penetrate deeper and that this stimulates deeper oxidation. They also found that contact resistance further increased by using postetch ashing plasma even in an H{sub 2}/N{sub 2} ashing process in which O{sub 2} was not used. Here, too, the reason for this is that the ion penetration causes deep oxidation. They observed that the contact resistance has a linear relationship with the oxide concentration in CoSi{sub x}. This leads to the conclusion that it is essential to precisely control the ion energy as well as to properly select the ion species in the plasma process in the fabrication of next-generation semiconductor devices.

  9. Dry Etching

    DEFF Research Database (Denmark)

    Stamate, Eugen; Yeom, Geun Young

    2016-01-01

    generation) to 2,200 × 2,500 mm (eighth generation), and the substrate size is expected to increase further within a few years. This chapter aims to present relevant details on dry etching including the phenomenology, materials to be etched with the different recipes, plasma sources fulfilling the dry...

  10. Roughness generation during Si etching in Cl{sub 2} pulsed plasma

    Energy Technology Data Exchange (ETDEWEB)

    Mourey, Odile; Petit-Etienne, Camille; Cunge, Gilles, E-mail: gilles.cunge@cea.fr; Darnon, Maxime; Despiau-Pujo, Emilie; Brichon, Paulin; Lattu-Romain, Eddy; Pons, Michel; Joubert, Olivier [Univ. Grenoble Alpes, CNRS, CEA-Leti Minatec, LTM, F-38054 Grenoble Cedex (France)

    2016-07-15

    Pulsed plasmas are promising candidates to go beyond limitations of continuous waves' plasma. However, their interaction with surfaces remains poorly understood. The authors investigated the silicon etching mechanism in inductively coupled plasma (ICP) Cl{sub 2} operated either in an ICP-pulsed mode or in a bias-pulsed mode (in which only the bias power is pulsed). The authors observed systematically the development of an important surface roughness at a low duty cycle. By using plasma diagnostics, they show that the roughness is correlated to an anomalously large (Cl atoms flux)/(energetic ion flux) ratio in the pulsed mode. The rational is that the Cl atom flux is not modulated on the timescale of the plasma pulses although the ion fluxes and energy are modulated. As a result, a very strong surface chlorination occurs during the OFF period when the surface is not exposed to energetic ions. Therefore, each energetic ion in the ON period will bombard a heavily chlorinated silicon surface, leading to anomalously high etching yield. In the ICP pulsed mode (in which the ion energy is high), the authors report yields as high as 40, which mean that each individual ion impacts will generate a “crater” of about 2 nm depth at the surface. Since the ion flux is very small in the pulsed ICP mode, this process is stochastic and is responsible for the roughness initiation. The roughness expansion can then be attributed partly to the ion channeling effect and is probably enhanced by the formation of a SiClx reactive layer with nonhomogeneous thickness over the topography of the surface. This phenomenon could be a serious limitation of pulsed plasma processes.

  11. Patterning functional materials using channel diffused plasma-etched self-assembled monolayer templates

    NARCIS (Netherlands)

    George, A.; Maijenburg, A.W.; Maas, M.G.; Blank, David H.A.; ten Elshof, Johan E.

    2011-01-01

    A simple and cost-effective methodology for large-area micrometer-scale patterning of a wide range of metallic and oxidic functional materials is presented. Self-assembled monolayers (SAM) of alkyl thiols on Au were micropatterned by channel-diffused oxygen plasma etching, a method in which selected

  12. Optimization of time on CF{sub 4}/O{sub 2} etchant for inductive couple plasma reactive ion etching of TiO{sub 2} thin film

    Energy Technology Data Exchange (ETDEWEB)

    Adzhri, R., E-mail: adzhri@gmail.com; Fathil, M. F. M.; Ruslinda, A. R.; Gopinath, Subash C. B.; Voon, C. H.; Foo, K. L.; Nuzaihan, M. N. M.; Azman, A. H.; Zaki, M. [Institute of Nano Electronic Engineering (INEE), Universiti Malaysia Perlis (UniMAP), Perlis (Malaysia); Arshad, M. K. Md., E-mail: mohd.khairuddin@unimap.edu.my; Hashim, U.; Ayub, R. M. [Institute of Nano Electronic Engineering (INEE), Universiti Malaysia Perlis (UniMAP), Perlis (Malaysia); School of Microelectronic Engineering, Universiti Malaysia Perlis (UniMAP), Perlis (Malaysia)

    2016-07-06

    In this work, we investigate the optimum etching of titanium dioxide (TiO{sub 2}) using inductive couple plasma reactive ion etching (ICP-RIE) on our fabricated devices. By using a combination of CF{sub 4}/O{sub 2} gases as plasma etchant with ratio of 3:1, three samples of TiO{sub 2} thin film were etched with different time duration of 10 s, 15 s and 20 s. The ion bombardment of CF{sub 4} gases with plasma enhancement by O{sub 2} gas able to break the oxide bond of TiO{sub 2} and allow anisotropic etch profile with maximum etch rate of 18.6 nm/s. The sample was characterized by using optical profilometer to determine the depth of etched area and scanning electron microscopy (SEM) for etch profile characterization.

  13. Mechanisms and selectivity for etching of HfO2 and Si in BCl3 plasmas

    International Nuclear Information System (INIS)

    Wang Chunyu; Donnelly, Vincent M.

    2008-01-01

    The authors have investigated plasma etching of HfO 2 , a high dielectric constant material, and poly-Si in BCl 3 plasmas. Etching rates were measured as a function of substrate temperature (T s ) at several source powers. Activation energies range from 0.2 to 1.0 kcal/mol for HfO 2 and from 0.8 to 1.8 kcal/mol for Si, with little or no dependence on source power (20-200 W). These low activation energies suggest that product removal is limited by chemical sputtering of the chemisorbed Hf or Si-containing layer, with a higher T s only modestly increasing the chemical sputtering rate. The slightly lower activation energy for HfO 2 results in a small improvement in selectivity over Si at low temperature. The surface layers formed on HfO 2 and Si after etching in BCl 3 plasmas were also investigated by vacuum-transfer x-ray photoelectron spectroscopy. A thin boron-containing layer was observed on partially etched HfO 2 and on poly-Si after etching through HfO 2 films. For HfO 2 , a single B(1s) feature at 194 eV was ascribed to a heavily oxidized species with bonding similar to B 2 O 3 . B(1s) features were observed for poly-Si surfaces at 187.6 eV (B bound to Si), 189.8 eV, and 193 eV (both ascribed to BO x Cl y ). In the presence of a deliberately added 0.5% air, the B-containing layer on HfO 2 is largely unaffected, while that on Si converts to a thick layer with a single B(1s) peak at 194 eV and an approximate stoichiometry of B 3 O 4 Cl

  14. Spent nuclear fuel recycling with plasma reduction and etching

    Science.gov (United States)

    Kim, Yong Ho

    2012-06-05

    A method of extracting uranium from spent nuclear fuel (SNF) particles is disclosed. Spent nuclear fuel (SNF) (containing oxides of uranium, oxides of fission products (FP) and oxides of transuranic (TRU) elements (including plutonium)) are subjected to a hydrogen plasma and a fluorine plasma. The hydrogen plasma reduces the uranium and plutonium oxides from their oxide state. The fluorine plasma etches the SNF metals to form UF6 and PuF4. During subjection of the SNF particles to the fluorine plasma, the temperature is maintained in the range of 1200-2000 deg K to: a) allow any PuF6 (gas) that is formed to decompose back to PuF4 (solid), and b) to maintain stability of the UF6. Uranium (in the form of gaseous UF6) is easily extracted and separated from the plutonium (in the form of solid PuF4). The use of plasmas instead of high temperature reactors or flames mitigates the high temperature corrosive atmosphere and the production of PuF6 (as a final product). Use of plasmas provide faster reaction rates, greater control over the individual electron and ion temperatures, and allow the use of CF4 or NF3 as the fluorine sources instead of F2 or HF.

  15. Performance enhancement of membrane electrode assemblies with plasma etched polymer electrolyte membrane in PEM fuel cell

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Yong-Hun; Yoon, Won-Sub [School of Advanced Materials Engineering, Kookmin University, 861-1 Jeongneung-dong, Seongbuk-gu, Seoul 136-702 (Korea); Bae, Jin Woo; Cho, Yoon-Hwan; Lim, Ju Wan; Ahn, Minjeh; Jho, Jae Young; Sung, Yung-Eun [World Class University (WCU) program of Chemical Convergence for Energy and Environment (C2E2), School of Chemical and Biological Engineering, College of Engineering, Seoul National University (SNU), 599 Gwanak-Ro, Gwanak-gu, Seoul 151-744 (Korea); Kwon, Nak-Hyun [Fuel Cell Vehicle Team 3, Advanced Technology Center, Corporate Research and Development Division, Hyundai-Kia Motors, 104 Mabuk-dong, Giheung-gu, Yongin-si, Gyeonggi-do 446-912 (Korea)

    2010-10-15

    In this work, a surface modified Nafion 212 membrane was fabricated by plasma etching in order to enhance the performance of a membrane electrode assembly (MEA) in a polymer electrolyte membrane fuel cell. Single-cell performance of MEA at 0.7 V was increased by about 19% with membrane that was etched for 10 min compared to that with untreated Nafion 212 membrane. The MEA with membrane etched for 20 min exhibited a current density of 1700 mA cm{sup -2} at 0.35 V, which was 8% higher than that of MEA with untreated membrane (1580 mA cm{sup -2}). The performances of MEAs containing etched membranes were affected by complex factors such as the thickness and surface morphology of the membrane related to etching time. The structural changes and electrochemical properties of the MEAs with etched membranes were characterized by field emission scanning electron microscopy, Fourier transform-infrared spectrometry, electrochemical impedance spectroscopy, and cyclic voltammetry. (author)

  16. Characterization of the high density plasma etching process of CCTO thin films for the fabrication of very high density capacitors

    International Nuclear Information System (INIS)

    Altamore, C; Tringali, C; Sparta', N; Marco, S Di; Grasso, A; Ravesi, S

    2010-01-01

    In this work the feasibility of CCTO (Calcium Copper Titanate) patterning by etching process is demonstrated and fully characterized in a hard to etch materials etcher. CCTO sintered in powder shows a giant relative dielectric constant (10 5 ) measured at 1 MHz at room temperature. This feature is furthermore coupled with stability from 10 1 Hz to 10 6 Hz in a wide temperature range (100K - 600K). In principle, this property can allow to fabricate very high capacitance density condenser. Due to its perovskite multi-component structure, CCTO can be considered a hard to etch material. For high density capacitor fabrication, CCTO anisotropic etching is requested by using high density plasma. The behavior of etched CCTO was studied in a HRe- (High Density Reflected electron) plasma etcher using Cl 2 /Ar chemistry. The relationship between the etch rate and the Cl 2 /Ar ratio was also studied. The effects of RF MHz, KHz Power and pressure variation, the impact of HBr addiction to the Cl 2 /Ar chemistry on the CCTO etch rate and on its selectivity to Pt and photo resist was investigated.

  17. Characterization of the high density plasma etching process of CCTO thin films for the fabrication of very high density capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Altamore, C; Tringali, C; Sparta' , N; Marco, S Di; Grasso, A; Ravesi, S [STMicroelectronics, Industial and Multi-segment Sector R and D, Catania (Italy)

    2010-02-15

    In this work the feasibility of CCTO (Calcium Copper Titanate) patterning by etching process is demonstrated and fully characterized in a hard to etch materials etcher. CCTO sintered in powder shows a giant relative dielectric constant (10{sup 5}) measured at 1 MHz at room temperature. This feature is furthermore coupled with stability from 10{sup 1} Hz to 10{sup 6} Hz in a wide temperature range (100K - 600K). In principle, this property can allow to fabricate very high capacitance density condenser. Due to its perovskite multi-component structure, CCTO can be considered a hard to etch material. For high density capacitor fabrication, CCTO anisotropic etching is requested by using high density plasma. The behavior of etched CCTO was studied in a HRe- (High Density Reflected electron) plasma etcher using Cl{sub 2}/Ar chemistry. The relationship between the etch rate and the Cl{sub 2}/Ar ratio was also studied. The effects of RF MHz, KHz Power and pressure variation, the impact of HBr addiction to the Cl{sub 2}/Ar chemistry on the CCTO etch rate and on its selectivity to Pt and photo resist was investigated.

  18. Layer-by-layer thinning of MoSe_2 by soft and reactive plasma etching

    International Nuclear Information System (INIS)

    Sha, Yunfei; Xiao, Shaoqing; Zhang, Xiumei; Qin, Fang; Gu, Xiaofeng

    2017-01-01

    Highlights: • Soft plasma etching technique using SF_6 + N_2 as precursors for layer-by-layer thinning of MoSe_2 was adopted in this work. • Optical microscopy, Raman, photoluminescence and atomic force microscopy measurements were used to confirm the thickness change. • Layer-dependent vibrational and photoluminescence spectra of the etched MoSe_2 were also demonstrated. • Equal numbers of MoSe_2 layers can be removed uniformly without affecting the underlying SiO_2 substrate and the remaining MoSe_2 layers. - Abstract: Two-dimensional (2D) transition metal dichalcogenides (TMDs) like molybdenum diselenide (MoSe_2) have recently gained considerable interest since their properties are complementary to those of graphene. Unlike gapless graphene, the band structure of MoSe_2 can be changed from the indirect band gap to the direct band gap when MoSe_2 changed from bulk material to monolayer. This transition from multilayer to monolayer requires atomic-layer-precision thining of thick MoSe_2 layers without damaging the remaining layers. Here, we present atomic-layer-precision thinning of MoSe_2 nanaosheets down to monolayer by using SF_6 + N_2 plasmas, which has been demonstrated to be soft, selective and high-throughput. Optical microscopy, atomic force microscopy, Raman and photoluminescence spectra suggest that equal numbers of MoSe_2 layers can be removed uniformly regardless of their initial thickness, without affecting the underlying SiO_2 substrate and the remaining MoSe_2 layers. By adjusting the etching rates we can achieve complete MoSe_2 removal and any disired number of MoSe_2 layers including monolayer. This soft plasma etching method is highly reliable and compatible with the semiconductor manufacturing processes, thereby holding great promise for various 2D materials and TMD-based devices.

  19. Antifouling enhancement of polysulfone/TiO2 nanocomposite separation membrane by plasma etching

    Science.gov (United States)

    Chen, Z.; Yin, C.; Wang, S.; Ito, K.; Fu, Q. M.; Deng, Q. R.; Fu, P.; Lin, Z. D.; Zhang, Y.

    2017-01-01

    A polysulfone/TiO2 nanocomposite membrane was prepared via casting method, followed by the plasma etching of the membrane surface. Doppler broadened energy spectra vs. positron incident energy were employed to elucidate depth profiles of the nanostructure for the as-prepared and treated membranes. The results confirmed that the near-surface of the membrane was modified by the plasma treatment. The antifouling characteristics for the membranes, evaluated using the degradation of Rhodamin B, indicated that the plasma treatment enhances the photo catalytic ability of the membrane, suggesting that more TiO2 nanoparticles are exposed at the membrane surface after the plasma treatment as supported by the positron result.

  20. Antifouling enhancement of polysulfone/TiO2 nanocomposite separation membrane by plasma etching

    International Nuclear Information System (INIS)

    Chen, Z; Yin, C; Wang, S; Fu, Q M; Deng, Q R; Fu, P; Lin, Z D; Zhang, Y; Ito, K

    2017-01-01

    A polysulfone/TiO 2 nanocomposite membrane was prepared via casting method, followed by the plasma etching of the membrane surface. Doppler broadened energy spectra vs. positron incident energy were employed to elucidate depth profiles of the nanostructure for the as-prepared and treated membranes. The results confirmed that the near-surface of the membrane was modified by the plasma treatment. The antifouling characteristics for the membranes, evaluated using the degradation of Rhodamin B, indicated that the plasma treatment enhances the photo catalytic ability of the membrane, suggesting that more TiO 2 nanoparticles are exposed at the membrane surface after the plasma treatment as supported by the positron result. (paper)

  1. Electron cyclotron resonance microwave ion sources for thin film processing

    International Nuclear Information System (INIS)

    Berry, L.A.; Gorbatkin, S.M.

    1990-01-01

    Plasmas created by microwave absorption at the electron cyclotron resonance (ECR) are increasingly used for a variety of plasma processes, including both etching and deposition. ECR sources efficiently couple energy to electrons and use magnetic confinement to maximize the probability of an electron creating an ion or free radical in pressure regimes where the mean free path for ionization is comparable to the ECR source dimensions. The general operating principles of ECR sources are discussed with special emphasis on their use for thin film etching. Data on source performance during Cl base etching of Si using an ECR system are presented. 32 refs., 5 figs

  2. Improvement in ferroelectric properties of Pt/PZT/Pt capacitors etched as a function of Ar/O2 gas mixing ratio into Cl2/CF4 plasma

    International Nuclear Information System (INIS)

    Lim, Kyu-Tae; Koo, Seong-Mo; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2004-01-01

    In this work, to investigate improvement of the damage using oxygen containing plasma, we etched PZT films as a function of Ar (x%)/O 2 (y%) gas mixing ratio in Cl 2 (56%)/CF 4 (14%) plasma (where the sum of x and y is 30). The maximum etch rate of the PZT thin films was 146 nm/min for Ar (30%)/O 2 (0%) added into the Cl 2 /CF 4 plasma. After the etching, the plasma-induced damages were characterized in terms of hysteresis curves, leakage current, switching polarization and retention capacity as a function of the gas mixing ratio. When the ferroelectric properties of PZT films were etched as a function of O 2 and Ar and the gas mixing ratios were compared, the value of remnant polarization in O 2 (30%) added Cl 2 /CF 4 plasma is higher than that in Ar (30%). The results showed that after the etching the charges accumulated by oxygen vacancies prevented further domain switching at the top electrode-ferroelectric interface and created leakage current because of modification of the interfacial Schottky barrier during the etching process. The physical damage to the near surface and the crystal structure of the etched PZT thin films was evaluated by using X-ray diffraction (XRD). The remnant polarization, leakage current, retention and fatigue properties are improved with increasing O 2 content. From XRD results, the improvement in the ferroelectric properties of PZT capacitors etched in O 2 containing plasma was consistent with the increased intensities of the (100) and (200) peaks

  3. Plasma etching to enhance the surface insulating stability of alumina for fusion applications

    Directory of Open Access Journals (Sweden)

    M. Malo

    2016-12-01

    Full Text Available A significant increase in the surface electrical conductivity of alumina, considered one of the most promising insulating materials for numerous applications in fusion devices, has been observed during ion bombardment in vacuum due to oxygen loss by preferential sputtering. Although this is expected to cause serious limitations to insulating components functionality, recent studies showed it is possible to restore the damaged lattice by oxygen reincorporation during thermal treatments in air. These studies also revealed a correlation between conductivity and ion beam induced luminescence, which is being used to monitor surface electrical conductivity degradation and help qualify the post irradiation recovery. Work now carried out for Wesgo alumina considers oxygen implantation and plasma etching as additional methods to improve recovered layer depth and quality. Both conductivity and luminescence results indicate the potential use of plasma etching not only for damage recovery, but also as a pre-treatment to enhance material stability during irradiation.

  4. No positive effect of Acid etching or plasma cleaning on osseointegration of titanium implants in a canine femoral condyle press-fit model

    DEFF Research Database (Denmark)

    Saksø, Henrik; Jakobsen, Thomas Vestergaard; Mortensen, Mikkel Saksø

    2013-01-01

    Implant surface treatments that improve early osseointegration may prove useful in long-term survival of uncemented implants. We investigated Acid Etching and Plasma Cleaning on titanium implants.......Implant surface treatments that improve early osseointegration may prove useful in long-term survival of uncemented implants. We investigated Acid Etching and Plasma Cleaning on titanium implants....

  5. Predictable topography simulation of SiO2 etching by C5F8 gas combined with a plasma simulation, sheath model and chemical reaction model

    International Nuclear Information System (INIS)

    Takagi, S; Onoue, S; Iyanagi, K; Nishitani, K; Shinmura, T; Kanoh, M; Itoh, H; Shioyama, Y; Akiyama, T; Kishigami, D

    2003-01-01

    We have developed a simulation for predicting reactive ion etching (RIE) topography, which is a combination of plasma simulation, the gas reaction model, the sheath model and the surface reaction model. The simulation is applied to the SiO 2 etching process of a high-aspect-ratio contact hole using C 5 F 8 gas. A capacitively coupled plasma (CCP) reactor of an 8-in. wafer was used in the etching experiments. The baseline conditions are RF power of 1500 W and gas pressure of 4.0 Pa in a gas mixture of Ar, O 2 and C 5 F 8 . The plasma simulation reproduces the tendency that CF 2 radical density increases rapidly and the electron density decreases gradually with increasing gas flow rate of C 5 F 8 . In the RIE topography simulation, the etching profiles such as bowing and taper shape at the bottom are reproduced in deep holes with aspect ratios greater than 19. Moreover, the etching profile, the dependence of the etch depth on the etching time, and the bottom diameter can be predicted by this simulation

  6. Laterally Driven Resonant Pressure Sensor with Etched Silicon Dual Diaphragms and Combined Beams

    Directory of Open Access Journals (Sweden)

    Xiaohui Du

    2016-01-01

    Full Text Available A novel structure of the resonant pressure sensor is presented in this paper, which tactfully employs intercoupling between dual pressure-sensing diaphragms and a laterally driven resonant strain gauge. After the resonant pressure sensor principle is introduced, the coupling mechanism of the diaphragms and resonator is analyzed and the frequency equation of the resonator based on the triangle geometry theory is developed for this new coupling structure. The finite element (FE simulation results match the theoretical analysis over the full scale of the device. This pressure sensor was first fabricated by dry/wet etching and thermal silicon bonding, followed by vacuum-packaging using anodic bonding technology. The test maximum error of the fabricated sensor is 0.0310%F.S. (full scale in the range of 30 to 190 kPa, its pressure sensitivity is negative and exceeding 8 Hz/kPa, and its Q-factor reaches 20,000 after wafer vacuum-packaging. A novel resonant pressure sensor with high accuracy is presented in this paper.

  7. Aligned Carbon Nanotubes Array by DC Glow Plasma Etching for Supercapacitor

    Directory of Open Access Journals (Sweden)

    Yongfeng Luo

    2013-01-01

    Full Text Available To open the end of carbon nanotubes and make these ends connect with functional carboxyl group, aligned carbon nanotubes (CNTs arrays was etched by DC glow oxygen-argon plasma. With these open-ended carbon nanotubes array as electrode materials to build supercapacitor, we found that the capacity (32.2 F/g increased significantly than that of pure carbon nanotubes (6.7 F/g.

  8. Growth and etching characteristics of (001) β-Ga2O3 by plasma-assisted molecular beam epitaxy

    Science.gov (United States)

    Oshima, Yuichi; Ahmadi, Elaheh; Kaun, Stephen; Wu, Feng; Speck, James S.

    2018-01-01

    We investigated the homoepitaxial growth and etching characteristics of (001) β-Ga2O3 by plasma-assisted molecular beam epitaxy. The growth rate of β-Ga2O3 increased with increasing Ga-flux, reaching a clear plateau of 56 nm h-1, and then decreased at higher Ga-flux. The growth rate decreased from 56 to 42 nm h-1 when the substrate temperature was increased from 750 °C to 800 °C. The growth rate was negative (net etching) when only Ga-flux was supplied. The etching rate proportionally increased with increasing the Ga-flux, reaching 84 nm h-1. The etching was enhanced at higher temperatures. It was found that Ga-etching of (001) β-Ga2O3 substrates prior to the homoepitaxial growth markedly improved the surface roughness of the film.

  9. Development of the DC-RF Hybrid Plasma Source and the Application to the Etching and Texturing of the Silicon Surface

    International Nuclear Information System (INIS)

    Kim, Ji Hun

    2011-02-01

    Plasma used in dry etching, thin film deposition and surface treatment for display or semiconductor industries are operating at low pressures in general. However, low pressure processing is very costly due to the use of vacuum equipment and vacuum components. Subsequent wet processing is environmentally undesirable due to the use of a large amount of chemicals. Also, the usage of vacuum processing increases fabrication cost and decreases productivity. If stable atmospheric plasmas can be used, not only the decrease in processing costs but also the increase in productivity could be obtained. New DC arc plasmatron with a hot rod cathode and cold nozzle anode was developed and its V-A (Volt-Ampere) characteristics were investigated. Outlook of the measured V-A characteristics cleared the question of the stability of arc burning in the new DC arc plasmatron. The plasmatron that has a stable operations with distributed anode spot could possibly be applied to plasma chemical processing. And measured value of the erosion rate for copper anode is m Cu 3.6x10 -10 g/C which is better than corresponding data for thermo-ionic emission cathodes made of tungsten mw ∼10 -9 g/C. These facts mean that plasmatron durability reaches ∼10 3 h. The low anode erosion rate is related to the large surface of arc-anode contact due to distributed anode arc spot, which reduces the current density. Unique characteristics of the new plasma source concerning its durability and plasma purity at rather low temperatures make it an interesting tool for technical applications, such as etching/deposition and chemical reaction. To apply a plasma processing, the T-type plasmatron was modified to A-type. The A-type plasmatron was used to activate the CF 4 and SF 6 gases in etching experiments at atmospheric and low pressure. To reduce the recombination rate of the activated gas particles inside a plasmatron and let them preserve their activated state outside, the whole device was installed outside of

  10. Phosphorus Doping Using Electron Cyclotron Resonance Plasma for Large-Area Polycrystalline Silicon Thin Film Transistors

    Science.gov (United States)

    Kakinuma, Hiroaki; Mohri, Mikio; Tsuruoka, Taiji

    1994-01-01

    We have investigated phosphorus doping using an electron cyclotron resonance (ECR) plasma, for application to the poly-Si driving circuits of liquid crystal displays or image sensors. The PH3/He was ionized and accelerated to poly-Si and c-Si substrates with a self bias of -220 V. The P concentration, as detected by secondary ion mass spectroscopy (SIMS), is ˜5×1021 cm-3 at the surface, which decayed to ˜1017 cm-3 within 50 100 nm depth. The surface is found to be etched during doping. The etching is restored by adding a small amount of SiH4 and the sheet resistance R s decreases. The optimized as-irradiated R s is ˜ 1× 105 Ω/\\Box and 1.7× 102 Ω/\\Box for poly-Si and (110) c-Si, respectively. The dependence of R s on the substrates and the anomalous diffusion constants derived from SIMS are also discussed.

  11. Theoretical approach for plasma series resonance effect in geometrically symmetric dual radio frequency plasma

    International Nuclear Information System (INIS)

    Bora, B.; Bhuyan, H.; Favre, M.; Wyndham, E.; Chuaqui, H.

    2012-01-01

    Plasma series resonance (PSR) effect is well known in geometrically asymmetric capacitively couple radio frequency plasma. However, plasma series resonance effect in geometrically symmetric plasma has not been properly investigated. In this work, a theoretical approach is made to investigate the plasma series resonance effect and its influence on Ohmic and stochastic heating in geometrically symmetric discharge. Electrical asymmetry effect by means of dual frequency voltage waveform is applied to excite the plasma series resonance. The results show considerable variation in heating with phase difference between the voltage waveforms, which may be applicable in controlling the plasma parameters in such plasma.

  12. Dry etching of thin chalcogenide films

    Energy Technology Data Exchange (ETDEWEB)

    Petkov, Kiril [Acad. J. Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl. 109, 1113 Sofia (Bulgaria); Vassilev, Gergo; Vassilev, Venceslav, E-mail: kpetkov@clf.bas.b [Department of Semiconductors, University of Chemical Technology and Metallurgy, 8 Kl. Ohridsky Blvd., 1756 Sofia (Bulgaria)

    2010-04-01

    Fluorocarbon plasmas (pure and mixtures with Ar) were used to investigate the changes in the etching rate depending on the chalcogenide glasses composition and light exposure. The experiments were performed on modified commercial HZM-4 vacuum equipment in a diode electrode configuration. The surface microstructure of thin chalcogenide layers and its change after etching in CCl{sub 2}F{sub 2} and CF{sub 4} plasmas were studied by SEM. The dependence of the composition of As-S-Ge, As-Se and multicomponent Ge-Se-Sb-Ag-I layers on the etching rate was discussed. The selective etching of some glasses observed after light exposure opens opportunities for deep structure processing applications.

  13. A study on etching of UO2, Co, and Mo surface with R.F. plasma using CF4 and O2

    International Nuclear Information System (INIS)

    Kim, Yong Soo; Seo, Yong Dae

    2003-01-01

    Recently dry decontamination/surface-cleaning technology using plasma etching has been focused in the nuclear industry. In this study, the applicability of this new dry processing technique are experimentally investigated by examining the etching reaction of UO 2 , Co, and Mo in r.f. plasma with the etchant gas of CF 4 /O 2 mixture. UO 2 is chosen as a representing material for uranium and TRU (TRans-Uranic) compounds while metallic Co and Mo are selected because they are the principal contaminants in the used metallic nuclear components such as valves and pipes made of stainless steel or Inconel. Results show that in all cases maximum etching rate is achieved when the mole fraction of O 2 in CF 4 /O 2 mixture gas is 20%, regardless of temperature and r.f. power. In case of UO 2 , the highest etching reaction rate is greater than 1000 monolayers/min. at 370 .deg. C under 150 W r.f. power which is equivalent to 0.4 μm/min. As for Co, etching reaction begins to take place significantly when the temperature exceeds 350 .deg. C. Maximum etching rate achieved at 380 .deg. C is 0.06 μm/min. Mo etching reaction takes place vigorously even at relatively low temperature and the reaction rate increases drastically with increasing temperature. Highest etching rate at 380 .deg. C is 1.9 μm /min. According to OES (Optical Emission Spectroscopy) and AES (Auger Electron Spectroscopy) analysis, primary reaction seems to be a fluorination reaction, but carbonyl compound formation reaction may assist the dominant reaction, especially in case of Co and Mo. Through this basic study, the feasibility and the applicability of plasma decontamination technique are demonstrated

  14. Screening Resonances In Plasmas

    International Nuclear Information System (INIS)

    Winkler, P.

    1998-01-01

    When it was suggested that a new recombination mechanism (Resonant Radiative Recombination (RRR)) which, based on very general physical arguments, should happen in dense plasmas and promises to provide useful information for the local temperature and density diagnostics of plasmas, they assumed the existence of screening resonances. For model potentials the existence of screening resonances has been demonstrated beyond reasonable doubt in a number of calculations. The key question, how well those potentials describe the dominant effects of a real plasma remains open. The relation of theoretical predictions to experimentally measurable effects is an important issue at the present stage of their research. In particular, RRR is expected to account for enhanced recombination rates of low energetic electrons with their ions, since the first stage is the resonant capture of a slow electron by an atom or ion. The mechanism that traps an electron is a combination of complicated many-body interactions of the ions and electrons. For clarity they start here, however, with a discussion in terms of local potential traps the shapes of which are determined predominantly and in an average way by two factors: the degree of screening present at the ionic site and the degree of short-range order in the immediate neighborhood of this ion

  15. InAs0.45P0.55/InP strained multiple quantum wells intermixed by inductively coupled plasma etching

    International Nuclear Information System (INIS)

    Cao, Meng; Wu, Hui-Zhen; Lao, Yan-Feng; Cao, Chun-Fang; Liu, Cheng

    2009-01-01

    The intermixing effect on InAs 0.45 P 0.55 /InP strained multiple quantum wells (SMQWs) by inductively coupled plasma (ICP) etching and rapid thermal annealing (RTA) is investigated. Experiments show that the process of ICP etching followed RTA induces the blue shift of low temperature photoluminescence (PL) peaks of QWs. With increasing etching depth, the PL intensities are firstly enhanced and then diminished. This phenomenon is attributed to the variation of surface roughness and microstructure transformation inside the QW structure during ICP processing.

  16. Fluorocarbon polymer formation, characterization, and reduction in polycrystalline-silicon etching with CF4-added plasma

    International Nuclear Information System (INIS)

    Xu Songlin; Sun Zhiwen; Chen Arthur; Qian Xueyu; Podlesnik, Dragan

    2001-01-01

    Addition of CF 4 into HBr-based plasma for polycrystalline-silicon gate etching reduces the deposition of an etch byproduct, silicon oxide, onto the chamber wall but tends to generate organic polymer. In this work, a detailed study has been carried out to analyze the mechanism of polymerization and to characterize the polymer composition and quantity. The study has shown that the polymer formation is due to the F-radical depletion by H atoms dissociated from HBr. The composition of the polymer changes significantly with CF 4 concentration in the gas feed, and the polymer deposition rate depends on CF 4 % and other process conditions such as source power, bias power, and pressure. Surface temperature also affects the polymer deposition rate. Adding O 2 into the plasma can clean the organic polymer, but the O 2 amount has to be well controlled in order to prevent the formation of silicon oxide. Based on a series of tests to evaluate polymer deposition and oxide cleaning with O 2 addition, an optimized process regime in terms of O 2 -to-CF 4 ratio has been identified to simultaneously suppress the polymer and oxide deposition so that the etch process becomes self-cleaning

  17. Growth of carbon fibres, sheets and tubes on diamond films under high power plasma etching conditions

    Energy Technology Data Exchange (ETDEWEB)

    Villalpando, I. [Centro de Investigacion de los Recursos Naturales, Antigua Normal Rural, Salaices, Lopez, Chihuahua (Mexico); John, P.; Wilson, J. I. B., E-mail: isaelav@hotmail.com [School of Engineering and Physical Sciences, Heriot-Watt University, Riccarton, Edinburgh, EH14-4AS (United Kingdom)

    2017-11-01

    The application of diamond as a plasma facing material for fusion reactors can be limited by unknown reactions between diamond and the chamber materials transported by the plasma. Transformation of diamond to other structures can cause problems such as contamination of the plasma with loose particles or retention of gases. We have seen that diamond thin films are eroded under hydrogen plasma etching, but if silicon is present the growth of various carbon structures on diamond films is observed. We have produced carbon with different morphologies on diamond films including fibres, sheets with flower-like shapes and tubes and proposed growth mechanisms based on the results of scanning electron microscopy, X-ray photoelectron spectroscopy and Raman spectroscopy. Sample surfaces contain silicon and are oxidised having COO and CO groups as seen by XP S analysis. Raman analyses revealed a spectrum typical for graphite combined with that from diamond that remains on the surface after hydrogen bombardment. The results of this sturdy show the experimental conditions in which carbon fibres, sheets and tubes are produced under high-power hydrogen etching of diamond films and open the possibility to other applications such as catalysts, sensors and the production of electrodes. (Author)

  18. Growth of carbon fibres, sheets and tubes on diamond films under high power plasma etching conditions

    International Nuclear Information System (INIS)

    Villalpando, I.; John, P.; Wilson, J. I. B.

    2017-01-01

    The application of diamond as a plasma facing material for fusion reactors can be limited by unknown reactions between diamond and the chamber materials transported by the plasma. Transformation of diamond to other structures can cause problems such as contamination of the plasma with loose particles or retention of gases. We have seen that diamond thin films are eroded under hydrogen plasma etching, but if silicon is present the growth of various carbon structures on diamond films is observed. We have produced carbon with different morphologies on diamond films including fibres, sheets with flower-like shapes and tubes and proposed growth mechanisms based on the results of scanning electron microscopy, X-ray photoelectron spectroscopy and Raman spectroscopy. Sample surfaces contain silicon and are oxidised having COO and CO groups as seen by XP S analysis. Raman analyses revealed a spectrum typical for graphite combined with that from diamond that remains on the surface after hydrogen bombardment. The results of this sturdy show the experimental conditions in which carbon fibres, sheets and tubes are produced under high-power hydrogen etching of diamond films and open the possibility to other applications such as catalysts, sensors and the production of electrodes. (Author)

  19. Anisotropic etching of tungsten-nitride with ICP system

    CERN Document Server

    Lee, H G; Moon, H S; Kim, S H; Ahn, J; Sohn, S

    1998-01-01

    Inductively Coupled Plasma ion streaming etching of WN sub x film is investigated for preparing x-ray mask absorber patterns. SF sub 6 gas plasma provides for effective etching of WN sub x , and the addition of Ar and N sub 2 results in higher dissociation of SF sub 6 and sidewall passivation effect, respectively. Microloading effect observed for high aspect ratio patterns is minimized by multi-step etching and O sub 2 plasma treatment process. As a result, 0.18 mu m WN sub x line and space patterns with vertical sidewall profile are successfully fabricated.

  20. Layer-by-layer thinning of MoSe{sub 2} by soft and reactive plasma etching

    Energy Technology Data Exchange (ETDEWEB)

    Sha, Yunfei [Engineering Research Center of IoT Technology Applications (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi 214122 (China); Xiao, Shaoqing, E-mail: larring0078@hotmail.com [Engineering Research Center of IoT Technology Applications (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi 214122 (China); Zhang, Xiumei [Engineering Research Center of IoT Technology Applications (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi 214122 (China); Qin, Fang [Analysis & Testing Center, Jiangnan University, Wuxi 214122 (China); Gu, Xiaofeng, E-mail: xfgu@jiangnan.edu.cn [Engineering Research Center of IoT Technology Applications (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi 214122 (China)

    2017-07-31

    Highlights: • Soft plasma etching technique using SF{sub 6} + N{sub 2} as precursors for layer-by-layer thinning of MoSe{sub 2} was adopted in this work. • Optical microscopy, Raman, photoluminescence and atomic force microscopy measurements were used to confirm the thickness change. • Layer-dependent vibrational and photoluminescence spectra of the etched MoSe{sub 2} were also demonstrated. • Equal numbers of MoSe{sub 2} layers can be removed uniformly without affecting the underlying SiO{sub 2} substrate and the remaining MoSe{sub 2} layers. - Abstract: Two-dimensional (2D) transition metal dichalcogenides (TMDs) like molybdenum diselenide (MoSe{sub 2}) have recently gained considerable interest since their properties are complementary to those of graphene. Unlike gapless graphene, the band structure of MoSe{sub 2} can be changed from the indirect band gap to the direct band gap when MoSe{sub 2} changed from bulk material to monolayer. This transition from multilayer to monolayer requires atomic-layer-precision thining of thick MoSe{sub 2} layers without damaging the remaining layers. Here, we present atomic-layer-precision thinning of MoSe{sub 2} nanaosheets down to monolayer by using SF{sub 6} + N{sub 2} plasmas, which has been demonstrated to be soft, selective and high-throughput. Optical microscopy, atomic force microscopy, Raman and photoluminescence spectra suggest that equal numbers of MoSe{sub 2} layers can be removed uniformly regardless of their initial thickness, without affecting the underlying SiO{sub 2} substrate and the remaining MoSe{sub 2} layers. By adjusting the etching rates we can achieve complete MoSe{sub 2} removal and any disired number of MoSe{sub 2} layers including monolayer. This soft plasma etching method is highly reliable and compatible with the semiconductor manufacturing processes, thereby holding great promise for various 2D materials and TMD-based devices.

  1. Selective dry etching of silicon containing anti-reflective coating

    Science.gov (United States)

    Sridhar, Shyam; Nolan, Andrew; Wang, Li; Karakas, Erdinc; Voronin, Sergey; Biolsi, Peter; Ranjan, Alok

    2018-03-01

    Multi-layer patterning schemes involve the use of Silicon containing Anti-Reflective Coating (SiARC) films for their anti-reflective properties. Patterning transfer completion requires complete and selective removal of SiARC which is very difficult due to its high silicon content (>40%). Typically, SiARC removal is accomplished through a non-selective etch during the pattern transfer process using fluorine containing plasmas, or an ex-situ wet etch process using hydrofluoric acid is employed to remove the residual SiARC, post pattern transfer. Using a non-selective etch may result in profile distortion or wiggling, due to distortion of the underlying organic layer. The drawbacks of using wet etch process for SiARC removal are increased overall processing time and the need for additional equipment. Many applications may involve patterning of active structures in a poly-Si layer with an underlying oxide stopping layer. In such applications, SiARC removal selective to oxide using a wet process may prove futile. Removing SiARC selectively to SiO2 using a dry etch process is also challenging, due to similarity in the nature of chemical bonds (Si - O) in the two materials. In this work, we present highly selective etching of SiARC, in a plasma driven by a surface wave radial line slot antenna. The first step in the process involves an in-situ modification of the SiARC layer in O2 plasma followed by selective etching in a NF3/H2 plasma. Surface treatment in O2 plasma resulted in enhanced etching of the SiARC layer. For the right processing conditions, in-situ NF3/H2 dry etch process demonstrated selectivity values greater than 15:1 with respect to SiO2. The etching chemistry, however, was sensitive to NF3:H2 gas ratio. For dilute NF3 in H2, no SiARC etching was observed. Presumably, this is due to the deposition of ammonium fluorosilicate layer that occurs for dilute NF3/H2 plasmas. Additionally, challenges involved in selective SiARC removal (selective to SiO2, organic

  2. Thinning of N-face GaN (0001) samples by inductively coupled plasma etching and chemomechanical polishing

    International Nuclear Information System (INIS)

    Rizzi, F.; Gu, E.; Dawson, M. D.; Watson, I. M.; Martin, R. W.; Kang, X. N.; Zhang, G. Y.

    2007-01-01

    The processing of N-polar GaN (0001) samples has been studied, motivated by applications in which extensive back side thinning of freestanding GaN (FS-GaN) substrates is required. Experiments were conducted on FS-GaN from two commercial sources, in addition to epitaxial GaN with the N-face exposed by a laser lift-off process. The different types of samples produced equivalent results. Surface morphologies were examined over relatively large areas, using scanning electron microscopy and stylus profiling. The main focus of this study was on inductively coupled plasma (ICP) etch processes, employing Cl 2 /Ar or Cl 2 /BCl 3 Ar gas mixtures. Application of a standard etch recipe, optimized for feature etching of Ga-polar GaN (0001) surfaces, caused severe roughening of N-polar samples and confirmed the necessity for specific optimization of etch conditions for N-face material. A series of recipes with a reduced physical (sputter-based) contribution to etching allowed average surface roughness values to be consistently reduced to below 3 nm. Maximum N-face etch rates of 370-390 nm/min have been obtained in recipes examined to date. These are typically faster than etch rates obtained on Ga-face samples under the same conditions and adequate for the process flows of interest. Mechanistic aspects of the ICP etch process and possible factors contributing to residual surface roughness are discussed. This study also included work on chemomechanical polishing (CMP). The optimized CMP process had stock removal rates of ∼500 nm/h on the GaN N face. This was much slower than the ICP etching but showed the important capability of recovering smooth surfaces on samples roughened in previous processing. In one example, a surface roughened by nonoptimized ICP etching was smoothed to give an average surface roughness of ∼2 nm

  3. Low-temperature plasma etching of high aspect-ratio densely packed 15 to sub-10 nm silicon features derived from PS-PDMS block copolymer patterns

    International Nuclear Information System (INIS)

    Liu, Zuwei; Sassolini, Simone; Olynick, Deirdre L; Gu, Xiaodan; Hwu, Justin

    2014-01-01

    The combination of block copolymer (BCP) lithography and plasma etching offers a gateway to densely packed sub-10 nm features for advanced nanotechnology. Despite the advances in BCP lithography, plasma pattern transfer remains a major challenge. We use controlled and low substrate temperatures during plasma etching of a chromium hard mask and then the underlying substrate as a route to high aspect ratio sub-10 nm silicon features derived from BCP lithography. Siloxane masks were fabricated using poly(styrene-b-siloxane) (PS-PDMS) BCP to create either line-type masks or, with the addition of low molecular weight PS-OH homopolymer, dot-type masks. Temperature control was essential for preventing mask migration and controlling the etched feature’s shape. Vertical silicon wire features (15 nm with feature-to-feature spacing of 26 nm) were etched with aspect ratios up to 17 : 1; higher aspect ratios were limited by the collapse of nanoscale silicon structures. Sub-10 nm fin structures were etched with aspect ratios greater than 10 : 1. Transmission electron microscopy images of the wires reveal a crystalline silicon core with an amorphous surface layer, just slightly thicker than a native oxide. (paper)

  4. Etching characteristic and mechanism of BST thin films using inductively coupled Cl2/Ar plasma with additive CF4 gas

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2004-01-01

    BST thin films were etched with inductively coupled CF 4 /(Cl 2 +Ar) plasmas. The maximum etch rate of the BST thin films was 53.6 nm/min for a 10% CF 4 to the Cl 2 /Ar gas mixture at RF power of 700 W, DC bias of -150 V, and chamber pressure of 2 Pa. Small addition of CF 4 to the Cl 2 /Ar mixture increased chemical effect. Consequently, the increased chemical effect caused the increase in the etch rate of the BST thin films. To clarify the etching mechanism, the surface reaction of the BST thin films was investigated by X-ray photoelectron spectroscopy

  5. Properties and etching rates of negative ions in inductively coupled plasmas and dc discharges produced in Ar/SF6

    International Nuclear Information System (INIS)

    Draghici, M.; Stamate, E.

    2010-01-01

    Negative ion production is investigated in a chamber with transversal magnetic filter operated in dc or inductively coupled plasma (ICP) modes in Ar/SF 6 gas mixtures. Plasma parameters are evaluated by mass spectrometry and Langmuir probe for different discharge conditions. The density ratio of negative ion to electron exceeded 300 in dc mode while it was below 100 in the ICP mode. The possibility to apply a large positive bias to an electrode without affecting the plasma potential and the transition from a negative sheath to anodic glow are also investigated. The etching rates by positive and negative ions are evaluated on silicon substrate for different Ar/SF 6 gas ratios. The etching rate by negative ions was with less than 5% smaller than that by positive ions.

  6. Properties and etching rates of negative ions in inductively coupled plasmas and dc discharges produced in Ar/SF6

    DEFF Research Database (Denmark)

    Draghici, Mihai; Stamate, Eugen

    2010-01-01

    of negative ion to electron exceeded 300 in dc mode while it was below 100 in the ICP mode. The possibility to apply a large positive bias to an electrode without affecting the plasma potential and the transition from a negative sheath to anodic glow are also investigated. The etching rates by positive...... and negative ions are evaluated on silicon substrate for different Ar/SF6 gas ratios. The etching rate by negative ions was with less than 5% smaller than that by positive ions.......Negative ion production is investigated in a chamber with transversal magnetic filter operated in dc or inductively coupled plasma (ICP) modes in Ar/SF6 gas mixtures. Plasma parameters are evaluated by mass spectrometry and Langmuir probe for different discharge conditions. The density ratio...

  7. Josephson plasma resonance in superconducting multilayers

    DEFF Research Database (Denmark)

    Pedersen, Niels Falsig

    1999-01-01

    We derive an analytical solution for the josephson plasma resonance of superconducting multilayers. This analytical solution is derived mainly for low T-c systems with magnetic coupling between the superconducting layers, but many features of our results are more general, and thus an application...... to the recently derived plasma resonance phenomena for high T-c superconductors of the BSCCO type is discussed....

  8. Surface changes of biopolymers PHB and PLLA induced by Ar+ plasma treatment and wet etching

    Science.gov (United States)

    Slepičková Kasálková, N.; Slepička, P.; Sajdl, P.; Švorčík, V.

    2014-08-01

    Polymers, especially group of biopolymers find potential application in a wide range of disciplines due to their biodegradability. In biomedical applications these materials can be used as a scaffold or matrix. In this work, the influence of the Ar+ plasma treatment and subsequent wet etching (acetone/water) on the surface properties of polymers were studied. Two biopolymers - polyhydroxybutyrate with 8% polyhydroxyvalerate (PHB) and poly-L-lactic acid (PLLA) were used in these experiments. Modified surface layers were analyzed by different methods. Surface wettability was characterized by determination of water contact angle. Changes in elemental composition of modified surfaces were performed by X-ray Photoelectron Spectroscopy (XPS). Surface morphology and roughness was examined using Atomic Force Microscopy (AFM). Gravimetry method was used to study the mass loss. It was found that the modification from both with plasma and wet etching leads to dramatic changes of surface properties (surface chemistry, morphology and roughness). Rate of changes of these features strongly depends on the modification parameters.

  9. Surface plasmon resonance investigation of optical detection in plasma-modified phospholipid layers

    International Nuclear Information System (INIS)

    Park, Byoungchoo; Cho, Chanyoun; Choi, Kyoungho; Jeon, Honggoo

    2012-01-01

    We herein report on a study of surface plasmon resonance (SPR) in thin gold (Au) films coated with thin layers of phospholipid material, which had been exposed to an atmospheric pressure (AP) plasma containing both pure Ar and Ar mixed with O 2 (Ar/O 2 , 0.8%). The phospholipid material that we used for the SPR experiments was lecithin, and the AP plasma system was applied in air by means of a radio-frequency (RF) plasma generator. A thin (∼60 nm) film of Au and a thin (∼15 nm) layer of lecithin were deposited and attached to the face of a prism, and surface plasmon modes were excited along the interfaces of the prism-Au-lecithin-air system by means of prism coupling using a He-Ne Laser (632.8 nm). The experimental SPR reflectance curves of the Au-lecithin-air modes were found to be shifted towards those of the Au-air mode with increasing applications of AP RF plasma treatment. From the shifts in the SPR curves, we found that the estimated thickness of the lecithin layer treated with a pure Ar plasma showed a linear decrease with etching rate of about 3 nm per treatment while the thickness of the lecithin layer treated with a mixed Ar/O 2 plasma showed a tendency to saturate following a large initial decrease (ca. 14 nm). All these results demonstrate that the use of SPR sensing could facilitate the detection of extremely small variations in plasma-treated films of biomaterials.

  10. Micro-structuring of thick NdFeB films using high-power plasma etching for magnetic MEMS application

    International Nuclear Information System (INIS)

    Jiang, Yonggang; Fujita, Takayuki; Higuchi, Kohei; Maenaka, Kazusuke; Masaoka, Shingo; Uehara, Minoru

    2011-01-01

    This paper describes the micro-patterning of thick NdFeB magnetic films using a high-power plasma etching method. The effects of RF bias power and gas composition on the selectivity and etching rate are experimentally studied. A maximum etching rate of 60 nm min −1 is achieved with an inductively coupled plasma power of 500 W and a RF bias power of 200 W. A maximum selectivity of 0.26 between hard baked AZP4903 photoresist and NdFeB magnetic films is achieved when volumetric Cl 2 concentration is 2.5%. NdFeB micro-magnets as thick as 4.2 µm are achieved by using AZP4903 photoresist. Magnetic film as thick as 10 µm can be patterned by using SU-8 photoresist with a thickness of 100 µm as the mask. The magnetic property of patterned microstructures is characterized using a vibrating sample magnetometer and the magnetic field distribution is measured using a Hall effect sensor IC. The characterization results indicate that the patterned magnetic microstructures have a high magnetic remanance of 1.0 T, which is comparable to that of the non-patterned NdFeB films.

  11. Mechanical Stress in InP Structures Etched in an Inductively Coupled Plasma Reactor with Ar/Cl2/CH4 Plasma Chemistry

    Science.gov (United States)

    Landesman, Jean-Pierre; Cassidy, Daniel T.; Fouchier, Marc; Pargon, Erwine; Levallois, Christophe; Mokhtari, Merwan; Jimenez, Juan; Torres, Alfredo

    2018-02-01

    We investigated the crystal lattice deformation that can occur during the etching of structures in bulk InP using SiNx hard masks with Ar/Cl2/CH4 chemistries in an inductively coupled plasma reactor. Two techniques were used: degree of polarization (DOP) of the photo-luminescence, which gives information on the state of mechanical stress present in the structures, and spectrally resolved cathodo-luminescence (CL) mapping. This second technique also provides elements on the mechanical stress in the samples through analysis of the spectral shift of the CL intrinsic emission lines. Preliminary DOP mapping experiments have been conducted on the SiNx hard mask patterns without etching the underlying InP. This preliminary study demonstrated the potential of DOP to map mechanical stress quantitatively in the structures. In a second step, InP patterns with various widths between 1 μm and 20 μm, and various depths between 1 μm and 6 μm, were analyzed by the 2 techniques. DOP measurements were made both on the (100) top surface of the samples and on the (110) cleaved cross section. CL measurements were made only from the (100) surface. We observed that inside the etched features, close to the vertical etched walls, there is always some compressive deformation, while it is tensile just outside the etched features. The magnitude of these effects depends on the lateral and depth dimensions of the etched structures, and on the separation between them (the tensile deformation increases between them due to some kind of proximity effect when separation decreases).

  12. Monitoring of PVD, PECVD and etching plasmas using Fourier components of RF voltage

    International Nuclear Information System (INIS)

    Dvorak, P; Vasina, P; Bursikova, V; Zemlicka, R

    2010-01-01

    Fourier components of discharge voltages were measured in two different reactive plasmas and their response to the creation or destruction of a thin film was studied. In reactive magnetron sputtering the effect of transition from the metallic to the compound mode accompanied by the creation of a compound film on the sputtered target was observed. Further, deposition and etching of a diamond-like carbon film and their effects on amplitudes of Fourier components of the discharge voltage were studied. It was shown that the Fourier components, including higher harmonic frequencies, sensitively react to the presence of a film. Therefore, they can be used as a powerful tool for the monitoring of deposition and etching processes. It was demonstrated that the behaviour of the Fourier components was caused in both experiments by the presence of the film. It was not caused by changes in the chemical composition of the gas phase induced by material etched from the film or decrease in gettering rate. Further, the observed behaviour was not affected by the film conductivity. The behaviour of the Fourier components can be explained by the difference between the coefficients of secondary electron emission of the film and its underlying material.

  13. Cryogenic rf test of the first SRF cavity etched in an rf Ar/Cl2 plasma

    Science.gov (United States)

    Upadhyay, J.; Palczewski, A.; Popović, S.; Valente-Feliciano, A.-M.; Im, Do; Phillips, H. L.; Vušković, L.

    2017-12-01

    An apparatus and a method for etching of the inner surfaces of superconducting radio frequency (SRF) accelerator cavities are described. The apparatus is based on the reactive ion etching performed in an Ar/Cl2 cylindrical capacitive discharge with reversed asymmetry. To test the effect of the plasma etching on the cavity rf performance, a 1497 MHz single cell SRF cavity was used. The single cell cavity was mechanically polished and buffer chemically etched and then rf tested at cryogenic temperatures to provide a baseline characterization. The cavity's inner wall was then exposed to the capacitive discharge in a mixture of Argon and Chlorine. The inner wall acted as the grounded electrode, while kept at elevated temperature. The processing was accomplished by axially moving the dc-biased, corrugated inner electrode and the gas flow inlet in a step-wise manner to establish a sequence of longitudinally segmented discharges. The cavity was then tested in a standard vertical test stand at cryogenic temperatures. The rf tests and surface condition results, including the electron field emission elimination, are presented.

  14. Cryogenic rf test of the first SRF cavity etched in an rf Ar/Cl2 plasma

    Directory of Open Access Journals (Sweden)

    J. Upadhyay

    2017-12-01

    Full Text Available An apparatus and a method for etching of the inner surfaces of superconducting radio frequency (SRF accelerator cavities are described. The apparatus is based on the reactive ion etching performed in an Ar/Cl2 cylindrical capacitive discharge with reversed asymmetry. To test the effect of the plasma etching on the cavity rf performance, a 1497 MHz single cell SRF cavity was used. The single cell cavity was mechanically polished and buffer chemically etched and then rf tested at cryogenic temperatures to provide a baseline characterization. The cavity’s inner wall was then exposed to the capacitive discharge in a mixture of Argon and Chlorine. The inner wall acted as the grounded electrode, while kept at elevated temperature. The processing was accomplished by axially moving the dc-biased, corrugated inner electrode and the gas flow inlet in a step-wise manner to establish a sequence of longitudinally segmented discharges. The cavity was then tested in a standard vertical test stand at cryogenic temperatures. The rf tests and surface condition results, including the electron field emission elimination, are presented.

  15. The numerical simulation of plasma flow in cylindrical resonant cavity of microwave plasma thruster

    International Nuclear Information System (INIS)

    Tang, J.-L.; He, H.-Q; Mao, G.-W.

    2004-01-01

    Microwave Plasma Thruster (MPT) is an electro-thermal propulsive device. MPT consists of microwave generator, gas storing and supplying system, resonant cavity and accelerative nozzle. It generates free-floating plasma brought by the microwave discharge breakdown gas in the resonant cavity, and the plasma exhausted from nozzle produces thrust. MPT has prospective application in spacecraft because of its advantages of high thrust, moderate specific impulse and high efficiency. In this paper, the numerical simulation of the coupling flow field of microwave plasma in resonant cavity under different frequencies will be discussed. The results of numerical simulation are as follows: 1) When the resonant model TM 011 was used, the higher the microwave frequency was, the smaller the size of MPT. The distribution of the electromagnetic field in small cavity, however, remain unchanged. 2) When the resonant model was used, the distribution of the temperature, the pressure and the electronic density in the resonant cavity remained unchanged under different resonant frequencies. 3) When the resonant frequency was increased with a fixed pressure distribution in a small cavity, compare to the MPT with lower frequency, the gas flow rate, the microwave power and the nozzle throat diameter of MPT all decreased. 4) The electromagnetic field in the cylindrical resonant cavity for all MPT with different frequencies was disturbed by the plasma formation. The strong disturbance happened in the region close to the plasma. (author)

  16. Longitudinally mounted light emitting plasma in a dielectric resonator

    Energy Technology Data Exchange (ETDEWEB)

    Gilliard, Richard; DeVincentis, Marc; Hafidi, Abdeslam; O' Hare, Daniel; Hollingsworth, Gregg [LUXIM Corporation, 1171 Borregas Avenue, Sunnyvale, CA 94089 (United States)

    2011-06-08

    Methods for coupling power from a dielectric resonator to a light-emitting plasma have been previously described (Gilliard et al IEEE Trans. Plasma Sci. at press). Inevitably, regardless of the efficiency of power transfer, much of the emitted light is absorbed in the resonator itself which physically surrounds much if not all of the radiating material. An investigation into a method is presented here for efficiently coupling power to a longitudinally mounted plasma vessel which is mounted on the surface of the dielectric material of the resonator, thereby eliminating significant absorption of light within the resonator structure. The topology of the resonator and its physical properties as well as those of the metal halide plasma are presented. Results of basic models of the field configuration and plasma are shown as well as a configuration suitable as a practical light source.

  17. Genotoxicity studies in semiconductor industry. 1. In vitro mutagenicity and genotoxicity studies of waste samples resulting from plasma etching

    Energy Technology Data Exchange (ETDEWEB)

    Braun, R.; Huettner, E.M.; Merten, H.; Raabe, F. (Institute of Plant Genetics and Crop Plant Research, Gatersleben (Germany))

    1993-07-01

    Solid waste samples taken from the etching reactor, the turbo pump, and the waste air system of a plasma etching technology line in semiconductor production were studied as to their genotoxic properties in a bacterial repair test, in the Ames/Salmonella microsome assay, in the SOS chromotest, in primary mouse hepatocytes, and in Chinese hamster V79 cell cultures. All three waste samples were found to be active by inducing of unscheduled DNA-synthesis in mouse hepatocytes in vitro. In the bacterial rec-type repair test with Proteus mirabilis, waste samples taken from the turbo pump and the vacuum pipe system were not genotoxic. The waste sample taken from the chlorine-mediated plasma reactor was clearly positive in the bacterial repair assay and in the SOS chromotest with Escherichia coli. Mutagenic activity was demonstrated for all samples in the presence and absence of S9 mix made from mouse liver homogenate. Again, highest mutagenic activity was recorded for the waste sample taken from the plasma reactor, while samples collected from the turbo pump and from the waste air system before dilution and liberation of the air were less mutagenic. For all samples chromosomal damage in V79 cells was not detected, indicating absence of clastogenic activity in vitro. Altogether, these results indicate generation of genotoxic and mutagenic products as a consequence of chlorine-mediated plasma etching in the microelectronics industry and the presence of genotoxins even in places distant from the plasma reactor. Occupational exposure can be expected both from the precipitated wastes and from chemicals reaching the environment with the air stream.

  18. Extreme wettability of nanostructured glass fabricated by non-lithographic, anisotropic etching

    Science.gov (United States)

    Yu, Eusun; Kim, Seul-Cham; Lee, Heon Ju; Oh, Kyu Hwan; Moon, Myoung-Woon

    2015-01-01

    Functional glass surfaces with the properties of superhydrophobicity/or superhydrohydrophilicity, anti-condensation or low reflectance require nano- or micro-scale roughness, which is difficult to fabricate directly on glass surfaces. Here, we report a novel non-lithographic method for the fabrication of nanostructures on glass; this method introduces a sacrificial SiO2 layer for anisotropic plasma etching. The first step was to form nanopillars on SiO2 layer-coated glass by using preferential CF4 plasma etching. With continuous plasma etching, the SiO2 pillars become etch-resistant masks on the glass; thus, the glass regions covered by the SiO2 pillars are etched slowly, and the regions with no SiO2 pillars are etched rapidly, resulting in nanopatterned glass. The glass surface that is etched with CF4 plasma becomes superhydrophilic because of its high surface energy, as well as its nano-scale roughness and high aspect ratio. Upon applying a subsequent hydrophobic coating to the nanostructured glass, a superhydrophobic surface was achieved. The light transmission of the glass was relatively unaffected by the nanostructures, whereas the reflectance was significantly reduced by the increase in nanopattern roughness on the glass. PMID:25791414

  19. Anisotropic diamond etching through thermochemical reaction between Ni and diamond in high-temperature water vapour.

    Science.gov (United States)

    Nagai, Masatsugu; Nakanishi, Kazuhiro; Takahashi, Hiraku; Kato, Hiromitsu; Makino, Toshiharu; Yamasaki, Satoshi; Matsumoto, Tsubasa; Inokuma, Takao; Tokuda, Norio

    2018-04-27

    Diamond possesses excellent physical and electronic properties, and thus various applications that use diamond are under development. Additionally, the control of diamond geometry by etching technique is essential for such applications. However, conventional wet processes used for etching other materials are ineffective for diamond. Moreover, plasma processes currently employed for diamond etching are not selective, and plasma-induced damage to diamond deteriorates the device-performances. Here, we report a non-plasma etching process for single crystal diamond using thermochemical reaction between Ni and diamond in high-temperature water vapour. Diamond under Ni films was selectively etched, with no etching at other locations. A diamond-etching rate of approximately 8.7 μm/min (1000 °C) was successfully achieved. To the best of our knowledge, this rate is considerably greater than those reported so far for other diamond-etching processes, including plasma processes. The anisotropy observed for this diamond etching was considerably similar to that observed for Si etching using KOH.

  20. Etch characteristics of BCB film using inductively coupled plasma

    International Nuclear Information System (INIS)

    Kang, Pil Seung; Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il; Kim, Sang Gi

    2003-01-01

    The etching characteristics and mechanism of BCB thin films were investigated as a function of CF 4 /O 2 mixing ratio in ICP system. Maximum etch rate of 830 nm/min is obtained at the mixture of O 2 /CF 4 (=80%/20%). OES actinometry results showed that volume density of oxygen atoms fallows the same extreme behavior with the BCB etch rate, while the density of fluorine atoms changes monotonously. Therefore chemical destruction of BCB by oxygen atoms was proposed as the dominant etch mechanism. XPS analysis showed that the addition of CF 4 to O 2 helps to volatilize silicon atoms containing in BCB but leads to the formation of F-containing polymer layer. The profile of etched BCB film was close to 90 .deg. and the surface was clean

  1. Electron waves and resonances in bounded plasmas

    CERN Document Server

    Vandenplas, Paul E

    1968-01-01

    General theoretical methods and experimental techniques ; the uniform plasma slab-condenser system ; the hollow cylindrical plasma ; scattering of a plane electromagnetic wave by a plasma column in steady magnetic fields (cold plasma approximation) ; hot non-uniform plasma column ; metallic and dielectric resonance probes, plasma-dielectric coated antenna, general considerations.

  2. Etching of polymers, proteins and bacterial spores by atmospheric pressure DBD plasma in air

    Czech Academy of Sciences Publication Activity Database

    Kuzminova, A.; Kretková, T.; Kylián, O.; Hanuš, J.; Khalakhan, I.; Prukner, Václav; Doležalová, Eva; Šimek, Milan; Biederman, H.

    2017-01-01

    Roč. 50, č. 13 (2017), č. článku 135201. ISSN 0022-3727 R&D Projects: GA MŠk(CZ) LD13010 Grant - others:European Cooperation in Science and Technology(XE) COST MP1101 Program:Materials, Physical and Nanosciences COST Action MP1101 Institutional support: RVO:61389021 Keywords : dielectric barrier discharges (DBD) * bio-decontamination * etching * polymers * biomolecules * spores * surface treatment Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics) Impact factor: 2.588, year: 2016 http://iopscience.iop.org/article/10.1088/1361-6463/aa5c21/meta

  3. Surface changes of biopolymers PHB and PLLA induced by Ar{sup +} plasma treatment and wet etching

    Energy Technology Data Exchange (ETDEWEB)

    Slepičková Kasálková, N. [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Slepička, P., E-mail: petr.slepicka@vscht.cz [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Sajdl, P. [Department of Power Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Švorčík, V. [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic)

    2014-08-01

    Polymers, especially group of biopolymers find potential application in a wide range of disciplines due to their biodegradability. In biomedical applications these materials can be used as a scaffold or matrix. In this work, the influence of the Ar{sup +} plasma treatment and subsequent wet etching (acetone/water) on the surface properties of polymers were studied. Two biopolymers – polyhydroxybutyrate with 8% polyhydroxyvalerate (PHB) and poly-L-lactic acid (PLLA) were used in these experiments. Modified surface layers were analyzed by different methods. Surface wettability was characterized by determination of water contact angle. Changes in elemental composition of modified surfaces were performed by X-ray Photoelectron Spectroscopy (XPS). Surface morphology and roughness was examined using Atomic Force Microscopy (AFM). Gravimetry method was used to study the mass loss. It was found that the modification from both with plasma and wet etching leads to dramatic changes of surface properties (surface chemistry, morphology and roughness). Rate of changes of these features strongly depends on the modification parameters.

  4. Resonance absorption of ICRF wave in edge plasma

    International Nuclear Information System (INIS)

    Sugihara, Ryo; Yamanaka, Kaoru.

    1987-07-01

    An edge plasma is shown to significantly absorb ICRF wave when a resonant triplet, a cutoff-resonance-cutoff triplet, is constructed in the evanescent region. Two-ion-component plasmas in a torus are considered though the plasmas are modeled by a slab in which the density changes linearly along the x-axis. The resonance is a perpendicular-ion-cyclotron resonance, i.e., an Alfven resonance, and is formed when the applied frequency ω is smaller than the local cyclotron frequency, at the edge of the antenna side, of the lighter species of ions. Roughly the absorption rate A b is given by M 2 for M 2 >> S 2 and S 4 for S 2 >> M 2 where M = k y l and S ≅ k z l and l is a scale length of the order of the plasma minor radius and k y and k z are the perpendicular and the parallel components of the wave vector. It is noted that the both quantities, M and S, readily become of the order of unity. Since A b is not very sensitive to the density ratio of the two ion species, a few percent of impurities may cause a significant absorption. As the mass ratio of the two ion species comes close to unity the triplet forms readily. Therefore a D-T plasma seems to suffer more easily this kind of resonance absorption than a D-H plasma. (author)

  5. CoPt/TiN films nanopatterned by RF plasma etching towards dot-patterned magnetic media

    Science.gov (United States)

    Szívós, János; Pothorszky, Szilárd; Soltys, Jan; Serényi, Miklós; An, Hongyu; Gao, Tenghua; Deák, András; Shi, Ji; Sáfrán, György

    2018-03-01

    CoPt thin films as possible candidates for Bit Patterned magnetic Media (BPM) were prepared and investigated by electron microscopy techniques and magnetic measurements. The structure and morphology of the Direct Current (DC) sputtered films with N incorporation were revealed in both as-prepared and annealed state. Nanopatterning of the samples was carried out by means of Radio Frequency (RF) plasma etching through a Langmuir-Blodgett film of silica nanospheres that is a fast and high throughput technique. As a result, the samples with hexagonally arranged 100 nm size separated dots of fct-phase CoPt were obtained. The influence of the order of nanopatterning and anneling on the nanostructure formation was revealed. The magnetic properties of the nanopatterned fct CoPt films were investigated by Vibrating Sample Magnetometer (VSM) and Magnetic Force Microscopy (MFM). The results show that CoPt thin film nanopatterned by means of the RF plasma etching technique is promising candidate to a possible realization of BPM. Furthermore, this technique is versatile and suitable for scaling up to technological and industrial applications.

  6. Apparatus and method for plasma processing of SRF cavities

    Science.gov (United States)

    Upadhyay, J.; Im, Do; Peshl, J.; Bašović, M.; Popović, S.; Valente-Feliciano, A.-M.; Phillips, L.; Vušković, L.

    2016-05-01

    An apparatus and a method are described for plasma etching of the inner surface of superconducting radio frequency (SRF) cavities. Accelerator SRF cavities are formed into a variable-diameter cylindrical structure made of bulk niobium, for resonant generation of the particle accelerating field. The etch rate non-uniformity due to depletion of the radicals has been overcome by the simultaneous movement of the gas flow inlet and the inner electrode. An effective shape of the inner electrode to reduce the plasma asymmetry for the coaxial cylindrical rf plasma reactor is determined and implemented in the cavity processing method. The processing was accomplished by moving axially the inner electrode and the gas flow inlet in a step-wise way to establish segmented plasma columns. The test structure was a pillbox cavity made of steel of similar dimension to the standard SRF cavity. This was adopted to experimentally verify the plasma surface reaction on cylindrical structures with variable diameter using the segmented plasma generation approach. The pill box cavity is filled with niobium ring- and disk-type samples and the etch rate of these samples was measured.

  7. Realization of thermally durable close-packed 2D gold nanoparticle arrays using self-assembly and plasma etching

    International Nuclear Information System (INIS)

    Sivaraman, Sankar K; Santhanam, Venugopal

    2012-01-01

    Realization of thermally and chemically durable, ordered gold nanostructures using bottom-up self-assembly techniques are essential for applications in a wide range of areas including catalysis, energy generation, and sensing. Herein, we describe a modular process for realizing uniform arrays of gold nanoparticles, with interparticle spacings of 2 nm and above, by using RF plasma etching to remove ligands from self-assembled arrays of ligand-coated gold nanoparticles. Both nanoscale imaging and macroscale spectroscopic characterization techniques were used to determine the optimal conditions for plasma etching, namely RF power, operating pressure, duration of treatment, and type of gas. We then studied the effect of nanoparticle size, interparticle spacing, and type of substrate on the thermal durability of plasma-treated and untreated nanoparticle arrays. Plasma-treated arrays showed enhanced chemical and thermal durability, on account of the removal of ligands. To illustrate the application potential of the developed process, robust SERS (surface-enhanced Raman scattering) substrates were formed using plasma-treated arrays of silver-coated gold nanoparticles that had a silicon wafer or photopaper as the underlying support. The measured value of the average SERS enhancement factor (2 × 10 5 ) was quantitatively reproducible on both silicon and paper substrates. The silicon substrates gave quantitatively reproducible results even after thermal annealing. The paper-based SERS substrate was also used to swab and detect probe molecules deposited on a solid surface. (paper)

  8. Resonant magnetohydrodynamic waves in high-beta plasmas

    International Nuclear Information System (INIS)

    Ruderman, M. S.

    2009-01-01

    When a global magnetohydrodynamic (MHD) wave propagates in a weakly dissipative inhomogeneous plasma, the resonant interaction of this wave with either local Alfven or slow MHD waves is possible. This interaction occurs at the resonant position where the phase velocity of the global wave coincides with the phase velocity of either Alfven or slow MHD waves. As a result of this interaction a dissipative layer embracing the resonant position is formed, its thickness being proportional to R -1/3 , where R>>1 is the Reynolds number. The wave motion in the resonant layer is characterized by large amplitudes and large gradients. The presence of large gradients causes strong dissipation of the global wave even in very weakly dissipative plasmas. Very often the global wave motion is characterized by the presence of both Alfven and slow resonances. In plasmas with small or moderate plasma beta β, the resonance positions corresponding to the Alfven and slow resonances are well separated, so that the wave motion in the Alfven and slow dissipative layers embracing the Alfven and slow resonant positions, respectively, can be studied separately. However, when β > or approx. R 1/3 , the two resonance positions are so close that the two dissipative layers overlap. In this case, instead of two dissipative layers, there is one mixed Alfven-slow dissipative layer. In this paper the wave motion in such a mixed dissipative layer is studied. It is shown that this motion is a linear superposition of two motions, one corresponding to the Alfven and the other to the slow dissipative layer. The jump of normal velocity across the mixed dissipative layer related to the energy dissipation rate is equal to the sum of two jumps, one that occurs across the Alfven dissipative layer and the other across the slow dissipative layer.

  9. Surface reactions during low-k etching using H2/N2 plasma

    International Nuclear Information System (INIS)

    Fukasawa, Masanaga; Tatsumi, Tetsuya; Oshima, Keiji; Nagahata, Kazunori; Uchida, Saburo; Takashima, Seigo; Hori, Masaru; Kamide, Yukihiro

    2008-01-01

    We investigated the relationship between the hard mask faceting that occurs during organic low-k etching and the ion energy distribution function of a capacitively coupled plasma reactor. We minimized the hard mask faceting by precisely controlling the ion energy. This precise control was obtained by selecting the optimum bottom frequency and bias power. We measured the amount of damage done to a SiOCH film exposed to H 2 /N 2 plasma in order to find the H 2 /N 2 ratio at which the plasma caused the least damage. The amount of moisture uptake by the damaged SiOCH film is the dominant factor controlling the dielectric constant increase (Δk). To suppress Δk, the incident ion species and ion energies have to be precisely controlled. This reduces the number of adsorption sites in the bulk SiOCH and maintains the hydrophobic surface that suppresses water permeation during air exposure

  10. Oxygen plasma etching of graphene: A first-principles dynamical inspection of the reaction mechanisms and related activation barriers

    Science.gov (United States)

    Koizumi, Kenichi; Boero, Mauro; Shigeta, Yasuteru; Oshiyama, Atsushi; Dept. of Applied Physics Team; Institute of Physics and Chemistry of Strasbourg (IPCMS) Collaboration; Department Of Materials Engineering Science Collaboration

    2013-03-01

    Oxygen plasma etching is a crucial step in the fabrication of electronic circuits and has recently received a renovated interest in view of the realization of carbon-based nanodevices. In an attempt at unraveling the atomic-scale details and to provide guidelines for the control of the etching processes mechanisms, we inspected the possible reaction pathways via reactive first principles simulations. These processes involve breaking and formation of several chemical bonds and are characterized by different free-energy barriers. Free-energy sampling techniques (metadynamics and blue moon), used to enhance the standard Car-Parrinello molecular dynamics, provide us a detailed microscopic picture of the etching of graphene surfaces and a comprehensive scenario of the activation barriers involved in the various steps. MEXT, Japan - contract N. 22104005

  11. Quantum tunneling resonant electron transfer process in Lorentzian plasmas

    International Nuclear Information System (INIS)

    Hong, Woo-Pyo; Jung, Young-Dae

    2014-01-01

    The quantum tunneling resonant electron transfer process between a positive ion and a neutral atom collision is investigated in nonthermal generalized Lorentzian plasmas. The result shows that the nonthermal effect enhances the resonant electron transfer cross section in Lorentzian plasmas. It is found that the nonthermal effect on the classical resonant electron transfer cross section is more significant than that on the quantum tunneling resonant charge transfer cross section. It is shown that the nonthermal effect on the resonant electron transfer cross section decreases with an increase of the Debye length. In addition, the nonthermal effect on the quantum tunneling resonant electron transfer cross section decreases with increasing collision energy. The variation of nonthermal and plasma shielding effects on the quantum tunneling resonant electron transfer process is also discussed

  12. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed

    2014-07-29

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  13. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed; Rubin, Andrew; Refaat, Mohamed; Sedky, Sherif; Abdo, Mohammad

    2014-01-01

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  14. Analysis of radiofrequency discharges in plasma

    Science.gov (United States)

    Kumar, D.; McGlynn, S.P.

    1992-08-04

    Separation of laser optogalvanic signals in plasma into two components: (1) an ionization rate change component, and (2) a photoacoustic mediated component. This separation of components may be performed even when the two components overlap in time, by measuring time-resolved laser optogalvanic signals in an rf discharge plasma as the rf frequency is varied near the electrical resonance peak of the plasma and associated driving/detecting circuits. A novel spectrometer may be constructed to make these measurements. Such a spectrometer would be useful in better understanding and controlling such processes as plasma etching and plasma deposition. 15 figs.

  15. Etching Effect of an Atmospheric DC Arc Plasmatron

    International Nuclear Information System (INIS)

    Chun, Se Min; Kim, Ji Hun; Kang, In Je; Lee, Heon Ju

    2010-01-01

    Thermal plasmas (especially arc plasma) were extensively industrialized, principally by aeronautic sector. Cold plasma technologies have been developed in the microelectronics but their vacuum equipment limits their implantation. Plasmas used in dry etching, thin film deposition and surface treatment for display or semiconductor industries are operating at low pressures in very costly due to the use of vacuum equipment and vacuum components. Use of DC arc plasmatrons in welding, soldering, and cutting of metals is well known. A DC-arc plasmatron with high durability was reported to be a suitable device for etching silicon and photo-resist surfaces

  16. Model for resonant plasma probe.

    Energy Technology Data Exchange (ETDEWEB)

    Warne, Larry Kevin; Johnson, William Arthur; Hebner, Gregory Albert; Jorgenson, Roy E.; Coats, Rebecca Sue

    2007-04-01

    This report constructs simple circuit models for a hairpin shaped resonant plasma probe. Effects of the plasma sheath region surrounding the wires making up the probe are determined. Electromagnetic simulations of the probe are compared to the circuit model results. The perturbing effects of the disc cavity in which the probe operates are also found.

  17. Single-Run Single-Mask Inductively-Coupled-Plasma Reactive-Ion-Etching Process for Fabricating Suspended High-Aspect-Ratio Microstructures

    Science.gov (United States)

    Yang, Yao-Joe; Kuo, Wen-Cheng; Fan, Kuang-Chao

    2006-01-01

    In this work, we present a single-run single-mask (SRM) process for fabricating suspended high-aspect-ratio structures on standard silicon wafers using an inductively coupled plasma-reactive ion etching (ICP-RIE) etcher. This process eliminates extra fabrication steps which are required for structure release after trench etching. Released microstructures with 120 μm thickness are obtained by this process. The corresponding maximum aspect ratio of the trench is 28. The SRM process is an extended version of the standard process proposed by BOSCH GmbH (BOSCH process). The first step of the SRM process is a standard BOSCH process for trench etching, then a polymer layer is deposited on trench sidewalls as a protective layer for the subsequent structure-releasing step. The structure is released by dry isotropic etching after the polymer layer on the trench floor is removed. All the steps can be integrated into a single-run ICP process. Also, only one mask is required. Therefore, the process complexity and fabrication cost can be effectively reduced. Discussions on each SRM step and considerations for avoiding undesired etching of the silicon structures during the release process are also presented.

  18. Elemental depth profiles and plasma etching rates of positive-tone electron beam resists after sequential infiltration synthesis of alumina

    Science.gov (United States)

    Ozaki, Yuki; Ito, Shunya; Hiroshiba, Nobuya; Nakamura, Takahiro; Nakagawa, Masaru

    2018-06-01

    By scanning transmission electron microscopy and energy dispersive X-ray spectroscopy (STEM–EDS), we investigated the elemental depth profiles of organic electron beam resist films after the sequential infiltration synthesis (SIS) of inorganic alumina. Although a 40-nm-thick poly(methyl methacrylate) (PMMA) film was entirely hybridized with alumina, an uneven distribution was observed near the interface between the substrate and the resist as well as near the resist surface. The uneven distribution was observed around the center of a 100-nm-thick PMMA film. The thicknesses of the PMMA and CSAR62 resist films decreased almost linearly as functions of plasma etching period. The comparison of etching rate among oxygen reactive ion etching, C3F8 reactive ion beam etching (RIBE), and Ar ion beam milling suggested that the SIS treatment enhanced the etching resistance of the electron beam resists to chemical reactions rather than to ion collisions. We proposed oxygen- and Ar-assisted C3F8 RIBE for the fabrication of silica imprint molds by electron beam lithography.

  19. Mask-free surface structuring of micro- and nanocrystalline diamond films by reactive ion plasma etching

    Czech Academy of Sciences Publication Activity Database

    Domonkos, Mária; Ižák, Tibor; Babchenko, Oleg; Varga, Marián; Hruška, Karel; Kromka, Alexander

    2014-01-01

    Roč. 6, č. 7 (2014), s. 780-784 ISSN 2164-6627 R&D Projects: GA ČR GAP108/12/0910; GA ČR GAP108/12/0996; GA MPO FR-TI2/736 Institutional support: RVO:68378271 Keywords : micro- and nanocrystalline diamond * capacitively coupled plasma * reactive ion etching * nanostructuring * scanning electron microscopy Subject RIV: BM - Solid Matter Physics ; Magnetism

  20. Real-time control of ion density and ion energy in chlorine inductively coupled plasma etch processing

    International Nuclear Information System (INIS)

    Chang, C.-H.; Leou, K.-C.; Lin Chaung; Lin, T.-L.; Tseng, C.-W.; Tsai, C.-H.

    2003-01-01

    In this study, we have experimentally demonstrated the real-time closed-loop control of both ion density and ion energy in a chlorine inductively coupled plasma etcher. To measure positive ion density, the trace rare gases-optical emission spectroscopy is used to measure the chlorine positive ion density. An rf voltage probe is adopted to measure the root-mean-square rf voltage on the electrostatic chuck which is linearly dependent on sheath voltage. One actuator is a 13.56 MHz rf generator to drive the inductive coil seated on a ceramic window. The second actuator is also a 13.56 MHz rf generator to power the electrostatic chuck. The closed-loop controller is designed to compensate for process drift, process disturbance, and pilot wafer effect and to minimize steady-state error of plasma parameters. This controller has been used to control the etch process of unpatterned polysilicon. The experimental results showed that the closed-loop control had a better repeatability of plasma parameters compared with open-loop control. The closed-loop control can eliminate the process disturbance resulting from reflected power. In addition, experimental results also demonstrated that closed-loop control has a better reproducibility in etch rate as compared with open-loop control

  1. Effect of additive gases and injection methods on chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F2 remote plasmas

    International Nuclear Information System (INIS)

    Yun, Y. B.; Park, S. M.; Kim, D. J.; Lee, N.-E.; Kim, K. S.; Bae, G. H.

    2007-01-01

    The authors investigated the effects of various additive gases and different injection methods on the chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F 2 remote plasmas. N 2 and N 2 +O 2 gases in the F 2 /Ar/N 2 and F 2 /Ar/N 2 /O 2 remote plasmas effectively increased the etch rate of the layers. The addition of direct-injected NO gas increased the etch rates most significantly. NO radicals generated by the addition of N 2 and N 2 +O 2 or direct-injected NO molecules contributed to the effective removal of nitrogen and oxygen in the silicon nitride and oxide layers, by forming N 2 O and NO 2 by-products, respectively, and thereby enhancing SiF 4 formation. As a result of the effective removal of the oxygen, nitrogen, and silicon atoms in the layers, the chemical dry etch rates were enhanced significantly. The process regime for the etch rate enhancement of the layers was extended at elevated temperature

  2. Fabrication of vertical nanowire resonators for aerosol exposure assessment

    Science.gov (United States)

    Merzsch, Stephan; Wasisto, Hutomo Suryo; Stranz, Andrej; Hinze, Peter; Weimann, Thomas; Peiner, Erwin; Waag, Andreas

    2013-05-01

    Vertical silicon nanowire (SiNW) resonators are designed and fabricated in order to assess exposure to aerosol nanoparticles (NPs). To realize SiNW arrays, nanolithography and inductively coupled plasma (ICP) deep reactive ion etching (DRIE) at cryogenic temperature are utilized in a top-down fabrication of SiNW arrays which have high aspect ratios (i.e., up to 34). For nanolithography process, a resist film thickness of 350 nm is applied in a vacuum contact mode to serve as a mask. A pattern including various diameters and distances for creating pillars is used (i.e., 400 nm up to 5 μm). In dry etching process, the etch rate is set high of 1.5 μm/min to avoid underetching. The etch profiles of Si wires can be controlled aiming to have either perpendicularly, negatively or positively profiled sidewalls by adjusting the etching parameters (e.g., temperature and oxygen content). Moreover, to further miniaturize the wire, multiple sacrificial thermal oxidations and subsequent oxide stripping are used yielding SiNW arrays of 650 nm in diameter and 40 μm in length. In the resonant frequency test, a piezoelectric shear actuator is integrated with the SiNWs inside a scanning electron microscope (SEM) chamber. The observation of the SiNW deflections are performed and viewed from the topside of the SiNWs to reduce the measurement redundancy. Having a high deflection of ~10 μm during its resonant frequency of 452 kHz and a low mass of 31 pg, the proposed SiNW is potential for assisting the development of a portable aerosol resonant sensor.

  3. Nanoparticle and nanosphere mask for etching of ITO nanostructures and their reflection properties

    International Nuclear Information System (INIS)

    Xu, Cigang; Deng, Ligang; Holder, Adam; Bailey, Louise R.; Proudfoot, Gary; Thomas, Owain; Gunn, Robert; Cooke, Mike; Leendertz, Caspar; Bergmann, Joachim

    2015-01-01

    Au nanoparticles and polystyrene nanospheres were used as mask for plasma etching of indium tin oxide (ITO) layer. By reactive ion etching (RIE) processes, the morphology of polystyrene nanospheres can be tuned through chemical or physical etching, and Au nanoparticle mask can result in ITO nanostructures with larger aspect ratio than nanosphere mask. During inductively coupled plasma (ICP) processes, Au nanoparticle mask was not affected by the thermal effect of plasma, whereas temperature of the substrate was essential to protect nanospheres from the damaging effect of plasma. Physical bombardment in the plasma can also modify the nanospheres. It was observed that under the same process conditions, the ratio of CH 4 and H 2 in the process gas can affect the etching rate of ITO without completely etching the nanospheres. The morphology of ITO nanostructures also depends on process conditions. The resulting ITO nanostructures show lower reflection in a spectral range of 400-1000 nm than c-Si and conventional antireflection layer of SiN x film. ITO nanostructures obtained after etching (scale bar = 200 nm). (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. Highly selective etching of silicon nitride to physical-vapor-deposited a-C mask in dual-frequency capacitively coupled CH2F2/H2 plasmas

    International Nuclear Information System (INIS)

    Kim, J. S.; Kwon, B. S.; Heo, W.; Jung, C. R.; Park, J. S.; Shon, J. W.; Lee, N.-E.

    2010-01-01

    A multilevel resist (MLR) structure can be fabricated based on a very thin amorphous carbon (a-C) layer ( congruent with 80 nm) and Si 3 N 4 hard-mask layer ( congruent with 300 nm). The authors investigated the selective etching of the Si 3 N 4 layer using a physical-vapor-deposited (PVD) a-C mask in a dual-frequency superimposed capacitively coupled plasma etcher by varying the process parameters in the CH 2 F 2 /H 2 /Ar plasmas, viz., the etch gas flow ratio, high-frequency source power (P HF ), and low-frequency source power (P LF ). They found that under certain etch conditions they obtain infinitely high etch selectivities of the Si 3 N 4 layers to the PVD a-C on both the blanket and patterned wafers. The etch gas flow ratio played a critical role in determining the process window for infinitely high Si 3 N 4 /PVD a-C etch selectivity because of the change in the degree of polymerization. The etch results of a patterned ArF photoresisit/bottom antireflective coating/SiO x /PVD a-C/Si 3 N 4 MLR structure supported the idea of using a very thin PVD a-C layer as an etch-mask layer for the Si 3 N 4 hard-mask pattern with a pattern width of congruent with 80 nm and high aspect ratio of congruent with 5.

  5. Determination of etching parameters for pulsed XeF2 etching of silicon using chamber pressure data

    Science.gov (United States)

    Sarkar, Dipta; Baboly, M. G.; Elahi, M. M.; Abbas, K.; Butner, J.; Piñon, D.; Ward, T. L.; Hieber, Tyler; Schuberth, Austin; Leseman, Z. C.

    2018-04-01

    A technique is presented for determination of the depletion of the etchant, etched depth, and instantaneous etch rate for Si etching with XeF2 in a pulsed etching system in real time. The only experimental data required is the pressure data collected temporally. Coupling the pressure data with the knowledge of the chemical reactions allows for the determination of the etching parameters of interest. Using this technique, it is revealed that pulsed etching processes are nonlinear, with the initial etch rate being the highest and monotonically decreasing as the etchant is depleted. With the pulsed etching system introduced in this paper, the highest instantaneous etch rate of silicon was recorded to be 19.5 µm min-1 for an initial pressure of 1.2 Torr for XeF2. Additionally, the same data is used to determine the rate constant for the reaction of XeF2 with Si; the reaction is determined to be second order in nature. The effect of varying the exposed surface area of Si as well as the effect that pressure has on the instantaneous etch rate as a function of time is shown applying the same technique. As a proof of concept, an AlN resonator is released using XeF2 pulses to remove a sacrificial poly-Si layer.

  6. Electron cyclotron resonance plasma photos

    Energy Technology Data Exchange (ETDEWEB)

    Racz, R.; Palinkas, J. [Institute of Nuclear Research (ATOMKI), H-4026 Debrecen, Bem ter 18/c (Hungary); University of Debrecen, H-4010 Debrecen, Egyetem ter 1 (Hungary); Biri, S. [Institute of Nuclear Research (ATOMKI), H-4026 Debrecen, Bem ter 18/c (Hungary)

    2010-02-15

    In order to observe and study systematically the plasma of electron cyclotron resonance (ECR) ion sources (ECRIS) we made a high number of high-resolution visible light plasma photos and movies in the ATOMKI ECRIS Laboratory. This required building the ECR ion source into an open ECR plasma device, temporarily. An 8MP digital camera was used to record photos of plasmas made from Ne, Ar, and Kr gases and from their mixtures. We studied and recorded the effect of ion source setting parameters (gas pressure, gas composition, magnetic field, and microwave power) to the shape, color, and structure of the plasma. The analysis of the photo series gave us many qualitative and numerous valuable physical information on the nature of ECR plasmas.

  7. Electron cyclotron resonance plasma photos

    International Nuclear Information System (INIS)

    Racz, R.; Palinkas, J.; Biri, S.

    2010-01-01

    In order to observe and study systematically the plasma of electron cyclotron resonance (ECR) ion sources (ECRIS) we made a high number of high-resolution visible light plasma photos and movies in the ATOMKI ECRIS Laboratory. This required building the ECR ion source into an open ECR plasma device, temporarily. An 8MP digital camera was used to record photos of plasmas made from Ne, Ar, and Kr gases and from their mixtures. We studied and recorded the effect of ion source setting parameters (gas pressure, gas composition, magnetic field, and microwave power) to the shape, color, and structure of the plasma. The analysis of the photo series gave us many qualitative and numerous valuable physical information on the nature of ECR plasmas.

  8. Fluorocarbon assisted atomic layer etching of SiO{sub 2} and Si using cyclic Ar/C{sub 4}F{sub 8} and Ar/CHF{sub 3} plasma

    Energy Technology Data Exchange (ETDEWEB)

    Metzler, Dominik; Oehrlein, Gottlieb S., E-mail: oehrlein@umd.edu [Department of Materials Science and Engineering, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20740 (United States); Li, Chen [Department of Physics, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20740 (United States); Engelmann, Sebastian; Bruce, Robert L.; Joseph, Eric A. [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States)

    2016-01-15

    The need for atomic layer etching (ALE) is steadily increasing as smaller critical dimensions and pitches are required in device patterning. A flux-control based cyclic Ar/C{sub 4}F{sub 8} ALE based on steady-state Ar plasma in conjunction with periodic, precise C{sub 4}F{sub 8} injection and synchronized plasma-based low energy Ar{sup +} ion bombardment has been established for SiO{sub 2} [Metzler et al., J. Vac. Sci. Technol. A 32, 020603 (2014)]. In this work, the cyclic process is further characterized and extended to ALE of silicon under similar process conditions. The use of CHF{sub 3} as a precursor is examined and compared to C{sub 4}F{sub 8}. CHF{sub 3} is shown to enable selective SiO{sub 2}/Si etching using a fluorocarbon (FC) film build up. Other critical process parameters investigated are the FC film thickness deposited per cycle, the ion energy, and the etch step length. Etching behavior and mechanisms are studied using in situ real time ellipsometry and x-ray photoelectron spectroscopy. Silicon ALE shows less self-limitation than silicon oxide due to higher physical sputtering rates for the maximum ion energies used in this work, ranged from 20 to 30 eV. The surface chemistry is found to contain fluorinated silicon oxide during the etching of silicon. Plasma parameters during ALE are studied using a Langmuir probe and establish the impact of precursor addition on plasma properties.

  9. Nonlinear relativistic plasma resonance: Renormalization group approach

    Energy Technology Data Exchange (ETDEWEB)

    Metelskii, I. I., E-mail: metelski@lebedev.ru [Russian Academy of Sciences, Lebedev Physical Institute (Russian Federation); Kovalev, V. F., E-mail: vfkvvfkv@gmail.com [Dukhov All-Russian Research Institute of Automatics (Russian Federation); Bychenkov, V. Yu., E-mail: bychenk@lebedev.ru [Russian Academy of Sciences, Lebedev Physical Institute (Russian Federation)

    2017-02-15

    An analytical solution to the nonlinear set of equations describing the electron dynamics and electric field structure in the vicinity of the critical density in a nonuniform plasma is constructed using the renormalization group approach with allowance for relativistic effects of electron motion. It is demonstrated that the obtained solution describes two regimes of plasma oscillations in the vicinity of the plasma resonance— stationary and nonstationary. For the stationary regime, the spatiotemporal and spectral characteristics of the resonantly enhanced electric field are investigated in detail and the effect of the relativistic nonlinearity on the spatial localization of the energy of the plasma relativistic field is considered. The applicability limits of the obtained solution, which are determined by the conditions of plasma wave breaking in the vicinity of the resonance, are established and analyzed in detail for typical laser and plasma parameters. The applicability limits of the earlier developed nonrelativistic theories are refined.

  10. Dry etching technologies for reflective multilayer

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Kase, Yoshihisa; Yoshimori, Tomoaki; Muto, Makoto; Nonaka, Mikio; Iwami, Munenori

    2012-11-01

    We have developed a highly integrated methodology for patterning Extreme Ultraviolet (EUV) mask, which has been highlighted for the lithography technique at the 14nm half-pitch generation and beyond. The EUV mask is characterized as a reflective-type mask which is completely different compared with conventional transparent-type of photo mask. And it requires not only patterning of absorber layer without damaging the underlying multi reflective layers (40 Si/Mo layers) but also etching multi reflective layers. In this case, the dry etch process has generally faced technical challenges such as the difficulties in CD control, etch damage to quartz substrate and low selectivity to the mask resist. Shibaura Mechatronics ARESTM mask etch system and its optimized etch process has already achieved the maximal etch performance at patterning two-layered absorber. And in this study, our process technologies of multi reflective layers will be evaluated by means of optimal combination of process gases and our optimized plasma produced by certain source power and bias power. When our ARES™ is used for multilayer etching, the user can choose to etch the absorber layer at the same time or etch only the multilayer.

  11. Inductively coupled plasma etching of GaAs low loss waveguides for a traveling waveguide polarization converter, using chlorine chemistry

    Science.gov (United States)

    Lu, J.; Meng, X.; Springthorpe, A. J.; Shepherd, F. R.; Poirier, M.

    2004-05-01

    A traveling waveguide polarization converter [M. Poirier et al.] has been developed, which involves long, low loss, weakly confined waveguides etched in GaAs (epitaxially grown by molecular beam epitaxy), with electroplated ``T electrodes'' distributed along the etched floor adjacent to the ridge walls, and airbridge interconnect metallization. This article describes the development of the waveguide fabrication, based on inductively coupled plasma (ICP) etching of GaAs using Cl2 chemistry; the special processes required to fabricate the electrodes and metallization [X. Meng et al.], and the device characteristics [M. Poirier et al.], are described elsewhere. The required waveguide has dimensions nominally 4 μm wide and 2.1 μm deep, with dimensional tolerances ~0.1 μm across the wafer and wafer to wafer. A vertical etch profile with very smooth sidewalls and floors is required to enable the plated metal electrodes to be fabricated within 0.1 μm of the ridge. The ridges were fabricated using Cl2 ICP etching and a photoresist mask patterned with an I-line stepper; He backside cooling, combined with an electrostatic chuck, was employed to ensure good heat transfer to prevent resist reticulation. The experimental results showed that the ridge profile is very sensitive to ICP power and platen rf power. High ICP power and low platen power tend to result in more isotropic etching, whereas increasing platen power increases the photoresist etch rate, which causes rougher ridge sidewalls. No strong dependence of GaAs etch rate and ridge profile were observed with small changes in process temperature (chuck temperature). However, when the chuck temperature was decreased from 25 to 0 °C, etch uniformity across a 3 in. wafer improved from 6% to 3%. Photoresist and polymer residues present after the ICP etch were removed using a combination of wet and dry processes. .

  12. Inductively coupled plasma etching of GaAs low loss waveguides for a traveling waveguide polarization converter, using chlorine chemistry

    International Nuclear Information System (INIS)

    Lu, J.; Meng, X.; SpringThorpe, A.J.; Shepherd, F.R.; Poirier, M.

    2004-01-01

    A traveling waveguide polarization converter [M. Poirier et al.] has been developed, which involves long, low loss, weakly confined waveguides etched in GaAs (epitaxially grown by molecular beam epitaxy), with electroplated 'T electrodes' distributed along the etched floor adjacent to the ridge walls, and airbridge interconnect metallization. This article describes the development of the waveguide fabrication, based on inductively coupled plasma (ICP) etching of GaAs using Cl 2 chemistry; the special processes required to fabricate the electrodes and metallization [X. Meng et al.], and the device characteristics [M. Poirier et al.], are described elsewhere. The required waveguide has dimensions nominally 4 μm wide and 2.1 μm deep, with dimensional tolerances ∼0.1 μm across the wafer and wafer to wafer. A vertical etch profile with very smooth sidewalls and floors is required to enable the plated metal electrodes to be fabricated within 0.1 μm of the ridge. The ridges were fabricated using Cl 2 ICP etching and a photoresist mask patterned with an I-line stepper; He backside cooling, combined with an electrostatic chuck, was employed to ensure good heat transfer to prevent resist reticulation. The experimental results showed that the ridge profile is very sensitive to ICP power and platen rf power. High ICP power and low platen power tend to result in more isotropic etching, whereas increasing platen power increases the photoresist etch rate, which causes rougher ridge sidewalls. No strong dependence of GaAs etch rate and ridge profile were observed with small changes in process temperature (chuck temperature). However, when the chuck temperature was decreased from 25 to 0 deg. C, etch uniformity across a 3 in. wafer improved from 6% to 3%. Photoresist and polymer residues present after the ICP etch were removed using a combination of wet and dry processes

  13. Resonant and hollow beam generation of plasma channels

    International Nuclear Information System (INIS)

    Alexeev, I.; Kim, K.Y.; Fan, J.; Parra, E.; Milchberg, H.M.; Margolin, L.Ya.; Pyatnitskii, L.N.

    2001-01-01

    We report two variations on plasma channel generation using the propagation of intense Bessel beams. In the first experiment, the propagation of a high intensity Bessel beam in neutral gas is observed to give rise to resonantly enhanced plasma channel generation, resulting from resonant self-trapping of the beam and enhanced laser-plasma heating. In the second experiment, a high power, hollow Bessel beam (J 5 ) is produced and the optical breakdown of a gas target and the generation of a tubular plasma channel with such a beam is realized for the first time. Hydrodynamic simulations of the laser-plasma interaction of are in good agreement with the results of both experiments

  14. Modeling of block copolymer dry etching for directed self-assembly lithography

    Science.gov (United States)

    Belete, Zelalem; Baer, Eberhard; Erdmann, Andreas

    2018-03-01

    Directed self-assembly (DSA) of block copolymers (BCP) is a promising alternative technology to overcome the limits of patterning for the semiconductor industry. DSA exploits the self-assembling property of BCPs for nano-scale manufacturing and to repair defects in patterns created during photolithography. After self-assembly of BCPs, to transfer the created pattern to the underlying substrate, selective etching of PMMA (poly (methyl methacrylate)) to PS (polystyrene) is required. However, the etch process to transfer the self-assemble "fingerprint" DSA patterns to the underlying layer is still a challenge. Using combined experimental and modelling studies increases understanding of plasma interaction with BCP materials during the etch process and supports the development of selective process that form well-defined patterns. In this paper, a simple model based on a generic surface model has been developed and an investigation to understand the etch behavior of PS-b-PMMA for Ar, and Ar/O2 plasma chemistries has been conducted. The implemented model is calibrated for etch rates and etch profiles with literature data to extract parameters and conduct simulations. In order to understand the effect of the plasma on the block copolymers, first the etch model was calibrated for polystyrene (PS) and poly (methyl methacrylate) (PMMA) homopolymers. After calibration of the model with the homopolymers etch rate, a full Monte-Carlo simulation was conducted and simulation results are compared with the critical-dimension (CD) and selectivity of etch profile measurement. In addition, etch simulations for lamellae pattern have been demonstrated, using the implemented model.

  15. Damage-free plasma etching of porous organo-silicate low-k using micro-capillary condensation above -50 °C.

    Science.gov (United States)

    Chanson, R; Zhang, L; Naumov, S; Mankelevich, Yu A; Tillocher, T; Lefaucheux, P; Dussart, R; Gendt, S De; Marneffe, J-F de

    2018-01-30

    The micro-capillary condensation of a new high boiling point organic reagent (HBPO), is studied in a periodic mesoporous oxide (PMO) with ∼34 % porosity and k-value ∼2.3. At a partial pressure of 3 mT, the onset of micro-capillary condensation occurs around +20 °C and the low-k matrix is filled at -20 °C. The condensed phase shows high stability from -50 < T ≤-35 °C, and persists in the pores when the low-k is exposed to a SF 6 -based plasma discharge. The etching properties of a SF 6 -based 150W-biased plasma discharge, using as additive this new HBPO gas, shows that negligible damage can be achieved at -50 °C, with acceptable etch rates. The evolution of the damage depth as a function of time was studied without bias and indicates that Si-CH 3 loss occurs principally through Si-C dissociation by VUV photons.

  16. Resonant quasiparticles in plasma turbulence

    International Nuclear Information System (INIS)

    Mendonca, J.T.; Bingham, R.; Shukla, P.K.

    2003-01-01

    A general view is proposed on wave propagation in fluids and plasmas where the resonant interaction of monochromatic waves with quasiparticles is considered. A kinetic equation for quasiparticles is used to describe the broadband turbulence interacting with monochromatic waves. Resonant interactions occur when the phase velocity of the long wavelength monochromatic wave is nearly equal to the group velocity of short wavelength wave packets, or quasiparticles, associated with the turbulent spectrum. It is shown that quasiparticle Landau damping can take place, as well as quasiparticle beam instabilities, thus establishing a direct link between short and large wavelength perturbations of the medium. This link is distinct from the usual picture of direct and inverse energy cascades, and it can be used as a different paradigm for the fluid and plasma turbulence theories

  17. Doubly excited P-wave resonance states of H− in Debye plasmas

    International Nuclear Information System (INIS)

    Jiao, L. G.; Ho, Y. K.

    2013-01-01

    We investigate the doubly excited P-wave resonance states of H − system in Debye plasmas modeled by static screened Coulomb potentials. The screening effects of the plasma environment on resonance parameters (energy and width) are investigated by employing the complex-scaling method with Hylleraas-type wave functions for both the shape and Feshbach resonances associated with the H(N = 2 to 6) thresholds. Under the screening conditions, the H(N) threshold states are no longer l degenerate, and all the H − resonance energy levels are shifted away from their unscreened values toward the continuum. The influence of Debye plasmas on resonance widths has also been investigated. The shape resonance widths are broadened with increasing plasma screening strength, whereas the Feshbach resonance widths would generally decrease. Our results associated with the H(N = 2) and H(N = 3) thresholds are compared with others in the literature

  18. Angular dependence of Si3N4 etch rates and the etch selectivity of SiO2 to Si3N4 at different bias voltages in a high-density C4F8 plasma

    International Nuclear Information System (INIS)

    Lee, Jin-Kwan; Lee, Gyeo-Re; Min, Jae-Ho; Moon, Sang Heup

    2007-01-01

    The dependence of Si 3 N 4 etch rates and the etch selectivity of SiO 2 to Si 3 N 4 on ion-incident angles was studied for different bias voltages in a high-density C 4 F 8 plasma. A Faraday cage and specially designed substrate holders were used to accurately control the angles of incident ions on the substrate surface. The normalized etch yield (NEY), defined as the etch yield obtained at a given ion-incident angle normalized to that obtained on a horizontal surface, was unaffected by the bias voltage in Si 3 N 4 etching, but it increased with the bias voltage in SiO 2 etching in the range of -100 to -300 V. The NEY changed showing a maximum with an increase in the ion-incident angle in the etching of both substrates. In the Si 3 N 4 etching, a maximum NEY of 1.7 was obtained at 70 deg. in the above bias voltage range. However, an increase in the NEY at high ion-incident angles was smaller for SiO 2 than for Si 3 N 4 and, consequently, the etch selectivity of SiO 2 to Si 3 N 4 decreased with an increase in the ion-incident angle. The etch selectivity decreased to a smaller extent at high bias voltage because the NEY of SiO 2 had increased. The characteristic changes in the NEY for different substrates could be correlated with the thickness of a steady-state fluorocarbon (CF x ) film formed on the substrates

  19. Precision Recess of AlGaN/GaN with Controllable Etching Rate Using ICP-RIE Oxidation and Wet Etching

    NARCIS (Netherlands)

    Sokolovskij, R.; Sun, J.; Santagata, F.; Iervolino, E.; Li, S.; Zhang, G.Y.; Sarro, P.M.; Zhang, G.Q.

    2016-01-01

    A method for highly controllable etching of AlGaN/GaN for the fabrication of high sensitivity HEMT based sensors is developed. The process consists of cyclic oxidation of nitride with O2 plasma using ICP-RIE etcher followed by wet etching of the oxidized layer. Previously reported

  20. Resonances and surface waves in bounded plasmas

    International Nuclear Information System (INIS)

    Bowers, K.J.; Qui, D.W.; Smith, H.B.; Birdsall, C.K.

    1999-01-01

    Surface waves provide a promising means of creating large, area plasmas. These waves can uniformly distribute the excitation energy and while presenting a small resistance and zero reactance to the driving source. Experimentally and in the simulations, the electron temperature is low (like 1--3 eV) as is the plasma potential (like 10 Te). The use of surface waves experimentally, and now industrially, to sustain large area plasma sources with device size is comparable to free space wavelength have motivated the authors to refine the theories of [1] and [2] to be fully electromagnetic. The wave dispersion predicted by the electromagnetic theory differs from the predictions of the prior theories and the results illuminate limitations of the electrostatic model. The use of surface waves have also motivated them to explore the mechanisms by which surface waves heat the plasma. In the 1d electrostatic simulations high velocity electron bunches are formed in the sheaths and are alternatively accelerated from each sheath into the bulk plasma each RF cycle. They speculate similar mechanisms provide the ionization in surface wave discharges. They also see in these simulations the plasma makes an abrupt transition from capacitively coupled to resistively coupled and the series resonance locks onto the drive frequency; these abrupt transitions resemble mode-jumping seen experimentally in large area sources. Furthermore, the density profile of the plasma tracks the drive frequency while in the resonant mode giving a new mechanism by which the plasma parameters can be controlled. They are currently investigating the effect of the driving electrode shape has on these resonances and conducting 2d simulations of a large area surface wave source to explore the ignition of surface wave devices and how the plasma fills in the device

  1. Prediction of UV spectra and UV-radiation damage in actual plasma etching processes using on-wafer monitoring technique

    International Nuclear Information System (INIS)

    Jinnai, Butsurin; Fukuda, Seiichi; Ohtake, Hiroto; Samukawa, Seiji

    2010-01-01

    UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface is not clearly understood because of the difficulty in monitoring photons during plasma processing. For this purpose, we have previously proposed an on-wafer monitoring technique for UV photons. For this study, using the combination of this on-wafer monitoring technique and a neural network, we established a relationship between the data obtained from the on-wafer monitoring technique and UV spectra. Also, we obtained absolute intensities of UV radiation by calibrating arbitrary units of UV intensity with a 126 nm excimer lamp. As a result, UV spectra and their absolute intensities could be predicted with the on-wafer monitoring. Furthermore, we developed a prediction system with the on-wafer monitoring technique to simulate UV-radiation damage in dielectric films during plasma etching. UV-induced damage in SiOC films was predicted in this study. Our prediction results of damage in SiOC films shows that UV spectra and their absolute intensities are the key cause of damage in SiOC films. In addition, UV-radiation damage in SiOC films strongly depends on the geometry of the etching structure. The on-wafer monitoring technique should be useful in understanding the interaction of UV radiation with surface and in optimizing plasma processing by controlling UV radiation.

  2. Angular dependence of SiO2 etch rate at various bias voltages in a high density CHF3 plasma

    International Nuclear Information System (INIS)

    Lee, Gyeo-Re; Hwang, Sung-Wook; Min, Jae-Ho; Moon, Sang Heup

    2002-01-01

    The dependence of the SiO 2 etch rate on the angle of ions incident on the substrate surface was studied over a bias voltage range from -20 to -600 V in a high-density CHF 3 plasma using a Faraday cage to control the ion incident angle. The effect of the bottom plane on the sidewall etching was also examined. Differences in the characteristics of the etch rate as a function of the ion angle were observed for different bias voltage regions. When the absolute value of the bias voltage was smaller than 200 V, the normalized etch rate (NER) defined as the etch rate normalized by the rate on the horizontal surface, changed following a cosine curve with respect to the ion incident angle, defined as the angle between the ion direction and the normal of the substrate surface. When the magnitude of the bias voltage was larger than 200 V, the NER was deviated to higher values from those given by a cosine curve at ion angles between 30 deg. and 70 deg. , and then drastically decreased at angles higher than 70 deg. until a net deposition was observed at angles near 90 deg. . The characteristic etch-rate patterns at ion angles below 70 deg. were determined by the ion energy transferred to the surface, which affected the SiO 2 etch rate and, simultaneously, the rate of removal of a fluorocarbon polymer film formed on the substrate surface. At high ion angles, particles emitted from the bottom plane contributed to polymer formation on and affected the etching characteristics of the substrate

  3. Spectrum of resonant plasma oscillations in long Josephson junctions

    International Nuclear Information System (INIS)

    Holst, T.

    1996-01-01

    An analysis is presented for the amplitude of the plasma oscillations in the zero-voltage state of a long and narrow Josephson tunnel junction. The calculation is valid for arbitrary normalized junction length and arbitrary bias current. The spectrum of the plasma resonance is found numerically as solutions to an analytical equation. The low-frequency part of the spectrum contains a single resonance, which is known to exist also in the limit of a short and narrow junction. Above a certain cutoff frequency, a series of high-frequency standing wave plasma resonances is excited, a special feature of long Josephson junctions. copyright 1996 The American Physical Society

  4. Silicon nitride and silicon etching by CH{sub 3}F/O{sub 2} and CH{sub 3}F/CO{sub 2} plasma beams

    Energy Technology Data Exchange (ETDEWEB)

    Kaler, Sanbir S.; Lou, Qiaowei; Donnelly, Vincent M., E-mail: vmdonnelly@uh.edu; Economou, Demetre J., E-mail: economou@uh.edu [Department of Chemical and Biomolecular Engineering, Plasma Processing Laboratory, University of Houston, Houston, Texas 77204 (United States)

    2016-07-15

    Silicon nitride (SiN, where Si:N ≠ 1:1) films low pressure-chemical vapor deposited on Si substrates, Si films on Ge on Si substrates, and p-Si samples were exposed to plasma beams emanating from CH{sub 3}F/O{sub 2} or CH{sub 3}F/CO{sub 2} inductively coupled plasmas. Conditions within the plasma beam source were maintained at power of 300 W (1.9 W/cm{sup 3}), pressure of 10 mTorr, and total gas flow rate of 10 sccm. X-ray photoelectron spectroscopy was used to determine the thicknesses of Si/Ge in addition to hydrofluorocarbon polymer films formed at low %O{sub 2} or %CO{sub 2} addition on p-Si and SiN. Polymer film thickness decreased sharply as a function of increasing %O{sub 2} or %CO{sub 2} addition and dropped to monolayer thickness above the transition point (∼48% O{sub 2} or ∼75% CO{sub 2}) at which the polymer etchants (O and F) number densities in the plasma increased abruptly. The C(1s) spectra for the polymer films deposited on p-Si substrates appeared similar to those on SiN. Spectroscopic ellipsometry was used to measure the thickness of SiN films etched using the CH{sub 3}F/O{sub 2} and CH{sub 3}F/CO{sub 2} plasma beams. SiN etching rates peaked near 50% O{sub 2} addition and 73% CO{sub 2} addition. Faster etching rates were measured in CH{sub 3}F/CO{sub 2} than CH{sub 3}F/O{sub 2} plasmas above 70% O{sub 2} or CO{sub 2} addition. The etching of Si stopped after a loss of ∼3 nm, regardless of beam exposure time and %O{sub 2} or %CO{sub 2} addition, apparently due to plasma assisted oxidation of Si. An additional GeO{sub x}F{sub y} peak was observed at 32.5 eV in the Ge(3d) region, suggesting deep penetration of F into Si, under the conditions investigated.

  5. Resonance frequency analysis of thermal acid-etched, hydrophilic implants during first 3 months of healing and osseointegration in an early-loading protocol

    NARCIS (Netherlands)

    van Eekeren, P.; Said, C.; Tahmaseb, A.; Wismeijer, D.

    2015-01-01

    Purpose: Safe loading of dental implants requires an optimal osseointegration. This osseointegration process during healing could be analyzed by resonance frequency analysis (RFA). The purpose of the study was to evaluate RFA changes during healing in splinted, early-loaded, thermal acid-etched,

  6. Atomic resonances in nuclear fusion plasmas

    International Nuclear Information System (INIS)

    Clauser, C. F.; Barrachina, R. O.

    2013-01-01

    We present a study of zero energy resonances of photoionization and radiative recombination cross section for the different species in a fusion reactor. In this context, the interaction potential is screened and its typical length depends on the plasma density and temperature. Due to the nature of these resonances, we propose other atomic processes in which they can take place. Finally, we show the density and temperature conditions where these resonances occur and their probable consequence on the reactor performance. (author)

  7. Dry etching of MgCaO gate dielectric and passivation layers on GaN

    International Nuclear Information System (INIS)

    Hlad, M.; Voss, L.; Gila, B.P.; Abernathy, C.R.; Pearton, S.J.; Ren, F.

    2006-01-01

    MgCaO films grown by rf plasma-assisted molecular beam epitaxy and capped with Sc 2 O 3 are promising candidates as surface passivation layers and gate dielectrics on GaN-based high electron mobility transistors (HEMTs) and metal-oxide semiconductor HEMTs (MOS-HEMTs), respectively. Two different plasma chemistries were examined for etching these thin films on GaN. Inductively coupled plasmas of CH 4 /H 2 /Ar produced etch rates only in the range 20-70 A/min, comparable to the Ar sputter rates under the same conditions. Similarly slow MgCaO etch rates (∼100 A/min) were obtained with Cl 2 /Ar discharges under the same conditions, but GaN showed rates almost an order of magnitude higher. The MgCaO removal rates are limited by the low volatilities of the respective etch products. The CH 4 /H 2 /Ar plasma chemistry produced a selectivity of around 2 for etching the MgCaO with respect to GaN

  8. Foundations of low-temperature plasma enhanced materials synthesis and etching

    Science.gov (United States)

    Oehrlein, Gottlieb S.; Hamaguchi, Satoshi

    2018-02-01

    Low temperature plasma (LTP)-based synthesis of advanced materials has played a transformational role in multiple industries, including the semiconductor industry, liquid crystal displays, coatings and renewable energy. Similarly, the plasma-based transfer of lithographically defined resist patterns into other materials, e.g. silicon, SiO2, Si3N4 and other electronic materials, has led to the production of nanometer scale devices that are the basis of the information technology, microsystems, and many other technologies based on patterned films or substrates. In this article we review the scientific foundations of both LTP-based materials synthesis at low substrate temperature and LTP-based isotropic and directional etching used to transfer lithographically produced resist patterns into underlying materials. We cover the fundamental principles that are the basis of successful application of the LTP techniques to technological uses and provide an understanding of technological factors that may control or limit material synthesis or surface processing with the use of LTP. We precede these sections with a general discussion of plasma surface interactions, the LTP-generated particle fluxes including electrons, ions, radicals, excited neutrals and photons that simultaneously contact and modify surfaces. The surfaces can be in the line of sight of the discharge or hidden from direct interaction for structured substrates. All parts of the article are extensively referenced, which is intended to help the reader study the topics discussed here in more detail.

  9. A novel non-sequential hydrogen-pulsed deep reactive ion etching of silicon

    International Nuclear Information System (INIS)

    Gharooni, M; Mohajerzadeh, A; Sandoughsaz, A; Khanof, S; Mohajerzadeh, S; Asl-Soleimani, E

    2013-01-01

    A non-sequential pulsed-mode deep reactive ion etching of silicon is reported that employs continuous etching and passivation based on SF 6 and H 2 gases. The passivation layer, as an important step for deep vertical etching of silicon, is feasible by hydrogen pulses in proper time-slots. By adjusting the etching parameters such as plasma power, H 2 and SF 6 flows and hydrogen pulse timing, the process can be controlled for minimum underetch and high etch-rate at the same time. High-aspect-ratio features can be realized with low-density plasma power and by controlling the reaction chemistry. The so-called reactive ion etching lag has been minimized by operating the reactor at higher pressures. X-ray photoelectron spectroscopy and scanning electron microscopy have been used to study the formation of the passivation layer and the passivation mechanism. (paper)

  10. Surface etching mechanism of carbon-doped Ge{sub 2}Sb{sub 2}Te{sub 5} phase change material in fluorocarbon plasma

    Energy Technology Data Exchange (ETDEWEB)

    Shen, Lanlan [Chinese Academy of Sciences, State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Micro-system and Information Technology, Shanghai (China); Graduate School of the Chinese Academy of Sciences, Beijing (China); Song, Sannian; Song, Zhitang; Li, Le; Guo, Tianqi; Cheng, Yan; Lv, Shilong; Wu, Liangcai; Liu, Bo; Feng, Songlin [Chinese Academy of Sciences, State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Micro-system and Information Technology, Shanghai (China)

    2016-09-15

    Recently, carbon-doped Ge2Sb2Te5 (CGST) phase change material has been widely researched for being highly promising material for future phase change memory application. In this paper, the reactive-ion etching of CGST film in CF{sub 4}/Ar plasma is studied. Compared with GST, the etch rate of CGST is relatively lower due to the existence of carbon which reduce the concentration of F or CF{sub x} reactive radicals. It was found that Argon plays an important role in defining the sidewall edge acuity. Compared with GST, more physical bombardment is required to obtain vertical sidewall of CGST. The effect of fluorocarbon gas on the damage of the etched CGST film was also investigated. A Ge- and Sb-deficient layer with tens of nanometers was observed by TEM combining with XPS analysis. The reaction between fluorocarbon plasma and CGST is mainly dominated by the diffusion and consumption of reactive fluorine radicals through the fluorocarbon layer into the CGST substrate material. The formation of damage layer is mainly caused by strong chemical reactivity, low volatility of reaction compounds and weak ion bombardment. (orig.)

  11. Dry etching characteristics of GaN for blue/green light-emitting diode fabrication

    International Nuclear Information System (INIS)

    Baik, K.H.; Pearton, S.J.

    2009-01-01

    The etch rates, surface morphology and sidewall profiles of features formed in GaN/InGaN/AlGaN multiple quantum well light-emitting diodes by Cl 2 -based dry etching are reported. The chlorine provides an enhancement in etch rate of over a factor of 40 relative to the physical etching provided by Ar and the etching is reactant-limited until chlorine gas flow rates of at least 50 standard cubic centimeters per minute. Mesa sidewall profile angle control is possible using a combination of Cl 2 /Ar plasma chemistry and SiO 2 mask. N-face GaN is found to etch faster than Ga-face surfaces under the same conditions. Patterning of the sapphire substrate for improved light extraction is also possible using the same plasma chemistry

  12. Effect of input power and gas pressure on the roughening and selective etching of SiO2/Si surfaces in reactive plasmas

    International Nuclear Information System (INIS)

    Zhong, X. X.; Huang, X. Z.; Tam, E.; Ostrikov, K.; Colpo, P.; Rossi, F.

    2010-01-01

    We report on the application low-temperature plasmas for roughening Si surfaces which is becoming increasingly important for a number of applications ranging from Si quantum dots to cell and protein attachment for devices such as 'laboratory on a chip' and sensors. It is a requirement that Si surface roughening is scalable and is a single-step process. It is shown that the removal of naturally forming SiO 2 can be used to assist in the roughening of the surface using a low-temperature plasma-based etching approach, similar to the commonly used in semiconductor micromanufacturing. It is demonstrated that the selectivity of SiO 2 /Si etching can be easily controlled by tuning the plasma power, working gas pressure, and other discharge parameters. The achieved selectivity ranges from 0.4 to 25.2 thus providing an effective means for the control of surface roughness of Si during the oxide layer removal, which is required for many advance applications in bio- and nanotechnology.

  13. Interaction of plasma vortices with resonant particles

    DEFF Research Database (Denmark)

    Jovanovic, D.; Pécseli, Hans; Juul Rasmussen, J.

    1990-01-01

    Kinetic effects associated with the electron motion along magnetic field lines in low‐beta plasmas are studied. Using the gyrokinetic description of electrons, a kinetic analog of the reduced magnetohydrodynamic equations is derived, and it is shown that in the strongly nonlinear regime...... particles. The evolution equations indicate the possibility of excitation of plasma vortices by electron beams....... they possess localized solutions in the form of dipolar vortices, which can efficiently interact with resonant electrons. In the adiabatic limit, evolution equations are derived for the vortex parameters, describing exchange of the energy, enstrophy, and of the Poynting vector between the vortex and resonant...

  14. γ -Ray Generation from Plasma Wakefield Resonant Wiggler

    Science.gov (United States)

    Lei, Bifeng; Wang, Jingwei; Kharin, Vasily; Zepf, Matt; Rykovanov, Sergey

    2018-03-01

    A flexible gamma-ray radiation source based on the resonant laser-plasma wakefield wiggler is proposed. The wiggler is achieved by inducing centroid oscillations of a short laser pulse in a plasma channel. Electrons (self-)injected in such a wakefield experience both oscillations due to the transverse electric fields and energy gain due to the longitudinal electric field. The oscillations are significantly enhanced when the laser pulse centroid oscillations are in resonance with the electron betatron oscillations, extending the radiation spectrum to the gamma-ray range. The polarization of the radiation can be easily controlled by adjusting the injection of the laser pulse into the plasma channel.

  15. Angular dependence of etch rates in the etching of poly-Si and fluorocarbon polymer using SF6, C4F8, and O2 plasmas

    International Nuclear Information System (INIS)

    Min, Jae-Ho; Lee, Gyeo-Re; Lee, Jin-Kwan; Moon, Sang Heup; Kim, Chang-Koo

    2004-01-01

    The dependences of etch rates on the angle of ions incident on the substrate surface in four plasma/substrate systems that constitute the advanced Bosch process were investigated using a Faraday cage designed for the accurate control of the ion-incident angle. The four systems, established by combining discharge gases and substrates, were a SF 6 /poly-Si, a SF 6 /fluorocarbon polymer, an O 2 /fluorocarbon polymer, and a C 4 F 8 /Si. In the case of SF 6 /poly-Si, the normalized etch rates (NERs), defined as the etch rates normalized by the rate on the horizontal surface, were higher at all angles than values predicted from the cosine of the ion-incident angle. This characteristic curve shape was independent of changes in process variables including the source power and bias voltage. Contrary to the earlier case, the NERs for the O 2 /polymer decreased and eventually reached much lower values than the cosine values at angles between 30 deg. and 70 deg. when the source power was increased and the bias voltage was decreased. On the other hand, the NERs for the SF 6 /polymer showed a weak dependence on the process variables. In the case of C 4 F 8 /Si, which is used in the Bosch process for depositing a fluorocarbon layer on the substrate surface, the deposition rate varied with the ion incident angle, showing an S-shaped curve. These characteristic deposition rate curves, which were highly dependent on the process conditions, could be divided into four distinct regions: a Si sputtering region, an ion-suppressed polymer deposition region, an ion-enhanced polymer deposition region, and an ion-free polymer deposition region. Based on the earlier characteristic angular dependences of the etch (or deposition) rates in the individual systems, ideal process conditions for obtaining an anisotropic etch profile in the advanced Bosch process are proposed

  16. Effects of temperature on the etching properties of Bi4-xLaxTi3O12 thin films

    International Nuclear Information System (INIS)

    Kim, Dong-Pyo; Kim, Kyoung-Tae; Koo, Seong-Mo; Kim, Chang-Il

    2004-01-01

    The etching properties of Bi 4-x La x Ti 3 O 12 (BLT) films etched in an inductively coupled Ar/Cl 2 plasma were investigated in terms of the gas mixing ratio, the rf power, and the substrate temperature. We obtained a high etch rate of 433 A/min at 30 .deg. C and 344 A/min at 80 .deg. C in Ar (15 sccm)/Cl 2 (15 sccm). As the rf power was increased, the ion current density increased, resulting in an increase in the etch rate. To understand the etch mechanism of BLT in a Cl 2 /Ar plasma, we performed the plasma diagnostics using a Langmuir probe (LP). The LP measurement indicated that the maximum ion density decreased with Cl 2 addition, but increased with the rf power. X-ray photoelectron spectroscopy (XPS) narrow scan analysis showed that La-chlorides remained on the etched surface and that the high accumulation of nonvolatile etch byproducts increased at high substrate temperatures. The analysis of surface reactions and the plasma diagnostics in the frameworks of an ion-assisted etching mechanism confirmed the possibility of non-monotonic etch rate behavior due to the concurrence of physical sputtering and chemical etching activated by ion bombardment.

  17. Si etching with reactive neutral beams of very low energy

    Energy Technology Data Exchange (ETDEWEB)

    Hara, Yasuhiro [Organization for Research and Development of Innovative Science and Technology, Kansai University, 3-3-35 Yamate-chou, Suita, Osaka 565-0871 (Japan); Hamagaki, Manabu; Mise, Takaya [RIKEN, 2-1, Hirosawa, Wako, Saitama 351-0198 (Japan); Iwata, Naotaka; Hara, Tamio [Toyota Technological Institute, 2-12-1 Hisakata, Tenpaku-ku, Nagoya 468-8511 (Japan)

    2014-12-14

    A Si etching process has been investigated with reactive neutral beams (NBs) extracted using a low acceleration voltage of less than 100 V from CF{sub 4} and Ar mixed plasmas. The etched Si profile shows that the etching process is predominantly anisotropic. The reactive NB has a constant Si etching rate in the acceleration voltage range from 20 V to 80 V. It is considered that low-energy NBs can trigger Si etching because F radicals adsorb onto the Si surface and weaken Si–Si bonds. The etching rate per unit beam flux is 33 times higher than that with Ar NB. These results show that the low-energy reactive NB is useful for damage-free high speed Si etching.

  18. Upper Hybrid Resonance of Microwaves with a Large Magnetized Plasma Sheet

    International Nuclear Information System (INIS)

    Huo Wenqing; Guo Shijie; Ding Liang; Xu Yuemin

    2013-01-01

    A large magnetized plasma sheet with size of 60 cm × 60 cm × 2 cm was generated by a linear hollow cathode discharge under the confinement of a uniform magnetic field generated by a Helmholtz Coil. The microwave transmission characteristic of the plasma sheet was measured for different incident frequencies, in cases with the electric field polarization of the incident microwave either perpendicular or parallel to the magnetic field. In this measurement, parameters of the plasma sheet were changed by varying the discharge current and magnetic field intensity. In the experiment, upper hybrid resonance phenomena were observed when the electric field polarization of the incident wave was perpendicular to the magnetic field. These resonance phenomena cannot be found in the case of parallel polarization incidence. This result is consistent with theoretical consideration. According to the resonance condition, the electron density values at the resonance points are calculated under various experimental conditions. This kind of resonance phenomena can be used to develop a specific method to diagnose the electron density of this magnetized plasma sheet apparatus. Moreover, it is pointed out that the operating parameters of the large plasma sheet in practical applications should be selected to keep away from the upper hybrid resonance point to prevent signals from polarization distortion

  19. Electron cyclotron resonance heating assisted plasma startup in the Tore Supra tokamak

    International Nuclear Information System (INIS)

    Bucalossi, J.; Hertout, P.; Lennholm, M.; Saint-Laurent, F.; Bouquey, F.; Darbos, C.; Traisnel, E.

    2009-04-01

    ECRH assisted plasma startup at fundamental resonance is investigated in Tore Supra in view of ITER operation. ECRH pre-ionisation is found to be very efficient allowing plasma initiation in a wide range of pre-fill pressure compared to ohmic startup. Reliable assisted startup has been achieved at the ITER reference toroidal electric field (0.3 V/m) with 160 kW of ECRH. Resonance location scan indicates that the plasma is initiated at the resonance location and that the plasma current channel position had to be real-time controlled since the very beginning of the discharge to obtain robust plasma startup. (authors)

  20. Vacuum pump age effects by the exposure to the corrosive gases on the Cr etch rate as observed using optical emission spectroscopy in an Ar/O{sub 2}/Cl{sub 2} mixed plasma

    Energy Technology Data Exchange (ETDEWEB)

    Park, Seolhye; Roh, Hyun-Joon; Jang, Yunchang; Jeong, Sangmin; Ryu, Sangwon; Choe, Jae-Myung; Kim, Gon-Ho, E-mail: ghkim@snu.ac.kr

    2016-03-31

    Vacuum pumps of different ages were used to prepare Cl{sub 2} based plasmas for use in Cr etching. The effects of the vacuum pump age on the etching results were investigated using optical emission spectroscopy analysis. The composition of gas at the base pressure was mainly nitrogen and oxygen, although the ratio depended on the vacuum pump age and therefore, modulated the etch rate in a manner that was difficult to monitor. The effects of the pump age on the etch rate were clearly observed in the Cl{sub 2} plasma-assisted chromium film etching process, in which oxygen and chlorine radicals were responsible for the etching process. The electron energy distribution function (EEDF), which provided a proxy for the thermal equilibrium properties of the etching plasmas, was monitored. The shape of EEDF was derived from an analysis of the optical emission spectral data using an analysis model described previously. Because molecular nitrogen has a higher threshold energy and a larger cross-section of inelastic collisional processes than oxygen, the tail of the EEDF depends on the mixing ratio between nitrogen and oxygen. The various mechanisms that contribute to the chromium etch rate varied with subtle differences in the vacuum conditions, which were determined by age of the turbo molecular pump. The rates at which oxygen and chlorine radicals were generated were estimated using the measured EEDF, and the estimated oxygen radical and etching product contents were verified by comparing the residual gas analyzer data. The results revealed that the residual nitrogen partial pressures in two etchers equipped with either a new or an aged pump differed by 0.18%, and the EEDF tail areas differed by 10{sup −4}. Importantly, the chromium etch rates in these two instruments differed by 30%. These results suggest that the chamber-to-chamber mismatch should be monitored during plasma-assisted device fabrication processes. - Highlights: • We observed the vacuum pump age effect

  1. Resonant transducers for solid-state plasma density modulation

    Energy Technology Data Exchange (ETDEWEB)

    Hallock, Gary A., E-mail: hallock@ece.utexas.edu [The University of Texas at Austin, Austin, Texas 78701 (United States); Meier, Mark A., E-mail: mark.a.meier@exxonmobil.com [ExxonMobil Upstream Research Company, Houston, Texas 77389 (United States)

    2016-04-15

    We have developed transducers capable of modulating the plasma density and plasma density gradients in indium antimonide. These transducers make use of piezoelectric drivers to excite acoustic pressure resonance at 3λ/2, generating large amplitude standing waves and plasma density modulations. The plasma density has been directly measured using a laser diagnostic. A layered media model shows good agreement with the experimental measurements.

  2. Polymer degradation in reactive ion etching and its possible application to all dry processes

    International Nuclear Information System (INIS)

    Hiraoka, H.; Welsh, L.W. Jr.

    1981-01-01

    Dry etching processes involving CF 4 -plasma and reactive ion etching become increasingly important for microcircuit fabrication techniques. In these techniques polymer degradation and etch resistance against reactive species like F atoms and CF 3 + ions are the key factors in the processes. It is well-known that classical electron beam resists like poly(methyl methacrylate) and poly(1-butene sulfone) are not suitable for dry etching processes because they degrade rapidly under these etching conditions. In order to find a correlation of etching rate and polymer structures the thickness loss of polymer films have been measured for a variety of polymer films in reactive ion etching conditions, where CF 3 + ions are the major reactive species with an accelerating potential of 500 volts. Because of its high CF 4 -plasma and reactive ion etch resistance, and because of its high electron beam sensitivity, poly(methacrylonitrile) provides a positive working electron beam resist uniquely suited for all dry processes. (author)

  3. Wakefield excitation in plasma resonator by a sequence of relativistic electron bunches

    International Nuclear Information System (INIS)

    Kiselev, V.A.; Linnik, A.F.; Mirny, V.I.; Onishchenko, I.N.; Uskov, V.V.

    2008-01-01

    Wakefield excitation in a plasma resonator by a sequence of relativistic electron bunches with the purpose to increase excited field amplitude in comparison to waveguide case is experimentally investigated. A sequence of short electron bunches is produced by the linear resonant accelerator. Plasma resonator is formed at the beam-plasma discharge in rectangular metal waveguide filled with gas and closed by metal foil at entrance and movable short-circuited plunger at exit. Measurements of wakefield amplitude are performed showing considerably higher wakefield amplitude for resonator case

  4. Suitability of N2 plasma for the RIE etching of thin Ag layers

    International Nuclear Information System (INIS)

    Hrkut, P.; Matay, L.; Kostic, I.; Bencurova, A.; Konecnikova, A.; Nemec, P.; Andok, R.; Hacsik, S.

    2013-01-01

    Silver layers of 48 nm thickness were evaporated using EB PVD on Si wafers. The masking resist layers were spin-coated and patterned by the EBDW lithography on the ZBA 21 (20 keV) (Carl-Zeiss, Jena; currently Vistec, Ltd.) variable shaped e-beam pattern generator in II SAS. In order to check the etching process in N 2 , we covered a part of the samples containing Ag with a layer of various resists. The samples were dried on a hot-plate and RIE etched in SCM 600 (1 Pa; 20 sccm; 500 W). After 8 minutes the non-masked Ag layer was completely etched away, what testified suitability of N 2 as an etching gas. Also the etch time of 4 minutes showed to be sufficient for etching through the Ag layer. In order to optimize the etching process it was necessary to estimate the etch-rate (E.R.) of suitable resist layers and of the silver layer. The (authors)

  5. Dry Etching Characteristics of Amorphous Indium-Gallium-Zinc-Oxide Thin Films

    International Nuclear Information System (INIS)

    Zheng Yanbin; Li Guang; Wang Wenlong; Li Xiuchang; Jiang Zhigang

    2012-01-01

    Amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) backplane technology is the best candidate for flat panel displays (FPDs). In this paper, a-IGZO TFT structures are described. The effects of etch parameters (rf power, dc-bias voltage and gas pressure) on the etch rate and etch profile are discussed. Three kinds of gas mixtures are compared in the dry etching process of a-IGZO thin films. Lastly, three problems are pointed out that need to be addressed in the dry etching process of a-IGZO TFTs. (plasma technology)

  6. Similarity ratio analysis for early stage fault detection with optical emission spectrometer in plasma etching process.

    Directory of Open Access Journals (Sweden)

    Jie Yang

    Full Text Available A Similarity Ratio Analysis (SRA method is proposed for early-stage Fault Detection (FD in plasma etching processes using real-time Optical Emission Spectrometer (OES data as input. The SRA method can help to realise a highly precise control system by detecting abnormal etch-rate faults in real-time during an etching process. The method processes spectrum scans at successive time points and uses a windowing mechanism over the time series to alleviate problems with timing uncertainties due to process shift from one process run to another. A SRA library is first built to capture features of a healthy etching process. By comparing with the SRA library, a Similarity Ratio (SR statistic is then calculated for each spectrum scan as the monitored process progresses. A fault detection mechanism, named 3-Warning-1-Alarm (3W1A, takes the SR values as inputs and triggers a system alarm when certain conditions are satisfied. This design reduces the chance of false alarm, and provides a reliable fault reporting service. The SRA method is demonstrated on a real semiconductor manufacturing dataset. The effectiveness of SRA-based fault detection is evaluated using a time-series SR test and also using a post-process SR test. The time-series SR provides an early-stage fault detection service, so less energy and materials will be wasted by faulty processing. The post-process SR provides a fault detection service with higher reliability than the time-series SR, but with fault testing conducted only after each process run completes.

  7. Highly roughened polycaprolactone surfaces using oxygen plasma-etching and in vitro mineralization for bone tissue regeneration: fabrication, characterization, and cellular activities.

    Science.gov (United States)

    Kim, YongBok; Kim, GeunHyung

    2015-01-01

    Herein, poly(ɛ-caprolactone) (PCL) surfaces were treated to form various roughness values (R(a)=290-445 nm) and polar functional groups on the surfaces using a plasma-etching process, followed by immersion into simulated body fluid (SBF) for apatite formation. The surface morphology, chemical composition, and mean roughness of the plasma-etched PCL surfaces were measured, and various physical and morphological properties (water contact angles, protein absorption ability, and crystallite size of the apatite layer) of the in vitro mineralized PCL surfaces were evaluated. The roughened PCL surface P-3, which was treated with a sufficient plasma exposure time (4 h), achieved homogeneously distributed apatite formation after soaking in SBF for 7 days, as compared with other surfaces that were untreated or plasma-treated for 30 min or 2 h. Furthermore, to demonstrate their feasibility as a biomimetic surface, pre-osteoblast cells (MC3T3-E1) were cultured on the mineralized PCL surfaces, and cell viability, DAPI-phalloidin fluorescence assay, and alizarin red-staining of the P-3 surface were highly improved compared to the P-1 surface treated with a 30-min plasma exposure time; compared to untreated mineralized PCL surface (N-P), P-3 showed even greater improvements in cell viability and DAPI-phalloidin fluorescence assay. Based on these results, we found that the mineralized PCL surface supplemented with the appropriate plasma treatment can be implicitly helpful to achieve rapid hard tissue regeneration. Copyright © 2014 Elsevier B.V. All rights reserved.

  8. Study of Gallium Arsenide Etching in a DC Discharge in Low-Pressure HCl-Containing Mixtures

    Science.gov (United States)

    Dunaev, A. V.; Murin, D. B.

    2018-04-01

    Halogen-containing plasmas are often used to form topological structures on semiconductor surfaces; therefore, spectral monitoring of the etching process is an important diagnostic tool in modern electronics. In this work, the emission spectra of gas discharges in mixtures of hydrogen chloride with argon, chlorine, and hydrogen in the presence of a semiconducting gallium arsenide plate were studied. Spectral lines and bands of the GaAs etching products appropriate for monitoring the etching rate were determined. It is shown that the emission intensity of the etching products is proportional to the GaAs etching rate in plasmas of HCl mixtures with Ar and Cl2, which makes it possible to monitor the etching process in real time by means of spectral methods.

  9. Measurements of time average series resonance effect in capacitively coupled radio frequency discharge plasma

    International Nuclear Information System (INIS)

    Bora, B.; Bhuyan, H.; Favre, M.; Wyndham, E.; Chuaqui, H.; Kakati, M.

    2011-01-01

    Self-excited plasma series resonance is observed in low pressure capacitvely coupled radio frequency discharges as high-frequency oscillations superimposed on the normal radio frequency current. This high-frequency contribution to the radio frequency current is generated by a series resonance between the capacitive sheath and the inductive and resistive bulk plasma. In this report, we present an experimental method to measure the plasma series resonance in a capacitively coupled radio frequency argon plasma by modifying the homogeneous discharge model. The homogeneous discharge model is modified by introducing a correction factor to the plasma resistance. Plasma parameters are also calculated by considering the plasma series resonances effect. Experimental measurements show that the self-excitation of the plasma series resonance, which arises in capacitive discharge due to the nonlinear interaction of plasma bulk and sheath, significantly enhances both the Ohmic and stochastic heating. The experimentally measured total dissipation, which is the sum of the Ohmic and stochastic heating, is found to increase significantly with decreasing pressure.

  10. Thermodynamic assessment and experimental verification of reactive ion etching of magnetic metal elements

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Taeseung; Chen, Jack Kun-Chieh; Chang, Jane P., E-mail: jpchang@ucla.edu [Chemical and Biomolecular Engineering, UCLA, Los Angeles, California 90095 (United States)

    2014-07-01

    A thermodynamic analysis of etch chemistries for Co, Fe, and Ni using a combination of hydrogen, oxygen, and halogen gases suggested that a single etchant does not work at 300 K; however, a sequential exposure to multiple etchants results in sufficiently high partial pressure of the reaction products for the process to be considered viable. This sequential dose utilized the two reactions, a surface halogenation followed by the secondary etchant exposure. (MX{sub 2} (c) + 3Y →MY(g) + 2XY(g), where M = Co, Fe, Ni; X = F, Cl, Br; Y = O, H) The volatilization reaction induced by sequential plasma exposure changed the equilibrium point, increasing the partial pressure of the etch product. Amongst all combinations, Cl{sub 2} or Br{sub 2} plasmas followed by H{sub 2} plasma were the most effective. From both the gas phase diagnostics and surface composition analysis, H{sub 2} plasma alone could not etch metallic Co, Fe, and Ni films but alternating doses of Cl{sub 2} and H{sub 2} plasmas resulted in more effective removal of chlorinated metals and increased the overall etch rate.

  11. Particle formation and its control in dual frequency plasma etching reactors

    International Nuclear Information System (INIS)

    Kim, Munsu; Cheong, Hee-Woon; Whang, Ki-Woong

    2015-01-01

    The behavior of a particle cloud in plasma etching reactors at the moment when radio frequency (RF) power changes, that is, turning off and transition steps, was observed using the laser-light-scattering method. Two types of reactors, dual-frequency capacitively coupled plasma (CCP) and the hybrid CCP/inductively coupled plasma (ICP), were set up for experiments. In the hybrid CCP/ICP reactor (hereafter ICP reactor), the position and shape of the cloud were strongly dependent on the RF frequency. The particle cloud becomes larger and approaches the electrode as the RF frequency increases. By turning the lower frequency power off later with a small delay time, the particle cloud is made to move away from the electrode. Maintaining lower frequency RF power only was also helpful to reduce the particle cloud size during this transition step. In the ICP reactor, a sufficient bias power is necessary to make a particle trap appear. A similar particle cloud to that in the CCP reactor was observed around the sheath region of the lower electrode. The authors can also use the low-frequency effect to move the particle cloud away from the substrate holder if two or more bias powers are applied to the substrate holder. The dependence of the particle behavior on the RF frequencies suggests that choosing the proper frequency at the right moment during RF power changes can reduce particle contamination effectively

  12. Reactive ion etching of microphotonic structures

    International Nuclear Information System (INIS)

    Du, J.; Glasscock, J.; Vanajek, J.; Savvides, N.

    2004-01-01

    Full text: Fabrication of microphotonic structures such as planar waveguides and other periodic structures based on silicon technology has become increasingly important due to the potential for integration of planar optical devices. We have fabricated various periodic microstructures on silicon wafers using standard optical lithography and reactive ion etching (RIE). For optical applications the surface roughness and the sidewall angle or steepness of microstructures are the most critical factors. In particular, sidewall roughness of the etched waveguide core accounts for most of the optical propagation loss. We show that by varying the main RIE parameters such as gas pressure, RF power and CF 4 /Ar/O 2 gas composition it is possible to produce microstructures with near-vertical sidewalls and very smooth surfaces. In addition to plasma etching conditions, poor edge quality of the mask often causes sidewall roughness. We employed Ni/Cr metal masks in these experiments for deep etching, and used Ar + ion milling instead of wet chemical etching to open the mask. This improves the edge quality of the mask and ultimately results in smooth sidewalls

  13. Nanostructured plasma etched, magnetron sputtered nanolaminar Cr2AlC MAX phase thin films

    International Nuclear Information System (INIS)

    Grieseler, Rolf; Hähnlein, Bernd; Stubenrauch, Mike; Kups, Thomas; Wilke, Marcus; Hopfeld, Marcus; Pezoldt, Jörg; Schaaf, Peter

    2014-01-01

    The knowledge of the mechanical properties of new materials determines essentially their usability and functionality when used in micro- and nanostructures. MAX phases are new and highly interesting materials due to their unique combination of materials properties. In this article a new method for producing the Cr 2 AlC MAX phase is presented. Thin film elemental multilayer deposition and subsequent rapid thermal annealing forms the MAX phase within seconds. Additionally, free standing microstructures (beams and cantilevers) based on this MAX phase films are prepared by plasma etching. The mechanical properties of these MAX phase microstructures are investigated

  14. Solid polymer electrolyte composite membrane comprising plasma etched porous support

    Science.gov (United States)

    Liu, Han; LaConti, Anthony B.

    2010-10-05

    A solid polymer electrolyte composite membrane and method of manufacturing the same. According to one embodiment, the composite membrane comprises a rigid, non-electrically-conducting support, the support preferably being a sheet of polyimide having a thickness of about 7.5 to 15 microns. The support has a plurality of cylindrical pores extending perpendicularly between opposing top and bottom surfaces of the support. The pores, which preferably have a diameter of about 0.1 to 5 microns, are made by plasma etching and preferably are arranged in a defined pattern, for example, with fewer pores located in areas of high membrane stress and more pores located in areas of low membrane stress. The pores are filled with a first solid polymer electrolyte, such as a perfluorosulfonic acid (PFSA) polymer. A second solid polymer electrolyte, which may be the same as or different than the first solid polymer electrolyte, may be deposited over the top and/or bottom of the first solid polymer electrolyte.

  15. Plasma resonance in anisotropic layered high-Tc superconductors

    DEFF Research Database (Denmark)

    Sakai, Shigeki; Pedersen, Niels Falsig

    1999-01-01

    The plasma resonance is described theoretically by the inductive coupling model for a large stacked Josephson-junction system such as the intrinsic Josephson-junction array in anisotropic high- T-c superconductors. Eigenmodes of the plasma oscillation are analytically described and a numerical...

  16. Non linear excitation of waves at the vicinity of plasma resonance

    International Nuclear Information System (INIS)

    Chiron, Arnaud

    1992-01-01

    This research thesis reports the study of the non linear evolution of ionic acoustic and plasma waves excited by resonant absorption of an electromagnetic wave, in a non collisional plasma, without external magnetic field, and with a parabolic density profile. The plasma resonance occurs about the density profile peak. The numerical resolution of the Zakharov equation system is performed to describe the coupled evolution of the plasma wave electric field envelope, and low frequency density disturbances. Experiments performed in the microwave domain show the existence of a new effect related to the modification of the electromagnetic wave propagation under the influence of plasma density disturbances created by the ponderomotive force. This effect which results in a collisional relaxation of plasma waves trapped in the cavity formed at resonance, cannot be taken into account by a numerical simulation using a capacitive pump field. Measurements showed that plasma waves were trapped and relaxing in a cavity with characteristic dimensions of some thousands of Debye lengths, and that the plasma wave in the cavity was stationary. A new turbulence regime is thus highlighted [fr

  17. Endpoint in plasma etch process using new modified w-multivariate charts and windowed regression

    Science.gov (United States)

    Zakour, Sihem Ben; Taleb, Hassen

    2017-09-01

    Endpoint detection is very important undertaking on the side of getting a good understanding and figuring out if a plasma etching process is done in the right way, especially if the etched area is very small (0.1%). It truly is a crucial part of supplying repeatable effects in every single wafer. When the film being etched has been completely cleared, the endpoint is reached. To ensure the desired device performance on the produced integrated circuit, the high optical emission spectroscopy (OES) sensor is employed. The huge number of gathered wavelengths (profiles) is then analyzed and pre-processed using a new proposed simple algorithm named Spectra peak selection (SPS) to select the important wavelengths, then we employ wavelet analysis (WA) to enhance the performance of detection by suppressing noise and redundant information. The selected and treated OES wavelengths are then used in modified multivariate control charts (MEWMA and Hotelling) for three statistics (mean, SD and CV) and windowed polynomial regression for mean. The employ of three aforementioned statistics is motivated by controlling mean shift, variance shift and their ratio (CV) if both mean and SD are not stable. The control charts show their performance in detecting endpoint especially W-mean Hotelling chart and the worst result is given by CV statistic. As the best detection of endpoint is given by the W-Hotelling mean statistic, this statistic will be used to construct a windowed wavelet Hotelling polynomial regression. This latter can only identify the window containing endpoint phenomenon.

  18. Predictions of ion energy distributions and radical fluxes in radio frequency biased inductively coupled plasma etching reactors

    Science.gov (United States)

    Hoekstra, Robert J.; Kushner, Mark J.

    1996-03-01

    Inductively coupled plasma (ICP) reactors are being developed for low gas pressure (radio frequency (rf) bias is applied to the substrate. One of the goals of these systems is to independently control the magnitude of the ion flux by the inductively coupled power deposition, and the acceleration of ions into the substrate by the rf bias. In high plasma density reactors the width of the sheath above the wafer may be sufficiently thin that ions are able to traverse it in approximately 1 rf cycle, even at 13.56 MHz. As a consequence, the ion energy distribution (IED) may have a shape typically associated with lower frequency operation in conventional reactive ion etching tools. In this paper, we present results from a computer model for the IED incident on the wafer in ICP etching reactors. We find that in the parameter space of interest, the shape of the IED depends both on the amplitude of the rf bias and on the ICP power. The former quantity determines the average energy of the IED. The latter quantity controls the width of the sheath, the transit time of ions across the sheath and hence the width of the IED. In general, high ICP powers (thinner sheaths) produce wider IEDs.

  19. A Study of Parameters Related to the Etch Rate for a Dry Etch Process Using NF3/O2 and SF6/O2

    Directory of Open Access Journals (Sweden)

    Seon-Geun Oh

    2014-01-01

    Full Text Available The characteristics of the dry etching of SiNx:H thin films for display devices using SF6/O2 and NF3/O2 were investigated using a dual-frequency capacitively coupled plasma reactive ion etching (CCP-RIE system. The investigation was carried out by varying the RF power ratio (13.56 MHz/2 MHz, pressure, and gas flow ratio. For the SiNx:H film, the etch rates obtained using NF3/O2 were higher than those obtained using SF6/O2 under various process conditions. The relationships between the etch rates and the usual monitoring parameters—the optical emission spectroscopy (OES intensity of atomic fluorine (685.1 nm and 702.89 nm and the voltages VH and VL—were investigated. The OES intensity data indicated a correlation between the bulk plasma density and the atomic fluorine density. The etch rate was proportional to the product of the OES intensity of atomic fluorine (I(F and the square root of the voltages (Vh+Vl on the assumption that the velocity of the reactive fluorine was proportional to the square root of the voltages.

  20. Dry etching of ferroelectric Bi4-xEuxTi3O12 (BET) thin films

    International Nuclear Information System (INIS)

    Lim, Kyu-Tae; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2004-01-01

    Bi 4-x Eu x Ti 3 O 12 (BET) thin films were etched by using a inductively coupled Cl 2 /Ar plasma. We obtained a maximum etch rate of 69 nm/min at a gas mixing ratio of Cl 2 (20 %)/Ar (80 %). This result suggests that an effective method for BET etching is chemically assisted physical etching. With increasing coil RF power, the plasma density increases so that the increased reactive free radicals and ions enhance the etch rates of BET, Pt, and SiO 2 . As the dc-bias voltage is increased, the increased ion energy leads to an increased etch rate of BET films. From X-ray photoelectron spectroscopy, the intensities of the Bi-O, the Eu-O, and the Ti-O peaks change with increasing Cl 2 concentration. For a pure Ar plasma, the peak associated with the oxygen-metal (O-M: TiO 2 , Bi 2 O 3 , Eu 2 O 3 ) bond seems to disappear while the pure oxygen peak does not appear. After the BET thin films is etched by using a Cl 2 /Ar plasma, the peak associated with the O-M bond increases slowly, but more quickly than the peak associated with pure oxygen atoms, due to a decrease in the Ar-ion bombardment. These results seem to indicate that Bi and Eu react little with Cl atoms and are removed predominantly by argon-ion bombardment. Also, Ti reacts little with Cl radicals and is mainly removed by chemically assisted physical etching.

  1. Advanced dry etching studies for micro- and nano-systems

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted

    and even contaminate the surface with metal flakes after resist removal. Ion beam etching has also been used for etching of steel without any problems with redeposition. For steel the etch rate was low which reduced the selectivity to the photo resist. Sapphire, a crystal of aluminum oxide, has a very low....... However, just generating an oxygen plasma does not result in a controllable etch and may give rise to a poor surface for later use. It may be necessary to introduce other gases such as SF6 to reduce surface roughness. Roughness can also be introduced by the mask in the form of redeposition of material...

  2. Tuning properties of long-period gratings by plasma post-processing of their diamond-like carbon nano-overlays

    International Nuclear Information System (INIS)

    Smietana, M; Koba, M; Mikulic, P; Bock, W J

    2014-01-01

    This work presents an application of reactive ion etching (RIE) for effective tuning of spectral response and the refractive index (RI) sensitivity of diamond-like carbon (DLC) nano-coated long-period gratings (LPGs). When oxygen plasma is applied the technique allows for an efficient and well controlled etching of hard and chemically resistant DLC films deposited on optical fibers. We show that optical properties of DLC, especially its refractive index, strongly depend on thickness of the film when it is thinner than 150 nm. The effect of DLC nano-coating deposition and etching on spectral properties of the LPGs is discussed. We have correlated the DLC properties with the shift of the LPG resonance wavelength and have found that both deposition and etching processes took place less effectively than on the electrode when the LPG sample was held above the electrode in the plasma reactor. An advantage of plasma-based etching is a capability for post-processing of the nano-coated structures with a good precision, as well as cleaning the samples and their re-coating according to requested needs. Moreover, the application of RIE allows for post-fabrication tuning of RI sensitivity of the DLC nano-coated LPGs. (paper)

  3. Tuning properties of long-period gratings by plasma post-processing of their diamond-like carbon nano-overlays

    Science.gov (United States)

    Smietana, M.; Koba, M.; Mikulic, P.; Bock, W. J.

    2014-11-01

    This work presents an application of reactive ion etching (RIE) for effective tuning of spectral response and the refractive index (RI) sensitivity of diamond-like carbon (DLC) nano-coated long-period gratings (LPGs). When oxygen plasma is applied the technique allows for an efficient and well controlled etching of hard and chemically resistant DLC films deposited on optical fibers. We show that optical properties of DLC, especially its refractive index, strongly depend on thickness of the film when it is thinner than 150 nm. The effect of DLC nano-coating deposition and etching on spectral properties of the LPGs is discussed. We have correlated the DLC properties with the shift of the LPG resonance wavelength and have found that both deposition and etching processes took place less effectively than on the electrode when the LPG sample was held above the electrode in the plasma reactor. An advantage of plasma-based etching is a capability for post-processing of the nano-coated structures with a good precision, as well as cleaning the samples and their re-coating according to requested needs. Moreover, the application of RIE allows for post-fabrication tuning of RI sensitivity of the DLC nano-coated LPGs.

  4. Evaluation of silicon-chemiluminescence monitoring as a novel method for atomic fluorine determination and end point detection in plasma etch systems

    NARCIS (Netherlands)

    Zijlstra, P.A.; Beenakker, C.I.M.

    1981-01-01

    Optical methods for the detection of atomic fluorine in plasma etch systems are discussed and an experimental comparison is made between detection by optical emission and by a novel method based on the chemiluminescence from solid silicon in the presence of atomic fluorine. Although both methods

  5. Eliminating dependence of hole depth on aspect ratio by forming ammonium bromide during plasma etching of deep holes in silicon nitride and silicon dioxide

    Science.gov (United States)

    Iwase, Taku; Yokogawa, Kenetsu; Mori, Masahito

    2018-06-01

    The reaction mechanism during etching to fabricate deep holes in SiN/SiO2 stacks by using a HBr/N2/fluorocarbon-based gas plasma was investigated. To etch SiN and SiO2 films simultaneously, HBr/fluorocarbon gas mixture ratio was controlled to achieve etching selectivity closest to one. Deep holes were formed in the SiN/SiO2 stacks by one-step etching at several temperatures. The surface composition of the cross section of the holes was analyzed by time-of-flight secondary-ion mass spectrometry. It was found that bromine ions (considered to be derived from NH4Br) were detected throughout the holes in the case of low-temperature etching. It was also found that the dependence of hole depth on aspect ratio decreases as temperature decreases, and it becomes significantly weaker at a substrate temperature of 20 °C. It is therefore concluded that the formation of NH4Br supplies the SiN/SiO2 etchant to the bottom of the holes. Such a finding will make it possible to alleviate the decrease in etching rate due to a high aspect ratio.

  6. In-situ monitoring of etching of bovine serum albumin using low-temperature atmospheric plasma jet

    Science.gov (United States)

    Kousal, J.; Shelemin, A.; Kylián, O.; Slavínská, D.; Biederman, H.

    2017-01-01

    Bio-decontamination of surfaces by means of atmospheric pressure plasma is nowadays extensively studied as it represents promising alternative to commonly used sterilization/decontamination techniques. The non-equilibrium atmospheric pressure plasmas were already reported to be highly effective in removal of a wide range of biological residual from surfaces. Nevertheless the kinetics of removal of biological contamination from surfaces is still not well understood as the majority of performed studies were based on ex-situ evaluation of etching rates, which did not allow investigating details of plasma action on biomolecules. This study therefore presents a real-time, in-situ ellipsometric characterization of removal of bovine serum albumin (BSA) from surfaces by low-temperature atmospheric plasma jet operated in argon. Non-linear and at shorter distances between treated samples and nozzle of the plasma jet also non-monotonic dependence of the removal rate on the treatment duration was observed. According to additional measurements focused on the determination of chemical changes of treated BSA as well as temperature measurements, the observed behavior is most likely connected with two opposing effects: the formation of a thin layer on the top of BSA deposit enriched in inorganic compounds, whose presence causes a gradual decrease of removal efficiency, and slight heating of BSA that facilitates its degradation and volatilization induced by chemically active radicals produced by the plasma.

  7. A plasmaless, photochemical etch process for porous organosilicate glass films

    Science.gov (United States)

    Ryan, E. Todd; Molis, Steven E.

    2017-12-01

    A plasmaless, photochemical etch process using ultraviolet (UV) light in the presence of NH3 or O2 etched porous organosilicate glass films, also called pSiCOH films, in a two-step process. First, a UV/NH3 or UV/O2 treatment removed carbon (mostly methyl groups bonded to silicon) from a pSiCOH film by demethylation to a depth determined by the treatment exposure time. Second, aqueous HF was used to selectively remove the demethylated layer of the pSiCOH film leaving the methylated layer below. UV in the presence of inert gas or H2 did not demethylate the pSiCOH film. The depth of UV/NH3 demethylation followed diffusion limited kinetics and possible mechanisms of demethylation are presented. Unlike reactive plasma processes, which contain ions that can damage surrounding structures during nanofabrication, the photochemical etch contains no damaging ions. Feasibility of the photochemical etching was shown by comparing it to a plasma-based process to remove the pSiCOH dielectric from between Cu interconnect lines, which is a critical step during air gap fabrication. The findings also expand our understanding of UV photon interactions in pSiCOH films that may contribute to plasma-induced damage to pSiCOH films.

  8. Fast Etching of Molding Compound by an Ar/O2/CF4 Plasma and Process Improvements for Semiconductor Package Decapsulation

    NARCIS (Netherlands)

    Tang, J.; Gruber, D.; Schelen, J.B.J.; Funke, H.J.; Beenakker, C.I.M.

    2012-01-01

    Decapsulation of a SOT23 semiconductor package with 23 um copper wire bonds is conducted with an especially designed microwave induced plasma system. It is found that a 30%-60% CF4 addition in the O2/CF4 etchant gas results in high molding compound etching rate. Si3N4 overetching which is

  9. Enhanced resonant second harmonic generation in plasma based on density transition

    Directory of Open Access Journals (Sweden)

    Kant Niti

    2015-06-01

    Full Text Available Resonant second harmonic generation of a relativistic self-focusing laser in plasma with density ramp profile has been investigated. A high intense Gaussian laser beam generates resonant second harmonic beam in plasma with density ramp profile. The second harmonic undergoes periodic focusing in the plasma channel created by the fundamental wave. The normalized second harmonic amplitude varies periodically with distance and attains maximum value in the focal region. Enhancement in the second harmonic amplitude on account of relativistic self-focusing of laser based on plasma density transition is seen. Plasma density ramp plays an important role to make self-focusing stronger which leads to enhance the second harmonic generation in plasma.

  10. Toward reliable morphology assessment of thermosets via physical etching: Vinyl ester resin as an example

    Directory of Open Access Journals (Sweden)

    J. Karger-Kocsis

    2013-05-01

    Full Text Available The morphology of peroxide-cured, styrene crosslinked, bisphenol A-based vinyl ester (VE resin was investigated by atomic force microscopy (AFM after ‘physical’ etching with different methods. Etching was achieved by laser ablation, atmospheric plasma treatment and argon ion bombardment. Parameters of the etching were varied to get AFM scans of high topography resolution. VE exhibited a nanoscaled nodular structure the formation of which was ascribed to complex intra- and intermolecular reactions during crosslinking. The microstructure resolved after all the above physical etching techniques was similar provided that optimized etching and suitable AFM scanning conditions were selected. Nevertheless, with respect to the ‘morphology visualization’ these methods follow the power ranking: argon bombardment > plasma treatment > laser ablation.

  11. Josephson plasma resonance in superconducting multilayers

    DEFF Research Database (Denmark)

    Pedersen, Niels Falsig; Sakai, S

    1998-01-01

    We derive an analytical solution for the Josephson plasma resonance of superconducting multilayers. This analytical solution is derived mainly for low-T-c systems with magnetic coupling between the superconducting layers. but many features of our results are more general, and thus an application...

  12. Physics of plasma etching and plasma deposition

    NARCIS (Netherlands)

    Schram, D.C.; Hoog, de F.J.; Bisschops, T.J.; Kroesen, G.M.W.; Howorka, F.; Lindinger, W.; Maerk, T.D.

    1986-01-01

    The kinetics and mechanism of the title processes are discussed on the basis of a model in which the plasma-surface system is subdivided into 5 regions: (I) plasma prodn., (II) plasma flow plus radicals, (III) gas adsorbed layer, (IV) modified surface, and (V) undisturbed solid (or liq.) state.

  13. Electron Cyclotron Resonance Heating of a High-Density Plasma

    DEFF Research Database (Denmark)

    Hansen, F. Ramskov

    1986-01-01

    Various schemes for electron cyclotron resonance heating of tokamak plasmas with the ratio of electron plasma frequency to electron cyclotron frequency, "»pe/^ce* larger than 1 on axis, are investigated. In particular, a mode conversion scheme is investigated using ordinary waves at the fundamental...... of the electron cyclotron frequency. These are injected obliquely from the outside of the tokamak near an optimal angle to the magnetic field lines. This method involves two mode conversions. The ordinary waves are converted into extraordinary waves near the plasma cut-off layer. The extraordinary waves...... are subsequently converted into electrostatic electron Bernstein waves at the upper hybrid resonance layer, and the Bernstein waves are completely absorbed close to the plasma centre. Results are presented from ray-tracinq calculations in full three-dimensional geometry using the dispersion function for a hot non...

  14. Atmospheric pressure plasma cleaning of contamination surfaces. 1997 mid-year progress report

    International Nuclear Information System (INIS)

    Hicks, R.; Selwyn, G.S.

    1997-01-01

    'Goals of the project are to (1) identify the key physics and chemistry underlying the use of high pressure plasmas for etching removal of actinides and actinide surrogates; and (2) identify key surface reactions and plasma physics necessary for optimization of the atmospheric pressure plasma jet. Technical description of the work decommissioning of transuranic waste (TRU) into low-level radioactive waste (LLW) represents the largest cleanup cost associated with the nuclear weapons complex. This work is directed towards developing a low-cost plasma technology capable of converting TRU into LLW, based upon highly selective plasma etching of plutonium and other actinides from contaminated surfaces. In this way, only the actinide material is removed, leaving the surface less contaminated. The plasma etches actinide material by producing a volatile halide compound, which may be efficiently trapped using filters. To achieve practical, low-cost operation of a plasma capable of etching actinide materials, the authors have developed a y-mode, resonant-cavity, atmospheric pressure plasma jet (APPJ). In contrast to conventional, low pressure plasmas, the APPJ produces a purely-chemical effluent free of ions, and so achieves very high selectivity and produces negligible damage to the surface. Since the jet operates outside a chamber, many nuclear wastes may be treated including machinery, duct-work, concrete and other building materials. In some cases, it may be necessary to first remove paint from contaminated surfaces using a plasma selective for that surface, then to switch to the actinide etching chemistry for removal of actinide contamination. The goal of this work is to develop the underlying science required for maturation of this technology and to establish early version engineering prototypes. Accomplishments to Date The authors have made significant progress in this program. The work conducted jointly at Los Alamos and at UCLA. This has been facilitated by exchange

  15. Atmospheric pressure plasma cleaning of contamination surfaces. 1997 mid-year progress report

    Energy Technology Data Exchange (ETDEWEB)

    Selwyn, G.S. [Los Alamos National Lab., NM (US); Hicks, R. [Univ. of California, Los Angeles, CA (US)

    1997-06-01

    'Goals of the project are to (1) identify the key physics and chemistry underlying the use of high pressure plasmas for etching removal of actinides and actinide surrogates; and (2) identify key surface reactions and plasma physics necessary for optimization of the atmospheric pressure plasma jet. Technical description of the work decommissioning of transuranic waste (TRU) into low-level radioactive waste (LLW) represents the largest cleanup cost associated with the nuclear weapons complex. This work is directed towards developing a low-cost plasma technology capable of converting TRU into LLW, based upon highly selective plasma etching of plutonium and other actinides from contaminated surfaces. In this way, only the actinide material is removed, leaving the surface less contaminated. The plasma etches actinide material by producing a volatile halide compound, which may be efficiently trapped using filters. To achieve practical, low-cost operation of a plasma capable of etching actinide materials, the authors have developed a y-mode, resonant-cavity, atmospheric pressure plasma jet (APPJ). In contrast to conventional, low pressure plasmas, the APPJ produces a purely-chemical effluent free of ions, and so achieves very high selectivity and produces negligible damage to the surface. Since the jet operates outside a chamber, many nuclear wastes may be treated including machinery, duct-work, concrete and other building materials. In some cases, it may be necessary to first remove paint from contaminated surfaces using a plasma selective for that surface, then to switch to the actinide etching chemistry for removal of actinide contamination. The goal of this work is to develop the underlying science required for maturation of this technology and to establish early version engineering prototypes. Accomplishments to Date The authors have made significant progress in this program. The work conducted jointly at Los Alamos and at UCLA. This has been facilitated by

  16. On the kinetic theory of parametric resonance in relativistic plasma

    International Nuclear Information System (INIS)

    El-Ashry, M.Y.

    1982-08-01

    The instability of relativistic hot plasma located in high-frequency external electric field is studied. The dispersion relation, in the case when the plasma electrons have relativistic oscillatory motion, is obtained. It is shown that if the electron Deby's radius is less than the wave length of plasma oscillation and far from the resonance on the overtones of the external field frequency, the oscillation build-up is possible. It is also shown that taking into account the relativistic motion of electrons leads to a considerable decrease in the frequency at which the parametric resonance takes place. (author)

  17. Dry etch challenges for CD shrinkage in memory process

    Science.gov (United States)

    Matsushita, Takaya; Matsumoto, Takanori; Mukai, Hidefumi; Kyoh, Suigen; Hashimoto, Kohji

    2015-03-01

    Line pattern collapse attracts attention as a new problem of the L&S formation in sub-20nm H.P feature. Line pattern collapse that occurs in a slight non-uniformity of adjacent CD (Critical dimension) space using double patterning process has been studied with focus on micro-loading effect in Si etching. Bias RF pulsing plasma etching process using low duty cycle helped increase of selectivity Si to SiO2. In addition to the effect of Bias RF pulsing process, the thin mask obtained from improvement of selectivity has greatly suppressed micro-loading in Si etching. However it was found that micro-loading effect worsen again in sub-20nm space width. It has been confirmed that by using cycle etch process to remove deposition with CFx based etching micro-loading effect could be suppressed. Finally, Si etching process condition using combination of results above could provide finer line and space without "line pattern collapse" in sub-20nm.

  18. In vitro study of 3D PLGA/n-HAp/β-TCP composite scaffolds with etched oxygen plasma surface modification in bone tissue engineering

    Energy Technology Data Exchange (ETDEWEB)

    Roh, Hee-Sang [Department of Dental Materials, School of Dentistry, Chosun University, 309 Pilmun-daero, Dong-gu, Gwangju 61452 (Korea, Republic of); Jung, Sang-Chul [Department of Environmental Engineering, Sunchon National University, 255 Jungang-ro, Sunchon 57922 (Korea, Republic of); Kook, Min-Suk [Department of Oral and Maxillofacial Surgery, School of Dentistry, Chonnam National University, 77 Yongbong-ro, Buk-gu, Gwangju 61186 (Korea, Republic of); Kim, Byung-Hoon, E-mail: kim5055@chosun.ac.kr [Department of Dental Materials, School of Dentistry, Chosun University, 309 Pilmun-daero, Dong-gu, Gwangju 61452 (Korea, Republic of)

    2016-12-01

    Highlights: • PLGA and PLGA/n-HAp/β-TCP scaffolds were successfully fabricated by 3D printing. • Oxygen plasma etching increases the wettability and surface roughness. • Bioceramics and oxygen plasma etching and could be used to improve the cell affinity. - Abstract: Three-dimensional (3D) scaffolds have many advantageous properties for bone tissue engineering application, due to its controllable properties such as pore size, structural shape and interconnectivity. In this study, effects on oxygen plasma surface modification and adding of nano-hydroxyapatite (n-HAp) and β-tricalcium phosphate (β-TCP) on the 3D PLGA/n-HAp/β-TCP scaffolds for improving preosteoblast cell (MC3T3-E1) adhesion, proliferation and differentiation were investigated. The 3D PLGA/n-HAp/β-TCP scaffolds were fabricated by 3D Bio-Extruder equipment. The 3D scaffolds were prepared with 0°/90° architecture and pore size of approximately 300 μm. In addition 3D scaffolds surface were etched by oxygen plasma to enhance the hydrophilic property and surface roughness. After oxygen plasma treatment, the surface chemistry and morphology were investigated by Fourier transform infrared spectroscopy, scanning electron microscopy, and atomic force microscopy. And also hydrophilic property was measured by contact angle. The MC3T3-E1 cell proliferation and differentiation were investigated by MTT assay and ALP activity. In present work, the 3D PLGA/HAp/beta-TCP composite scaffold with suitable structure for the growth of osteoblast cells was successfully fabricated by 3D rapid prototyping technique. The surface hydrophilicity and roughness of 3D scaffold increased by oxygen plasma treatment had a positive effect on cell adhesion, proliferation, and differentiation. Furthermore, the differentiation of MC3T3-E1 cell was significantly enhanced by adding of n-HAp and β-TCP on 3D PLGA scaffold. As a result, combination of bioceramics and oxygen plasma treatment showed a synergistic effect on

  19. Laboratory studies of the dynamic of resonance cones formation in magnetized plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Nazarov, V. V.; Starodubtsev, M. V.; Kostrov, A. V. [Russian Academy of Sciences, Institute of Applied Physics, Nizhny Novgorod (Russian Federation)

    2013-03-15

    The paper is devoted to experimental studies of formation of resonance cones in magnetized plasmas by pulsed RF source in the lower-hybrid (whistler) and the upper-hybrid frequency ranges. It is shown that in both frequency ranges, resonance cones exhibit similar dynamics after switching-on the RF source: at first, wide maxima of radiation are formed in non-resonance directions, which then become narrower, with their direction approaching the resonance one. While the resonance cones are being formed, one observes a fine structure in the form of secondary radiation maxima. It is shown that the characteristic formation time of stationary resonance cones is determined by the minimal value of the group velocity of the quasi-electrostatic waves excited by the antenna. In the low-temperature plasma, this value is limited in the lower-hybrid frequency range by the spatial spectrum of the emitting antenna and in the upper-hybrid range, by the effects of spatial plasma dispersion.

  20. Modification of track membranes structure by gas discharge etching method

    International Nuclear Information System (INIS)

    Dmitriev, S.N.; Kravets, L.I.

    1996-01-01

    An investigation of the properties of polyethyleneterephthalate track membranes (PET TM) treated with the plasma RF-discharge in air has been performed. The influence of the plasma treatment conditions on the basic properties of the membranes, namely pore size and pore shape, porosity and mechanical strength has been studied. It was arranged that the effect of air plasma on the PET TM results to etching a membrane's surface layer. The membranes' pore size and the form in this case change. It is shown that it is possible to change the structure of track membranes directly by the gas discharge etching method. Depending on the choice of discharge parameters, it is possible to make etching either in a part of the channel or along the whole length of the pore channels. In both cases the membranes with an asymmetric pore shape are formed which possess higher porosity and flow rate. The use of the membranes of such a type allows one to increase drastically the efficiency of the filtration processes. 12 refs., 5 figs., 1 tab

  1. Predicting synergy in atomic layer etching

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J. [Lam Research Corp., Fremont, CA (United States); Tan, Samantha [Lam Research Corp., Fremont, CA (United States); Yang, Wenbing [Lam Research Corp., Fremont, CA (United States); Kim, Taeseung [Lam Research Corp., Fremont, CA (United States); Lill, Thorsten [Lam Research Corp., Fremont, CA (United States); Kabansky, Alexander [Lam Research Corp., Fremont, CA (United States); Hudson, Eric A. [Lam Research Corp., Fremont, CA (United States); Ohba, Tomihito [Lam Research Corp., Fremont, CA (United States); Nojiri, Kazuo [Lam Research Corp., Fremont, CA (United States); Yu, Jengyi [Lam Research Corp., Fremont, CA (United States); Wise, Rich [Lam Research Corp., Fremont, CA (United States); Berry, Ivan L. [Lam Research Corp., Fremont, CA (United States); Pan, Yang [Lam Research Corp., Fremont, CA (United States); Marks, Jeffrey [Lam Research Corp., Fremont, CA (United States); Gottscho, Richard A. [Lam Research Corp., Fremont, CA (United States)

    2017-03-27

    Atomic layer etching (ALE) is a multistep process used today in manufacturing for removing ultrathin layers of material. In this article, the authors report on ALE of Si, Ge, C, W, GaN, and SiO2 using a directional (anisotropic) plasma-enhanced approach. The authors analyze these systems by defining an “ALE synergy” parameter which quantifies the degree to which a process approaches the ideal ALE regime. This parameter is inspired by the ion-neutral synergy concept introduced in the 1979 paper by Coburn and Winters. ALE synergy is related to the energetics of underlying surface interactions and is understood in terms of energy criteria for the energy barriers involved in the reactions. Synergistic behavior is observed for all of the systems studied, with each exhibiting behavior unique to the reactant–material combination. By systematically studying atomic layer etching of a group of materials, the authors show that ALE synergy scales with the surface binding energy of the bulk material. This insight explains why some materials are more or less amenable to the directional ALE approach. Furthermore, they conclude that ALE is both simpler to understand than conventional plasma etch processing and is applicable to metals, semiconductors, and dielectrics.

  2. An interatomic potential model for molecular dynamics simulation of silicon etching by Br+-containing plasmas

    International Nuclear Information System (INIS)

    Ohta, H.; Iwakawa, A.; Eriguchi, K.; Ono, K.

    2008-01-01

    An interatomic potential model for Si-Br systems has been developed for performing classical molecular dynamics (MD) simulations. This model enables us to simulate atomic-scale reaction dynamics during Si etching processes by Br + -containing plasmas such as HBr and Br 2 plasmas, which are frequently utilized in state-of-the-art techniques for the fabrication of semiconductor devices. Our potential form is based on the well-known Stillinger-Weber potential function, and the model parameters were systematically determined from a database of potential energies obtained from ab initio quantum-chemical calculations using GAUSSIAN03. For parameter fitting, we propose an improved linear scheme that does not require any complicated nonlinear fitting as that in previous studies [H. Ohta and S. Hamaguchi, J. Chem. Phys. 115, 6679 (2001)]. In this paper, we present the potential derivation and simulation results of bombardment of a Si(100) surface using a monoenergetic Br + beam

  3. Pattern transfer with stabilized nanoparticle etch masks

    International Nuclear Information System (INIS)

    Hogg, Charles R; Majetich, Sara A; Picard, Yoosuf N; Narasimhan, Amrit; Bain, James A

    2013-01-01

    Self-assembled nanoparticle monolayer arrays are used as an etch mask for pattern transfer into Si and SiO x substrates. Crack formation within the array is prevented by electron beam curing to fix the nanoparticles to the substrate, followed by a brief oxygen plasma to remove excess carbon. This leaves a dot array of nanoparticle cores with a minimum gap of 2 nm. Deposition and liftoff can transform the dot array mask into an antidot mask, where the gap is determined by the nanoparticle core diameter. Reactive ion etching is used to transfer the dot and antidot patterns into the substrate. The effect of the gap size on the etching rate is modeled and compared with the experimental results. (paper)

  4. Analytical investigation of microwave resonances of a curling probe for low and high-pressure plasma diagnostics

    Science.gov (United States)

    Arshadi, Ali; Brinkmann, Ralf Peter

    2017-01-01

    The concept of ‘active plasma resonance spectroscopy’ (APRS) has attracted greater interest in recent years as an established plasma diagnostic technique. The APRS describes a class of related methods utilizing the intrinsic ability of plasma to resonate at or near the electron plasma frequency {ω\\text{pe}} . The Curling probe (CP) as a novel realization of the APRS idea, is a miniaturized spiral slot embedded flatly in the chamber wall. Consequently, a plasma diagnostic technique with minimum disturbance and without metal contamination can be developed. To measure the plasma parameters the CP is fed with a weak frequency-swept signal from the exterior of the plasma chamber by a network analyzer which also records the response of the plasma versus the frequency. The resonance behavior is strongly dependent on the electron density and the gas pressure. The CP has also the advantage of resonating at a frequency greater than {ω\\text{pe}} which is dependent on the spiral’s length. The double resonance characteristic gives the CP the ability to be applied in varying plasma regimes. Assuming that the spiralization does not have a considerable effect on the resonances, a ‘straightened’ infinite length CP has recently been investigated (Arshadi and Brinkmann 2016 Plasma Sources Sci. Technol. 25 045014) to obtain the surface wave resonances. This work generalizes the approach and models the CP by a rectangular slot-type resonator located between plasma and quartz. Cold plasma theory and Maxwell’s equations are utilized to compute the electromagnetic fields propagating into the plasma by the diffraction of an incident plane wave at the slot. A mathematical model is employed and both kinds of resonances are derived. The analytical study of this paper shows good agreement with the numerical results of the probe inventors.

  5. Fabrication of high quality GaN nanopillar arrays by dry and wet chemical etching

    OpenAIRE

    Paramanik, Dipak; Motayed, Abhishek; King, Matthew; Ha, Jong-Yoon; Kryluk, Sergi; Davydov, Albert V.; Talin, Alec

    2013-01-01

    We study strain relaxation and surface damage of GaN nanopillar arrays fabricated using inductively coupled plasma (ICP) etching and post etch wet chemical treatment. We controlled the shape and surface damage of such nanopillar structures through selection of etching parameters. We compared different substrate temperatures and different chlorine-based etch chemistries to fabricate high quality GaN nanopillars. Room temperature photoluminescence and Raman scattering measurements were carried ...

  6. Resonant and Ground Experimental Study on the Microwave Plasma Thruster

    Science.gov (United States)

    Yang, Juan; He, Hongqing; Mao, Genwang; Qu, Kun; Tang, Jinlan; Han, Xianwei

    2002-01-01

    chemistry. Therefore, the application of EP for the attitude control and station keeping of satellite, the propulsion of deep space exploration craft allows to reduce substantially the mass of on-board propellant and the launching cost. The EP research is now receiving high interest everywhere. microwave generating subsystem, the propellant supplying subsystem and the resonator (the thruster). Its principle is that the magnetron of the microwave generating subsystem transfers electric energy into microwave energy at given frequency which is introduced into a resonant cavity. Microwave will resonate within the cavity when it is adjusted. When the propellant gas (N2, Ar, He, NH3 or H2) is put into the cavity and coupled with microwave energy at the maximal electric intensity place, it will be broken down to form free-floating plasma, which flows from nozzle with high speed to produce thrust. Its characteristic is high efficiency, simple power supply and without electrode ablation, its specific impulse is greater than arcjet. 2450MHz, have been developed. The microwave generating subsystem and resonator of lower power MPT, 70-200W, are coaxial. The resonator with TEM resonating mode is section of coaxial wave-guide, of which one end is shorted, another is semi-opened. The maximal electric intensity field is in the lumped capacity formed between the end surface of inner conductor, retracting in the cavity, and the semi-opened surface of outer conductor. It provides favorable condition for gas breakdown. The microwave generating system and resonator of middle power MPT, 500-1,000W, are wave-guide cavity. The resonator with TM011 resonating mode is cylinder wave-guide cavity, of which two end surface are shorted. The distribution of electromagnetic field is axial symmetry, its maximal electric intensity field locates on the axis and closes to the exit of nozzle, where the propellant gas is breakdown to form free floating plasma. The plasma is free from the wall of

  7. Nonlinear plasma waves excited near resonance

    International Nuclear Information System (INIS)

    Cohen, B.I.; Kaufman, A.N.

    1977-01-01

    The nonlinear resonant response of a uniform plasma to an external plane-wave field is formulated in terms of the mismatch Δ/sub n l/ between the driving frequency and the time-dependent, complex, nonlinear normal mode frequency at the driving wavenumber. This formalism is applied to computer simulations of this process, yielding a deduced nonlinear frequency shift. The time dependence of the nonlinear phenomena, at frequency Δ/sub n l/ and at the bounce frequency of the resonant particles, is analyzed. The interdependence of the nonlinear features is described by means of energy and momentum relations

  8. Wave propagation near the lower hybrid resonance in toroidal plasmas

    International Nuclear Information System (INIS)

    Ohkubo, K.; Ohasa, K.; Matsuura, K.

    1975-10-01

    Dielectric tensor and equipotential curves (ray trajectories) of an electrostatic wave near the lower hybrid resonance are investigated for the toroidal plasma with a shear magnetic field. The ray trajectories start from the vicinity of the plasma surface, and rotate in a spiral form around the magnetic axis, and then reach the lower or upper parts of lower hybrid resonance layer. The numerical computations are performed on the parameters of JIPP T-II device with two dimensional inhomogeneity. (auth.)

  9. Technique for etching monolayer and multilayer materials

    Science.gov (United States)

    Bouet, Nathalie C. D.; Conley, Raymond P.; Divan, Ralu; Macrander, Albert

    2015-10-06

    A process is disclosed for sectioning by etching of monolayers and multilayers using an RIE technique with fluorine-based chemistry. In one embodiment, the process uses Reactive Ion Etching (RIE) alone or in combination with Inductively Coupled Plasma (ICP) using fluorine-based chemistry alone and using sufficient power to provide high ion energy to increase the etching rate and to obtain deeper anisotropic etching. In a second embodiment, a process is provided for sectioning of WSi.sub.2/Si multilayers using RIE in combination with ICP using a combination of fluorine-based and chlorine-based chemistries and using RF power and ICP power. According to the second embodiment, a high level of vertical anisotropy is achieved by a ratio of three gases; namely, CHF.sub.3, Cl.sub.2, and O.sub.2 with RF and ICP. Additionally, in conjunction with the second embodiment, a passivation layer can be formed on the surface of the multilayer which aids in anisotropic profile generation.

  10. Propagation of quasi-static wave and resonance cone in magnetized plasma

    International Nuclear Information System (INIS)

    Serbeto, A.P.B.

    1980-08-01

    The potential created by an oscillating punctual source in a magnetized homogeneous cold plasma, using quasistatic approximation is studied. The resonance cone structure in this plasma is theoretically obtained and it is verified that the conic field structure remains finite for an inhomogeneous cold plasma. The temperature effect in the resonance cone structure in layers where w->Ω e ,w->w PC and w->w nh for magnetized homogeneous electron plasma is studied. An approximated expression for dispersion relations is obtained, so that an analytical solution for the potential in these layers can be calculated. The theorem of energy conservation for quasistatic waves is developed. (M.C.K.) [pt

  11. Implementation of atomic layer etching of silicon: Scaling parameters, feasibility, and profile control

    Energy Technology Data Exchange (ETDEWEB)

    Ranjan, Alok, E-mail: alok.ranjan@us.tel.com; Wang, Mingmei; Sherpa, Sonam D.; Rastogi, Vinayak [TEL Technology Center, America LLC, 255 Fuller Road, Suite 214, Albany, New York 12203 (United States); Koshiishi, Akira [Tokyo Electron Miyagi, Ltd., 1 Techno-Hills, Taiwa-cho, Kurokawa-gun, Miyagi, 9813629 (Japan); Ventzek, Peter L. G. [Tokyo Electron America, Inc., 2400 Grove Blvd., Austin, Texas 78741 (United States)

    2016-05-15

    Atomic or layer by layer etching of silicon exploits temporally segregated self-limiting adsorption and material removal steps to mitigate the problems associated with continuous or quasicontinuous (pulsed) plasma processes: selectivity loss, damage, and profile control. Successful implementation of atomic layer etching requires careful choice of the plasma parameters for adsorption and desorption steps. This paper illustrates how process parameters can be arrived at through basic scaling exercises, modeling and simulation, and fundamental experimental tests of their predictions. Using chlorine and argon plasma in a radial line slot antenna plasma source as a platform, the authors illustrate how cycle time, ion energy, and radical to ion ratio can be manipulated to manage the deviation from ideality when cycle times are shortened or purges are incomplete. Cell based Monte Carlo feature scale modeling is used to illustrate profile outcomes. Experimental results of atomic layer etching processes are illustrated on silicon line and space structures such that iso-dense bias and aspect ratio dependent free profiles are produced. Experimental results also illustrate the profile control margin as processes move from atomic layer to multilayer by layer etching. The consequence of not controlling contamination (e.g., oxygen) is shown to result in deposition and roughness generation.

  12. Self-resonant wakefield excitation by intense laser pulse in plasmas

    International Nuclear Information System (INIS)

    Andreev, N.E.; Pogosova, A.A.; Gorbunov, L.M.; Ramazashvili, R.R.; Kirsanov, V.I.

    1993-01-01

    It is demonstrated by theoretical analysis and numerical calculations that in an underdense plasma the process of three-dimensional evolution of the short and strong laser pulse (with duration equal to several plasma periods) leads to compression and self-modulation of the pulse, so that during a fairly long period of time beats of pulse amplitude generates resonantly a strong and stable plasma wakefield. The intensity of the wake-field is so high that it can provide a new promising outlook for the plasma based accelerator concept. Linear analysis of dispersion relation predicts that taking into account transverse component of wavenumber considerably increases the growth rate of resonance instability of the pulse. The numerical simulations demonstrate that considered self-focusing and resonant-modulation instability are essentially three dimensional processes. Laser field evolution in each transverse cross section of the pulse is synchronized by the regular structure of plasma wave that is excited by the pulse. The considered effect of resonant modulation has a threshold. For the pulses with the intensity below the threshold the refraction dominates and no modulation appears. The studied phenomenon can be referred to as the Self-Resonant Wakefield (SRWF) excitation that is driven by self-focusing and self-modulation of laser pulse with quite a moderate initial duration. In fact, this method of excitation differs from both suggested in Ref.1 (PBWA) and in Refs.2,3 (LWFA), being even more than the combination of these concepts. Unlike the first scheme it does not require initially the two-frequency laser pulse, since the modulation here appears in the most natural way due to evolution of the pulse. In contrast with the LWFA, the considered SRWF generation scheme gives the possibility to raise the intensity of wake-excitation due to pulse self-focusing ( initial stage) and self modulation (second stage)

  13. Dry Etching of Copper Phthalocyanine Thin Films: Effects on Morphology and Surface Stoichiometry

    Directory of Open Access Journals (Sweden)

    Michael J. Brett

    2012-08-01

    Full Text Available We investigate the evolution of copper phthalocyanine thin films as they are etched with argon plasma. Significant morphological changes occur as a result of the ion bombardment; a planar surface quickly becomes an array of nanopillars which are less than 20 nm in diameter. The changes in morphology are independent of plasma power, which controls the etch rate only. Analysis by X-ray photoelectron spectroscopy shows that surface concentrations of copper and oxygen increase with etch time, while carbon and nitrogen are depleted. Despite these changes in surface stoichiometry, we observe no effect on the work function. The absorbance and X-ray diffraction spectra show no changes other than the peaks diminishing with etch time. These findings have important implications for organic photovoltaic devices which seek nanopillar thin films of metal phthalocyanine materials as an optimal structure.

  14. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    Energy Technology Data Exchange (ETDEWEB)

    Grégoire, Geneviève, E-mail: genevieve.gregoire@univ-tlse3.fr [Department of Biomaterials, Faculty of Odontology, University Toulouse III, 31062 Toulouse (France); Sharrock, Patrick, E-mail: patrick.sharrock@gmail.com [CNRS UMR 5302, University Toulouse III, Mines-Albi, 81013 Albi (France); Prigent, Yann, E-mail: prigent@chimie.ups-tlse.fr [Institut de Chimie de Toulouse (ICT) – FR 2599, Faculté des Sciences et de l' Ingénierie, University Toulouse III, 31062 Toulouse (France)

    2016-09-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  15. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    International Nuclear Information System (INIS)

    Grégoire, Geneviève; Sharrock, Patrick; Prigent, Yann

    2016-01-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  16. Plasma control using neural network and optical emission spectroscopy

    International Nuclear Information System (INIS)

    Kim, Byungwhan; Bae, Jung Ki; Hong, Wan-Shick

    2005-01-01

    Due to high sensitivity to process parameters, plasma processes should be tightly controlled. For plasma control, a predictive model was constructed using a neural network and optical emission spectroscopy (OES). Principal component analysis (PCA) was used to reduce OES dimensionality. This approach was applied to an oxide plasma etching conducted in a CHF 3 /CF 4 magnetically enhanced reactive ion plasma. The etch process was systematically characterized by means of a statistical experimental design. Three etch outputs (etch rate, profile angle, and etch rate nonuniformity) were modeled using three different approaches, including conventional, OES, and PCA-OES models. For all etch outputs, OES models demonstrated improved predictions over the conventional or PCA-OES models. Compared to conventional models, OES models yielded an improvement of more than 25% in modeling profile angle and etch rate nonuniformtiy. More than 40% improvement over PCA-OES model was achieved in modeling etch rate and profile angle. These results demonstrate that nonreduced in situ data are more beneficial than reduced one in constructing plasma control model

  17. Effect of low-damage inductively coupled plasma on shallow nitrogen-vacancy centers in diamond

    Energy Technology Data Exchange (ETDEWEB)

    Fávaro de Oliveira, Felipe; Momenzadeh, S. Ali; Wang, Ya; Denisenko, Andrej, E-mail: a.denisenko@physik.uni-stuttgart.de [3. Institute of Physics, Research Center SCoPE and IQST, University of Stuttgart, 70569 Stuttgart (Germany); Konuma, Mitsuharu [Max Planck Institute for Solid State Research, 70569 Stuttgart (Germany); Markham, Matthew; Edmonds, Andrew M. [Element Six Innovation, Harwell Oxford, Didcot, Oxfordshire OX11 0QR (United Kingdom); Wrachtrup, Jörg [3. Institute of Physics, Research Center SCoPE and IQST, University of Stuttgart, 70569 Stuttgart (Germany); Max Planck Institute for Solid State Research, 70569 Stuttgart (Germany)

    2015-08-17

    Near-surface nitrogen-vacancy (NV) centers in diamond have been successfully employed as atomic-sized magnetic field sensors for external spins over the last years. A key challenge is still to develop a method to bring NV centers at nanometer proximity to the diamond surface while preserving their optical and spin properties. To that aim we present a method of controlled diamond etching with nanometric precision using an oxygen inductively coupled plasma process. Importantly, no traces of plasma-induced damages to the etched surface could be detected by X-ray photoelectron spectroscopy and confocal photoluminescence microscopy techniques. In addition, by profiling the depth of NV centers created by 5.0 keV of nitrogen implantation energy, no plasma-induced quenching in their fluorescence could be observed. Moreover, the developed etching process allowed even the channeling tail in their depth distribution to be resolved. Furthermore, treating a {sup 12}C isotopically purified diamond revealed a threefold increase in T{sub 2} times for NV centers with <4 nm of depth (measured by nuclear magnetic resonance signal from protons at the diamond surface) in comparison to the initial oxygen-terminated surface.

  18. Experimental study of a RF plasma source with helicon configuration in the mix Ar/H_2. Application to the chemical etching of carbon materials surfaces in the framework of the plasma-wall interactions studies of ITER's divertor

    International Nuclear Information System (INIS)

    Bieber, T.

    2012-01-01

    The issue of the interaction wall-plasma is important in thermonuclear devices. The purpose of this work is to design a very low pressure atomic plasma source in order to study chemical etching of carbon surfaces in the same conditions as edge plasma in tokamaks. The experimental work has consisted in 2 stages: first, the characterisation of the new helicon configuration reactor developed for this research and secondly the atomic hydrogen source used for the chemical etching. The first chapter recalls what thermonuclear fusion is. The helicon configuration reactor as well as its diagnostics (optical emission spectroscopy, laser induced fluorescence - LIF, and Langmuir probe) are described in the second chapter. The third chapter deals with the different coupling modes (RF power and plasma) identified in pure argon plasmas and how they are obtained by setting experimental parameters such as injected RF power, magnetic fields or pressure. The fourth chapter is dedicated to the study of the difference in behavior between the electronic density and the relative density of metastable Ar"+ ions. The last chapter presents the results in terms of mass losses of the carbon material surfaces obtained with the atomic hydrogen source. (A.C.)

  19. Josephson plasma resonance in vortex filament state of high temperature superconductors

    International Nuclear Information System (INIS)

    Matsuda, Yuji; Gaifullin, M.B.

    1996-01-01

    High temperature superconductors have the crystalline structure in which two-dimensional CuO 2 planes are piled in layers, consequently, the anisotropy of electroconductivity arises, and this brings about stable and low energy Josephson plasma in superconducting state. Also as to the vortex filament state of high temperature superconductors, the effect of thermal fluctuation due to low dimensionality, short coherence length and high transition temperature becomes conspicuous. In reality, these plasma and vortex filament state are related closely. Light reflection and plasma edge in superconducting state, Josephson plasma resonance in the vortex filament state of BiO 2 Sr 2 CaCu 2 O 8+δ , the plasma vibration in Josephson junction, Josephson plasma in magnetic field, Josephson plasma in the liquid state of vortex filament, Josephson plasma in the solid state of vortex filament, and Josephson plasma in parallel magnetic field are reported. The Josephson plasma resonance is the experimental means for exploring vortex filament state from microscopic standpoint, and its development hereafter is expected. (K.I.)

  20. Plasma processing of superconducting radio frequency cavities

    Science.gov (United States)

    Upadhyay, Janardan

    The development of plasma processing technology of superconducting radio frequency (SRF) cavities not only provides a chemical free and less expensive processing method, but also opens up the possibility for controlled modification of the inner surfaces of the cavity for better superconducting properties. The research was focused on the transition of plasma etching from two dimensional flat surfaces to inner surfaces of three dimensional (3D) structures. The results could be applicable to a variety of inner surfaces of 3D structures other than SRF cavities. Understanding the Ar/Cl2 plasma etching mechanism is crucial for achieving the desired modification of Nb SRF cavities. In the process of developing plasma etching technology, an apparatus was built and a method was developed to plasma etch a single cell Pill Box cavity. The plasma characterization was done with the help of optical emission spectroscopy. The Nb etch rate at various points of this cavity was measured before processing the SRF cavity. Cylindrical ring-type samples of Nb placed on the inner surface of the outer wall were used to measure the dependence of the process parameters on plasma etching. The measured etch rate dependence on the pressure, rf power, dc bias, temperature, Cl2 concentration and diameter of the inner electrode was determined. The etch rate mechanism was studied by varying the temperature of the outer wall, the dc bias on the inner electrode and gas conditions. In a coaxial plasma reactor, uniform plasma etching along the cylindrical structure is a challenging task due to depletion of the active radicals along the gas flow direction. The dependence of etch rate uniformity along the cylindrical axis was determined as a function of process parameters. The formation of dc self-biases due to surface area asymmetry in this type of plasma and its variation on the pressure, rf power and gas composition was measured. Enhancing the surface area of the inner electrode to reduce the

  1. Etching radical controlled gas chopped deep reactive ion etching

    Science.gov (United States)

    Olynick, Deidre; Rangelow, Ivo; Chao, Weilun

    2013-10-01

    A method for silicon micromachining techniques based on high aspect ratio reactive ion etching with gas chopping has been developed capable of producing essentially scallop-free, smooth, sidewall surfaces. The method uses precisely controlled, alternated (or chopped) gas flow of the etching and deposition gas precursors to produce a controllable sidewall passivation capable of high anisotropy. The dynamic control of sidewall passivation is achieved by carefully controlling fluorine radical presence with moderator gasses, such as CH.sub.4 and controlling the passivation rate and stoichiometry using a CF.sub.2 source. In this manner, sidewall polymer deposition thicknesses are very well controlled, reducing sidewall ripples to very small levels. By combining inductively coupled plasmas with controlled fluorocarbon chemistry, good control of vertical structures with very low sidewall roughness may be produced. Results show silicon features with an aspect ratio of 20:1 for 10 nm features with applicability to nano-applications in the sub-50 nm regime. By comparison, previous traditional gas chopping techniques have produced rippled or scalloped sidewalls in a range of 50 to 100 nm roughness.

  2. Organization of silicon nanocrystals by localized electrochemical etching

    International Nuclear Information System (INIS)

    Ayari-Kanoun, Asma; Drouin, Dominique; Beauvais, Jacques; Lysenko, Vladimir; Nychyporuk, Tetyana; Souifi, Abdelkader

    2009-01-01

    An approach to form a monolayer of organized silicon nanocrystals on a monocrystalline Si wafer is reported. Ordered arrays of nanoholes in a silicon nitride layer were obtained by combining electron beam lithography and plasma etching. Then, a short electrochemical etching current pulse led to formation of a single Si nanocrystal per each nanohole. As a result, high quality silicon nanocrystal arrays were formed with well controlled and reproducible morphologies. In future, this approach can be used to fabricate single electron devices.

  3. Dry Phosphorus silicate glass etching and surface conditioning and cleaning for multi-crystalline silicon solar cell processing

    International Nuclear Information System (INIS)

    Kagilik, Ahmed S.

    2014-01-01

    As an alternative to the wet chemical etching method, dry chemical etching processes for Phosphorus silicate glass [PSG} layer removal using Trifluormethane/Sulfur Hexafluoride (CHF 3 / SF 6 ) gas mixture in commercial silicon-nitride plasma enhanced chemical vapour deposition (SiN-PECVD) system is applied. The dependence of the solar cell performance on the etching temperature is investigated and optimized. It is found that the SiN-PECVD system temperature variation has a significant impact on the whole solar cell characteristics. A dry plasma cleaning treatment of the Si wafer surface after the PSG removal step is also investigated and developed. The cleaning step is used to remove the polymer film which is formed during the PSG etching using both oxygen and hydrogen gases. By applying an additional cleaning step, the polymer film deposited on the silicon wafer surface after PSG etching is eliminated. The effect of different plasma cleaning conditions on solar cell performance is investigated. After optimization of the plasma operating conditions, the performance of the solar cell is improved and the overall gain in efficiency of 0.6% absolute is yielded compared to a cell without any further cleaning step. On the other hand, the best solar cell characteristics can reach values close to that achieved by the conventional wet chemical etching processes demonstrating the effectiveness of the additional O 2 /H 2 post cleaning treatment.(author)

  4. Breathing-mode resonance of a complex plasma disk

    International Nuclear Information System (INIS)

    Sheridan, T.E.; Buckey, C.R.; Cox, D.J.; Merrill, R.J.; Theisen, W.L.

    2004-01-01

    We have experimentally characterized the breathing mode oscillation of a strongly-coupled, dusty plasma disk. Steady-state oscillations are excited by sinusoidally modulating the plasma density, creating a single-frequency, in-plane driving force. Resonance curves agree well with damped harmonic oscillator theory. A response at the second harmonic is observed and found to increase with the square of the driving force, indicating a quadratic nonlinearity

  5. Metal-assisted etch combined with regularizing etch

    Energy Technology Data Exchange (ETDEWEB)

    Yim, Joanne; Miller, Jeff; Jura, Michael; Black, Marcie R.; Forziati, Joanne; Murphy, Brian; Magliozzi, Lauren

    2018-03-06

    In an aspect of the disclosure, a process for forming nanostructuring on a silicon-containing substrate is provided. The process comprises (a) performing metal-assisted chemical etching on the substrate, (b) performing a clean, including partial or total removal of the metal used to assist the chemical etch, and (c) performing an isotropic or substantially isotropic chemical etch subsequently to the metal-assisted chemical etch of step (a). In an alternative aspect of the disclosure, the process comprises (a) performing metal-assisted chemical etching on the substrate, (b) cleaning the substrate, including removal of some or all of the assisting metal, and (c) performing a chemical etch which results in regularized openings in the silicon substrate.

  6. Etching characteristics and application of physical-vapor-deposited amorphous carbon for multilevel resist

    International Nuclear Information System (INIS)

    Kim, H. T.; Kwon, B. S.; Lee, N.-E.; Park, Y. S.; Cho, H. J.; Hong, B.

    2008-01-01

    For the fabrication of a multilevel resist (MLR) based on a very thin, physical-vapor-deposited (PVD) amorphous carbon (a-C) layer, the etching characteristics of the PVD a-C layer with a SiO x hard mask were investigated in a dual-frequency superimposed capacitively coupled plasma etcher by varying the following process parameters in O 2 /N 2 /Ar plasmas: high-frequency/low-frequency combination (f HF /f LF ), HF/LF power ratio (P HF /P LF ), and O 2 and N 2 flow rates. The very thin nature of the a-C layer helps to keep the aspect ratio of the etched features low. The etch rate of the PVD a-C layer increased with decreasing f HF /f LF combination and increasing P LF and was initially increased but then decreased with increasing N 2 flow rate in O 2 /N 2 /Ar plasmas. The application of a 30 nm PVD a-C layer in the MLR structure of ArF PR/BARC/SiO x /PVD a-C/TEOS oxide supported the possibility of using a very thin PVD a-C layer as an etch-mask layer for the TEOS-oxide layer

  7. Black silicon method X: a review on high speed and selective plasma etching of silicon with profile control: an in-depth comparison between Bosch and cryostat DRIE processes as a roadmap to next generation equipment

    International Nuclear Information System (INIS)

    Jansen, H V; De Boer, M J; Unnikrishnan, S; Louwerse, M C; Elwenspoek, M C

    2009-01-01

    An intensive study has been performed to understand and tune deep reactive ion etch (DRIE) processes for optimum results with respect to the silicon etch rate, etch profile and mask etch selectivity (in order of priority) using state-of-the-art dual power source DRIE equipment. The research compares pulsed-mode DRIE processes (e.g. Bosch technique) and mixed-mode DRIE processes (e.g. cryostat technique). In both techniques, an inhibitor is added to fluorine-based plasma to achieve directional etching, which is formed out of an oxide-forming (O 2 ) or a fluorocarbon (FC) gas (C 4 F 8 or CHF 3 ). The inhibitor can be introduced together with the etch gas, which is named a mixed-mode DRIE process, or the inhibitor can be added in a time-multiplexed manner, which will be termed a pulsed-mode DRIE process. Next, the most convenient mode of operation found in this study is highlighted including some remarks to ensure proper etching (i.e. step synchronization in pulsed-mode operation and heat control of the wafer). First of all, for the fabrication of directional profiles, pulsed-mode DRIE is far easier to handle, is more robust with respect to the pattern layout and has the potential of achieving much higher mask etch selectivity, whereas in a mixed-mode the etch rate is higher and sidewall scalloping is prohibited. It is found that both pulsed-mode CHF 3 and C 4 F 8 are perfectly suited to perform high speed directional etching, although they have the drawback of leaving the FC residue at the sidewalls of etched structures. They show an identical result when the flow of CHF 3 is roughly 30 times the flow of C 4 F 8 , and the amount of gas needed for a comparable result decreases rapidly while lowering the temperature from room down to cryogenic (and increasing the etch rate). Moreover, lowering the temperature lowers the mask erosion rate substantially (and so the mask selectivity improves). The pulsed-mode O 2 is FC-free but shows only tolerable anisotropic results at

  8. Resonant power absorption in helicon plasma sources

    International Nuclear Information System (INIS)

    Chen Guangye; Arefiev, Alexey V.; Bengtson, Roger D.; Breizman, Boris N.; Lee, Charles A.; Raja, Laxminarayan L.

    2006-01-01

    Helicon discharges produce plasmas with a density gradient across the confining magnetic field. Such plasmas can create a radial potential well for nonaxisymmetric whistlers, allowing radially localized helicon (RLH) waves. This work presents new evidence that RLH waves play a significant role in helicon plasma sources. An experimentally measured plasma density profile in an argon helicon discharge is used to calculate the rf field structure. The calculations are performed using a two-dimensional field solver under the assumption that the density profile is axisymmetric. It is found that RLH waves with an azimuthal wave number m=1 form a standing wave structure in the axial direction and that the frequency of the RLH eigenmode is close to the driving frequency of the rf antenna. The calculated resonant power absorption, associated with the RLH eigenmode, accounts for most of the rf power deposited into the plasma in the experiment

  9. Exploration of suitable dry etch technologies for directed self-assembly

    Science.gov (United States)

    Yamashita, Fumiko; Nishimura, Eiichi; Yatsuda, Koichi; Mochiki, Hiromasa; Bannister, Julie

    2012-03-01

    Directed self-assembly (DSA) has shown the potential to replace traditional resist patterns and provide a lower cost alternative for sub-20-nm patterns. One of the possible roadblocks for DSA implementation is the ability to etch the polymers to produce quality masks for subsequent etch processes. We have studied the effects of RF frequency and etch chemistry for dry developing DSA patterns. The results of the study showed a capacitively-coupled plasma (CCP) reactor with very high frequency (VHF) had superior pattern development after the block co-polymer (BCP) etch. The VHF CCP demonstrated minimal BCP height loss and line edge roughness (LER)/line width roughness (LWR). The advantage of CCP over ICP is the low dissociation so the etch rate of BCP is maintained low enough for process control. Additionally, the advantage of VHF is the low electron energy with a tight ion energy distribution that enables removal of the polymethyl methacrylate (PMMA) with good selectivity to polystyrene (PS) and minimal LER/LWR. Etch chemistries were evaluated on the VHF CCP to determine ability to treat the BCPs to increase etch resistance and feature resolution. The right combination of RF source frequencies and etch chemistry can help overcome the challenges of using DSA patterns to create good etch results.

  10. Electron heating via self-excited plasma series resonance in geometrically symmetric multi-frequency capacitive plasmas

    International Nuclear Information System (INIS)

    Schüngel, E; Brandt, S; Schulze, J; Donkó, Z; Korolov, I; Derzsi, A

    2015-01-01

    The self-excitation of plasma series resonance (PSR) oscillations plays an important role in the electron heating dynamics in capacitively coupled radio-frequency (CCRF) plasmas. In a combined approach of PIC/MCC simulations and a theoretical model based on an equivalent circuit, we investigate the self-excitation of PSR oscillations and their effect on the electron heating in geometrically symmetric CCRF plasmas driven by multiple consecutive harmonics. The discharge symmetry is controlled via the electrical asymmetry effect (EAE), i.e. by varying the total number of harmonics and tuning the phase shifts between them. It is demonstrated that PSR oscillations will be self-excited under both symmetric and asymmetric conditions, if (i) the charge–voltage relation of the plasma sheaths deviates from a simple quadratic behavior and (ii) the inductance of the plasma bulk exhibits a temporal modulation. These two effects have been neglected up to now, but we show that they must be included in the model in order to properly describe the nonlinear series resonance circuit and reproduce the self-excitation of PSR oscillations, which are observed in the electron current density resulting from simulations of geometrically symmetric CCRF plasmas. Furthermore, the effect of PSR self-excitation on the discharge current and the plasma properties, such as the potential profile, is illustrated by applying Fourier analysis. High-frequency oscillations in the entire spectrum between the applied frequencies and the local electron plasma frequency are observed. As a consequence, the electron heating is strongly enhanced by the presence of PSR oscillations. A complex electron heating dynamics is found during the expansion phase of the sheath, which is fully collapsed, when the PSR is initially self-excited. The nonlinear electron resonance heating (NERH) associated with the PSR oscillations causes a spatial asymmetry in the electron heating. By discussing the resulting ionization

  11. Development of Polysulfone Hollow Fiber Porous Supports for High Flux Composite Membranes: Air Plasma and Piranha Etching

    Directory of Open Access Journals (Sweden)

    Ilya Borisov

    2017-02-01

    Full Text Available For the development of high efficiency porous supports for composite membrane preparation, polysulfone (PSf hollow fiber membranes (outer diameter 1.57 mm, inner diameter 1.12 mm were modified by air plasma using the low temperature plasma treatment pilot plant which is easily scalable to industrial level and the Piranha etch (H2O2 + H2SO4. Chemical and plasma modification affected only surface layers and did not cause PSf chemical structure change. The modifications led to surface roughness decrease, which is of great importance for further thin film composite (TFC membranes fabrication by dense selective layer coating, and also reduced water and ethylene glycol contact angle values for modified hollow fibers surface. Furthermore, the membranes surface energy increased two-fold. The Piranha mixture chemical modification did not change the membranes average pore size and gas permeance values, while air plasma treatment increased pore size 1.5-fold and also 2 order enhanced membranes surface porosity. Since membranes surface porosity increased due to air plasma treatment the modified membranes were used as efficient supports for preparation of high permeance TFC membranes by using poly[1-(trimethylsilyl-1-propyne] as an example for selective layer fabrication.

  12. Characterization of etch pits found on a large-grain bulk niobium superconducting radio-frequency resonant cavity

    Science.gov (United States)

    Zhao, Xin; Ciovati, G.; Bieler, T. R.

    2010-12-01

    The performance of superconducting radio-frequency (SRF) resonant cavities made of bulk niobium is limited by nonlinear localized effects. Surface analysis of regions of higher power dissipation is thus of intense interest. Such areas (referred to as “hotspots”) were identified in a large-grain single-cell cavity that had been buffered-chemical polished and dissected for examination by high resolution electron microscopy, electron backscattered diffraction microscopy (EBSD), and optical microscopy. Pits with clearly discernible crystal facets were observed in both “hotspot” and “coldspot” specimens. The pits were found in-grain, at bicrystal boundaries, and on tricrystal junctions. They are interpreted as etch pits induced by crystal defects (e.g. dislocations). All coldspots examined had a qualitatively lower density of etch pits or relatively smooth tricrystal boundary junctions. EBSD mapping revealed the crystal orientation surrounding the pits. Locations with high pit density are correlated with higher mean values of the local average misorientation angle distributions, indicating a higher geometrically necessary dislocation content. In addition, a survey of the samples by energy dispersive x-ray analysis did not show any significant contamination of the samples’ surface. The local magnetic field enhancement produced by the sharp-edge features observed on the samples is not sufficient to explain the observed degradation of the cavity quality factor, which starts at peak surface magnetic field as low as 20 mT.

  13. An extended CFD model to predict the pumping curve in low pressure plasma etch chamber

    Science.gov (United States)

    Zhou, Ning; Wu, Yuanhao; Han, Wenbin; Pan, Shaowu

    2014-12-01

    Continuum based CFD model is extended with slip wall approximation and rarefaction effect on viscosity, in an attempt to predict the pumping flow characteristics in low pressure plasma etch chambers. The flow regime inside the chamber ranges from slip wall (Kn ˜ 0.01), and up to free molecular (Kn = 10). Momentum accommodation coefficient and parameters for Kn-modified viscosity are first calibrated against one set of measured pumping curve. Then the validity of this calibrated CFD models are demonstrated in comparison with additional pumping curves measured in chambers of different geometry configurations. More detailed comparison against DSMC model for flow conductance over slits with contraction and expansion sections is also discussed.

  14. Gyrokinetic theory of perpendicular cyclotron resonance in a nonuniformly magnetized plasma

    International Nuclear Information System (INIS)

    Lashmore-Davies, C.N.; Dendy, R.O.

    1989-01-01

    The extension of gyrokinetic theory to arbitrary frequencies by Chen and Tsai [Phys. Fluids 26, 141 (1983); Plasma Phys. 25, 349 (1983)] is used to study cyclotron absorption in a straight magnetic field with a perpendicular, linear gradient in strength. The analysis includes the effects of magnetic field variation across the Larmor orbit and is restricted to propagation perpendicular to the field. It yields the following results for propagation into the field gradient. The standard optical depths for the fundamental O-mode and second harmonic X-mode resonances are obtained from the absorption profiles given in this paper, without invoking relativistic mass variation [see also Antonsen and Manheimer, Phys. Fluids 21, 2295 (1978)]. The compressional Alfven wave is shown to undergo perpendicular cyclotron damping at the fundamental minority resonance in a two-ion species plasma and at second harmonic resonance in a single-ion species plasma. Ion Bernstein waves propagating into the second harmonic resonance are no longer unattenuated, but are increasingly damped as they approach the resonance. It is shown how the kinetic power flow affects absorption profiles, yielding information previously obtainable only from full-wave theory. In all cases, the perpendicular cyclotron damping arises from the inclusion of magnetic field variation across the Larmor orbit

  15. The memory characteristics of submicron feature-size PZT capacitors with PtOx top electrode by using dry-etching

    International Nuclear Information System (INIS)

    Huang, C.-K.; Wang, C.-C.; Wu, T.-B.

    2007-01-01

    Dry etching and its effect on the characteristics of submicron feature-size PbZr 1-x Ti x O 3 (PZT) capacitors with PtO x top electrode were investigated. The photoresist (PR)-masked PtO x films were etched by an Ar/(20%)Cl 2 /O 2 helicon wave plasma. A fence-free pattern with a significantly high etch rate and sidewall slope was obtained by the addition of O 2 into the etching gas mixture, due to the chemical instability of PtO x and the formation of a PtO 2 passivation layer to suppress redeposition of the etch by-products on the etched surface. The patterned PtO x electrode can be further used as a hard mask for etching the PZT film, subsequently, with the gas mixture of Ar, CF 4 and O 2 . A high etching rate of PZT and a good etching selectivity to PtO x can be obtained at 30% O 2 addition into the Ar/(50%)CF 4 plasma. The etched capacitors have a steep, 72 0 , sidewall angle with a clean surface. Moreover, the addition of O 2 into the etching gas can well preserve the properties and the fatigue endurance of PtO x /PZT capacitors

  16. MM-wave cyclotron auto-resonance maser for plasma heating

    Science.gov (United States)

    Ceccuzzi, S.; Dattoli, G.; Di Palma, E.; Doria, A.; Gallerano, G. P.; Giovenale, E.; Mirizzi, F.; Spassovsky, I.; Ravera, G. L.; Surrenti, V.; Tuccillo, A. A.

    2014-02-01

    Heating and Current Drive systems are of outstanding relevance in fusion plasmas, magnetically confined in tokamak devices, as they provide the tools to reach, sustain and control burning conditions. Heating systems based on the electron cyclotron resonance (ECRH) have been extensively exploited on past and present machines DEMO, and the future reactor will require high frequencies. Therefore, high power (≥1MW) RF sources with output frequency in the 200 - 300 GHz range would be necessary. A promising source is the so called Cyclotron Auto-Resonance Maser (CARM). Preliminary results of the conceptual design of a CARM device for plasma heating, carried out at ENEA-Frascati will be presented together with the planned R&D development.

  17. Atmospheric-pressure plasma jet

    Science.gov (United States)

    Selwyn, Gary S.

    1999-01-01

    Atmospheric-pressure plasma jet. A .gamma.-mode, resonant-cavity plasma discharge that can be operated at atmospheric pressure and near room temperature using 13.56 MHz rf power is described. Unlike plasma torches, the discharge produces a gas-phase effluent no hotter than 250.degree. C. at an applied power of about 300 W, and shows distinct non-thermal characteristics. In the simplest design, two concentric cylindrical electrodes are employed to generate a plasma in the annular region therebetween. A "jet" of long-lived metastable and reactive species that are capable of rapidly cleaning or etching metals and other materials is generated which extends up to 8 in. beyond the open end of the electrodes. Films and coatings may also be removed by these species. Arcing is prevented in the apparatus by using gas mixtures containing He, which limits ionization, by using high flow velocities, and by properly shaping the rf-powered electrode. Because of the atmospheric pressure operation, no ions survive for a sufficiently long distance beyond the active plasma discharge to bombard a workpiece, unlike low-pressure plasma sources and conventional plasma processing methods.

  18. Non-stationarity of resonance signals from magnetospheric and ionospheric plasmas

    International Nuclear Information System (INIS)

    Higel, Bernard

    1975-01-01

    Rocket observations of resonance signals from ionospheric plasma were made during EIDI relaxation sounding experiments. It appeared that their amplitude, phase, and frequency characteristics are not stationary as a function of the receipt time. The measurement of these nonstationary signals increases the interest presented by resonance phenomena in spatial plasma diagnostics, but this measurement is not easy for frequency non-stationarities. A new method, entirely numerical, is proposed for automatic recognition of these signals. It will be used for the selecting and real-time processing of signals of the same type to be observed during relaxation sounding experiments on board of the futur GEOS satellite. In this method a statistical discrimination is done on the values taken by several parameters associated with the non-stationarities of the observed resonance signals [fr

  19. High-aspect ratio micro- and nanostructures enabled by photo-electrochemical etching for sensing and energy harvesting applications

    Science.gov (United States)

    Alhalaili, Badriyah; Dryden, Daniel M.; Vidu, Ruxandra; Ghandiparsi, Soroush; Cansizoglu, Hilal; Gao, Yang; Saif Islam, M.

    2018-03-01

    Photo-electrochemical (PEC) etching can produce high-aspect ratio features, such as pillars and holes, with high anisotropy and selectivity, while avoiding the surface and sidewall damage caused by traditional deep reactive ion etching (DRIE) or inductively coupled plasma (ICP) RIE. Plasma-based techniques lead to the formation of dangling bonds, surface traps, carrier leakage paths, and recombination centers. In pursuit of effective PEC etching, we demonstrate an optical system using long wavelength (λ = 975 nm) infra-red (IR) illumination from a high-power laser (1-10 W) to control the PEC etching process in n-type silicon. The silicon wafer surface was patterned with notches through a lithography process and KOH etching. Then, PEC etching was introduced by illuminating the backside of the silicon wafer to enhance depth, resulting in high-aspect ratio structures. The effect of the PEC etching process was optimized by varying light intensities and electrolyte concentrations. This work was focused on determining and optimizing this PEC etching technique on silicon, with the goal of expanding the method to a variety of materials including GaN and SiC that are used in designing optoelectronic and electronic devices, sensors and energy harvesting devices.

  20. TOPICAL REVIEW: Black silicon method X: a review on high speed and selective plasma etching of silicon with profile control: an in-depth comparison between Bosch and cryostat DRIE processes as a roadmap to next generation equipment

    Science.gov (United States)

    Jansen, H V; de Boer, M J; Unnikrishnan, S; Louwerse, M C; Elwenspoek, M C

    2009-03-01

    An intensive study has been performed to understand and tune deep reactive ion etch (DRIE) processes for optimum results with respect to the silicon etch rate, etch profile and mask etch selectivity (in order of priority) using state-of-the-art dual power source DRIE equipment. The research compares pulsed-mode DRIE processes (e.g. Bosch technique) and mixed-mode DRIE processes (e.g. cryostat technique). In both techniques, an inhibitor is added to fluorine-based plasma to achieve directional etching, which is formed out of an oxide-forming (O2) or a fluorocarbon (FC) gas (C4F8 or CHF3). The inhibitor can be introduced together with the etch gas, which is named a mixed-mode DRIE process, or the inhibitor can be added in a time-multiplexed manner, which will be termed a pulsed-mode DRIE process. Next, the most convenient mode of operation found in this study is highlighted including some remarks to ensure proper etching (i.e. step synchronization in pulsed-mode operation and heat control of the wafer). First of all, for the fabrication of directional profiles, pulsed-mode DRIE is far easier to handle, is more robust with respect to the pattern layout and has the potential of achieving much higher mask etch selectivity, whereas in a mixed-mode the etch rate is higher and sidewall scalloping is prohibited. It is found that both pulsed-mode CHF3 and C4F8 are perfectly suited to perform high speed directional etching, although they have the drawback of leaving the FC residue at the sidewalls of etched structures. They show an identical result when the flow of CHF3 is roughly 30 times the flow of C4F8, and the amount of gas needed for a comparable result decreases rapidly while lowering the temperature from room down to cryogenic (and increasing the etch rate). Moreover, lowering the temperature lowers the mask erosion rate substantially (and so the mask selectivity improves). The pulsed-mode O2 is FC-free but shows only tolerable anisotropic results at -120 °C. The

  1. Resonant charge exchange for H-H+ in Debye plasmas

    Science.gov (United States)

    Laricchiuta, Annarita; Colonna, Gianpiero; Capitelli, Mario; Kosarim, Alexander; Smirnov, Boris M.

    2017-11-01

    The dynamics of resonant charge exchange in proton-hydrogen collisions embedded in plasma is investigated in the framework of the asymptotic approach, modified to account for the effect of Debye-Hückel screening in particle interactions. The cross sections exhibit a marked dependence on the Debye length in regimes of severe plasma confinement. Processes involving excited states H( n)-H+ are also discussed.

  2. Isotope separation in plasma by ion-cyclotron resonance method

    International Nuclear Information System (INIS)

    Dubinov, A.E.; Kornilova, I.Yu.; Selemir, V.D.

    2001-01-01

    Contemporary state of investigation on isotope separation in plasma using selective ion-cyclotron resonance (ICR) heating is considered. The main attention is paid to necessary conditions of heating selectivity, plasma creation methods in isotope ICR-separation facilities, selection of antenna systems for heating, and principles of more-heated component selection. Experimental results obtained at different isotope mixtures separation are presented [ru

  3. Effects of 3D microlens transfer into fused silica substrate by CF{sub 4}/O{sub 2} dry etching

    Energy Technology Data Exchange (ETDEWEB)

    Grigaliūnas, Viktoras, E-mail: Viktoras.Grigaliunas@ktu.lt [Institute of Materials Science, Kaunas University of Technology, Barsausko 59, LT-51423 Kaunas (Lithuania); Jucius, Dalius; Lazauskas, Algirdas; Andrulevičius, Mindaugas; Sakaliūnienė, Jolita; Abakevičienė, Brigita; Kopustinskas, Vitoldas [Institute of Materials Science, Kaunas University of Technology, Barsausko 59, LT-51423 Kaunas (Lithuania); Smetona, Saulius [Qorvo, 7628 Thorndike Road Greensboro, NC 27409 United States (United States); Tamulevičius, Sigitas [Institute of Materials Science, Kaunas University of Technology, Barsausko 59, LT-51423 Kaunas (Lithuania)

    2017-01-30

    Highlights: • The etching rate of PMMA is dependent on the plasma etching time. • The etching rate ratio between PMMA and fused silica vary during plasma treatment. • The etching rate ratio variation must be assessed during the microlens design phase. - Abstract: Nowadays, 3D microoptical elements find a variety of applications from light emitting diodes and household appliances to precise medical endoscopes. Such elements, fabricated in a fused silica substrate by combining 3D e-beam patterning and dry etching, can be used as a mold for the high throughput replication in polymeric materials by UV nanoimprint technique. Flexible and precise control of 3D shape in the resist layer can be achieved by e-beam patterning, but it is also very important to know peculiarities of 3D pattern transfer from resist layer into the fused silica substrate. This paper reports on the effects of PMMA 3D microlens pattern transfer into fused silica substrate by CF{sub 4}/O{sub 2} dry etching. It is demonstrated that etching rate ratio between PMMA and fused silica changes during plasma treatment. Thus, the resulting shape of transferred 3D profile is different from the shape in PMMA and this variation must be assessed during the design phase.

  4. Nonlinear Right-Hand Polarized Wave in Plasma in the Electron Cyclotron Resonance Region

    Science.gov (United States)

    Krasovitskiy, V. B.; Turikov, V. A.

    2018-05-01

    The propagation of a nonlinear right-hand polarized wave along an external magnetic field in subcritical plasma in the electron cyclotron resonance region is studied using numerical simulations. It is shown that a small-amplitude plasma wave excited in low-density plasma is unstable against modulation instability with a modulation period equal to the wavelength of the excited wave. The modulation amplitude in this case increases with decreasing detuning from the resonance frequency. The simulations have shown that, for large-amplitude waves of the laser frequency range propagating in plasma in a superstrong magnetic field, the maximum amplitude of the excited longitudinal electric field increases with the increasing external magnetic field and can reach 30% of the initial amplitude of the electric field in the laser wave. In this case, the energy of plasma electrons begins to substantially increase already at magnetic fields significantly lower than the resonance value. The laser energy transferred to plasma electrons in a strong external magnetic field is found to increase severalfold compared to that in isotropic plasma. It is shown that this mechanism of laser radiation absorption depends only slightly on the electron temperature.

  5. Fabrication of a Silicon Nanowire on a Bulk Substrate by Use of a Plasma Etching and Total Ionizing Dose Effects on a Gate-All-Around Field-Effect Transistor

    Science.gov (United States)

    Moon, Dong-Il; Han, Jin-Woo; Meyyappan, Meyya

    2016-01-01

    The gate all around transistor is investigated through experiment. The suspended silicon nanowire for the next generation is fabricated on bulk substrate by plasma etching method. The scallop pattern generated by Bosch process is utilized to form a floating silicon nanowire. By combining anisotropic and istropic silicon etch process, the shape of nanowire is accurately controlled. From the suspended nanowire, the gate all around transistor is demonstrated. As the silicon nanowire is fully surrounded by the gate, the device shows excellent electrostatic characteristics.

  6. The Langmuir isotherm and the standard model of ion-assisted etching

    International Nuclear Information System (INIS)

    Lieberman, M A

    2009-01-01

    Langmuir is lured to the General Electric Research Laboratory, where he creates a new science-surface chemistry-and christens another-plasma. His atomistic views of gas-surface interactions are extended 65 years later to describe ion-assisted plasma etching, an indispensable process in modern semiconductor device manufacturing.

  7. Surface kinetics of Bi4-xLaxTi3O12 films etched in a CF4/Ar gas chemistry

    International Nuclear Information System (INIS)

    Kim, Dong-Pyo; Kim, Kyoung-Tae; Efremov, A. M.; Kim, Chang-Il

    2004-01-01

    The surface reactions and the etch rate of Bi 4-x La x Ti 3 O 12 (BLT) films in a CF 4 /Ar plasma were investigated in an inductively coupled plasma (ICP) reactor in terms of the gas mixing ratio. The variation of relative volume densities for the F and the Ar atoms were measured with optical emission spectroscopy (OES). The maximum etch rate of 803 A/min was obtained in a CF 4 (20 %)/Ar(80 %) plasma. The presence of a maximum in the BLT etch rate at CF 4 (20 %)/Ar(80 %) may be explained by the concurrence of two etching mechanisms, physical sputtering and chemical reaction. Ar-ion bombardment played roles of destroying the metal (Bi, La, Ti)-O bonds and assisting the chemical reaction between metal and fluorine atoms. The chemical states of BLT were investigated using X-ray photoelectron spectroscopy (XPS), which confirmed the existence of nonvolatile etch byproducts (La-fluorides).

  8. Introducing etch kernels for efficient pattern sampling and etch bias prediction

    Science.gov (United States)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2018-01-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels, as well as the choice of calibration patterns, is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels-"internal, external, curvature, Gaussian, z_profile"-designed to represent the finest details of the resist geometry to characterize precisely the etch bias at any point along a resist contour. By evaluating the etch kernels on various structures, it is possible to map their etch signatures in a multidimensional space and analyze them to find an optimal sampling of structures. The etch kernels evaluated on these structures were combined with experimental etch bias derived from scanning electron microscope contours to train artificial neural networks to predict etch bias. The method applied to contact and line/space layers shows an improvement in etch model prediction accuracy over standard etch model. This work emphasizes the importance of the etch kernel definition to characterize and predict complex etch effects.

  9. Modification of Plasma Solitons by Resonant Particles

    DEFF Research Database (Denmark)

    Karpman, Vladimir; Lynov, Jens-Peter; Michelsen, Poul

    1980-01-01

    A consistent theory of plasma soliton interaction with resonant particles is developed. A simple derivation of a perturbed Korteweg–de Vries equation with the interaction term is presented. It is shown how the known limit cases (such as Ott–Sudan’s, etc.) can be derived from the general equations...... Korteweg–de Vries equation. Laboratory measurements carried out in a strongly magnetized, plasma‐filled waveguide and results from particle simulation are interpreted in terms of the analytical results.......A consistent theory of plasma soliton interaction with resonant particles is developed. A simple derivation of a perturbed Korteweg–de Vries equation with the interaction term is presented. It is shown how the known limit cases (such as Ott–Sudan’s, etc.) can be derived from the general equations...... and what their regions of applicability are. Some effects caused by the soliton‐particle interaction (amplitude change‐rate, tail formation, etc.) are analyzed by means of a recently developed perturbation method. The analytical results are compared with a direct numerical integration of the perturbed...

  10. Unbiased roughness measurements: the key to better etch performance

    Science.gov (United States)

    Liang, Andrew; Mack, Chris; Sirard, Stephen; Liang, Chen-wei; Yang, Liu; Jiang, Justin; Shamma, Nader; Wise, Rich; Yu, Jengyi; Hymes, Diane

    2018-03-01

    Edge placement error (EPE) has become an increasingly critical metric to enable Moore's Law scaling. Stochastic variations, as characterized for lines by line width roughness (LWR) and line edge roughness (LER), are dominant factors in EPE and known to increase with the introduction of EUV lithography. However, despite recommendations from ITRS, NIST, and SEMI standards, the industry has not agreed upon a methodology to quantify these properties. Thus, differing methodologies applied to the same image often result in different roughness measurements and conclusions. To standardize LWR and LER measurements, Fractilia has developed an unbiased measurement that uses a raw unfiltered line scan to subtract out image noise and distortions. By using Fractilia's inverse linescan model (FILM) to guide development, we will highlight the key influences of roughness metrology on plasma-based resist smoothing processes. Test wafers were deposited to represent a 5 nm node EUV logic stack. The patterning stack consists of a core Si target layer with spin-on carbon (SOC) as the hardmask and spin-on glass (SOG) as the cap. Next, these wafers were exposed through an ASML NXE 3350B EUV scanner with an advanced chemically amplified resist (CAR). Afterwards, these wafers were etched through a variety of plasma-based resist smoothing techniques using a Lam Kiyo conductor etch system. Dense line and space patterns on the etched samples were imaged through advanced Hitachi CDSEMs and the LER and LWR were measured through both Fractilia and an industry standard roughness measurement software. By employing Fractilia to guide plasma-based etch development, we demonstrate that Fractilia produces accurate roughness measurements on resist in contrast to an industry standard measurement software. These results highlight the importance of subtracting out SEM image noise to obtain quicker developmental cycle times and lower target layer roughness.

  11. Plasma production for electron acceleration by resonant plasma wave

    International Nuclear Information System (INIS)

    Anania, M.P.; Biagioni, A.; Chiadroni, E.; Cianchi, A.; Croia, M.; Curcio, A.; Di Giovenale, D.; Di Pirro, G.P.; Filippi, F.; Ghigo, A.; Lollo, V.; Pella, S.; Pompili, R.; Romeo, S.; Ferrario, M.

    2016-01-01

    Plasma wakefield acceleration is the most promising acceleration technique known nowadays, able to provide very high accelerating fields (10–100 GV/m), enabling acceleration of electrons to GeV energy in few centimeter. However, the quality of the electron bunches accelerated with this technique is still not comparable with that of conventional accelerators (large energy spread, low repetition rate, and large emittance); radiofrequency-based accelerators, in fact, are limited in accelerating field (10–100 MV/m) requiring therefore hundred of meters of distances to reach the GeV energies, but can provide very bright electron bunches. To combine high brightness electron bunches from conventional accelerators and high accelerating fields reachable with plasmas could be a good compromise allowing to further accelerate high brightness electron bunches coming from LINAC while preserving electron beam quality. Following the idea of plasma wave resonant excitation driven by a train of short bunches, we have started to study the requirements in terms of plasma for SPARC-LAB (Ferrario et al., 2013 [1]). In particular here we focus on hydrogen plasma discharge, and in particular on the theoretical and numerical estimates of the ionization process which are very useful to design the discharge circuit and to evaluate the current needed to be supplied to the gas in order to have full ionization. Eventually, the current supplied to the gas simulated will be compared to that measured experimentally.

  12. Plasma production for electron acceleration by resonant plasma wave

    Energy Technology Data Exchange (ETDEWEB)

    Anania, M.P., E-mail: maria.pia.anania@lnf.infn.it [INFN - LNF, via Enrico Fermi, 40, 00044 Frascati (Italy); Biagioni, A.; Chiadroni, E. [INFN - LNF, via Enrico Fermi, 40, 00044 Frascati (Italy); Cianchi, A. [University of Rome Tor Vergata - INFN, via della Ricerca Scientifica, 1, 00133 Roma (Italy); INFN, Via della Ricerca Scientifica, 1, 00133 Roma (Italy); Croia, M.; Curcio, A. [INFN - LNF, via Enrico Fermi, 40, 00044 Frascati (Italy); University of Rome La Sapienza, Piazzale Aldo Moro, 2, 00185 Roma (Italy); Di Giovenale, D.; Di Pirro, G.P. [INFN - LNF, via Enrico Fermi, 40, 00044 Frascati (Italy); Filippi, F. [University of Rome La Sapienza, Piazzale Aldo Moro, 2, 00185 Roma (Italy); Ghigo, A.; Lollo, V.; Pella, S.; Pompili, R. [INFN - LNF, via Enrico Fermi, 40, 00044 Frascati (Italy); Romeo, S. [INFN - LNF, via Enrico Fermi, 40, 00044 Frascati (Italy); University of Rome La Sapienza, Piazzale Aldo Moro, 2, 00185 Roma (Italy); Ferrario, M. [INFN - LNF, via Enrico Fermi, 40, 00044 Frascati (Italy)

    2016-09-01

    Plasma wakefield acceleration is the most promising acceleration technique known nowadays, able to provide very high accelerating fields (10–100 GV/m), enabling acceleration of electrons to GeV energy in few centimeter. However, the quality of the electron bunches accelerated with this technique is still not comparable with that of conventional accelerators (large energy spread, low repetition rate, and large emittance); radiofrequency-based accelerators, in fact, are limited in accelerating field (10–100 MV/m) requiring therefore hundred of meters of distances to reach the GeV energies, but can provide very bright electron bunches. To combine high brightness electron bunches from conventional accelerators and high accelerating fields reachable with plasmas could be a good compromise allowing to further accelerate high brightness electron bunches coming from LINAC while preserving electron beam quality. Following the idea of plasma wave resonant excitation driven by a train of short bunches, we have started to study the requirements in terms of plasma for SPARC-LAB (Ferrario et al., 2013 [1]). In particular here we focus on hydrogen plasma discharge, and in particular on the theoretical and numerical estimates of the ionization process which are very useful to design the discharge circuit and to evaluate the current needed to be supplied to the gas in order to have full ionization. Eventually, the current supplied to the gas simulated will be compared to that measured experimentally.

  13. Inductively coupled plasma nanoetching of atomic layer deposition alumina

    DEFF Research Database (Denmark)

    Han, Anpan; Chang, Bingdong; Todeschini, Matteo

    2018-01-01

    such as silicon dioxide, silicon nitride, and diamond. In this report, we systematically study nanoscale plasma etching of Al2O3 with electron beam lithography and deep UV resist masks. The gas composition and pressure were tuned for optimal etching, and redeposition conditions were mapped. With a BCl3 and Ar...... the resist profile angle. For Al2O3 patterned with deep UV lithography, the smallest structures were 220 nm. For electron beam lithography patterns, the smallest gratings were 18-nm-wide with 50-nm-pitch. Using alumina as a hard mask, we show aspect ratio of 7-10 for subsequent silicon plasma etching, and we......Al2O3 thin-film deposited by atomic layer deposition is an attractive plasma etch mask for Micro and Nano Electro-Mechanical Systems (MEMS and NEMS). 20-nm-thick Al2O3 mask enables through silicon wafer plasma etching. Al2O3 is also an excellent etch mask for other important MEMS materials...

  14. Characterization of etch pits found on a large-grain bulk niobium superconducting radio-frequency resonant cavity

    Directory of Open Access Journals (Sweden)

    Xin Zhao

    2010-12-01

    Full Text Available The performance of superconducting radio-frequency (SRF resonant cavities made of bulk niobium is limited by nonlinear localized effects. Surface analysis of regions of higher power dissipation is thus of intense interest. Such areas (referred to as “hotspots” were identified in a large-grain single-cell cavity that had been buffered-chemical polished and dissected for examination by high resolution electron microscopy, electron backscattered diffraction microscopy (EBSD, and optical microscopy. Pits with clearly discernible crystal facets were observed in both “hotspot” and “coldspot” specimens. The pits were found in-grain, at bicrystal boundaries, and on tricrystal junctions. They are interpreted as etch pits induced by crystal defects (e.g. dislocations. All coldspots examined had a qualitatively lower density of etch pits or relatively smooth tricrystal boundary junctions. EBSD mapping revealed the crystal orientation surrounding the pits. Locations with high pit density are correlated with higher mean values of the local average misorientation angle distributions, indicating a higher geometrically necessary dislocation content. In addition, a survey of the samples by energy dispersive x-ray analysis did not show any significant contamination of the samples’ surface. The local magnetic field enhancement produced by the sharp-edge features observed on the samples is not sufficient to explain the observed degradation of the cavity quality factor, which starts at peak surface magnetic field as low as 20 mT.

  15. Characterization of electron cyclotron resonance hydrogen plasmas

    International Nuclear Information System (INIS)

    Outten, C.A.

    1990-01-01

    Electron cyclotron resonance (ECR) plasmas yield low energy and high ion density plasmas. The characteristics downstream of an ECR hydrogen plasma were investigated as a function of microwave power and magnetic field. A fast-injection Langmuir probe and a carbon resistance probe were used to determine plasma potential (V p ), electron density (N e ), electron temperature (T e ), ion energy (T i ), and ion fluence. Langmuir probe results showed that at 17 cm downstream from the ECR chamber the plasma characteristics are approximately constant across the center 7 cm of the plasma for 50 Watts of absorbed power. These results gave V p = 30 ± 5 eV, N e = 1 x 10 8 cm -3 , and T e = 10--13 eV. In good agreement with the Langmuir probe results, carbon resistance probes have shown that T i ≤ 50 eV. Also, based on hydrogen chemical sputtering of carbon, the hydrogen (ion and energetic neutrals) fluence rate was determined to be 1 x 10 16 /cm 2 -sec. at a pressure of 1 x 10 -4 Torr and for 50 Watts of absorbed power. 19 refs

  16. Analysis of InP-based single photon avalanche diodes based on a single recess-etching process

    Science.gov (United States)

    Lee, Kiwon

    2018-04-01

    Effects of the different etching techniques have been investigated by analyzing electrical and optical characteristics of two-types of single-diffused single photon avalanche diodes (SPADs). The fabricated two-types of SPADs have no diffusion depth variation by using a single diffusion process at the same time. The dry-etched SPADs show higher temperature dependence of a breakdown voltage, larger dark-count-rate (DCR), and lower photon-detection-efficiency (PDE) than those of the wet-etched SPADs due to plasma-induced damage of dry-etching process. The results show that the dry etching damages can more significantly affect the performance of the SPADs based on a single recess-etching process.

  17. Self-etch and etch-and-rinse adhesive systems in clinical dentistry.

    Science.gov (United States)

    Ozer, Fusun; Blatz, Markus B

    2013-01-01

    Current adhesive systems follow either an "etch-and-rinse" or "self-etch" approach, which differ in how they interact with natural tooth structures. Etch-and-rinse systems comprise phosphoric acid to pretreat the dental hard tissues before rinsing and subsequent application of an adhesive. Self-etch adhesives contain acidic monomers, which etch and prime the tooth simultaneously. Etch-and-rinse adhesives are offered as two- or three-step systems, depending on whether primer and bonding are separate or combined in a single bottle. Similarly, self-etch adhesives are available as one- or two-step systems. Both etch-and-rinse and self-etch systems form a hybrid layer as a result of resins impregnating the porous enamel or dentin. Despite current trends toward fewer and simpler clinical application steps, one-step dentin bonding systems exhibit bonding agent lower bond strengths and seem less predictable than multi-step etch-and-rinse and self-etch systems. The varying evidence available today suggests that the choice between etch-and-rinse and self-etch systems is often a matter of personal preference. In general, however, phosphoric acid creates a more pronounced and retentive etching pattern in enamel. Therefore, etch-and-rinse bonding systems are often preferred for indirect restorations and when large areas of enamel are still present. Conversely, self-etch adhesives provide superior and more predictable bond strength to dentin and are, consequently, recommended for direct composite resin restorations, especially when predominantly supported by dentin.

  18. Plasma equilibrium profiles with applied resonant fields on TBR-1 tokamak

    International Nuclear Information System (INIS)

    Castro, R.M. de; Heller, M.V.A.P.; Caldas, I.L.; Silva, R.P. da; Brasilio, Z.A.; Oda, G.A.

    1995-01-01

    In this work we present the measurements of the plasma potential, in the edge and in the scrape-off layer regions of plasma, with and without the presence of the magnetic field perturbations produced by resonant helical windings. (author). 6 refs., 6 figs

  19. Advanced Simulation Technology to Design Etching Process on CMOS Devices

    Science.gov (United States)

    Kuboi, Nobuyuki

    2015-09-01

    Prediction and control of plasma-induced damage is needed to mass-produce high performance CMOS devices. In particular, side-wall (SW) etching with low damage is a key process for the next generation of MOSFETs and FinFETs. To predict and control the damage, we have developed a SiN etching simulation technique for CHxFy/Ar/O2 plasma processes using a three-dimensional (3D) voxel model. This model includes new concepts for the gas transportation in the pattern, detailed surface reactions on the SiN reactive layer divided into several thin slabs and C-F polymer layer dependent on the H/N ratio, and use of ``smart voxels''. We successfully predicted the etching properties such as the etch rate, polymer layer thickness, and selectivity for Si, SiO2, and SiN films along with process variations and demonstrated the 3D damage distribution time-dependently during SW etching on MOSFETs and FinFETs. We confirmed that a large amount of Si damage was caused in the source/drain region with the passage of time in spite of the existing SiO2 layer of 15 nm in the over etch step and the Si fin having been directly damaged by a large amount of high energy H during the removal step of the parasitic fin spacer leading to Si fin damage to a depth of 14 to 18 nm. By analyzing the results of these simulations and our previous simulations, we found that it is important to carefully control the dose of high energy H, incident energy of H, polymer layer thickness, and over-etch time considering the effects of the pattern structure, chamber-wall condition, and wafer open area ratio. In collaboration with Masanaga Fukasawa and Tetsuya Tatsumi, Sony Corporation. We thank Mr. T. Shigetoshi and Mr. T. Kinoshita of Sony Corporation for their assistance with the experiments.

  20. A New Experimental Approach to Evaluate Plasma-induced Damage in Microcantilever

    Directory of Open Access Journals (Sweden)

    Yuki Nishimori

    2013-09-01

    Full Text Available Plasma  etching,  during  micro-fabrication  processing  is  indispensable  for  fabricating  MEMS  structures.  During  the plasma  processes,  two  major matters,  charged  ions  and  vacuum–ultraviolet  (VUV  irradiation  damage,  take  charge  of reliability  degradation.  The  charged  ions  induce  unwanted  sidewall  etching,  generally  called  as  “notching”,  which causes  degradation  in  brittle  strength.  Furthermore,  the  VUV  irradiation  gives  rise  to  crystal  defects  on  the  etching surface.  To overcome  the  problem,  neutral  beam  etching  (NBE,  which  use  neutral  particles  without  the  VUV irradiation,  has  been  developed.  In  order  to  evaluate  the  effect  of  the  NBE  quantitatively,  we  measured  the  resonance property of a micro-cantilever before and after NBE treatment. The thickness of damage layer (δ times the imaginary part  of  the  complex Young's  modulus  (Eds  were  then  compared,  which  is  a  parameter  of  surface  damage.  Although plasma processes  make the initial surface of cantilevers damaged during their fabrication, the removal of that damage by NBE was confirmed as the reduction in δEds. NBE will realize a damage-free surface for microstructures.

  1. A secondary fuel removal process: plasma processing

    Energy Technology Data Exchange (ETDEWEB)

    Min, J Y; Kim, Y S [Hanyang Univ., Seoul (Korea, Republic of); Bae, K K; Yang, M S [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1997-07-01

    Plasma etching process of UO{sub 2} by using fluorine containing gas plasma is studied as a secondary fuel removal process for DUPIC (Direct Use of PWR spent fuel Into Candu) process which is taken into consideration for potential future fuel cycle in Korea. CF{sub 4}/O{sub 2} gas mixture is chosen for reactant gas and the etching rates of UO{sub 2} by the gas plasma are investigated as functions of CF{sub 4}/O{sub 2} ratio, plasma power, substrate temperature, and plasma gas pressure. It is found that the optimum CF{sub 4}/O{sub 2} ratio is around 4:1 at all temperatures up to 400 deg C and the etching rate increases with increasing r.f. power and substrate temperature. Under 150W r.f. power the etching rate reaches 1100 monolayers/min at 400 deg C, which is equivalent to about 0.5mm/min. (author).

  2. Advanced research and development for plasma processing of polymers with combinatorial plasma-process analyzer

    International Nuclear Information System (INIS)

    Setsuhara, Yuichi; Cho, Ken; Takenaka, Kosuke; Shiratani, Masaharu; Sekine, Makoto; Hori, Masaru

    2010-01-01

    A plasma-process analyzer has been developed on the basis of combinatorial method, in which process examinations with continuous variations of plasma-process conditions can be carried out on a substrate holder with an inclined distribution of process parameters. Combinatorial plasma-process analyses have been demonstrated for examinations of plasma-polymer interactions in terms of etching characteristics and surface morphologies in order to show feasibility and effectiveness of the methodology as advanced research and development for next-generation plasma nano processes. The etching properties and surface morphologies have been investigated for polyethylene terephthalate (PET) films exposed to argon-oxygen mixture plasmas. The etching depth data obtained from three independent batches of the experiments showed universal and almost linear dependence with increasing product of (ion saturation current) x (exposure time); i.e. ion dose. Surface roughness of the polymer slightly increased with increasing ion dose, while the mean spacing after plasma exposure was found to decrease monotonically with increasing ion dose but was saturated at the level of approximately 250 nm.

  3. Etching and anti-etching strategy for sensitive colorimetric sensing of H2O2 and biothiols based on silver/carbon nanomaterial.

    Science.gov (United States)

    Hou, Wenli; Liu, Xiaoying; Lu, Qiujun; Liu, Meiling; Zhang, Youyu; Yao, Shouzhuo

    2018-02-01

    In this paper, the colorimetric sensing of H 2 O 2 related molecules and biothiols based on etching and anti-etching strategy was firstly proposed. Ag/carbon nanocomposite (Ag/C NC) was served as the sensing nanoprobe, which was synthesized via carbon dots (C-dots) as the reductant and stabilizer. The characteristic surface plasmon resonance (SPR) absorbance of Ag nanoparticles (AgNPs) was sensitive to the amount of hydrogen peroxide (H 2 O 2 ). It exhibited strong optical responses to H 2 O 2 with the solution colour changing from yellow to nearly colourless, which is resulted from the etching of Ag by H 2 O 2 . The sensing platform was further extended to detect H 2 O 2 related molecules such as lactate in coupling with the specific catalysis oxidation of L-lactate by lactate oxidase (LOx) and formation of H 2 O 2 . It provides wide linear range for detecting H 2 O 2 in 0.1-80μM and 80-220μM with the detection limit as low as 0.03μM (S/N=3). In the presence of biothiols, the etching from the H 2 O 2 can be hampered. Other biothiols exhibit anti-etching effects well. The strategy works well in detecting of typical biothiols including cysteine (Cys), homocysteine (Hcy) and glutathione (GSH). Thus, a simple colorimetric strategy for sensitive detection of H 2 O 2 and biothiols is proposed. It is believed that the colorimetric sensor based on etching and anti-etching strategy can be applied in other systems in chemical and biosensing areas. Copyright © 2017 Elsevier B.V. All rights reserved.

  4. Single-crystal silicon trench etching for fabrication of highly integrated circuits

    Science.gov (United States)

    Engelhardt, Manfred

    1991-03-01

    The development of single crystal silicon trench etching for fabrication of memory cells in 4 16 and 64Mbit DRAMs is reviewed in this paper. A variety of both etch tools and process gases used for the process development is discussed since both equipment and etch chemistry had to be improved and changed respectively to meet the increasing requirements for high fidelity pattern transfer with increasing degree of integration. In additon to DRAM cell structures etch results for deep trench isolation in advanced bipolar ICs and ASICs are presented for these applications grooves were etched into silicon through a highly doped buried layer and at the borderline of adjacent p- and n-well areas respectively. Shallow trench etching of large and small exposed areas with identical etch rates is presented as an approach to replace standard LOCOS isolation by an advanced isolation technique. The etch profiles were investigated with SEM TEM and AES to get information on contathination and damage levels and on the mechanism leading to anisotropy in the dry etch process. Thermal wave measurements were performed on processed single crystal silicon substrates for a fast evaluation of the process with respect to plasma-induced substrate degradation. This useful technique allows an optimization ofthe etch process regarding high electrical performance of the fully processed memory chip. The benefits of the use of magnetic fields for the development of innovative single crystal silicon dry

  5. Molecular dynamic simulation study of plasma etching L10 FePt media in embedded mask patterning (EMP) process

    OpenAIRE

    Jianxin Zhu; P. Quarterman; Jian-Ping Wang

    2017-01-01

    Plasma etching process of single-crystal L10-FePt media [H. Wang et al., Appl. Phys. Lett. 102(5) (2013)] is studied using molecular dynamic simulation. Embedded-Atom Method [M. S. Daw and M. I. Baskes, Phy. Rev. B 29, 6443 (1984); X. W. Zhou, R. A. Johnson and H. N. G. Wadley, Phy. Rev. B 69, 144113 (2004)] is used to calculate the interatomic potential within atoms in FePt alloy, and ZBL potential [J.F. Ziegler, J. P. Biersack and U. Littmark, “The Stopping and Range of Ions in Matter,” Vol...

  6. Resonant absorption of radar waves by a magnetized collisional plasma

    International Nuclear Information System (INIS)

    Sun Aiping; Tong Honghui; Shen Liru; Tang Deli; Qiu Xiaoming

    2001-01-01

    The propagation of radar waves in a magnetized collisional plasma slab is studied numerically. It is found for uniform plasma that: first, the wave attenuation and absorbed power show a peak value, i.e., resonant absorption when the collision frequency f en = 0.1, 0.5, 1 GHz and the wave frequency nears upper hybrid frequency. Secondly, the attenuation, absorbed, and transmitted power curves become flat at f en = 5, 10 Ghz. thirdly, the attenuation and absorbed power increase with plasma density, and the attenuation and the proportion of absorbed power can reach 100 dB and 80%, respectively, at the plasma density n = 10 11 cm -3 . For nonuniform plasma, the peak value of reflected power is larger than that in uniform plasma. So, uniform magnetized plasma is of more benefit to plasma cloaking

  7. Wake-Field Wave Resonant Excitation in Magnetized Plasmas by Electromagnetic Pulse

    International Nuclear Information System (INIS)

    Milant'ev, V.P.; Turikov, V.A.

    2006-01-01

    In this paper the space charge wave excitation process at electromagnetic pulse propagation along external magnetic field in vicinity of electron cyclotron resonance. In hydrodynamic approach it is obtained an equation for plasma density under ponderomotive force action. With help of this equation we investigated a wake-field wave amplitude dependence from resonance detuning. The numerical simulation using a PIC method electromagnetic pulse propagation process in the resonant conditions was done

  8. Highly selective SiO2 etching over Si3N4 using a cyclic process with BCl3 and fluorocarbon gas chemistries

    Science.gov (United States)

    Matsui, Miyako; Kuwahara, Kenichi

    2018-06-01

    A cyclic process for highly selective SiO2 etching with atomic-scale precision over Si3N4 was developed by using BCl3 and fluorocarbon gas chemistries. This process consists of two alternately performed steps: a deposition step using BCl3 mixed-gas plasma and an etching step using CF4/Ar mixed-gas plasma. The mechanism of the cyclic process was investigated by analyzing the surface chemistry at each step. BCl x layers formed on both SiO2 and Si3N4 surfaces in the deposition step. Early in the etching step, the deposited BCl x layers reacted with CF x radicals by forming CCl x and BF x . Then, fluorocarbon films were deposited on both surfaces in the etching step. We found that the BCl x layers formed in the deposition step enhanced the formation of the fluorocarbon films in the CF4 plasma etching step. In addition, because F radicals that radiated from the CF4 plasma reacted with B atoms while passing through the BCl x layers, the BCl x layers protected the Si3N4 surface from F-radical etching. The deposited layers, which contained the BCl x , CCl x , and CF x components, became thinner on SiO2 than on Si3N4, which promoted the ion-assisted etching of SiO2. This is because the BCl x component had a high reactivity with SiO2, and the CF x component was consumed by the etching reaction with SiO2.

  9. Plasma diagnostics using laser-excited coupled and transmission ring resonators

    International Nuclear Information System (INIS)

    Haas, R.A.

    1976-01-01

    In this paper a simple two-level laser model is used to investigate the frequency response of coupled-cavity laser interferometers. It is found that under certain circumstances, often satisfied by molecular gas lasers, the frequency response exhibits a resonant behavior. This behavior severely complicates the interpretation of coupled-cavity laser interferometer measurements of rapidly varying plasmas. To circumvent this limitation a new type of laser interferometer plasma diagnostic with significantly improved time response was developed. In this interferometer the plasma is located in one arm of a transmission ring resonator cavity that is excited by an externally positioned laser. Thus, the laser is decoupled from the interferometer cavity and the time response of the interferometer is then limited by the Q of the ring resonator cavity. This improved time response is acquired without loss of spatial resolution, but requires a more sensitive signal detector since the laser is no longer used as a detector as it is in conventional coupled-cavity laser interferometers. Thus, the new technique incorporates the speed of the Mach--Zender interferometer and the sensitivity of the coupled-cavity laser interferometer. The basic operating principles of this type of interferometer have been verified using a CO 2 laser

  10. Proton nuclear magnetic resonance spectroscopy of plasma lipoproteins in malignancy

    International Nuclear Information System (INIS)

    Nabholtz, J.M.; Rossignol, A.; Farnier, M.; Gambert, P.; Tremeaux, J.C.; Friedman, S.; Guerrin, J.

    1988-01-01

    A recent study described a method of detecting malignant tumors by water-supressed proton nuclear magnetic resonance (1 H NMR) study of plasma. We performed a similar study of the W 1/2, a mean of the full width at half height of the resonances of the methyl and methylene groups of the lipids of plasma lipoproteins which is inversely related to the spin-spin apparent relaxation time (T 2 * ). W 1/2 values were measured at a fixed baseline width of 310 Hz. The study was prospective and blinded and comprised 182 subjects consisting of 40 controls, 68 patients with untreated malignancies, 45 with malignant tumors undergoing therapy and 29 benign tumor patients. No differences were seen between any groups that could serve as a basis for a useful clinical test. The major difficulty in the determination of W 1/2 was due to interference of metabolite protons (particularly lactate) within the lipoprotein resonance signal. Triglyceride level was seen to correlate inversely with W 1/2 within malignant patient groups. These discrepant results may be related to differing triglyceride-rich very low density lipoprotein (VLDL) levels in the ;atient populations of each study. We conclude that the water-suppressed 1H NMR of plasma lipoproteins is not a valid measurement for assessing malignancy. (orig.)

  11. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  12. Formation of a three-dimensional plasma boundary after decay of the plasma response to resonant magnetic perturbation fields

    Science.gov (United States)

    Schmitz, O.; Evans, T. E.; Fenstermacher, M. E.; Lanctot, M. J.; Lasnier, C. L.; Mordijck, S.; Moyer, R. A.; Reimerdes, H.; the DIII-D Team

    2014-01-01

    First time experimental evidence is presented for a direct link between the decay of a n = 3 plasma response and the formation of a three-dimensional (3D) plasma boundary. We inspect a lower single-null L-mode plasma which first reacts at sufficiently high rotation with an ideal resonant screening response to an external toroidal mode number n = 3 resonant magnetic perturbation field. Decay of this response due to reduced bulk plasma rotation changes the plasma state considerably. Signatures such as density pump out and a spin up of the edge rotation—which are usually connected to formation of a stochastic boundary—are detected. Coincident, striation of the divertor single ionized carbon emission and a 3D emission structure in double ionized carbon at the separatrix is seen. The striated C II pattern follows in this stage the perturbed magnetic footprint modelled without a plasma response (vacuum approach). This provides for the first time substantial experimental evidence, that a 3D plasma boundary with direct impact on the divertor particle flux pattern is formed as soon as the internal plasma response decays. The resulting divertor structure follows the vacuum modelled magnetic field topology. However, the inward extension of the perturbed boundary layer can still not directly be determined from these measurements.

  13. Strong temperature effect on X-ray photo-etching of polytetrafluoroethylene using a 10Hz laser-plasma radiation source based on a gas puff target

    Czech Academy of Sciences Publication Activity Database

    Bartnik, A.; Fiedorowicz, H.; Jarocki, R.; Juha, Libor; Kostecki, J.; Rakowski, R.; Szczurek, M.

    2006-01-01

    Roč. 82, - (2006), s. 529-532 ISSN 0946-2171 R&D Projects: GA MŠk(CZ) LC510 Grant - others:Ministery of Scientific Research(PL) 3 T08C 002 27 Institutional research plan: CEZ:AV0Z10100523 Keywords : photo-etching * organic polymers * laser-produced plasmas Subject RIV: BH - Optics, Masers, Lasers Impact factor: 2.023, year: 2006

  14. Enhanced confinement in electron cyclotron resonance ion source plasma.

    Science.gov (United States)

    Schachter, L; Stiebing, K E; Dobrescu, S

    2010-02-01

    Power loss by plasma-wall interactions may become a limitation for the performance of ECR and fusion plasma devices. Based on our research to optimize the performance of electron cyclotron resonance ion source (ECRIS) devices by the use of metal-dielectric (MD) structures, the development of the method presented here, allows to significantly improve the confinement of plasma electrons and hence to reduce losses. Dedicated measurements were performed at the Frankfurt 14 GHz ECRIS using argon and helium as working gas and high temperature resistive material for the MD structures. The analyzed charge state distributions and bremsstrahlung radiation spectra (corrected for background) also clearly verify the anticipated increase in the plasma-electron density and hence demonstrate the advantage by the MD-method.

  15. Electron energy distribution control by fiat: breaking from the conventional flux ratio scaling rules in etch

    Science.gov (United States)

    Ranjan, Alok; Wang, Mingmei; Sherpa, Sonam; Ventzek, Peter

    2015-03-01

    With shrinking critical dimensions, minimizing each of aspect ratio dependent etching (ARDE), bowing, undercut, selectivity, and within die uniformly across a wafer is met by trading off one requirement against another. The problem of trade-offs is especially critical. At the root of the problem is that roles radical flux, ion flux and ion energy play may be both good and bad. Increasing one parameter helps meeting one requirement but hinders meeting the other. Managing process by managing flux ratios and ion energy alone with conventional sources is not adequate because surface chemistry is uncontrollable. At the root of lack of control is that the electron energy distribution function (eedf) has not been controlled. Fortunately the high density surface wave sources control the eedf by fiat. High density surface wave sources are characterized by distinct plasma regions: an active plasma generation region with high electron temperature (Te) and an ionization free but chemistry rich diffusive region (low Te region). Pressure aids is segregating the regions by proving a means for momentum relaxation between the source and downstream region. "Spatial pulsing" allows access to plasma chemistry with reasonably high ion flux, from the active plasma generation region, just above the wafer. Low plasma potential enables precise passivation of surfaces which is critical for atomic layer etch (ALE) or high precision etch where the roles of plasma species can be limited to their purposed roles. High precision etch need not be at the cost of speed and manufacturability. Large ion flux at precisely controlled ion energy with RLSATM realizes fast desorption steps for ALE without compromising process throughput and precision.

  16. ICP etching for InAs-based InAs/GaAsSb superlattice long wavelength infrared detectors

    Science.gov (United States)

    Huang, Min; Chen, Jianxin; Xu, Jiajia; Wang, Fangfang; Xu, Zhicheng; He, Li

    2018-05-01

    In this work, we study and report the dry etching processes for InAs-based InAs/GaAsSb strain-free superlattice long wavelength infrared (LWIR) detectors. The proper etching parameters were first obtained through the parametric studies of Inductively Coupled Plasma (ICP) etching of both InAs and GaSb bulk materials in Cl2/N2 plasmas. Then an InAs-based InAs/GaAsSb superlattice LWIR detector with PπN structure was fabricated by using the optimized etching parameters. At 80 K, the detector exhibits a 100% cut-off wavelength of 12 μm and a responsivity of 1.5 A/W. Moreover, the dark current density of the device under a bias of -200 mV reaches 5.5 × 10-4 A/cm2, and the R0A is 15 Ω cm2. Our results pave the way towards InAs-based superlattice LWIR detectors with better performances.

  17. Flux based modeling and simulation of dry etching for fabrication of silicon deep trench structures

    Energy Technology Data Exchange (ETDEWEB)

    Malik Rizwan [State Key Laboratory of Digital Manufacturing Equipment and technology, Huazhong University of Science and Technology, 1037 Luoyu road, Wuhan, China 43007 (China); Shi Tielin; Tang Zirong; Liu Shiyuan, E-mail: zirong@mail.hust.edu.cn, E-mail: rizwanmalik@smail.hust.edu.cn [Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, 1037 Luoyu road Wuhan, 430074 (China)

    2011-02-01

    Deep reactive ion etching (DRIE) process is a key growth for fabrication of micro-electromechanical system (MEMS) devices. Due to complexity of this process, including interaction of the process steps, full analytical modeling is complex. Plasma process holds deficiency of understanding because it is very easy to measure the results empirically. However, as device parameters shrink, this issue is more critical. In this paper, our process was modeled qualitatively based on 'High Density Plasma Etch Model'. Deep trench solutions of etch rate based on continuity equation were successfully generated first time through mathematical analysis. It was also proved that the product of fluorine and gas phase concentration in SF{sub 6} remains identical during both deposition and etching stages. The etching process was treated as a combination of isotropic, directional and angle-dependent component parts. It exploited a synergistic balance of chemical as well as physical etching for promoting silicon trenches and high aspect ratio structures. Simulations were performed for comprehensive analysis of fluxes coming towards the surface during chemical reaction of gas. It is observed that near the surface, the distribution of the arrival flux follows a cosine distribution. Our model is feasible to analyze various parameters like gas delivery, reactor volume and temperature that help to assert large scale effects and to optimize equipment design.

  18. Optical and structural properties of porous zinc oxide fabricated via electrochemical etching method

    International Nuclear Information System (INIS)

    Ching, C.G.; Lee, S.C.; Ooi, P.K.; Ng, S.S.; Hassan, Z.; Hassan, H. Abu; Abdullah, M.J.

    2013-01-01

    Highlights: • Hillock like porous structure zinc oxide was obtained via electrochemical etching. • Anisotropic dominance etching process by KOH etchant. • Reststrahlen features are sensitive to multilayer porous structure. • Determination of porosity from IR reflectance spectrum. -- Abstract: We investigated the optical and structural properties of porous zinc oxide (ZnO) thin film fabricated by ultraviolet light-assisted electrochemical etching. This fabrication process used 10 wt% potassium hydroxide solution as an electrolyte. Hillock-like porous ZnO films were successfully fabricated according to the field emission scanning electron microscopy results. The cross-sectional study of the sample indicated that anisotropic-dominated etching process occurred. However, the atomic force microscopic results showed an increase in surface roughness of the sample after electrochemical etching. A resonance hump induced by the porous structure was observed in the infrared reflectance spectrum. Using theoretical modeling technique, ZnO porosification was verified, and the porosity of the sample was determined

  19. Development of a Photoelectrochemical Etch Process to Enable Heterogeneous Substrate Integration of Epitaxial III-Nitride Semiconductors

    Science.gov (United States)

    2017-12-01

    release stack. Recently, this technique has been refined with band engineering within the release layer7 and extended to the point where it has been...liftoff. Mesas with a 200-μm diameter are lithographically defined and etched down to a depth of approximately 450 nm using a plasma etching chemistry ...etch chemistry , bonding, and other materials processing vary, but the setup created for this project can be applied to others as well. Approved

  20. Experiments on resonator concept of plasma wakefield accelerator driven by a train of relativistic electron bunches

    International Nuclear Information System (INIS)

    Kiselev, V.A.; Linnik, A.F.; Mirny, V. I; Onishchenko, I.N.; Uskov, V.V.

    2008-01-01

    The experimental installation was elaborated to increase plasma wakefield amplitude by means of using plasma resonator that allows all bunches of the train to participate in wakefield build-up contrary to waveguide case, in which due to group velocity effect only a part of the bunches participates. Experiments on plasma producing with resonant density, at which a coincidence of the plasma frequency and bunch repetition frequency is provided, are carried out. The first results of the measurements of beam energy loss on plasma wakefield excitation and energy gain by accelerated electrons are presented

  1. The fabrication of silicon nanostructures by local gallium implantation and cryogenic deep reactive ion etching

    International Nuclear Information System (INIS)

    Chekurov, N; Grigoras, K; Franssila, S; Tittonen, I; Peltonen, A

    2009-01-01

    We show that gallium-ion-implanted silicon serves as an etch mask for fabrication of high aspect ratio nanostructures by cryogenic plasma etching (deep reactive ion etching). The speed of focused ion beam (FIB) patterning is greatly enhanced by the fact that only a thin approx. 30 nm surface layer needs to be modified to create a mask for the etching step. Etch selectivity between gallium-doped and undoped material is at least 1000:1, greatly decreasing the mask erosion problems. The resolution of the combined FIB-DRIE process is 20 lines μm -1 with the smallest masked feature size of 40 nm. The maximum achieved aspect ratio is 15:1 (e.g. 600 nm high pillars 40 nm in diameter).

  2. Evolution of transmission spectra of double cladding fiber during etching

    Science.gov (United States)

    Ivanov, Oleg V.; Tian, Fei; Du, Henry

    2017-11-01

    We investigate the evolution of optical transmission through a double cladding fiber-optic structure during etching. The structure is formed by a section of SM630 fiber with inner depressed cladding between standard SMF-28 fibers. Its transmission spectrum exhibits two resonance dips at wavelengths where two cladding modes have almost equal propagation constants. We measure transmission spectra with decreasing thickness of the cladding and show that the resonance dips shift to shorter wavelengths, while new dips of lower order modes appear from long wavelength side. We calculate propagation constants of cladding modes and resonance wavelengths, which we compare with the experiment.

  3. Mode converter for electron cyclotron resonance heating of toroidal plasmas

    International Nuclear Information System (INIS)

    Motley, R.W.; Hsuan, H.; Glanz, J.

    1980-09-01

    A method is proposed for improving the efficiency of cyclotron resonance heating of a toroidal plasma by ordinary mode radiation from the outside of the torus. Radiation not absorbed in the first pass is reflected from the inside of the torus by a corrugated surface which rotates the polarization by 90 0 , so that a secondary source of extraordinary waves is created in the high field, accessible region of the plasma

  4. Investigations on diamond nanostructuring of different morphologies by the reactive-ion etching process and their potential applications.

    Science.gov (United States)

    Kunuku, Srinivasu; Sankaran, Kamatchi Jothiramalingam; Tsai, Cheng-Yen; Chang, Wen-Hao; Tai, Nyan-Hwa; Leou, Keh-Chyang; Lin, I-Nan

    2013-08-14

    We report the systematic studies on the fabrication of aligned, uniform, and highly dense diamond nanostructures from diamond films of various granular structures. Self-assembled Au nanodots are used as a mask in the self-biased reactive-ion etching (RIE) process, using an O2/CF4 process plasma. The morphology of diamond nanostructures is a close function of the initial phase composition of diamond. Cone-shaped and tip-shaped diamond nanostructures result for microcrystalline diamond (MCD) and nanocrystalline diamond (NCD) films, whereas pillarlike and grasslike diamond nanostructures are obtained for Ar-plasma-based and N2-plasma-based ultrananocrystalline diamond (UNCD) films, respectively. While the nitrogen-incorporated UNCD (N-UNCD) nanograss shows the most-superior electron-field-emission properties, the NCD nanotips exhibit the best photoluminescence properties, viz, different applications need different morphology of diamond nanostructures to optimize the respective characteristics. The optimum diamond nanostructure can be achieved by proper choice of granular structure of the initial diamond film. The etching mechanism is explained by in situ observation of optical emission spectrum of RIE plasma. The preferential etching of sp(2)-bonded carbon contained in the diamond films is the prime factor, which forms the unique diamond nanostructures from each type of diamond films. However, the excited oxygen atoms (O*) are the main etching species of diamond film.

  5. Magneto-acoustic resonance in a non-uniform current carrying plasma column

    OpenAIRE

    Vaclavik, J.

    2017-01-01

    The forced radial magneto-acoustic oscillations in a plasma column with nonuniform mass density and temperature are investigated. It turns out that the oscillations have a resonant character similar to that of the magneto-acoustic oscillations in a uniform plasma column. The properties of the axial and azimuthal components of the oscillating magnetic field are discussed in detail

  6. Characteristics of SiO{sub 2} etching with a C{sub 4}F{sub 8}/Ar/CHF{sub 3}/O{sub 2} gas mixture in 60-MHz/2-MHz dual-frequency capacitively coupled plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Jeon, M. H.; Kang, S. K.; Park, J. Y.; Yeom, G. Y. [Sungkyunkwan University, Suwon (Korea, Republic of)

    2011-11-15

    Nanoscale SiO{sub 2} contact holes were etched by using C{sub 4}F{sub 8}/CHF{sub 3}/O{sub 2}/Ar gas mixtures in dual frequency capacitively coupled plasmas (DF-CCPs) where a 60-MHz source power was applied to the top electrode while a 2-MHz bias power was applied to the bottom electrode. The initial increase in the CHF{sub 3} gas flow rate at a fixed CHF{sub 3}+O{sub 2} flow rate increased the SiO{sub 2} etch rate as well as SiO{sub 2} etch selectivity over that of the amorphous carbon layer (ACL). When the high-frequency (HF) power was increased both SiO{sub 2} etch rate and the etch selectivity over ACL were increased. For a 300 W/500 W power ratio of 60-MHz HF power/ 2-MHz low-freqeuncy (LF) and a gas mixture of Ar (140 sccm) /C{sub 4}F{sub 8} (30 sccm) /CHF{sub 3} (25 sccm) /O{sub 2} (5 sccm) while maintaining 20 mTorr, an anisotropic etch profile with an SiO{sub 2} etch rate of 3350 A/min and an etch selectivity of higher than 6 over ACL could be obtained.

  7. Comparison of damage introduced into GaN/AlGaN/GaN heterostructures using selective dry etch recipes

    International Nuclear Information System (INIS)

    Green, R T; Luxmoore, I J; Houston, P A; Ranalli, F; Wang, T; Parbrook, P J; Uren, M J; Wallis, D J; Martin, T

    2009-01-01

    A SiCl 4 /SF 6 dry etch plasma recipe is presented giving a selectivity of 14:1 between GaN and AlGaN. Using a leakage test structure, which enables bulk and surface leakage components to be identified independently, the optimized recipe is compared to an un-etched sample and devices recessed using a Cl 2 /Ar/O 2 -based plasma chemistry. Devices etched using the SiCl 4 /SF 6 recipe demonstrated reduced bulk and surface leakage currents when operated over a wide range of temperatures. Consequently the SiCl 4 /SF 6 recipe is identified as most suitable for the fabrication of gate recessed AlGaN/GaN HEMTs

  8. Localized etching of polymer films using an atmospheric pressure air microplasma jet

    International Nuclear Information System (INIS)

    Guo, Honglei; Liu, Jingquan; Yang, Bin; Chen, Xiang; Yang, Chunsheng

    2015-01-01

    A direct-write process device based on the atmospheric pressure air microplasma jet (AμPJ) has been developed for the localized etching of polymer films. The plasma was generated by the air discharge ejected out through a tip-nozzle (inner diameter of 100 μm), forming the microplasma jet. The AμPJ was capable of reacting with the polymer surface since it contains a high concentration of oxygen reactive species and thus resulted in the selective removal of polymer films. The experimental results demonstrated that the AμPJ could fabricate different microstructures on a parylene-C film without using any masks or causing any heat damage. The etch rate of parylene-C reached 5.1 μm min −1 and microstructures of different depth and width could also be realized by controlling two process parameters, namely, the etching time and the distance between the nozzle and the substrate. In addition, combining XPS analysis and oxygen-induced chemical etching principles, the potential etching mechanism of parylene-C by the AμPJ was investigated. Aside from the etching of parylene-C, micro-holes on the photoresist and polyimide film were successfully created by the AμPJ. In summary, maskless pattern etching of polymer films could be achieved using this AμPJ. (paper)

  9. Two-year Randomized Clinical Trial of Self-etching Adhesives and Selective Enamel Etching.

    Science.gov (United States)

    Pena, C E; Rodrigues, J A; Ely, C; Giannini, M; Reis, A F

    2016-01-01

    The aim of this randomized, controlled prospective clinical trial was to evaluate the clinical effectiveness of restoring noncarious cervical lesions with two self-etching adhesive systems applied with or without selective enamel etching. A one-step self-etching adhesive (Xeno V(+)) and a two-step self-etching system (Clearfil SE Bond) were used. The effectiveness of phosphoric acid selective etching of enamel margins was also evaluated. Fifty-six cavities were restored with each adhesive system and divided into two subgroups (n=28; etch and non-etch). All 112 cavities were restored with the nanohybrid composite Esthet.X HD. The clinical effectiveness of restorations was recorded in terms of retention, marginal integrity, marginal staining, caries recurrence, and postoperative sensitivity after 3, 6, 12, 18, and 24 months (modified United States Public Health Service). The Friedman test detected significant differences only after 18 months for marginal staining in the groups Clearfil SE non-etch (p=0.009) and Xeno V(+) etch (p=0.004). One restoration was lost during the trial (Xeno V(+) etch; p>0.05). Although an increase in marginal staining was recorded for groups Clearfil SE non-etch and Xeno V(+) etch, the clinical effectiveness of restorations was considered acceptable for the single-step and two-step self-etching systems with or without selective enamel etching in this 24-month clinical trial.

  10. Design and fabrication of resonator-quantum well infrared photodetector for SF6 gas sensor application

    Science.gov (United States)

    Sun, Jason; Choi, Kwong-Kit; DeCuir, Eric; Olver, Kimberley; Fu, Richard

    2017-07-01

    The infrared absorption of SF6 gas is narrowband and peaks at 10.6 μm. This narrowband absorption posts a stringent requirement on the corresponding sensors as they need to collect enough signal from this limited spectral bandwidth to maintain a high sensitivity. Resonator-quantum well infrared photodetectors (R-QWIPs) are the next generation of QWIP detectors that use resonances to increase the quantum efficiency for more efficient signal collection. Since the resonant approach is applicable to narrowband as well as broadband, it is particularly suitable for this application. We designed and fabricated R-QWIPs for SF6 gas detection. To achieve the expected performance, the detector geometry must be produced according to precise specifications. In particular, the height of the diffractive elements and the thickness of the active resonator must be uniform, and accurately realized to within 0.05 μm. Additionally, the substrates of the detectors must be completely removed to prevent the escape of unabsorbed light in the detectors. To achieve these specifications, two optimized inductively coupled plasma etching processes were developed. Due to submicron detector feature sizes and overlay tolerance, we used an advanced semiconductor material lithography stepper instead of a contact mask aligner to pattern wafers. Using these etching techniques and tool, we have fabricated focal plane arrays with 30-μm pixel pitch and 320×256 format. The initial test revealed promising results.

  11. Obtaining porous silicon suitable for sensor technology using MacEtch nonelectrolytic etching

    Directory of Open Access Journals (Sweden)

    Iatsunskyi I. R.

    2013-12-01

    Full Text Available The author suggests to use the etching method MacEtch (metal-assisted chemical etching for production of micro- and nanostructures of porous silicon. The paper presents research results on the morphology structures obtained at different parameters of deposition and etching processes. The research has shown that, depending on the parameters of deposition of silver particles and silicon wafers etching, the obtained surface morphology may be different. There may be both individual crater-like pores and developed porous or macroporous surface. These results indicate that the MacEtch etching is a promising method for obtaining micro-porous silicon nanostructures suitable for effective use in gas sensors and biological object sensors.

  12. Selective Etching of Silicon in Preference to Germanium and Si0.5Ge0.5.

    Science.gov (United States)

    Ahles, Christopher F; Choi, Jong Youn; Wolf, Steven; Kummel, Andrew C

    2017-06-21

    The selective etching characteristics of silicon, germanium, and Si 0.5 Ge 0.5 subjected to a downstream H 2 /CF 4 /Ar plasma have been studied using a pair of in situ quartz crystal microbalances (QCMs) and X-ray photoelectron spectroscopy (XPS). At 50 °C and 760 mTorr, Si can be etched in preference to Ge and Si 0.5 Ge 0.5 , with an essentially infinite Si/Ge etch-rate ratio (ERR), whereas for Si/Si 0.5 Ge 0.5 , the ERR is infinite at 22 °C and 760 mTorr. XPS data showed that the selectivity is due to the differential suppression of etching by a ∼2 ML thick C x H y F z layer formed by the H 2 /CF 4 /Ar plasma on Si, Ge, and Si 0.5 Ge 0.5 . The data are consistent with the less exothermic reaction of fluorine radicals with Ge or Si 0.5 Ge 0.5 being strongly suppressed by the C x H y F z layer, whereas, on Si, the C x H y F z layer is not sufficient to completely suppress etching. Replacing H 2 with D 2 in the feed gas resulted in an inverse kinetic isotope effect (IKIE) where the Si and Si 0.5 Ge 0.5 etch rates were increased by ∼30 times with retention of significant etch selectivity. The use of D 2 /CF 4 /Ar instead of H 2 /CF 4 /Ar resulted in less total carbon deposition on Si and Si 0.5 Ge 0.5 and gave less Ge enrichment of Si 0.5 Ge 0.5 . These results are consistent with the selectivity being due to the differential suppression of etching by an angstrom-scale carbon layer.

  13. Probing the phase composition of silicon films in situ by etch product detection

    International Nuclear Information System (INIS)

    Dingemans, G.; Donker, M. N. van den; Gordijn, A.; Kessels, W. M. M.; Sanden, M. C. M. van de

    2007-01-01

    Exploiting the higher etch probability for amorphous silicon relative to crystalline silicon, the transiently evolving phase composition of silicon films in the microcrystalline growth regime was probed in situ by monitoring the etch product (SiH 4 ) gas density during a short H 2 plasma treatment step. Etch product detection took place by the easy-to-implement techniques of optical emission spectroscopy and infrared absorption spectroscopy. The phase composition of the films was probed as a function of the SiH 4 concentration during deposition and as a function of the film thickness. The in situ results were corroborated by Raman spectroscopy and solar cell analysis

  14. Reactive ion etching of tellurite and chalcogenide waveguides using hydrogen, methane, and argon

    International Nuclear Information System (INIS)

    Vu, K. T.; Madden, S. J.

    2011-01-01

    The authors report in detail on the reactive plasma etching properties of tellurium and demonstrate a high quality etching process using hydrogen, methane, and argon. Very low loss planar ridge waveguides are demonstrated. Optical losses in tellurium dioxide waveguides below 0.1 dB/cm in most of the near infrared region of the electromagnetic spectrum and at 1550 nm have been achieved--the lowest ever reported by more than an order of magnitude and clearly suitable for planar integrated devices. The etch process is also shown to be suitable for chalcogenide glasses which may be of importance in applications such as phase change memory devices and nonlinear integrated optics.

  15. Plasma cleaning techniques and future applications in environmentally conscious manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Ward, P.P.

    1995-07-01

    Plasmas have frequently been used in industry as a last step surface preparation technique in an otherwise predominantly wet-etch process. The limiting factor in the usefulness of plasma cleaning techniques has been the rate at which organic materials are removed. Recent research in the field of plasma chemistry has provided some understanding of plasma processes. By controlling plasma conditions and gas mixtures, ultra-fast plasma cleaning and etching is possible. With enhanced organic removal rates, plasma processes become more desirable as an environmentally sound alternative to traditional solvent or acid dominated process, not only as a cleaning tool, but also as a patterning and machining tool. In this paper, innovations in plasma processes are discussed including enhanced plasma etch rates via plasma environment control and aggressive gas mixtures. Applications that have not been possible with the limited usefulness of past plasma processes are now approaching the realm of possibility. Some of these possible applications will be discussed along with their impact to environmentally conscious manufacturing.

  16. Comparison of Langmuir probe and multipole resonance probe measurements in argon, hydrogen, nitrogen, and oxygen mixtures in a double ICP discharge

    Science.gov (United States)

    Fiebrandt, Marcel; Oberberg, Moritz; Awakowicz, Peter

    2017-07-01

    The results of a Multipole Resonance Probe (MRP) are compared to a Langmuir probe in measuring the electron density in Ar, H2, N2, and O2 mixtures. The MRP was designed for measurements in industry processes, i.e., coating or etching. To evaluate a possible influence on the MRP measurement due to molecular gases, different plasmas with increasing molecular gas content in a double inductively coupled plasma at 5 Pa and 10 Pa at 500 W are used. The determined electron densities from the MRP and the Langmuir probe slightly differ in H2 and N2 diluted argon plasmas, but diverge significantly with oxygen. In pure molecular gas plasmas, electron densities measured with the MRP are always higher than those measured with the Langmuir Probe, in particular, in oxygen containing mixtures. The differences can be attributed to etching of the tungsten wire in the Ar:O2 mixtures and rf distortion in the pure molecular discharges. The influence of a non-Maxwellian electron energy distribution function, negative ions or secondary electron emission seems to be of no or only minor importance.

  17. Carrier-lifetime-controlled selective etching process for semiconductors using photochemical etching

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.

    1992-01-01

    This patent describes a process for selectively photochemically etching a semiconductor material. It comprises introducing at least one impurity into at least one selected region of a semiconductor material to be etched to increase a local impurity concentration in the at least one selected region relative to an impurity concentration in regions of the semiconductor material adjacent thereto, for reducing minority carrier lifetimes within the at least one selected region relative to the adjacent regions for thereby providing a photochemical etch-inhibiting mask at the at least one selected region; and etching the semiconductor material by subjecting the surface of the semiconductor material to a carrier-driven photochemical etching reaction for selectively etching the regions of the semiconductor material adjacent the at least one selected region having the increase impurity concentration; wherein the step of introducing at least one impurity is performed so as not to produce damage to the at least one selected region before any etching is performed

  18. Etude fondamentale des mecanismes de gravure par plasma de materiaux de pointe: Application a la fabrication de dispositifs photoniques

    Science.gov (United States)

    Stafford, Luc

    Advances in electronics and photonics critically depend upon plasma-based materials processing either for transferring small lithographic patterns into underlying materials (plasma etching) or for the growth of high-quality films. This thesis deals with the etching mechanisms of materials using high-density plasmas. The general objective of this work is to provide an original framework for the plasma-material interaction involved in the etching of advanced materials by putting the emphasis on complex oxides such as SrTiO3, (Ba,Sr)TiO 3 and SrBi2Ta2O9 films. Based on a synthesis of the descriptions proposed by different authors to explain the etching characteristics of simple materials in noble and halogenated plasma mixtures, we propose comprehensive rate models for physical and chemical plasma etching processes. These models have been successfully validated using experimental data published in literature for Si, Pt, W, SiO2 and ZnO. As an example, we have been able to adequately describe the simultaneous dependence of the etch rate on ion and reactive neutral fluxes and on the ion energy. From an exhaustive experimental investigation of the plasma and etching properties, we have also demonstrated that the validity of the proposed models can be extended to complex oxides such as SrTiO3, (Ba,Sr)TiO 3 and SrBi2Ta2O9 films. We also reported for the first time physical aspects involved in plasma etching such as the influence of the film microstructural properties on the sputter-etch rate and the influence of the positive ion composition on the ion-assisted desorption dynamics. Finally, we have used our deep investigation of the etching mechanisms of STO films and the resulting excellent control of the etch rate to fabricate a ridge waveguide for photonic device applications. Keywords: plasma etching, sputtering, adsorption and desorption dynamics, high-density plasmas, plasma diagnostics, advanced materials, photonic applications.

  19. Survey of Laboratories and Implementation of the Federal Defense Laboratory Diversification Program. Annex A. Department of the Army Domestic Technology Transfer

    Science.gov (United States)

    1993-11-01

    infrared Collective Protection Systems - based on pressure swing adsorption, reactive bed plasma , catalytic oxidation, and improved sorbents. Chemical...commercialized A waterproofbre able, solvent-phobic menbrane technology developed under cotMAct with Tempo-Shain, is planned to be commercialized by Tempo-Shain...epitaxy systems, an elect=on-cyclatron resonance plasma -etched chemical vapor deposition and etching system, a magnetron-enhanced reactive-ion etching

  20. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Directory of Open Access Journals (Sweden)

    Kun-Dar Li

    2018-02-01

    Full Text Available To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  1. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Science.gov (United States)

    Li, Kun-Dar; Miao, Jin-Ru

    2018-02-01

    To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  2. Doubly excited 2s2p 1,3Po resonance states of helium in dense plasmas

    International Nuclear Information System (INIS)

    Kar, Sabyasachi; Ho, Y.K.

    2005-01-01

    We have made an investigation on the 2s2p 1,3 P o resonance states of helium embedded in dense plasma environments. A screened Coulomb potential obtained from the Debye model is used to represent the interaction between the charge particles. A correlated wave function consisting of a generalized exponential expansion has been used to represent the correlation effect. Resonance energies and widths for the doubly excited He embedded in plasmas with various Debye lengths are determined using the stabilization method by calculating the density of resonance states. The resonance energies and widths for various Debye parameters ranging from infinity to a small value for the lowest 1,3 P o resonance states are reported

  3. Low surface damage dry etched black silicon

    Science.gov (United States)

    Plakhotnyuk, Maksym M.; Gaudig, Maria; Davidsen, Rasmus Schmidt; Lindhard, Jonas Michael; Hirsch, Jens; Lausch, Dominik; Schmidt, Michael Stenbæk; Stamate, Eugen; Hansen, Ole

    2017-10-01

    Black silicon (bSi) is promising for integration into silicon solar cell fabrication flow due to its excellent light trapping and low reflectance, and a continuously improving passivation. However, intensive ion bombardment during the reactive ion etching used to fabricate bSi induces surface damage that causes significant recombination. Here, we present a process optimization strategy for bSi, where surface damage is reduced and surface passivation is improved while excellent light trapping and low reflectance are maintained. We demonstrate that reduction of the capacitively coupled plasma power, during reactive ion etching at non-cryogenic temperature (-20 °C), preserves the reflectivity below 1% and improves the effective minority carrier lifetime due to reduced ion energy. We investigate the effect of the etching process on the surface morphology, light trapping, reflectance, transmittance, and effective lifetime of bSi. Additional surface passivation using atomic layer deposition of Al2O3 significantly improves the effective lifetime. For n-type wafers, the lifetime reaches 12 ms for polished and 7.5 ms for bSi surfaces. For p-type wafers, the lifetime reaches 800 μs for both polished and bSi surfaces.

  4. Plasmonic nanostructures fabricated using nanosphere-lithography, soft-lithography and plasma etching

    Directory of Open Access Journals (Sweden)

    Manuel R. Gonçalves

    2011-08-01

    Full Text Available We present two routes for the fabrication of plasmonic structures based on nanosphere lithography templates. One route makes use of soft-lithography to obtain arrays of epoxy resin hemispheres, which, in a second step, can be coated by metal films. The second uses the hexagonal array of triangular structures, obtained by evaporation of a metal film on top of colloidal crystals, as a mask for reactive ion etching (RIE of the substrate. In this way, the triangular patterns of the mask are transferred to the substrate through etched triangular pillars. Making an epoxy resin cast of the pillars, coated with metal films, allows us to invert the structure and obtain arrays of triangular holes within the metal. Both fabrication methods illustrate the preparation of large arrays of nanocavities within metal films at low cost.Gold films of different thicknesses were evaporated on top of hemispherical structures of epoxy resin with different radii, and the reflectance and transmittance were measured for optical wavelengths. Experimental results show that the reflectivity of coated hemispheres is lower than that of coated polystyrene spheres of the same size, for certain wavelength bands. The spectral position of these bands correlates with the size of the hemispheres. In contrast, etched structures on quartz coated with gold films exhibit low reflectance and transmittance values for all wavelengths measured. Low transmittance and reflectance indicate high absorbance, which can be utilized in experiments requiring light confinement.

  5. High temperature reactive ion etching of iridium thin films with aluminum mask in CF4/O2/Ar plasma

    Directory of Open Access Journals (Sweden)

    Chia-Pin Yeh

    2016-08-01

    Full Text Available Reactive ion etching (RIE technology for iridium with CF4/O2/Ar gas mixtures and aluminum mask at high temperatures up to 350 °C was developed. The influence of various process parameters such as gas mixing ratio and substrate temperature on the etch rate was studied in order to find optimal process conditions. The surface of the samples after etching was found to be clean under SEM inspection. It was also shown that the etch rate of iridium could be enhanced at higher process temperature and, at the same time, very high etching selectivity between aluminum etching mask and iridium could be achieved.

  6. Tailored ion energy distributions on plasma electrodes

    International Nuclear Information System (INIS)

    Economou, Demetre J.

    2013-01-01

    As microelectronic device features continue to shrink approaching atomic dimensions, control of the ion energy distribution on the substrate during plasma etching and deposition becomes increasingly critical. The ion energy should be high enough to drive ion-assisted etching, but not too high to cause substrate damage or loss of selectivity. In many cases, a nearly monoenergetic ion energy distribution (IED) is desired to achieve highly selective etching. In this work, the author briefly reviews: (1) the fundamentals of development of the ion energy distribution in the sheath and (2) methods to control the IED on plasma electrodes. Such methods include the application of “tailored” voltage waveforms on an electrode in continuous wave plasmas, or the application of synchronous bias on a “boundary electrode” during a specified time window in the afterglow of pulsed plasmas

  7. Etched beam splitters in InP/InGaAsP.

    Science.gov (United States)

    Norberg, Erik J; Parker, John S; Nicholes, Steven C; Kim, Byungchae; Krishnamachari, Uppiliappan; Coldren, Larry A

    2011-01-17

    An etched beam splitter (EBS) photonic coupler based on frustrated total internal reflection (FTIR) is designed, fabricated and characterized in the InP/InGaAsP material system. The EBS offers an ultra compact footprint (8x11 μm) and a complete range of bar/cross coupling ratio designs. A novel pre-etching process is developed to achieve sufficient depth of the etched coupling gaps. Fabricated EBS couplers demonstrate insertion loss between 1 and 2.6 dB with transmission (cross-coupling) ≤ 10%. The results show excellent agreement with 3D finite-difference time-domain (FDTD) modeling. The coupling of EBS has weak wavelength dependence in the C-band, making it suitable for wavelength division multiplexing (WDM) or other wide bandwidth applications. Finally, the EBS is integrated with active semiconductor optical amplifier (SOA) and phase-modulator components; using a flattened ring resonator structure, a channelizing filter tunable in both amplitude and center frequency is demonstrated, as well as an EBS coupled ring laser.

  8. Maintenance of the resonance in a cavity filled with a variable density plasma; Entretien de la resonance d'une cavite chargee par un plasma de densite variable

    Energy Technology Data Exchange (ETDEWEB)

    Melin, G [Commissariat a l' Energie Atomique, Saclay (France). Centre d' Etudes Nucleaires

    1969-07-01

    A study has been made of the possibility of keeping in resonance a cavity filled with a plasma of variable density; only the low HF power zone has been examined (less than a few dozen W). A calculation is first made, for the chosen experimental conditions, of the slipping of the resonance frequency of a cavity as a function of the plasma parameters (density, temperature), with a view to obtaining an idea of its importance. A description is then given of the experimental set-up: the S band cavity (3000 Mc/sec) is supplied by a carcinotron type generator; use is made of the plasma of a positive column whose density ({approx}10{sup 11} cm{sup -3}) can easily be controlled so as to obtain slipping of the cavity frequency ({delta}F{sub max} {approx} 50 Mc/s). The zone of automatic agreement thus obtained for the S band is 3 per cent continuously ({approx}100 Mc/s) and 1 per cent ({approx}30 Mc/s) with a response time of 10 {mu}s (sudden changes in density, {delta}n {approx} 5.10{sup 10} cm{sup 3}). These characteristics already compare very favorably with existing systems, and can easily be improved. (author) [French] On etudie une possibilite de maintenir a la resonance une cavite chargee par un plasma dont la densite varie; on se limite au domaine des puissances HF faibles (< quelques dizaines de W). On calcule tout d'abord, pour les conditions experimentales choisies, le glissement de la frequence de resonance d'une cavite en fonction des parametres du plasma, densite, temperature, pour en evaluer les ordres de grandeur. On decrit ensuite la realisation experimentale: la cavite bande S (3000 Mc/s) est alimentee par un generateur du type carcinotron; on utilise le plasma d'une colonne positive, dont on controle facilement la densite ({approx}10{sup 11} cm{sup -3}) pour faire glisser en frequence la cavite ({delta}F{sub max} {approx} 50 Mc/s). La zone d'accord automatique obtenue ainsi pour la bande S est de 3 pour cent en continu ({approx}100 Mc/s), de 1 pour cent

  9. Time-resolved resonance fluorescence spectroscopy for study of chemical reactions in laser-induced plasmas.

    Science.gov (United States)

    Liu, Lei; Deng, Leimin; Fan, Lisha; Huang, Xi; Lu, Yao; Shen, Xiaokang; Jiang, Lan; Silvain, Jean-François; Lu, Yongfeng

    2017-10-30

    Identification of chemical intermediates and study of chemical reaction pathways and mechanisms in laser-induced plasmas are important for laser-ablated applications. Laser-induced breakdown spectroscopy (LIBS), as a promising spectroscopic technique, is efficient for elemental analyses but can only provide limited information about chemical products in laser-induced plasmas. In this work, time-resolved resonance fluorescence spectroscopy was studied as a promising tool for the study of chemical reactions in laser-induced plasmas. Resonance fluorescence excitation of diatomic aluminum monoxide (AlO) and triatomic dialuminum monoxide (Al 2 O) was used to identify these chemical intermediates. Time-resolved fluorescence spectra of AlO and Al 2 O were used to observe the temporal evolution in laser-induced Al plasmas and to study their formation in the Al-O 2 chemistry in air.

  10. Magnetic Field Dependence and Q of the Josephson Plasma Resonance

    DEFF Research Database (Denmark)

    Pedersen, Niels Falsig; Finnegan, T. F.; Langenberg, D. N.

    1972-01-01

    of supercurrent density which is not observed in conventional measurements of the field-dependent critical current. The frequency and field dependence of the plasma-resonance linewidth are interpreted as evidence that the previously unobserved quasiparticle-pair-interference tunnel current predicted by Josephson...

  11. Etched FBG coated with polyimide for simultaneous detection the salinity and temperature

    Science.gov (United States)

    Luo, Dong; Ma, Jianxun; Ibrahim, Zainah; Ismail, Zubaidah

    2017-06-01

    In marine environment, concrete structures can corrode because of the PH alkalinity of concrete paste; and the salinity PH is heavily related with the concentration of salt in aqueous solutions. In this study, an optical fiber salinity sensor is proposed on the basis of an etched FBG (EFBG) coated with a layer of polyimide. Chemical etching is employed to reduce the diameter of FBG and to excite Cladding Mode Resonance Wavelengths (CMRWs). CMRW and Fundamental Mode Resonance Wavelength (FMRW) can be used to measure the Refractive index (RI) and temperature of salinity. The proposed sensor is then characterized with a matrix equation. Experimental results show that FMRW and 5th CMRW have the detection sensitivities of 15.407 and 125.92 nm/RIU for RI and 0.0312 and 0.0435 nm/°C for temperature, respectively. The proposed sensor can measure salinity and temperature simultaneously.

  12. Study on spatial distribution of plasma parameters in a magnetized inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Cheong, Hee-Woon; Lee, Woohyun; Kim, Ji-Won; Whang, Ki-Woong, E-mail: kwhang@snu.ac.kr [Plasma Laboratory, Inter-University Semiconductor Research Center, Department of Electrical and Computer Engineering, Seoul National University, Seoul 151-742 (Korea, Republic of); Kim, Hyuk [Samsung Electronics Co., Banwol-dong, Hwaseong 445-701 (Korea, Republic of); Park, Wanjae [Tokyo Electron Miyagi Ltd., Taiwa-cho, Kurokawa-gun, Miyagi 981-3629 (Japan)

    2015-07-15

    Spatial distributions of various plasma parameters such as plasma density, electron temperature, and radical density in an inductively coupled plasma (ICP) and a magnetized inductively coupled plasma (M-ICP) were investigated and compared. Electron temperature in between the rf window and the substrate holder of M-ICP was higher than that of ICP, whereas the one just above the substrate holder of M-ICP was similar to that of ICP when a weak (<8 G) magnetic field was employed. As a result, radical densities in M-ICP were higher than those in ICP and the etch rate of oxide in M-ICP was faster than that in ICP without severe electron charging in 90 nm high aspect ratio contact hole etch.

  13. Plasma processing and chemistry

    NARCIS (Netherlands)

    Schram, D.C.; Mullen, van der J.J.A.M.; Sanden, van de M.C.M.

    1994-01-01

    The growing field of applications of plasma as deposition, etching, surface modification and chemical conversion has stimulated a renewed interest in plasma science in the atomic physical chemistry regime. The necessity to optimize the various plasma processing techniques in terms of rates, and

  14. Freestanding nanostructures via reactive ion beam angled etching

    Directory of Open Access Journals (Sweden)

    Haig A. Atikian

    2017-05-01

    Full Text Available Freestanding nanostructures play an important role in optical and mechanical devices for classical and quantum applications. Here, we use reactive ion beam angled etching to fabricate optical resonators in bulk polycrystalline and single crystal diamond. Reported quality factors are approximately 30 000 and 286 000, respectively. The devices show uniformity across 25 mm samples, a significant improvement over comparable techniques yielding freestanding nanostructures.

  15. Influence of Pre-etching Times on Fatigue Strength of Self-etch Adhesives to Enamel.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Endo, Hajime; Tsuchiya, Kenji; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    To use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence of phosphoric acid pre-etching times prior to application of self-etch adhesives on enamel bonding. Two single-step self-etch universal adhesives (Prime&Bond Elect and Scotchbond Universal), a conventional single-step self-etch adhesive (G-ӕnial Bond), and a conventional two-step self-etch adhesive (OptiBond XTR) were used. The SBS and SFS were obtained with phosphoric acid pre-etching for 3, 10, or 15 s prior to application of the adhesives, and without pre-etching (0 s) as a control. A staircase method was used to determine the SFS with 10 Hz frequency for 50,000 cycles or until failure occurred. The mean demineralization depth for each treated enamel surface was also measured using a profilometer. For all the adhesives, the groups with pre-etching showed significantly higher SBS and SFS than groups without pre-etching. However, there was no significant difference in SBS and SFS among groups with > 3 s of preetching. In addition, although the groups with pre-etching showed significantly deeper demineralization depths than groups without pre-etching, there was no significant difference in depth among groups with > 3 s of pre-etching. Three seconds of phosphoric acid pre-etching prior to application of self-etch adhesive can enhance enamel bonding effectiveness.

  16. On the gyro resonance electron-whistler interaction in transition layers of near-earth plasma

    International Nuclear Information System (INIS)

    Erokhin, N.S.; Zol'nikova, N.N.; Mikhajlovskaya, L.A.

    1996-01-01

    Gyro resonance interaction of electrons with low amplitude triggered whistler in the transition layers of the ionospheric and magnetospheric plasma that correspond to the blurred jumps of the magnetic field and plasma concentration was studied

  17. Self-etching adhesive on intact enamel, with and without pre-etching.

    Science.gov (United States)

    Devarasa, G M; Subba Reddy, V V; Chaitra, N L; Swarna, Y M

    2012-05-01

    Bond strengths of composite resin to enamel using self-etch adhesive (SEA) Clearfil SE bond system on intact enamel and enamel pre-etched with phosphoric acid were compared. The objective was to determine if the pre-etching would increase the bond strengths of the SEA systems to intact enamel and to evaluate the effect of pre-etching on bond formation of self-etch adhesives on intact enamel. Labial surfaces of 40 caries free permanent upper central and lateral incisors were cleaned, sectioned of their roots. All specimens were mounted on acrylic block and divided randomly into four groups. In two groups the application of self-etch adhesive, Clearfil SE bond was carried as per manufacturer's instructions, composite cylinders were built, whereas in the other two groups, 37% phosphoric acid etching was done before the application of self-etching adhesives. Then the resin tags were analyzed using scanning electron microscope and shear bond strength was measured using Instron universal testing machine. When phosphoric acid was used, there was significant increase in the depth of penetration of resin tags and in the Shear Bond Strength of composite to enamel. The results indicate that out of both treatment groups, pre-etching the intact enamel with 37% phosphoric acid resulted in formation of longer resin tags and higher depth of penetration of resin tags of the Clearfil SE bond, and attaining higher bond strength of the Clearfil SE bond to intact enamel. Copyright © 2011 Wiley Periodicals, Inc.

  18. Fluorocarbon based atomic layer etching of Si_3N_4 and etching selectivity of SiO_2 over Si_3N_4

    International Nuclear Information System (INIS)

    Li, Chen; Metzler, Dominik; Oehrlein, Gottlieb S.; Lai, Chiukin Steven; Hudson, Eric A.

    2016-01-01

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO_2 ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar"+ ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO_2 from the surface. In the present article, the authors describe controlled etching of Si_3N_4 and SiO_2 layers of one to several Angstroms using this cyclic ALE approach. Si_3N_4 etching and etching selectivity of SiO_2 over Si_3N_4 were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si_3N_4 were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si_3N_4 has a lower physical sputtering energy threshold than SiO_2, Si_3N_4 physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si_3N_4 to SiO_2 ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO_2 to Si_3N_4 etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si_3N_4 surfaces. This highly selective etching is explained by a lower carbon consumption of Si_3N_4 as compared to SiO_2. The comparison of C_4F_8 and CHF_3 only showed a difference in etching selectivity for FC depleted conditions. For FC accumulation conditions

  19. Hydrogen effects in hydrofluorocarbon plasma etching of silicon nitride: Beam study with CF{sup +}, CF{sub 2}{sup +}, CHF{sub 2}{sup +}, and CH{sub 2}F{sup +} ions

    Energy Technology Data Exchange (ETDEWEB)

    Ito, Tomoko; Karahashi, Kazuhiro; Fukasawa, Masanaga; Tatsumi, Tetsuya; Hamaguchi, Satoshi [Center for Atomic and Molecular Technologies, Osaka University, Osaka 565-0871 (Japan); Semiconductor Technology Development Division, SBG, CPDG, Sony Corporation, Atsugi, Kanagawa 243-0014 (Japan); Center for Atomic and Molecular Technologies, Osaka University, Osaka 565-0871 (Japan)

    2011-09-15

    Hydrogen in hydrofluorocarbon plasmas plays an important role in silicon nitride (Si{sub 3}N{sub 4}) reactive ion etching. This study focuses on the elementary reactions of energetic CHF{sub 2}{sup +} and CH{sub 2}F{sup +} ions with Si{sub 3}N{sub 4} surfaces. In the experiments, Si{sub 3}N{sub 4} surfaces were irradiated by monoenergetic (500-1500 eV) beams of CHF{sub 2}{sup +} and CH{sub 2}F{sup +} ions as well as hydrogen-free CF{sub 2}{sup +} and CF{sup +} ions generated by a mass-selected ion beam system and their etching yields and surface properties were examined. It has been found that, when etching takes place, the etching rates of Si{sub 3}N{sub 4} by hydrofluorocarbon ions, i.e., CHF{sub 2}{sup +} and CH{sub 2}F{sup +}, are higher than those by the corresponding fluorocarbon ions, i.e., CF{sub 2}{sup +} and CF{sup +}, respectively. When carbon film deposition takes place, it has been found that hydrogen of incident hydrofluorocarbon ions tends to scavenge fluorine of the deposited film, reducing its fluorine content.

  20. Overview Of Dry-Etch Techniques

    Science.gov (United States)

    Salzer, John M.

    1986-08-01

    With pattern dimensions shrinking, dry methods of etching providing controllable degrees of anisotropy become a necessity. A number of different configurations of equipment - inline, hex, planar, barrel - have been offered, and within each type, there are numerous significant variations. Further, each specific type of machine must be perfected over a complex, interactive parameter space to achieve suitable removal of various materials. Among the most critical system parameters are the choice of cathode or anode to hold the wafers, the chamber pressure, the plasma excitation frequency, and the electrode and magnetron structures. Recent trends include the use of vacuum load locks, multiple chambers, multiple electrodes, downstream etching or stripping, and multistep processes. A major percentage of etches in production handle the three materials: polysilicon, oxide and aluminum. Recent process developments have targeted refractory metals, their silicides, and with increasing emphasis, silicon trenching. Indeed, with new VLSI structures, silicon trenching has become the process of greatest interest. For stripping, dry processes provide advantages other than anisotropy. Here, too, new configurations and methods have been introduced recently. While wet processes are less than desirable from a number of viewpoints (handling, safety, disposal, venting, classes of clean room, automatability), dry methods are still being perfected as a direct, universal replacement. The paper will give an overview of these machine structures and process solutions, together with examples of interest. These findings and the trends discussed are based on semiannual survey of manufacturers and users of the various types of equipment.

  1. Study of Thermal Electrical Modified Etching for Glass and Its Application in Structure Etching

    Directory of Open Access Journals (Sweden)

    Zhan Zhan

    2017-02-01

    Full Text Available In this work, an accelerating etching method for glass named thermal electrical modified etching (TEM etching is investigated. Based on the identification of the effect in anodic bonding, a novel method for glass structure micromachining is proposed using TEM etching. To validate the method, TEM-etched glasses are prepared and their morphology is tested, revealing the feasibility of the new method for micro/nano structure micromachining. Furthermore, two kinds of edge effect in the TEM and etching processes are analyzed. Additionally, a parameter study of TEM etching involving transferred charge, applied pressure, and etching roughness is conducted to evaluate this method. The study shows that TEM etching is a promising manufacture method for glass with low process temperature, three-dimensional self-control ability, and low equipment requirement.

  2. An Investigation on the He−(1s2s2 2S Resonance in Debye Plasmas

    Directory of Open Access Journals (Sweden)

    Arijit Ghoshal

    2017-01-01

    Full Text Available The effect of Debye plasma on the 1 s 2 s 2 2 S resonance states in the scattering of electron from helium atom has been investigated within the framework of the stabilization method. The interactions among the charged particles in Debye plasma have been modelled by Debye–Huckel potential. The 1 s 2 s excited state of the helium atom has been treated as consisting of a H e + ionic core plus an electron moving around. The interaction between the core and the electron has then been modelled by a model potential. It has been found that the background plasma environment significantly affects the resonance states. To the best of our knowledge, such an investigation of 1 s 2 s 2 2 S resonance states of the electron–helium system embedded in Debye plasma environment is the first reported in the literature.

  3. On-site SiH4 generator using hydrogen plasma generated in slit-type narrow gap

    Science.gov (United States)

    Takei, Norihisa; Shinoda, Fumiya; Kakiuchi, Hiroaki; Yasutake, Kiyoshi; Ohmi, Hiromasa

    2018-06-01

    We have been developing an on-site silane (SiH4) generator based on use of the chemical etching reaction between solid silicon (Si) and the high-density H atoms that are generated in high-pressure H2 plasma. In this study, we have developed a slit-type plasma source for high-efficiency SiH4 generation. High-density H2 plasma was generated in a narrow slit-type discharge gap using a 2.45 GHz microwave power supply. The plasma’s optical emission intensity distribution along the slit was measured and the resulting distribution was reflected by both the electric power distribution and the hydrogen gas flow. Because the Si etching rate strongly affects the SiH4 generation rate, the Si etching behavior was investigated with respect to variations in the experimental parameters. The weight etch rate increased monotonically with increasing input microwave power. However, the weight etch rate decreased with increasing H2 pressure and an increasing plasma gap. This reduction in the etch rate appears to be related to shrinkage of the plasma generation area because increased input power is required to maintain a constant plasma area with increasing H2 pressure and the increasing plasma gap. Additionally, the weight etch rate also increases with increasing H2 flow rate. The SiH4 generation rate of the slit-type plasma source was also evaluated using gas-phase Fourier transform infrared absorption spectroscopy and the material utilization efficiencies of both Si and the H2 gas for SiH4 gas formation were discussed. The main etch product was determined to be SiH4 and the developed plasma source achieved a SiH4 generation rate of 10 sccm (standard cubic centimeters per minute) at an input power of 900 W. In addition, the Si utilization efficiency exceeded 60%.

  4. Dependence of wet etch rate on deposition, annealing conditions and etchants for PECVD silicon nitride film

    International Nuclear Information System (INIS)

    Tang Longjuan; Zhu Yinfang; Yang Jinling; Li Yan; Zhou Wei; Xie Jing; Liu Yunfei; Yang Fuhua

    2009-01-01

    The influence of deposition, annealing conditions, and etchants on the wet etch rate of plasma enhanced chemical vapor deposition (PECVD) silicon nitride thin film is studied. The deposition source gas flow rate and annealing temperature were varied to decrease the etch rate of SiN x :H by HF solution. A low etch rate was achieved by increasing the SiH 4 gas flow rate or annealing temperature, or decreasing the NH 3 and N2 gas flow rate. Concentrated, buffered, and dilute hydrofluoric acid were utilized as etchants for SiO 2 and SiN x :H. A high etching selectivity of SiO 2 over SiN x :H was obtained using highly concentrated buffered HF.

  5. Sputtering yields and surface chemical modification of tin-doped indium oxide in hydrocarbon-based plasma etching

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hu; Karahashi, Kazuhiro; Hamaguchi, Satoshi, E-mail: hamaguch@ppl.eng.osaka-u.ac.jp [Center for Atomic and Molecular Technologies, Osaka University, Yamadaoka 2-1, Suita 565-0871 (Japan); Fukasawa, Masanaga; Nagahata, Kazunori; Tatsumi, Tetsuya [Device and Material R& D Group, RDS Platform, Sony Corporation, Kanagawa 243-0014 (Japan)

    2015-11-15

    Sputtering yields and surface chemical compositions of tin-doped indium oxide (or indium tin oxide, ITO) by CH{sup +}, CH{sub 3}{sup +}, and inert-gas ion (He{sup +}, Ne{sup +}, and Ar{sup +}) incidence have been obtained experimentally with the use of a mass-selected ion beam system and in-situ x-ray photoelectron spectroscopy. It has been found that etching of ITO is chemically enhanced by energetic incidence of hydrocarbon (CH{sub x}{sup +}) ions. At high incident energy incidence, it appears that carbon of incident ions predominantly reduce indium (In) of ITO and the ITO sputtering yields by CH{sup +} and CH{sub 3}{sup +} ions are found to be essentially equal. At lower incident energy (less than 500 eV or so), however, a hydrogen effect on ITO reduction is more pronounced and the ITO surface is more reduced by CH{sub 3}{sup +} ions than CH{sup +} ions. Although the surface is covered more with metallic In by low-energy incident CH{sub 3}{sup +} ions than CH{sup +} ions and metallic In is in general less resistant against physical sputtering than its oxide, the ITO sputtering yield by incident CH{sub 3}{sup +} ions is found to be lower than that by incident CH{sup +} ions in this energy range. A postulation to account for the relation between the observed sputtering yield and reduction of the ITO surface is also presented. The results presented here offer a better understanding of elementary surface reactions observed in reactive ion etching processes of ITO by hydrocarbon plasmas.

  6. Semi-analytical model of laser resonance absorption in plasmas with a parabolic density profile

    International Nuclear Information System (INIS)

    Pestehe, S J; Mohammadnejad, M

    2010-01-01

    Analytical expressions for mode conversion and resonance absorption of electromagnetic waves in inhomogeneous, unmagnetized plasmas are required for laboratory and simulation studies. Although most of the analyses of this problem have concentrated on the linear plasma density profile, there are a few research works that deal with different plasma density profiles including the parabolic profile. Almost none of them could give clear analytical formulae for the electric and magnetic components of the electromagnetic field propagating through inhomogeneous plasmas. In this paper, we have considered the resonant absorption of laser light near the critical density of plasmas with parabolic electron density profiles followed by a uniform over-dense region and have obtained expressions for the electric and magnetic vectors of laser light propagating through the plasma. An estimation of the fractional absorption of laser energy has also been carried out. It has been shown that, in contrast to the linear density profile, the energy absorption depends explicitly on the value of collision frequency as well as on a new parameter, N, called the over-dense density order.

  7. Role of chamber dimension in fluorocarbon based deposition and etching of SiO2 and its effects on gas and surface-phase chemistry

    International Nuclear Information System (INIS)

    Joseph, E. A.; Zhou, B.-S.; Sant, S. P.; Overzet, L. J.; Goeckner, M. J.

    2008-01-01

    It is well understood that chamber geometry is an influential factor governing plasma processing of materials. Simple models suggest that a large fraction of this influence is due to changes in basic plasma properties, namely, density, temperature, and potential. However, while such factors do play an important role, they only partly describe the observed differences in process results. Therefore, to better elucidate the role of chamber geometry in this work, the authors explore the influence of plasma chemistry and its symbiotic effect on plasma processing by decoupling the plasma density, temperature, and potential from the plasma-surface (wall) interactions. Specifically, a plasma system is used with which the authors can vary the chamber dimension so as to vary the plasma-surface interaction directly. By varying chamber wall diameter, 20-66 cm, and source-platen distance, 4-6 cm, the etch behavior of SiO 2 (or the deposition behavior of fluorocarbon polymer) and the resulting gas-phase chemistry change significantly. Results from in situ spectroscopic ellipsometry show significant differences in etch characteristics, with etch rates as high as 350 nm/min and as low as 75 nm/min for the same self-bias voltage. Fluorocarbon deposition rates are also highly dependent on chamber dimension and vary from no net deposition to deposition rates as high as 225 nm/min. Etch yields, however, remain unaffected by the chamber size variations. From Langmuir probe measurements, it is clear that chamber geometry results in significant shifts in plasma properties such as electron and ion densities. Indeed, such measurements show that on-wafer processes are limited at least in part by ion flux for high energy reactive ion etch. However, in situ multipass Fourier transform infrared spectroscopy reveals that the line-averaged COF 2 , SiF 4 , CF 2 , and CF 3 gas-phase densities are also dependent on chamber dimension at high self-bias voltage and also correlate well to the CF x

  8. Silicon etch process

    International Nuclear Information System (INIS)

    Day, D.J.; White, J.C.

    1984-01-01

    A silicon etch process wherein an area of silicon crystal surface is passivated by radiation damage and non-planar structure produced by subsequent anisotropic etching. The surface may be passivated by exposure to an energetic particle flux - for example an ion beam from an arsenic, boron, phosphorus, silicon or hydrogen source, or an electron beam. Radiation damage may be used for pattern definition and/or as an etch stop. Ethylenediamine pyrocatechol or aqueous potassium hydroxide anisotropic etchants may be used. The radiation damage may be removed after etching by thermal annealing. (author)

  9. Real-time control of electron density in a capacitively coupled plasma

    International Nuclear Information System (INIS)

    Keville, Bernard; Gaman, Cezar; Turner, Miles M.; Zhang Yang; Daniels, Stephen; Holohan, Anthony M.

    2013-01-01

    Reactive ion etching (RIE) is sensitive to changes in chamber conditions, such as wall seasoning, which have a deleterious effect on process reproducibility. The application of real time, closed loop control to RIE may reduce this sensitivity and facilitate production with tighter tolerances. The real-time, closed loop control of plasma density with RF power in a capacitively coupled argon plasma using a hairpin resonance probe as a sensor is described. Elementary control analysis shows that an integral controller provides stable and effective set point tracking and disturbance attenuation. The trade off between performance and robustness may be quantified in terms of one parameter, namely the position of the closed loop pole. Experimental results are presented, which are consistent with the theoretical analysis.

  10. Modeling of silicon etching in CF sub 4 /O sub 2 and CF sub 4 /H sub 2 plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Trachtenberg, I.; Edgar, T.F. (Dept. of Chemical Engineering, Univ. of Texas at Austin, Austin, TX (US)); Venkatesan, S.P. (Morgantown Energy Technology Center, Morgantown, WV (US))

    1990-07-01

    A one-dimensional radial flow reactor model that includes fairly detailed free radical gas-phase chemistry has been developed for the etching of silicon in CF{sub 4}/O{sub 2} and CF{sub 4}/H{sub 2} plasmas. Attention has been restricted to transport and reaction of neutral species. The model equations were solved by orthogonal collocation. The sensitivities of the model predictions to flow rate, inlet gas composition, electron density, silicon loading, and other factors have been examined. The major loss path for fluorine atoms is different in CF{sub 4}/O{sub 2} and CF{sub 4}/H{sub 2} systems, and this results in significant qualitative differences in the parametric sensitivities of the two systems.

  11. Double plasma resonance instability as a source of solar zebra emission

    Science.gov (United States)

    Benáček, J.; Karlický, M.

    2018-03-01

    Context. The double plasma resonance (DPR) instability plays a basic role in the generation of solar radio zebras. In the plasma, consisting of the loss-cone type distribution of hot electrons and much denser and colder background plasma, this instability generates the upper-hybrid waves, which are then transformed into the electromagnetic waves and observed as radio zebras. Aims: In the present paper we numerically study the double plasma resonance instability from the point of view of the zebra interpretation. Methods: We use a 3-dimensional electromagnetic particle-in-cell (3D PIC) relativistic model. We use this model in two versions: (a) a spatially extended "multi-mode" model and (b) a spatially limited "specific-mode" model. While the multi-mode model is used for detailed computations and verifications of the results obtained by the "specific-mode" model, the specific-mode model is used for computations in a broad range of model parameters, which considerably save computational time. For an analysis of the computational results, we developed software tools in Python. Results: First using the multi-mode model, we study details of the double plasma resonance instability. We show how the distribution function of hot electrons changes during this instability. Then we show that there is a very good agreement between results obtained by the multi-mode and specific-mode models, which is caused by a dominance of the wave with the maximal growth rate. Therefore, for computations in a broad range of model parameters, we use the specific-mode model. We compute the maximal growth rates of the double plasma resonance instability with a dependence on the ratio between the upper-hybrid ωUH and electron-cyclotron ωce frequency. We vary temperatures of both the hot and background plasma components and study their effects on the resulting growth rates. The results are compared with the analytical ones. We find a very good agreement between numerical and analytical growth

  12. Plasma heating in multiple-resonance excitation of a plasma in a mirror machine

    Energy Technology Data Exchange (ETDEWEB)

    Bender, A; Siambis, J G [Carnegie-Mellon Univ., Pittsburgh, Pa. (USA)

    1976-06-01

    By applying 1 kW of microwave power at 2.45 GHz and 1 kW of r.f. power in the frequency range of 4-25 MHz at one end of a mirror machine, where neutral hydrogen gas is injected in a pulsed mode, a plasma density of 2 x 10/sup 11/cm/sup -3/ with an electron temperature of 60 eV and ion temperature of 40 eV is generated. The ion heating mechanism, is, principally, collisional thermalization of the applied r.f. power, via coupling to and excitation of the low frequency resonances of the plasma column, in agreement with the theoretical prediction for the case of high total effective collision frequency for momentum transfer for the electrons.

  13. Non-linear magnetohydrodynamic modeling of plasma response to resonant magnetic perturbations

    Energy Technology Data Exchange (ETDEWEB)

    Orain, F.; Bécoulet, M.; Dif-Pradalier, G.; Nardon, E.; Passeron, C.; Latu, G.; Grandgirard, V.; Fil, A.; Ratnani, A. [CEA, IRFM, F-13108 Saint-Paul-Lez-Durance (France); Huijsmans, G. [ITER Organization, Route de Vinon, F-13115 Saint-Paul-Lez-Durance (France); Pamela, S. [IIFS-PIIM. Aix Marseille Université - CNRS, 13397 Marseille Cedex20 (France); Chapman, I.; Kirk, A.; Thornton, A. [EURATOM/CCFE Fusion Association, Culham Science Centre, Oxon OX14 3DB (United Kingdom); Hoelzl, M. [Max-Planck-Institut für Plasmaphysik, EURATOM Association, Garching (Germany); Cahyna, P. [Association EURATOM/IPP.CR, Prague (Czech Republic)

    2013-10-15

    The interaction of static Resonant Magnetic Perturbations (RMPs) with the plasma flows is modeled in toroidal geometry, using the non-linear resistive MHD code JOREK, which includes the X-point and the scrape-off-layer. Two-fluid diamagnetic effects, the neoclassical poloidal friction and a source of toroidal rotation are introduced in the model to describe realistic plasma flows. RMP penetration is studied taking self-consistently into account the effects of these flows and the radial electric field evolution. JET-like, MAST, and ITER parameters are used in modeling. For JET-like parameters, three regimes of plasma response are found depending on the plasma resistivity and the diamagnetic rotation: at high resistivity and slow rotation, the islands generated by the RMPs at the edge resonant surfaces rotate in the ion diamagnetic direction and their size oscillates. At faster rotation, the generated islands are static and are more screened by the plasma. An intermediate regime with static islands which slightly oscillate is found at lower resistivity. In ITER simulations, the RMPs generate static islands, which forms an ergodic layer at the very edge (ψ≥0.96) characterized by lobe structures near the X-point and results in a small strike point splitting on the divertor targets. In MAST Double Null Divertor geometry, lobes are also found near the X-point and the 3D-deformation of the density and temperature profiles is observed.

  14. Q-Boosted Optomechanical Resonators

    Science.gov (United States)

    2015-11-18

    type a knob for optical Qo, where the inability to smooth etched nitride sidewall surfaces relegates OMO’s using it to Qo’s on the order of...6: Operation of an RP-OMO. As the ring resonator coupled to tapered fiber in (a) displaces by ∂r, the optical path length change produces the shift...frequency 0, B input pump laser field, tot the total optical resonator damping, ext the coupling between optical resonator and the tapered fiber

  15. Influence of different pre-etching times on fatigue strength of self-etch adhesives to dentin.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Suzuki, Takayuki; Scheidel, Donal D; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-04-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence on dentin bonding of phosphoric acid pre-etching times before the application of self-etch adhesives. Two single-step self-etch universal adhesives [Prime & Bond Elect (EL) and Scotchbond Universal (SU)], a conventional single-step self-etch adhesive [G-aenial Bond (GB)], and a two-step self-etch adhesive [OptiBond XTR (OX)] were used. The SBS and SFS values were obtained with phosphoric acid pre-etching times of 3, 10, or 15 s before application of the adhesives, and for a control without pre-etching. For groups with 3 s of pre-etching, SU and EL showed higher SBS values than control groups. No significant difference was observed for GB among the 3 s, 10 s, and control groups, but the 15 s pre-etching group showed significantly lower SBS and SFS values than the control group. No significant difference was found for OX among the pre-etching groups. Reducing phosphoric acid pre-etching time can minimize the adverse effect on dentin bonding durability for the conventional self-etch adhesives. Furthermore, a short phosphoric acid pre-etching time enhances the dentin bonding performance of universal adhesives. © 2016 Eur J Oral Sci.

  16. The permittivity of a plasma at cyclotron resonance in large amplitude e.m. fields

    NARCIS (Netherlands)

    Schram, D.C.

    1970-01-01

    The permittivity of a collisionless plasma as a function of field parameters is measured in standing and in travelling waves. In both experiments the permittivity remains finite at cyclotron resonance; the resonance is broadened and shifted towards higher values of the magnetic field strength. The

  17. A new concept for spatially divided Deep Reactive Ion Etching with ALD-based passivation

    International Nuclear Information System (INIS)

    Roozeboom, F; Kniknie, B; Lankhorst, A M; Winands, G; Knaapen, R; Smets, M; Poodt, P; Dingemans, G; Keuning, W; Kessels, W M M

    2012-01-01

    Conventional Deep Reactive Ion Etching (DRIE) is a plasma etch process with alternating half-cycles of 1) Si-etching with SF 6 to form gaseous SiF x etch products, and 2) passivation with C 4 F 8 that polymerizes as a protecting fluorocarbon deposit on the sidewalls and bottom of the etched features. In this work we report on a novel alternative and disruptive technology concept of Spatially-divided Deep Reactive Ion Etching, S-DRIE, where the process is converted from the time-divided into the spatially divided regime. The spatial division can be accomplished by inert gas bearing 'curtains' of heights down to ∼20 μm. These curtains confine the reactive gases to individual (often linear) injection slots constructed in a gas injector head. By horizontally moving the substrate back and forth under the head one can realize the alternate exposures to the overall cycle. A second improvement in the spatially divided approach is the replacement of the CVD-based C 4 F 8 passivation steps by ALD-based oxide (e.g. SiO 2 ) deposition cycles. The method can have industrial potential in cost-effective creation of advanced 3D interconnects (TSVs), MEMS manufacturing and advanced patterning, e.g., in nanoscale transistor line edge roughness using Atomic Layer Etching.

  18. Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride

    Energy Technology Data Exchange (ETDEWEB)

    Provine, J., E-mail: jprovine@stanford.edu; Schindler, Peter; Kim, Yongmin; Walch, Steve P.; Kim, Hyo Jin [Department of Mechanical Engineering, Stanford University, Stanford, California 94305 (United States); Kim, Ki-Hyun [Manufacturing Technology Center, Samsung Electronics, Suwon, Gyeonggi-Do (Korea, Republic of); Prinz, Fritz B. [Department of Mechanical Engineering, Stanford University, Stanford, California 94305 (United States); Department of Materials Science and Engineering, Stanford University, Stanford, California 94305 (United States)

    2016-06-15

    The continued scaling in transistors and memory elements has necessitated the development of atomic layer deposition (ALD) of silicon nitride (SiN{sub x}), particularly for use a low k dielectric spacer. One of the key material properties needed for SiN{sub x} films is a low wet etch rate (WER) in hydrofluoric (HF) acid. In this work, we report on the evaluation of multiple precursors for plasma enhanced atomic layer deposition (PEALD) of SiN{sub x} and evaluate the film’s WER in 100:1 dilutions of HF in H{sub 2}O. The remote plasma capability available in PEALD, enabled controlling the density of the SiN{sub x} film. Namely, prolonged plasma exposure made films denser which corresponded to lower WER in a systematic fashion. We determined that there is a strong correlation between WER and the density of the film that extends across multiple precursors, PEALD reactors, and a variety of process conditions. Limiting all steps in the deposition to a maximum temperature of 350 °C, it was shown to be possible to achieve a WER in PEALD SiN{sub x} of 6.1 Å/min, which is similar to WER of SiN{sub x} from LPCVD reactions at 850 °C.

  19. A tunable sub-100 nm silicon nanopore array with an AAO membrane mask: reducing unwanted surface etching by introducing a PMMA interlayer

    Science.gov (United States)

    Lim, Namsoo; Pak, Yusin; Kim, Jin Tae; Hwang, Youngkyu; Lee, Ryeri; Kumaresan, Yogeenth; Myoung, Nosoung; Ko, Heung Cho; Jung, Gun Young

    2015-08-01

    Highly ordered silicon (Si) nanopores with a tunable sub-100 nm diameter were fabricated by a CF4 plasma etching process using an anodic aluminum oxide (AAO) membrane as an etching mask. To enhance the conformal contact of the AAO membrane mask to the underlying Si substrate, poly(methyl methacrylate) (PMMA) was spin-coated on top of the Si substrate prior to the transfer of the AAO membrane. The AAO membrane mask was fabricated by two-step anodization and subsequent removal of the aluminum support and the barrier layer, which was then transferred to the PMMA-coated Si substrate. Contact printing was performed on the sample with a pressure of 50 psi and a temperature of 120 °C to make a conformal contact of the AAO membrane mask to the Si substrate. The CF4 plasma etching was conducted to transfer nanopores onto the Si substrate through the PMMA interlayer. The introduced PMMA interlayer prevented unwanted surface etching of the Si substrate by eliminating the etching ions and radicals bouncing at the gap between the mask and the substrate, resulting in a smooth Si nanopore array.Highly ordered silicon (Si) nanopores with a tunable sub-100 nm diameter were fabricated by a CF4 plasma etching process using an anodic aluminum oxide (AAO) membrane as an etching mask. To enhance the conformal contact of the AAO membrane mask to the underlying Si substrate, poly(methyl methacrylate) (PMMA) was spin-coated on top of the Si substrate prior to the transfer of the AAO membrane. The AAO membrane mask was fabricated by two-step anodization and subsequent removal of the aluminum support and the barrier layer, which was then transferred to the PMMA-coated Si substrate. Contact printing was performed on the sample with a pressure of 50 psi and a temperature of 120 °C to make a conformal contact of the AAO membrane mask to the Si substrate. The CF4 plasma etching was conducted to transfer nanopores onto the Si substrate through the PMMA interlayer. The introduced PMMA interlayer

  20. Electron cyclotron resonance plasmas and electron cyclotron resonance ion sources: Physics and technology (invited)

    International Nuclear Information System (INIS)

    Girard, A.; Hitz, D.; Melin, G.; Serebrennikov, K.

    2004-01-01

    Electron cyclotron resonance (ECR) ion sources are scientific instruments particularly useful for physics: they are extensively used in atomic, nuclear, and high energy physics, for the production of multicharged beams. Moreover, these sources are also of fundamental interest for plasma physics, because of the very particular properties of the ECR plasma. This article describes the state of the art on the physics of the ECR plasma related to multiply charged ion sources. In Sec. I, we describe the general aspects of ECR ion sources. Physics related to the electrons is presented in Sec. II: we discuss there the problems of heating and confinement. In Sec. III, the problem of ion production and confinement is presented. A numerical code is presented, and some particular and important effects, specific to ECR ion sources, are shown in Sec. IV. Eventually, in Sec. V, technological aspects of ECR are presented and different types of sources are shown

  1. Electron plasma waves and plasma resonances

    International Nuclear Information System (INIS)

    Franklin, R N; Braithwaite, N St J

    2009-01-01

    In 1929 Tonks and Langmuir predicted of the existence of electron plasma waves in an infinite, uniform plasma. The more realistic laboratory environment of non-uniform and bounded plasmas frustrated early experiments. Meanwhile Landau predicted that electron plasma waves in a uniform collisionless plasma would appear to be damped. Subsequent experimental work verified this and revealed the curious phenomenon of plasma wave echoes. Electron plasma wave theory, extended to finite plasmas, has been confirmed by various experiments. Nonlinear phenomena, such as particle trapping, emerge at large amplitude. The use of electron plasma waves to determine electron density and electron temperature has not proved as convenient as other methods.

  2. Improved thrombogenicity on oxygen etched Ti6Al4V surfaces

    International Nuclear Information System (INIS)

    Riedel, Nicholas A.; Smith, Barbara S.; Williams, John D.; Popat, Ketul C.

    2012-01-01

    Thrombus formation on blood contacting biomaterials continues to be a key factor in initiating a critical mode of failure in implantable devices, requiring immediate attention. In the interest of evaluating a solution for one of the most widely used biomaterials, titanium and its alloys, this study focuses on the use of a novel surface oxidation treatment to improve the blood compatibility. This study examines the possibility of using oblique angle ion etching to produce a high quality oxide layer that enhances blood compatibility on medical grade titanium alloy Ti6Al4V. An X-ray photoelectron spectroscopy (XPS) analysis of these oxygen-rich surfaces confirmed the presence of TiO 2 peaks and also indicated increased surface oxidation as well as a reduction in surface defects. After 2 h of contact with whole human plasma, the oxygen etched substrates demonstrated a reduction in both platelet adhesion and activation as compared to bare titanium substrates. The whole blood clotting behavior was evaluated for up to 45 min, showing a significant decrease in clot formation on oxygen etched substrates. Finally, a bicinchoninic acid (BCA) total protein assay and XPS were used to evaluate the degree of key blood serum protein (fibrinogen, albumin, immunoglobulin G) adsorption on the substrates. The results showed similar protein levels for both the oxygen etched and control substrates. These results indicate that oblique angle oxygen etching may be a promising method to increase the thrombogenicity of Ti6Al4V. - Highlights: ►Oblique angle oxygen ion etching creates a high quality, uniform oxide surface. ►Oxygen etched substrates showed fewer adhered platelets. ►Platelet activation was reduced by the improved oxide surface. ►Oxygen etched substrates exhibited increased whole blood clotting times. ►Although clotting reductions were seen, protein adsorption remained similar.

  3. Silicon germanium as a novel mask for silicon deep reactive ion etching

    KAUST Repository

    Serry, Mohamed Y.

    2013-10-01

    This paper reports on the use of p-type polycrystalline silicon germanium (poly-Si1-xGex) thin films as a new masking material for the cryogenic deep reactive ion etching (DRIE) of silicon. We investigated the etching behavior of various poly-Si1-xGex:B (0Etching selectivity for silicon, silicon oxide, and photoresist was determined at different etching temperatures, ICP and RF powers, and SF6 to O2 ratios. The study demonstrates that the etching selectivity of the SiGe mask for silicon depends strongly on three factors: Ge content; boron concentration; and etching temperature. Compared to conventional SiO2 and SiN masks, the proposed SiGe masking material exhibited several advantages, including high etching selectivity to silicon (>1:800). Furthermore, the SiGe mask was etched in SF6/O2 plasma at temperatures ≥ - 80°C and at rates exceeding 8 μm/min (i.e., more than 37 times faster than SiO2 or SiN masks). Because of the chemical and thermodynamic stability of the SiGe film as well as the electronic properties of the mask, it was possible to deposit the proposed film at CMOS backend compatible temperatures. The paper also confirms that the mask can easily be dry-removed after the process with high etching-rate by controlling the ICP and RF power and the SF6 to O2 ratios, and without affecting the underlying silicon substrate. Using low ICP and RF power, elevated temperatures (i.e., > - 80°C), and an adjusted O2:SF6 ratio (i.e., ~6%), we were able to etch away the SiGe mask without adversely affecting the final profile. Ultimately, we were able to develop deep silicon- trenches with high aspect ratio etching straight profiles. © 1992-2012 IEEE.

  4. Study of plasma charging-induced white pixel defect increase in CMOS active pixel sensor

    International Nuclear Information System (INIS)

    Tokashiki, Ken; Bai, KeunHee; Baek, KyeHyun; Kim, Yongjin; Min, Gyungjin; Kang, Changjin; Cho, Hanku; Moon, Jootae

    2007-01-01

    Plasma process-induced 'white pixel defect' (WPD) of CMOS active pixel sensor (APS) is studied for Si3N4 spacer etch back process by using a magnetically enhanced reactive ion etching (MERIE) system. WPD preferably takes place at the wafer edge region when the magnetized plasma is applied to Si3N4 etch. Plasma charging analysis reveals that the plasma charge-up characteristic is well matching the edge-intensive WPD generation, rather than the UV radiation. Plasma charging on APS transfer gate might lead to a gate leakage, which could play a role in generation of signal noise or WPD. In this article the WPD generation mechanism will be discussed from plasma charging point of view

  5. Permanent magnet electron cyclotron resonance plasma source with remote window

    International Nuclear Information System (INIS)

    Berry, L.A.; Gorbatkin, S.M.

    1995-01-01

    An electron cyclotron resonance (ECR) plasma has been used in conjunction with a solid metal sputter target for Cu deposition over 200 mm diameters. The goal is to develop a deposition system and process suitable for filling submicron, high-aspect ratio ULSI features. The system uses a permanent magnet for creation of the magnetic field necessary for ECR, and is significantly more compact than systems equipped with electromagnets. A custom launcher design allows remote microwave injection with the microwave entrance window shielded from the copper flux. When microwaves are introduced at an angle with respect to the plasma, high electron densities can be produced with a plasma frequency significantly greater than the electron cyclotron frequency. Copper deposition rates of 1000 A/min have been achieved

  6. Fiber-Optic Refractometer Based on an Etched High-Q ?-Phase-Shifted Fiber-Bragg-Grating

    OpenAIRE

    Zhang, Qi; Ianno, Natale J.; Han, Ming

    2013-01-01

    We present a compact and highly-sensitive fiber-optic refractometer based on a high-Q p-phase-shifted fiber-Bragg-grating (pFBG) that is chemically etched to the core of the fiber. Due to the p phase-shift, a strong pFBG forms a high-Q optical resonator and the reflection spectrum features an extremely narrow notch that can be used for highly sensitivity refractive index measurement. The etched pFBG demonstrated here has a diameter of ~9.3 μm and a length of only 7 mm, leading to a refractive...

  7. Prediction of etching-shape anomaly due to distortion of ion sheath around a large-scale three-dimensional structure by means of on-wafer monitoring technique and computer simulation

    International Nuclear Information System (INIS)

    Kubota, Tomohiro; Ohtake, Hiroto; Araki, Ryosuke; Yanagisawa, Yuuki; Samukawa, Seiji; Iwasaki, Takuya; Ono, Kohei; Miwa, Kazuhiro

    2013-01-01

    A system for predicting distortion of a profile during plasma etching was developed. The system consists of a combination of measurement and simulation. An ‘on-wafer sheath-shape sensor’ for measuring the plasma-sheath parameters (sheath potential and thickness) on the stage of the plasma etcher was developed. The sensor has numerous small electrodes for measuring sheath potential and saturation ion-current density, from which sheath thickness can be calculated. The results of the measurement show reasonable dependence on source power, bias power and pressure. Based on self-consistent calculation of potential distribution and ion- and electron-density distributions, simulation of the sheath potential distribution around an arbitrary 3D structure and the trajectory of incident ions from the plasma to the structure was developed. To confirm the validity of the distortion prediction by comparing it with experimentally measured distortion, silicon trench etching under chlorine inductively coupled plasma (ICP) was performed using a sample with a vertical step. It was found that the etched trench was distorted when the distance from the step was several millimetres or less. The distortion angle was about 20° at maximum. Measurement was performed using the on-wafer sheath-shape sensor in the same plasma condition as the etching. The ion incident angle, calculated as a function of distance from the step, successfully reproduced the experimentally measured angle, indicating that the combination of measurement by the on-wafer sheath-shape sensor and simulation can predict distortion of an etched structure. This prediction system will be useful for designing devices with large-scale 3D structures (such as those in MEMS) and determining the optimum etching conditions to obtain the desired profiles. (paper)

  8. Aerosol chemistry in Titan's ionosphere: simultaneous growth and etching processes

    Science.gov (United States)

    Carrasco, Nathalie; Cernogora, Guy; Jomard, François; Etcheberry, Arnaud; Vigneron, Jackie

    2016-10-01

    Since the Cassini-CAPS measurements, organic aerosols are known to be present and formed at high altitudes in the diluted and partially ionized medium that is Titan's ionosphere [1]. This unexpected chemistry can be further investigated in the laboratory with plasma experiments simulating the complex ion-neutral chemistry starting from N2-CH4 [2]. Two sorts of solid organic samples can be produced in laboratory experiments simulating Titan's atmospheric reactivity: grains in the volume and thin films on the reactor walls. We expect that grains are more representative of Titan's atmospheric aerosols, but films are used to provide optical indices for radiative models of Titan's atmosphere.The aim of the present study is to address if these two sorts of analogues are chemically equivalent or not, when produced in the same N2-CH4 plasma discharge. The chemical compositions of both these materials are measured by using elemental analysis, XPS analysis and Secondary Ion Mass Spectrometry. We find that films are homogeneous but significantly less rich in nitrogen and hydrogen than grains produced in the same experimental conditions. This surprising difference in their chemical compositions is explained by the efficient etching occurring on the films, which stay in the discharge during the whole plasma duration, whereas the grains are ejected after a few minutes [3]. The impact for our understanding of Titan's aerosols chemical composition is important. Our study shows that chemical growth and etching process are simultaneously at stake in Titan's ionosphere. The more the aerosols stay in the ionosphere, the more graphitized they get through etching process. In order to infer Titan's aerosols composition, our work highlights a need for constraints on the residence time of aerosols in Titan's ionosphere. [1] Waite et al. (2009) Science , 316, p. 870[2] Szopa et al. (2006) PSS, 54, p. 394[3] Carrasco et al. (2016) PSS, 128, p. 52

  9. Theoretical investigation of resonance frequencies in long wavelength electromagnetic wave scattering process from plasma prolate and oblate spheroids placed in a dielectric layer

    Science.gov (United States)

    Ahmadizadeh, Y.; Jazi, B.; Abdoli-Arani, A.

    2014-01-01

    Response of a prolate spheroid plasma and/or an oblate spheroid plasma in presence of long wavelength electromagnetic wave has been studied. The resonance frequencies of these objects are obtained and it is found that they reduce to the resonance frequency of spherical cold plasma. Moreover, the resonant frequencies of prolate spheroid plasma and oblate spheroid plasma covered by a dielectric are investigated as well. Furthermore, their dependency on dielectric permittivity and geometry dimensions is simulated.

  10. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    Energy Technology Data Exchange (ETDEWEB)

    Vass, Cs., E-mail: vasscsaba@physx.u-szeged.hu [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary); Kiss, B.; Kopniczky, J.; Hopp, B. [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary)

    2013-08-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ{sub FWHM} = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm{sup 2}, while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm{sup 2}. The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  11. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    International Nuclear Information System (INIS)

    Vass, Cs.; Kiss, B.; Kopniczky, J.; Hopp, B.

    2013-01-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ FWHM = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm 2 , while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm 2 . The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  12. Study of selective heating at ion cyclotron resonance for the plasma separation process

    Science.gov (United States)

    Compant La Fontaine, A.; Pashkovsky, V. G.

    1995-12-01

    The plasma separation process by ion cyclotron resonance heating (ICRH) is studied both theoretically and experimentally on two devices: the first one called ERIC (Ion Cyclotron Resonance Experiment) at Saclay (France) [P. Louvet, Proceedings of the 2nd Workshop on Separation Phenomena in Liquids and Gases, Versailles, France, 1989, edited by P. Louvet, P. Noe, and Soubbaramayer (Centre d'Etudes Nucléaires de Saclay and Cité Scientifique Parcs et Technopoles, Ile de France Sud, France, 1989), Vol. 1, p. 5] and the other one named SIRENA at the Kurchatov Institute, Moscow, Russia [A. I. Karchevskii et al., Plasma Phys. Rep. 19, 214 (1993)]. The radio frequency (RF) transversal magnetic field is measured by a magnetic probe both in plasma and vacuum and its Fourier spectrum versus the axial wave number kz is obtained. These results are in agreement with the electromagnetic (EM) field calculation model based on resolution of Maxwell equations by a time-harmonic scheme studied here. Various axial boundary conditions models used to compute the EM field are considered. The RF magnetic field is weakly influenced by the plasma while the electric field components are strongly disturbed due to space-charge effects. In the plasma the transversal electric field is enhanced and the kz spectrum is narrower than in vacuum. The calculation of the resonant isotope heating is made by the Runge-Kutta method. The influence of ion-ion collisions, inhomogeneity of the static magnetic field B0, and the RF transversal magnetic field component on the ion acceleration is examined. These results are successfully compared with experiments of a minor isotope 44Ca heating measurements, made with an energy analyzer.

  13. Plasma cleaning and the removal of carbon from metal surfaces

    International Nuclear Information System (INIS)

    Baker, M.A.

    1980-01-01

    In an investigation of the plasma cleaning of metals and the plasma etching of carbon, a mass spectrometer was used as a sensitive process monitor. CO 2 produced by the plasma oxidation of carbon films or of organic contamination and occluded carbon at the surfaces of metals proved to be the most suitable gas to monitor. A good correlation was obtained between the measured etch rate of carbon and the resulting CO 2 partial pressure monitored continuously with the mass spectrometer. The rate of etching of carbon in an oxygen-argon plasma at 0.1 Torr was high when the carbon was at cathode potential and low when it was electrically isolated in the plasma, thus confirming the findings of previous workers and indicating the importance of ion bombardment in the etching process. Superficial organic contamination on the surfaces of the metals aluminium and copper and of the alloy Inconel 625 was quickly removed by the oxygen-argon plasma when the metal was electrically isolated and also when it was at cathode potential. Occluded carbon (or carbides) at or near the surfaces of the metals was removed slowly and only when the metal was at cathode potential, thus illustrating again the importance of ion bombardment. (Auth.)

  14. Influence of external resonant magnetic perturbation field on edge plasma of small tokamak HYBTOK-II

    Energy Technology Data Exchange (ETDEWEB)

    Hayashi, Y., E-mail: hayashi-yuki13@ees.nagoya-u.ac.jp [Nagoya University, Furo-cho, Chikusa-ku, Nagoya, Aichi 464-8603 (Japan); Suzuki, Y.; Ohno, N. [Nagoya University, Furo-cho, Chikusa-ku, Nagoya, Aichi 464-8603 (Japan); Okamoto, M. [Ishikawa National College of Technology, Kitachujo, Tsubata-cho, Kahoku-gun, Ishikawa 929-0392 (Japan); Kikuchi, Y. [University of Hyogo, 2167 Shosha, Himeji, Hyogo 671-2280 (Japan); Sakakibara, S.; Watanabe, K.; Takemura, Y. [National Institute for Fusion Science, 322-6 Oroshi-cho, Toki, Gifu 509-5292 (Japan)

    2015-08-15

    Radial profile of externally applied resonant magnetic perturbation (RMP) field with mode numbers of m = 6 and n = 2 in a small tokamak device HYBTOK-II have been investigated using a magnetic probe array, which is able to measure the radial profile of magnetic field perturbation induced by applying RMP. Results of RMP penetration into the plasma show that the RMP decreased toward the plasma center, while they were amplified around the resonant surface with a safety factor q = 3 due to the formation of magnetic islands. This suggests that RMP fields for controlling edge plasmas may trigger some kind of MHD instabilities. In addition, simulation results, based on a linearized four-field model, which agrees with the experimental ones, indicates that the penetration and amplification process of RMP strongly depend on a Doppler-shifted frequency between the RMP and plasma rotation.

  15. Self-etching ceramic primer versus hydrofluoric acid etching: Etching efficacy and bonding performance.

    Science.gov (United States)

    El-Damanhoury, Hatem M; Gaintantzopoulou, Maria D

    2018-01-01

    This study assessed the effect of pretreatment of hybrid and glass ceramics using a self-etching primer on the shear bond strength (SBS) and surface topography, in comparison to pretreatment with hydrofluoric acid and silane. 40 rectangular discs from each ceramic material (IPS e.max CAD;EM, Vita Mark II;VM, Vita Enamic;VE), were equally divided (n=10) and assigned to one of four surface pretreatment methods; etching with 4.8% hydrofluoric acid followed by Monobond plus (HFMP), Monobond etch & prime (Ivoclar Vivadent) (MEP), No treatment (NT) as negative control and Monobond plus (Ivoclar Vivadent) with no etching (MP) as positive control. SBS of resin cement (Multilink-N, Ivoclar Vivadent) to ceramic surfaces was tested following a standard protocol. Surface roughness was evaluated using an Atomic force microscope (AFM). Surface topography and elemental analysis were analyzed using SEM/EDX. Data were analyzed with two-way analysis of variance (ANOVA) and post-hoc Bonferroni test at a significance level of α=0.05. Pretreatment with HFMP resulted in higher SBS and increased surface roughness in comparison to MEP and MP. Regardless the method of surface pretreatment, the mean SBS values of EM ceramic was significantly higher (pceramics for resin-luting cementation. Copyright © 2017 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  16. Deep reactive ion etching of 4H-SiC via cyclic SF6/O2 segments

    International Nuclear Information System (INIS)

    Luna, Lunet E; Tadjer, Marko J; Anderson, Travis J; Imhoff, Eugene A; Hobart, Karl D; Kub, Fritz J

    2017-01-01

    Cycles of inductively coupled SF 6 /O 2 plasma with low (9%) and high (90%) oxygen content etch segments are used to produce up to 46.6 µ m-deep trenches with 5.5 µ m-wide openings in single-crystalline 4H-SiC substrates. The low oxygen content segment serves to etch deep in SiC whereas the high oxygen content segment serves to etch SiC at a slower rate, targeting carbon-rich residues on the surface as the combination of carbon-rich and fluorinated residues impact sidewall profile. The cycles work in concert to etch past 30 µ m at an etch rate of ∼0.26 µ m min −1 near room temperature, while maintaining close to vertical sidewalls, high aspect ratio, and high mask selectivity. In addition, power ramps during the low oxygen content segment is used to produce a 1:1 ratio of mask opening to trench bottom width. The effect of process parameters such as cycle time and backside substrate cooling on etch depth and micromasking of the electroplated nickel etch mask are investigated. (paper)

  17. Chemically Tuning the Localized Surface Plasmon Resonances of Gold Nanostructure Arrays

    KAUST Repository

    Zheng, Yue Bing

    2009-04-30

    We report on chemical etching of ordered Au nanostructure arrays to continuously tune their localized surface plasmon resonances (LSPR). Real-time extinction spectra were recorded from both Au nanodisks and nanospheres immobilized on glass substrates when immersed in Au etchant. The time-dependent LSPR frequencies, intensities, and bandwidths were studied theoretically with discrete dipole approximations and the Mie solution, and they were correlated with the evolution of the etched Au nanostructures\\' morphology (as examined by atomic force microscopy). Since this chemical etching method can conveniently and accurately tune LSPR, it offers precise control of plasmonic properties and can be useful in applications such as surfaceenhanced Raman spectroscopy and molecular resonance spectroscopy. © 2009 American Chemical Society.

  18. Fabrication of Capacitive Micromachined Ultrasonic Transducers Using a Boron Etch-Stop Method

    DEFF Research Database (Denmark)

    Diederichsen, Søren Elmin; Sandborg-Olsen, Filip; Engholm, Mathias

    2016-01-01

    wt% potassium hydroxide solution with isopropyl alcohol added to increase the etch selectivity to the highly doped boron layer. The resulting plate thickness uniformity is estimated from scanning electron micrographs to a mean value of 2.00μm±2.5%. The resonant frequency in air for a 1-D linear CMUT...

  19. Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions

    International Nuclear Information System (INIS)

    Li Yongliang; Xu Qiuxia

    2010-01-01

    The wet etching properties of a HfSiON high-k dielectric in HF-based solutions are investigated. HF-based solutions are the most promising wet chemistries for the removal of HfSiON, and etch selectivity of HF-based solutions can be improved by the addition of an acid and/or an alcohol to the HF solution. Due to densification during annealing, the etch rate of HfSiON annealed at 900 0 C for 30 s is significantly reduced compared with as-deposited HfSiON in HF-based solutions. After the HfSiON film has been completely removed by HF-based solutions, it is not possible to etch the interfacial layer and the etched surface does not have a hydrophobic nature, since N diffuses to the interface layer or Si substrate formation of Si-N bonds that dissolves very slowly in HF-based solutions. Existing Si-N bonds at the interface between the new high-k dielectric deposit and the Si substrate may degrade the carrier mobility due to Coulomb scattering. In addition, we show that N 2 plasma treatment before wet etching is not very effective in increasing the wet etch rate for a thin HfSiON film in our case. (semiconductor technology)

  20. Effect of pre-etching on sealing ability of two current self-etching adhesives

    Directory of Open Access Journals (Sweden)

    K Khosravi

    2005-05-01

    Full Text Available Background: We evaluated the effect of phosphoric acid etching on microleakage of two current self-etching adhesives on enamel margins in comparison to a conventional total- etch system. Methods: Sixty buccal class V cavities were made at the cemento-enamel junction with beveled enamel margins of extracted human premolar teeth and randomly divided into five groups (12 specimens in each group. Group 1 was applying with Clearfil SE bond, Group 2 with 35% phosphoric acid etching of enamel margins plus Clearfil SE bond, Group3 with I bond, Group 4 with 35% phosphoric acid etching of enamel margins plus I bond and Group5 with Scotchbond multi-purpose. All groups restored with a composite resins. After 24 hours storage with 100% humidity, the samples were thermocycled, immersed in a dye solution and sectioned buccoligually and enamel margins microleakage were evaluated on a scale of 0 to 2. Results: The differences between Groups 1 & 3 and Groups 3 & 4 were significant (P<0.05 but no significant differences between Groups1 & 2 or 1 & 5 were observed. Conclusion: The findings suggest that all-in-one adhesive systems need pre-etching enamel margins with phosphoric acid for effectively seal. Key words: Self-Etching Adhesives, Microleakage, Enamel, Total-Etch system

  1. Controlled ion track etching

    Science.gov (United States)

    George, J.; Irkens, M.; Neumann, S.; Scherer, U. W.; Srivastava, A.; Sinha, D.; Fink, D.

    2006-03-01

    It is a common practice since long to follow the ion track-etching process in thin foils via conductometry, i.e . by measurement of the electrical current which passes through the etched track, once the track breakthrough condition has been achieved. The major disadvantage of this approach, namely the absence of any major detectable signal before breakthrough, can be avoided by examining the track-etching process capacitively. This method allows one to define precisely not only the breakthrough point before it is reached, but also the length of any non-transient track. Combining both capacitive and conductive etching allows one to control the etching process perfectly. Examples and possible applications are given.

  2. Fullerene-rare gas mixed plasmas in an electron cyclotron resonance ion source

    Energy Technology Data Exchange (ETDEWEB)

    Asaji, T., E-mail: asaji@oshima-k.ac.jp; Ohba, T. [Oshima National College of Maritime Technology, 1091-1 Komatsu, Suo-oshima, Oshima, Yamaguchi 742-2193 (Japan); Uchida, T.; Yoshida, Y. [Bio-Nano Electronics Research Centre, Toyo University, 2100 Kujirai, Kawagoe, Saitama 350-8585 (Japan); Minezaki, H.; Ishihara, S. [Graduate School of Engineering, Toyo University, 2100 Kujirai, Kawagoe, Saitama 350-8585 (Japan); Racz, R.; Biri, S. [Institute of Nuclear Research (ATOMKI), H-4026 Debrecen, Bem Tér 18/c (Hungary); Muramatsu, M.; Kitagawa, A. [National Institute of Radiological Sciences (NIRS), 4-9-1 Anagawa, Inage-ku, Chiba 263-8555 (Japan); Kato, Y. [Graduate School of Engineering, Osaka University, 2-1 Yamada-oka, Suita, Osaka 565-0871 (Japan)

    2014-02-15

    A synthesis technology of endohedral fullerenes such as Fe@C{sub 60} has developed with an electron cyclotron resonance (ECR) ion source. The production of N@C{sub 60} was reported. However, the yield was quite low, since most fullerene molecules were broken in the ECR plasma. We have adopted gas-mixing techniques in order to cool the plasma and then reduce fullerene dissociation. Mass spectra of ion beams extracted from fullerene-He, Ar or Xe mixed plasmas were observed with a Faraday cup. From the results, the He gas mixing technique is effective against fullerene destruction.

  3. Field-aligned plasma-potential structure formed by local electron cyclotron resonance

    International Nuclear Information System (INIS)

    Hatakeyama, Rikizo; Kaneko, Toshiro; Sato, Noriyoshi

    2001-01-01

    The significance of basic experiments on field-aligned plasma-potential structure formed by local electron cyclotron resonance (ECR) is claimed based on the historical development of the investigation on electric double layer and electrostatic potential confinement of open-ended fusion-oriented plasmas. In the presence of a single ECR point in simple mirror-type configurations of magnetic field, a potential dip (thermal barrier) appears around this point, being followed by a subsequent potential hump (plug potential) along a collisionless plasma flow. The observed phenomenon gives a clear-cut physics to the formation of field-aligned plug potential with thermal barrier, which is closely related to the double layer formation triggered by a negative dip. (author)

  4. Design study of electron cyclotron resonance-ion plasma accelerator for heavy ion cancer therapy

    International Nuclear Information System (INIS)

    Inoue, T.; Sugimoto, S.; Sasai, K.; Hattori, T.

    2014-01-01

    Electron Cyclotron Resonance-Ion Plasma Accelerator (ECR-IPAC) device, which theoretically can accelerate multiple charged ions to several hundred MeV with short acceleration length, has been proposed. The acceleration mechanism is based on the combination of two physical principles, plasma electron ion adiabatic ejection (PLEIADE) and Gyromagnetic Autoresonance (GYRAC). In this study, we have designed the proof of principle machine ECR-IPAC device and simulated the electromagnetic field distribution generating in the resonance cavity. ECR-IPAC device consisted of three parts, ECR ion source section, GYRAC section, and PLEIADE section. ECR ion source section and PLEIADE section were designed using several multi-turn solenoid coils and sextupole magnets, and GYRAC section was designed using 10 turns coil. The structure of ECR-IPAC device was the cylindrical shape, and the total length was 1024 mm and the maximum diameter was 580 mm. The magnetic field distribution, which maintains the stable acceleration of plasma, was generated on the acceleration center axis throughout three sections. In addition, the electric field for efficient acceleration of electrons was generated in the resonance cavity by supplying microwave of 2.45 GHz

  5. Edge localized mode control by resonant magnetic perturbations in tokamak plasmas

    International Nuclear Information System (INIS)

    Orain, Francois

    2014-01-01

    The growth of plasma instabilities called Edge Localized Modes (ELMs) in tokamaks results in the quasi-periodic relaxation of the edge pressure profile. These relaxations induce large heat fluxes which might be harmful for the divertor in ITER, thus ELM control is mandatory in ITER. One of the promising control methods planned in ITER is the application of external resonant magnetic perturbations (RMPs), already efficient for ELM mitigation/suppression in current tokamak experiments. However a better understanding of the interaction between ELMs, RMPs and plasma flows is needed to explain the experimental results and make reliable predictions for ITER. In this perspective, non-linear modeling of ELMs and RMPs is done with the reduced MHD code JOREK, in toroidal geometry including the X-point and the Scrape-Off Layer. The initial model has been further developed to describe self-consistent plasma flows - with the addition of the bi-fluid diamagnetic drifts, the neoclassical friction and a source of parallel rotation - and to simulate the RMP penetration consistently with the plasma response. As a first step, the plasma response to RMPs (without ELMs) is studied for JET, MAST and ITER realistic plasma parameters and geometry. The general behaviour of the plasma/RMP interaction is similar for the three studied cases: RMPs are generally screened by the formation of response currents, induced by the plasma rotation on the resonant surfaces. RMPs however penetrate at the very edge where an ergodic zone is formed. The amplification of the non-resonant spectrum of the magnetic perturbations is also observed in the core. The edge ergodization induces an enhanced transport at the edge, which slightly degrades the pedestal profiles. RMPs also generate the 3D-deformation of the plasma boundary with a maximum deformation near the X-point where lobe structures are formed. Then the full dynamics of a multi-ELM cycle (without RMPs) is modeled for the first time in realistic

  6. A solid-state nuclear magnetic resonance study of post-plasma reactions in organosilicone microwave plasma-enhanced chemical vapor deposition (PECVD) coatings.

    Science.gov (United States)

    Hall, Colin J; Ponnusamy, Thirunavukkarasu; Murphy, Peter J; Lindberg, Mats; Antzutkin, Oleg N; Griesser, Hans J

    2014-06-11

    Plasma-polymerized organosilicone coatings can be used to impart abrasion resistance and barrier properties to plastic substrates such as polycarbonate. Coating rates suitable for industrial-scale deposition, up to 100 nm/s, can be achieved through the use of microwave plasma-enhanced chemical vapor deposition (PECVD), with optimal process vapors such as tetramethyldisiloxane (TMDSO) and oxygen. However, it has been found that under certain deposition conditions, such coatings are subject to post-plasma changes; crazing or cracking can occur anytime from days to months after deposition. To understand the cause of the crazing and its dependence on processing plasma parameters, the effects of post-plasma reactions on the chemical bonding structure of coatings deposited with varying TMDSO-to-O2 ratios was studied with (29)Si and (13)C solid-state magic angle spinning nuclear magnetic resonance (MAS NMR) using both single-pulse and cross-polarization techniques. The coatings showed complex chemical compositions significantly altered from the parent monomer. (29)Si MAS NMR spectra revealed four main groups of resonance lines, which correspond to four siloxane moieties (i.e., mono (M), di (D), tri (T), and quaternary (Q)) and how they are bound to oxygen. Quantitative measurements showed that the ratio of TMDSO to oxygen could shift the chemical structure of the coating from 39% to 55% in Q-type bonds and from 28% to 16% for D-type bonds. Post-plasma reactions were found to produce changes in relative intensities of (29)Si resonance lines. The NMR data were complemented by Fourier transform infrared (FTIR) spectroscopy. Together, these techniques have shown that the bonding environment of Si is drastically altered by varying the TMDSO-to-O2 ratio during PECVD, and that post-plasma reactions increase the cross-link density of the silicon-oxygen network. It appears that Si-H and Si-OH chemical groups are the most susceptible to post-plasma reactions. Coatings produced at a

  7. Study of selective heating at ion cyclotron resonance for the plasma separation process

    International Nuclear Information System (INIS)

    Compant La Fontaine, A.; Pashkovsky, V.G.

    1995-01-01

    The plasma separation process by ion cyclotron resonance heating (ICRH) is studied both theoretically and experimentally on two devices: the first one called ERIC (Ion Cyclotron Resonance Experiment) at Saclay (France) [P. Louvet, Proceedings of the 2nd Workshop on Separation Phenomena in Liquids and Gases, Versailles, France, 1989, edited by P. Louvet, P. Noe, and Soubbaramayer (Centre d'Etudes Nucleaires de Saclay and Cite Scientifique Parcs et Technopoles, Ile de France Sud, France, 1989), Vol. 1, p. 5] and the other one named SIRENA at the Kurchatov Institute, Moscow, Russia [A. I. Karchevskii et al., Plasma Phys. Rep. 19, 214 (1993)]. The radio frequency (RF) transversal magnetic field is measured by a magnetic probe both in plasma and vacuum and its Fourier spectrum versus the axial wave number k z is obtained. These results are in agreement with the electromagnetic (EM) field calculation model based on resolution of Maxwell equations by a time-harmonic scheme studied here. Various axial boundary conditions models used to compute the EM field are considered. The RF magnetic field is weakly influenced by the plasma while the electric field components are strongly disturbed due to space-charge effects. In the plasma the transversal electric field is enhanced and the k z spectrum is narrower than in vacuum. The calculation of the resonant isotope heating is made by the Runge--Kutta method. The influence of ion--ion collisions, inhomogeneity of the static magnetic field B 0 , and the RF transversal magnetic field component on the ion acceleration is examined. These results are successfully compared with experiments of a minor isotope 44 Ca heating measurements, made with an energy analyzer. copyright 1995 American Institute of Physics

  8. Plasma potential in a magnetic mirror with electron-cyclotron-resonance heating

    International Nuclear Information System (INIS)

    Smith, P.K.

    1983-01-01

    Experimental and theoretical studies of the ECRH plasma in the University of Wisconsin DE Machine magnetic mirror have been undertaken. Typical plasma parameters in these experiments were T/sub e/ - 10 to 30 eV, T/sub i/ - 4 eV, V/sub po/ (plasma potential at midplane) - 20 to 50 V, midplane plasma density n 0 - 10 16 m - 3 , B 0 (magnetic field strength on axis at midplane) - .005 to .01 T, mirror ratio R - 5 to 20. The principal experimental findings were the appearance of strong density peaks (approx. 2 x background) and notable V/sub f/ dips (approx. kT/sub e//e) in a narrow (approx. 1 cm) region near the axial positions of cyclotron resonance. The properties of these dips do not change greatly over the range of operating parameters, but are narrower at higher pressures

  9. 4d--4f emission resonances in laser-produced plasmas

    International Nuclear Information System (INIS)

    O'Sullivan, G.; Carroll, P.K.

    1981-01-01

    Using targets containing compounds of the elements cesium through lutetium, we studied the spectra of laser-produced plasmas in the grazing-incidence region from 40 to 200 A. The spectra are characterized by strong regions of resonancelike emission extending typically over 9--18 eV. With increasing Z, the spectra show certain systematic variations in character and move monotonically toward shorter wavelengths. From a collisional-radiative plasma model, the ion stages responsible for the emision are identified as VIII through XVI. The resonances are attributed to 4-4f transitions that, because Dn = 0, tend to overlap for different ion stages of the same element

  10. Nanomechanical resonant structures in single-crystal diamond

    OpenAIRE

    Burek, Michael J.; Ramos, Daniel; Patel, Parth; Frank, Ian W.; Lončar, Marko

    2013-01-01

    With its host of outstanding material properties, single-crystal diamond is an attractive material for nanomechanical systems. Here, the mechanical resonance characteristics of freestanding, single-crystal diamond nanobeams fabricated by an angled-etching methodology are reported. Resonance frequencies displayed evidence of significant compressive stress in doubly clamped diamond nanobeams, while cantilever resonance modes followed the expected inverse-length-squared trend. Q-factors on the o...

  11. Dry Etch Black Silicon with Low Surface Damage: Effect of Low Capacitively Coupled Plasma Power

    DEFF Research Database (Denmark)

    Iandolo, Beniamino; Plakhotnyuk, Maksym; Gaudig, Maria

    2017-01-01

    Black silicon fabricated by reactive ion etch (RIE) is promising for integration into silicon solar cells thanks to its excellent light trapping ability. However, intensive ion bombardment during the RIE induces surface damage, which results in enhanced surface recombination velocity. Here, we pr...... carrier lifetime thanks to reduced ion energy. Surface passivation using atomic layer deposition of Al2O3 improves the effective lifetime to 7.5 ms and 0.8 ms for black silicon n- and p-type wafers, respectively.......Black silicon fabricated by reactive ion etch (RIE) is promising for integration into silicon solar cells thanks to its excellent light trapping ability. However, intensive ion bombardment during the RIE induces surface damage, which results in enhanced surface recombination velocity. Here, we...... present a RIE optimization leading to reduced surface damage while retaining excellent light trapping and low reflectivity. In particular, we demonstrate that the reduction of the capacitively coupled power during reactive ion etching preserves a reflectance below 1% and improves the effective minority...

  12. Electron cyclotron resonance ion source plasma characterization by X-ray spectroscopy and X-ray imaging

    Energy Technology Data Exchange (ETDEWEB)

    Mascali, David, E-mail: davidmascali@lns.infn.it; Castro, Giuseppe; Celona, Luigi; Neri, Lorenzo; Gammino, Santo [INFN–Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); Biri, Sándor; Rácz, Richárd; Pálinkás, József [Institute for Nuclear Research (Atomki), Hungarian Academy of Sciences, Bem tér 18/c, H-4026 Debrecen (Hungary); Caliri, Claudia [INFN–Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); Università degli Studi di Catania, Dip.to di Fisica e Astronomia, via Santa Sofia 64, 95123 Catania (Italy); Romano, Francesco Paolo [INFN–Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); CNR, Istituto per i Beni Archeologici e Monumentali, Via Biblioteca 4, 95124 Catania (Italy); Torrisi, Giuseppe [INFN–Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); Università Mediterranea di Reggio Calabria, DIIES, Via Graziella, I-89100 Reggio Calabria (Italy)

    2016-02-15

    An experimental campaign aiming to investigate electron cyclotron resonance (ECR) plasma X-ray emission has been recently carried out at the ECRISs—Electron Cyclotron Resonance Ion Sources laboratory of Atomki based on a collaboration between the Debrecen and Catania ECR teams. In a first series, the X-ray spectroscopy was performed through silicon drift detectors and high purity germanium detectors, characterizing the volumetric plasma emission. The on-purpose developed collimation system was suitable for direct plasma density evaluation, performed “on-line” during beam extraction and charge state distribution characterization. A campaign for correlating the plasma density and temperature with the output charge states and the beam intensity for different pumping wave frequencies, different magnetic field profiles, and single-gas/gas-mixing configurations was carried out. The results reveal a surprisingly very good agreement between warm-electron density fluctuations, output beam currents, and the calculated electromagnetic modal density of the plasma chamber. A charge-coupled device camera coupled to a small pin-hole allowing X-ray imaging was installed and numerous X-ray photos were taken in order to study the peculiarities of the ECRIS plasma structure.

  13. Overcoming etch challenges related to EUV based patterning (Conference Presentation)

    Science.gov (United States)

    Metz, Andrew W.; Cottle, Hongyun; Honda, Masanobu; Morikita, Shinya; Kumar, Kaushik A.; Biolsi, Peter

    2017-04-01

    Research and development activities related to Extreme Ultra Violet [EUV] defined patterning continue to grow for cost and extreme process control challenges of Self-Aligned Quad Patterning [SAQP] with continued momentum for EUV ecosystem readiness could provide cost advantages in addition to improved intra-level overlay performance relative to multiple patterning approaches. However, Line Edge Roughness [LER] and Line Width Roughness [LWR] performance of EUV defined resist images are still far from meeting technology needs or ITRS spec performance. Furthermore, extreme resist height scaling to mitigate flop over exacerbates the plasma etch trade-offs related to traditional approaches of PR smoothing, descum implementation and maintaining 2D aspect ratios of short lines or elliptical contacts concurrent with ultra-high photo resist [PR] selectivity. In this paper we will discuss sources of LER/LWR, impact of material choice, integration, and innovative plasma process techniques and describe how TELTM VigusTM CCP Etchers can enhance PR selectivity, reduce LER/LWR, and maintain 2D aspect ratio of incoming patterns. Beyond traditional process approaches this paper will show the utility of: [1] DC Superposition in enhancing EUV resist hardening and selectivity, increasing resistance to stress induced PR line wiggle caused by CFx passivation, and mitigating organic planarizer wiggle; [2] Quasi Atomic Layer Etch [Q-ALE] for ARC open eliminating the tradeoffs between selectivity, CD, and shrink ratio control; and [3] ALD+Etch FUSION technology for feature independent CD shrink and LER reduction. Applicability of these concepts back transferred to 193i based lithography is also confirmed.

  14. Improved thrombogenicity on oxygen etched Ti6Al4V surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Riedel, Nicholas A. [Department of Mechanical Engineering, Colorado State University, Fort Collins, CO 80523 (United States); Smith, Barbara S. [School of Biomedical Engineering, Colorado State University, Fort Collins, CO 80523 (United States); Williams, John D. [Department of Mechanical Engineering, Colorado State University, Fort Collins, CO 80523 (United States); Popat, Ketul C., E-mail: ketul.popat@colostate.edu [Department of Mechanical Engineering, Colorado State University, Fort Collins, CO 80523 (United States); School of Biomedical Engineering, Colorado State University, Fort Collins, CO 80523 (United States)

    2012-07-01

    Thrombus formation on blood contacting biomaterials continues to be a key factor in initiating a critical mode of failure in implantable devices, requiring immediate attention. In the interest of evaluating a solution for one of the most widely used biomaterials, titanium and its alloys, this study focuses on the use of a novel surface oxidation treatment to improve the blood compatibility. This study examines the possibility of using oblique angle ion etching to produce a high quality oxide layer that enhances blood compatibility on medical grade titanium alloy Ti6Al4V. An X-ray photoelectron spectroscopy (XPS) analysis of these oxygen-rich surfaces confirmed the presence of TiO{sub 2} peaks and also indicated increased surface oxidation as well as a reduction in surface defects. After 2 h of contact with whole human plasma, the oxygen etched substrates demonstrated a reduction in both platelet adhesion and activation as compared to bare titanium substrates. The whole blood clotting behavior was evaluated for up to 45 min, showing a significant decrease in clot formation on oxygen etched substrates. Finally, a bicinchoninic acid (BCA) total protein assay and XPS were used to evaluate the degree of key blood serum protein (fibrinogen, albumin, immunoglobulin G) adsorption on the substrates. The results showed similar protein levels for both the oxygen etched and control substrates. These results indicate that oblique angle oxygen etching may be a promising method to increase the thrombogenicity of Ti6Al4V. - Highlights: Black-Right-Pointing-Pointer Oblique angle oxygen ion etching creates a high quality, uniform oxide surface. Black-Right-Pointing-Pointer Oxygen etched substrates showed fewer adhered platelets. Black-Right-Pointing-Pointer Platelet activation was reduced by the improved oxide surface. Black-Right-Pointing-Pointer Oxygen etched substrates exhibited increased whole blood clotting times. Black-Right-Pointing-Pointer Although clotting reductions were

  15. Investigation and application of microwave electron cyclotron resonance plasma physical vapour deposition

    International Nuclear Information System (INIS)

    Ren Zhaoxing; Sheng Yanya; Shi Yicai; Wen Haihu; Cao Xiaowen

    1991-06-01

    The evaporating deposition of Ti film and Cu film by using microwave electron cyclotron resonance (ECR) technique was investigated. It deposition rate was about 50 nm/min and the temperature of the substrate was 50∼150 deg C. The thin amorphous films with strong adherent force were obtained. The sputtering deposition with ECR plasma was studied by employing higher plasma density and ionicity and negative substrate potential to make YBaCuO superconducting film. Its film was compact and amorphous with a thickness of 1.0 μm and the deposition rate was about 10 nm/min. The results show that this technique can initiate a high density and high ionicity plasma at lower gas pressure (10 -2 ∼10 -3 Pa). This plasma is the most suitable plasma source in thin film deposition process and surface treatment technique

  16. Application of laser resonance scattering to the study of high-temperature plasma-wall interaction

    International Nuclear Information System (INIS)

    Maeda, Mitsuo; Muraoka, Katsunori; Hamamoto, Makoto; Akazaki, Masanori; Miyazoe, Yasushi

    1981-01-01

    Studies on laser resonance scattering and its application to the study of high-temperature plasma-wall interaction are reviewed. The application of dye laser beam to resonant scattering method has been developed. This method is able to detect low density atoms. The fluorescent photon counts can be estimated for a two-level system and a three-level system. The S/N ratio, Which is in close connection with the detection limit, has been estimated. The doppler effect due to the thermal motion of atoms is taken into consideration. The calibration of the absolute number of atoms is necessary. Tunable coherent light is used as the light source for resonance scattering method. This is able to excite atoms strongly and to increase the detection efficiency. As dye lasers, a N 2 laser, a YAG laser, and a KrF excimer laser have been studied. In VUV region, rare gas or rare gas halide lasers can be used. The strong output power can be expected when the resonance lines of atoms meet the synchronizing region of the excimer laser. The resonance scattering method is applied to the detection of impurity metal atoms in plasma. The studies of laser systems for the detection of hydrogen atoms are also in progress. (Kato, T.)

  17. Comparison of Self-Etch Primers with Conventional Acid Etching System on Orthodontic Brackets

    Science.gov (United States)

    Zope, Amit; Zope-Khalekar, Yogita; Chitko, Shrikant S.; Kerudi, Veerendra V.; Patil, Harshal Ashok; Jaltare, Pratik; Dolas, Siddhesh G

    2016-01-01

    Introduction The self-etching primer system consists of etchant and primer dispersed in a single unit. The etching and priming are merged as a single step leading to fewer stages in bonding procedure and reduction in the number of steps that also reduces the chance of introduction of error, resulting in saving time for the clinician. It also results in smaller extent of enamel decalcification. Aim To compare the Shear Bond Strength (SBS) of orthodontic bracket bonded with Self-Etch Primers (SEP) and conventional acid etching system and to study the surface appearance of teeth after debonding; etching with conventional acid etch and self-etch priming, using stereomicroscope. Materials and Methods Five Groups (n=20) were created randomly from a total of 100 extracted premolars. In a control Group A, etching of enamel was done with 37% phosphoric acid and bonding of stainless steel brackets with Transbond XT (3M Unitek, Monrovia, California). Enamel conditioning in left over four Groups was done with self-etching primers and adhesives as follows: Group B-Transbond Plus (3M Unitek), Group C Xeno V+ (Dentsply), Group D-G-Bond (GC), Group E-One-Coat (Coltene). The Adhesive Remnant Index (ARI) score was also evaluated. Additionally, the surface roughness using profilometer were observed. Results Mean SBS of Group A was 18.26±7.5MPa, Group B was 10.93±4.02MPa, Group C was 6.88±2.91MPa while of Group D was 7.78±4.13MPa and Group E was 10.39±5.22MPa respectively. In conventional group ARI scores shows that over half of the adhesive was remaining on the surface of tooth (score 1 to 3). In self-etching primer groups ARI scores show that there was no or minor amount of adhesive remaining on the surface of tooth (score 4 and 5). SEP produces a lesser surface roughness on the enamel than conventional etching. However, statistical analysis shows significant correlation (pbracket bonding after enamel conditioning with any of the SEPs tested. The SEPs used in Groups C (Xeno V

  18. Shear bond strength of self-etch adhesives to enamel with additional phosphoric acid etching.

    Science.gov (United States)

    Lührs, Anne-Katrin; Guhr, Silke; Schilke, Reinhard; Borchers, Lothar; Geurtsen, Werner; Günay, Hüsamettin

    2008-01-01

    This study evaluated the shear bond strength of self-etch adhesives to enamel and the effect of additional phosphoric acid etching. Seventy sound human molars were randomly divided into three test groups and one control group. The enamel surfaces of the control group (n=10) were treated with Syntac Classic (SC). Each test group was subdivided into two groups (each n=10). In half of each test group, ground enamel surfaces were coated with the self-etch adhesives AdheSe (ADH), Xeno III (XE) or Futurabond NR (FNR). In the remaining half of each test group, an additional phosphoric acid etching of the enamel surface was performed prior to applying the adhesives. The shear bond strength was measured with a universal testing machine at a crosshead speed of 1 mm/minute after storing the samples in distilled water at 37 degrees C for 24 hours. Fracture modes were determined by SEM examination. For statistical analysis, one-way ANOVA and the two-sided Dunnett Test were used (p>0.05). Additional phosphoric etching significantly increased the shear bond strength of all the examined self-etch adhesives (padhesive fractures. For all the self-etch adhesives, a slight increase in mixed fractures occurred after conditioning with phosphoric acid. An additional phosphoric acid etching of enamel should be considered when using self-etch adhesives. More clinical studies are needed to evaluate the long-term success of the examined adhesives.

  19. Plasma decontamination of uranium oxide from stainless steel surfaces

    International Nuclear Information System (INIS)

    Veilleux, J.M.; El-Genk, M.S.; Chamberlin, E.P.

    1997-01-01

    The U.S. Department of Energy (DOE) is expected to have 845000 m 3 of transuranic (TRU) waste by the year 2000 that has accumulated during the development and assembly of the nation's nuclear stockpile. The TRU disposal costs alone range up to $28000/m 3 , which could be reduced to $1800/m 3 or less by treating and converting the material to low-level waste. Plasma-based processes have been shown to remove plutonium and uranium surface contaminants from metallic components and could be used to treat TRU with significant cost avoidance, estimated at over $1.0 billion. Martz and Hess conducted the initial work of plutonium etching in low-power radio-frequency (rf) plasma with etch rates ranging from 0.007 to 0.025 kg/m 2 ·h. Veilleux et al. reported that plasma decontamination of uranium from the interior of aluminum objects results in etch rates an order of magnitude greater. The current work reports on removal rates of uranium from stainless steel surfaces and includes estimates of the etch rates and characteristic times for removal

  20. Dry etching of new phase-change material Al1.3Sb3Te in CF4/Ar plasma

    International Nuclear Information System (INIS)

    Zhang Xu; Rao Feng; Liu Bo; Peng Cheng; Zhou Xilin; Yao Dongning; Guo Xiaohui; Song Sannian; Wang Liangyong; Cheng Yan; Wu Liangcai; Song Zhitang; Feng Songlin

    2012-01-01

    The dry etching characteristic of Al 1.3 Sb 3 Te film was investigated by using a CF 4 /Ar gas mixture. The experimental control parameters were gas flow rate into the chamber, CF 4 /Ar ratio, the O 2 addition, the chamber background pressure, and the incident RF power applied to the lower electrode. The total flow rate was 50 sccm and the behavior of etch rate of Al 1.3 Sb 3 Te thin films was investigated as a function of the CF 4 /Ar ratio, the O 2 addition, the chamber background pressure, and the incident RF power. Then the parameters were optimized. The fast etch rate was up to 70.8 nm/min and a smooth surface was achieved using optimized etching parameters of CF 4 concentration of 4%, power of 300 W and pressure of 80 mTorr.

  1. Impact of rotating resonant magnetic perturbation fields on plasma edge electron density and temperature

    International Nuclear Information System (INIS)

    Stoschus, H.; Schmitz, O.; Frerichs, H.; Reiser, D.; Unterberg, B.; Lehnen, M.; Reiter, D.; Samm, U.; Jakubowski, M.W.

    2012-01-01

    Rotating resonant magnetic perturbation (RMP) fields impose a characteristic modulation to the edge electron density n e (r, t) and temperature T e (r, t) fields, which depends on the relative rotation f rel between external RMP field and plasma fluid. The n e (r, t) and T e (r, t) fields measured in the edge (r/a = 0.9–1.05) of TEXTOR L-mode plasmas are in close correlation with the local magnetic vacuum topology for low relative rotation f rel = −0.2 kHz. In comparison with the 3D neutral and plasma transport code EMC3-Eirene, this provides substantial experimental evidence that for low relative rotation level and high resonant field amplitudes (normalized radial field strength B r 4/1 /B t =2×10 -3 ), a stochastic edge with a remnant island chain dominated by diffusive transport exists. Radially outside a helical scrape-off layer, the so-called laminar zone embedded into a stochastic domain is found to exist. In contrast for high relative rotation of f rel = 1.8 kHz, the measured modulation of n e is shifted by π/2 toroidally with respect to the modelled vacuum topology. A pronounced flattening in T e (r) and a reduction in n e (r) is measured at the resonant flux surface and represents a clear signature for a magnetic island, which is phase shifted with respect to the vacuum island position. A correlated shift of the laminar zone radially outwards at the very plasma edge is observed suggesting that the actual near-field structure at the perturbation source is determined by the plasma response as well. (paper)

  2. Aluminum oxide mask fabrication by focused ion beam implantation combined with wet etching

    International Nuclear Information System (INIS)

    Liu Zhengjun; Iltanen, Kari; Chekurov, Nikolai; Tittonen, Ilkka; Grigoras, Kestutis

    2013-01-01

    A novel aluminum oxide (Al 2 O 3 ) hard mask fabrication process with nanoscale resolution is introduced. The Al 2 O 3 mask can be used for various purposes, but in this work it was utilized for silicon patterning using cryogenic deep reactive ion etching (DRIE). The patterning of Al 2 O 3 is a two-step process utilizing focused ion beam (FIB) irradiation combined with wet chemical etching. Gallium (Ga + ) FIB maskless patterning confers wet etch selectivity between the irradiated region and the non-irradiated one on the Al 2 O 3 layer, and mask patterns can easily be revealed by wet etching. This method is a modification of Ga + FIB mask patterning for the silicon etch stop, which eliminates the detrimental lattice damage and doping of the silicon substrate in critical devices. The shallow surface gallium FIB irradiated Al 2 O 3 mask protects the underlying silicon from Ga + ions. The performance of the masking capacity was tested by drawing pairs consisting of a line and an empty space with varying width. The best result was seven such pairs for 1 μm. The smallest half pitch was 59 nm. This method is capable of arbitrary pattern generation. The fabrication of a freestanding single-ended tuning fork resonator utilizing the introduced masking method is demonstrated. (paper)

  3. Model of charge-state distributions for electron cyclotron resonance ion source plasmas

    Directory of Open Access Journals (Sweden)

    D. H. Edgell

    1999-12-01

    Full Text Available A computer model for the ion charge-state distribution (CSD in an electron cyclotron resonance ion source (ECRIS plasma is presented that incorporates non-Maxwellian distribution functions, multiple atomic species, and ion confinement due to the ambipolar potential well that arises from confinement of the electron cyclotron resonance (ECR heated electrons. Atomic processes incorporated into the model include multiple ionization and multiple charge exchange with rate coefficients calculated for non-Maxwellian electron distributions. The electron distribution function is calculated using a Fokker-Planck code with an ECR heating term. This eliminates the electron temperature as an arbitrary user input. The model produces results that are a good match to CSD data from the ANL-ECRII ECRIS. Extending the model to 1D axial will also allow the model to determine the plasma and electrostatic potential profiles, further eliminating arbitrary user input to the model.

  4. In vitro bonding effectiveness of three different one-step self-etch adhesives with additional enamel etching.

    Science.gov (United States)

    Batra, Charu; Nagpal, Rajni; Tyagi, Shashi Prabha; Singh, Udai Pratap; Manuja, Naveen

    2014-08-01

    To evaluate the effect of additional enamel etching on the shear bond strength of three self-etch adhesives. Class II box type cavities were made on extracted human molars. Teeth were randomly divided into one control group of etch and rinse adhesive and three test groups of self-etch adhesives (Clearfil S3 Bond, Futurabond NR, Xeno V). The teeth in the control group (n = 10) were treated with Adper™ Single Bond 2. The three test groups were further divided into two subgroups (n = 10): (i) self-etch adhesive was applied as per the manufacturer's instructions; (ii) additional etching of enamel surfaces was done prior to the application of self-etch adhesives. All cavities were restored with Filtek Z250. After thermocycling, shear bond strength was evaluated using a Universal testing machine. Data were analyzed using anova independent sample's 't' test and Dunnett's test. The failure modes were evaluated with a stereomicroscope at a magnification of 10×. Additional phosphoric acid etching of the enamel surface prior to the application of the adhesive system significantly increased the shear bond strength of all the examined self-etch adhesives. Additional phosphoric acid etching of enamel surface significantly improved the shear bond strength. © 2013 Wiley Publishing Asia Pty Ltd.

  5. Thermodynamics of nuclear track chemical etching

    Science.gov (United States)

    Rana, Mukhtar Ahmed

    2018-05-01

    This is a brief paper with new and useful scientific information on nuclear track chemical etching. Nuclear track etching is described here by using basic concepts of thermodynamics. Enthalpy, entropy and free energy parameters are considered for the nuclear track etching. The free energy of etching is determined using etching experiments of fission fragment tracks in CR-39. Relationship between the free energy and the etching temperature is explored and is found to be approximately linear. The above relationship is discussed. A simple enthalpy-entropy model of chemical etching is presented. Experimental and computational results presented here are of fundamental interest in nuclear track detection methodology.

  6. Plasma technology directory

    International Nuclear Information System (INIS)

    Ward, P.P.; Dybwad, G.L.

    1995-01-01

    The Plasma Technology Directory has two main goals: (1) promote, coordinate, and share plasma technology experience and equipment within the Department of Energy; and (2) facilitate technology transfer to the commercial sector where appropriate. Personnel are averaged first by Laboratory and next by technology area. The technology areas are accelerators, cleaning and etching deposition, diagnostics, and modeling

  7. Gold split-ring resonators (SRRs) as substrates for surface-enhanced raman scattering

    KAUST Repository

    Yue, Weisheng

    2013-10-24

    We used gold split ring resonators (SRRs) as substrates for surface-enhanced Raman scattering (SERS). The arrays of SRRs were fabricated by electron-beam lithography in combination with plasma etching. In the detection of rhodamine 6G (R6G) molecules, SERS enhancement factors of the order of 105 was achieved. This SERS enhancement increased as the size of the split gap decrease as a consequence of the matching between the resonance wavelength of the SRRs and the excitation wavelength of SERS. As the size of the split gap decreased, the localized surface plasmon resonance shifted to near the excitation wavelength and, thus, resulted in the increase in the electric field on the nanostructures. We used finite integration method (FIT) to simulate numerically the electromagnetic properties of the SRRs. The results of the simulation agreed well with our experimental observations. We anticipate this work will provide an approach to manipulate the SERS enhancement by modulating the size of split gap with SRRs without affecting the area and structural arrangement. © 2013 American Chemical Society.

  8. Gold split-ring resonators (SRRs) as substrates for surface-enhanced raman scattering

    KAUST Repository

    Yue, Weisheng; Yang, Yang; Wang, Zhihong; Chen, Longqing; Wang, Xianbin

    2013-01-01

    We used gold split ring resonators (SRRs) as substrates for surface-enhanced Raman scattering (SERS). The arrays of SRRs were fabricated by electron-beam lithography in combination with plasma etching. In the detection of rhodamine 6G (R6G) molecules, SERS enhancement factors of the order of 105 was achieved. This SERS enhancement increased as the size of the split gap decrease as a consequence of the matching between the resonance wavelength of the SRRs and the excitation wavelength of SERS. As the size of the split gap decreased, the localized surface plasmon resonance shifted to near the excitation wavelength and, thus, resulted in the increase in the electric field on the nanostructures. We used finite integration method (FIT) to simulate numerically the electromagnetic properties of the SRRs. The results of the simulation agreed well with our experimental observations. We anticipate this work will provide an approach to manipulate the SERS enhancement by modulating the size of split gap with SRRs without affecting the area and structural arrangement. © 2013 American Chemical Society.

  9. Response of plasma rotation to resonant magnetic perturbations in J-TEXT tokamak

    Science.gov (United States)

    Yan, W.; Chen, Z. Y.; Huang, D. W.; Hu, Q. M.; Shi, Y. J.; Ding, Y. H.; Cheng, Z. F.; Yang, Z. J.; Pan, X. M.; Lee, S. G.; Tong, R. H.; Wei, Y. N.; Dong, Y. B.; J-TEXT Team

    2018-03-01

    The response of plasma toroidal rotation to the external resonant magnetic perturbations (RMP) has been investigated in Joint Texas Experimental Tokamak (J-TEXT) ohmic heating plasmas. For the J-TEXT’s plasmas without the application of RMP, the core toroidal rotation is in the counter-current direction while the edge rotation is near zero or slightly in the co-current direction. Both static RMP experiments and rotating RMP experiments have been applied to investigate the plasma toroidal rotation. The core toroidal rotation decreases to lower level with static RMP. At the same time, the edge rotation can spin to more than 20 km s-1 in co-current direction. On the other hand, the core plasma rotation can be slowed down or be accelerated with the rotating RMP. When the rotating RMP frequency is higher than mode frequency, the plasma rotation can be accelerated to the rotating RMP frequency. The plasma confinement is improved with high frequency rotating RMP. The plasma rotation is decelerated to the rotating RMP frequency when the rotating RMP frequency is lower than the mode frequency. The plasma confinement also degrades with low frequency rotating RMP.

  10. Computer aided design of Langasite resonant cantilevers: analytical models and simulations

    Science.gov (United States)

    Tellier, C. R.; Leblois, T. G.; Durand, S.

    2010-05-01

    Analytical models for the piezoelectric excitation and for the wet micromachining of resonant cantilevers are proposed. Firstly, computations of metrological performances of micro-resonators allow us to select special cuts and special alignment of the cantilevers. Secondly the self-elaborated simulator TENSOSIM based on the kinematic and tensorial model furnishes etching shapes of cantilevers. As the result the number of selected cuts is reduced. Finally the simulator COMSOL® is used to evaluate the influence of final etching shape on metrological performances and especially on the resonance frequency. Changes in frequency are evaluated and deviating behaviours of structures with less favourable built-ins are tested showing that the X cut is the best cut for LGS resonant cantilevers vibrating in flexural modes (type 1 and type 2) or in torsion mode.

  11. On the plasma confinement by acoustic resonance. An innovation for electrodeless high-pressure discharge lamps

    Science.gov (United States)

    Courret, Gilles; Nikkola, Petri; Wasterlain, Sébastien; Gudozhnik, Olexandr; Girardin, Michel; Braun, Jonathan; Gavin, Serge; Croci, Mirko; Egolf, Peter W.

    2017-08-01

    In an applied research project on the development of a pulsed microwave sulfur lamp prototype of 1 kW, we have discovered an amazing phenomenon in which the plasma forms a ball staying at the center of the bulb despite gravity, thus protecting the glass from melting. In this paper, it is shown that this results from an acoustic resonance in a spherical mode. Measurements of the plasma response to short pulses are presented showing beats at the spherical resonance. It is demonstrated that the beats could result from the simultaneous excitation of two normal modes with a frequency difference of approximately 1%. One of the two frequencies matches precisely the microwave pulses repetition, a little below 30 kHz. Thus this one is due to a forced oscillation, whereas the other one is due to a free oscillation. The phase velocity of sound was calculated as a function of temperature in order to find the series of temperatures at which a resonance would occur if the bulb were an isothermal solid sphere. The mean temperature inside the actual bulb was determined from the only doublet of this series, that has characteristic frequencies close enough to cause the observed beats. In addition, one of these two modes has a spherical symmetry that can explain the plasma ball formation. The obtained mean temperature is consistent with the direct measurements on the bulb surface as well as with the temperature in the core of a similar plasma found in the literature. We have also proposed a model of the resonance onset based on the acoustic dispersion and the sound amplification due to electromagnetic coupling.

  12. Lithographically patterned electrodeposition of gold, silver, and nickel nanoring arrays with widely tunable near-infrared plasmonic resonances.

    Science.gov (United States)

    Halpern, Aaron R; Corn, Robert M

    2013-02-26

    A novel low-cost nanoring array fabrication method that combines the process of lithographically patterned nanoscale electrodeposition (LPNE) with colloidal lithography is described. Nanoring array fabrication was accomplished in three steps: (i) a thin (70 nm) sacrificial nickel or silver film was first vapor-deposited onto a plasma-etched packed colloidal monolayer; (ii) the polymer colloids were removed from the surface, a thin film of positive photoresist was applied, and a backside exposure of the photoresist was used to create a nanohole electrode array; (iii) this array of nanoscale cylindrical electrodes was then used for the electrodeposition of gold, silver, or nickel nanorings. Removal of the photoresist and sacrificial metal film yielded a nanoring array in which all of the nanoring dimensions were set independently: the inter-ring spacing was fixed by the colloidal radius, the radius of the nanorings was controlled by the plasma etching process, and the width of the nanorings was controlled by the electrodeposition process. A combination of scanning electron microscopy (SEM) measurements and Fourier transform near-infrared (FT-NIR) absorption spectroscopy were used to characterize the nanoring arrays. Nanoring arrays with radii from 200 to 400 nm exhibited a single strong NIR plasmonic resonance with an absorption maximum wavelength that varied linearly from 1.25 to 3.33 μm as predicted by a simple standing wave model linear antenna theory. This simple yet versatile nanoring array fabrication method was also used to electrodeposit concentric double gold nanoring arrays that exhibited multiple NIR plasmonic resonances.

  13. Resonance-enhanced laser-induced plasma spectroscopy: ambient gas effects

    International Nuclear Information System (INIS)

    Lui, S.L.; Cheung, N.H.

    2003-01-01

    When performing laser-induced plasma spectroscopy for elemental analysis, the sensitivity could be significantly enhanced if the plume was resonantly rekindled by a dye laser pulse. The extent of the enhancement was found to depend on the ambient gas. Air, nitrogen, helium, argon and xenon at pressures ranging from vacuum to 1 bar were investigated. In vacuum, the analyte signal was boosted because of reduced cooling, but it soon decayed as the plume freely expanded. By choosing the right ambient gas at the right pressure, the expanding plume could be confined as well as thermally insulated to maximize the analyte signal. For instance, an ambient of 13 mbar xenon yielded a signal-to-noise ratio of 110. That ratio was 53 when the pellet was ablated in air, and decreased further to 5 if the dye laser was tuned off resonance

  14. Half bridge resonant converter for ignition of thermal plasmas

    International Nuclear Information System (INIS)

    Pena E, L.

    1997-01-01

    In this work the background, design, implementation and performance of a half bridge resonant converter (HBRC) used as an electronic ignition system for arc plasma torch generation is presented. The significance of the design lies in its simplicity, versatility and low cost. The system operates like a high voltage supply attached to electrodes before gaseous breakdown and like open circuit when electric arc is established. Resonant converter is implemented with a high voltage and high speed power driver intended for control the power MOSFET transistors connected in half bridge topology with L C load. The HBRC operates besides interference into domestic electric supply line (120 V, 60 Hz) as well electric measurement devices. Advantages and limitations of the converter are reviewed. Experimental impedance variation in the medium as a function of frequency operation and some experiences in striking arcs are also presented. (Author)

  15. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1980-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotropic and anisotropic bulk etching as well as for thick and thin detectors. It is summarized how one can calculate the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, track profile and track contour. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (orig.)

  16. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1979-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotopic and unisotropic bulk etching as well as for thick and thin detectors. It is summarized how the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, the track profile and the track contour can be calculated. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (author)

  17. Computational study of plasma-assisted photoacoustic response from gold nanoparticles irradiated by off-resonance ultrafast laser

    Energy Technology Data Exchange (ETDEWEB)

    Hatef, Ali, E-mail: alih@nipissingu.ca; Darvish, Behafarid [Nipissing University, Nipissing Computational Physics Laboratory (NCPL), Department of Computer Science and Mathematics (Canada); Sajjadi, Amir Yousef [Massachusetts General Hospital, Cutaneous Biology Research Center (United States)

    2017-02-15

    The gold nanoparticles (AuNPs) are capable of enhancing the incident laser field in the form of scattered near field for even an off-resonance irradiation where the incident laser wavelength is far away from the localized surface plasmon resonance (LSPR). If the intensity of the pulse laser is large enough, this capability can be employed to generate a highly localized free electron (plasma) in the vicinity of the particles. The generated plasma can absorb more energy during the pulse, and this energy deposition can be considered as an energy source for structural mechanics calculations in the surrounding media to generate a photoacoustic (PA) signal. To show this, in this paper, we model plasma-mediated PA pressure wave propagation from a 100-nm AuNPs and the surrounding media irradiated by an ultrashort pulse laser. In this model, the AuNP is immersed in water and the laser pulse width is ranging from 70 fs to 2 ps at the wavelength of 800 nm (off-resonance). Our results qualitatively show the substantial impact of the energy deposition in plasma on the PA signal through boosting the pressure amplitudes up to ∼1000 times compared to the conventional approach.

  18. Computational study of plasma-assisted photoacoustic response from gold nanoparticles irradiated by off-resonance ultrafast laser

    International Nuclear Information System (INIS)

    Hatef, Ali; Darvish, Behafarid; Sajjadi, Amir Yousef

    2017-01-01

    The gold nanoparticles (AuNPs) are capable of enhancing the incident laser field in the form of scattered near field for even an off-resonance irradiation where the incident laser wavelength is far away from the localized surface plasmon resonance (LSPR). If the intensity of the pulse laser is large enough, this capability can be employed to generate a highly localized free electron (plasma) in the vicinity of the particles. The generated plasma can absorb more energy during the pulse, and this energy deposition can be considered as an energy source for structural mechanics calculations in the surrounding media to generate a photoacoustic (PA) signal. To show this, in this paper, we model plasma-mediated PA pressure wave propagation from a 100-nm AuNPs and the surrounding media irradiated by an ultrashort pulse laser. In this model, the AuNP is immersed in water and the laser pulse width is ranging from 70 fs to 2 ps at the wavelength of 800 nm (off-resonance). Our results qualitatively show the substantial impact of the energy deposition in plasma on the PA signal through boosting the pressure amplitudes up to ∼1000 times compared to the conventional approach.

  19. An etching mask and a method to produce an etching mask

    DEFF Research Database (Denmark)

    2016-01-01

    The present invention relates to an etching mask comprising silicon containing block copolymers produced by self-assembly techniques onto silicon or graphene substrate. Through the use of the etching mask, nanostructures having long linear features having sub-10 nm width can be produced....

  20. Surface roughening of ground fused silica processed by atmospheric inductively coupled plasma

    International Nuclear Information System (INIS)

    Xin, Qiang; Li, Na; Wang, Jun; Wang, Bo; Li, Guo; Ding, Fei; Jin, Huiliang

    2015-01-01

    Highlights: • The morphology evolution of ground fused silica, processed by atmospheric plasma, was investigated experimentally. • The roughness development results from opening and coalescing of the plasma-etched cracks. • The shapes of grain-like etched pits are the results of the adjacent cracks coalescing with one another. • The descent of the pits density is due to some smaller etched pits that are swallowed up by larger pits. • Leading role in surface smoothing is laterally etching away the side walls of the intersecting pits. - Abstract: Subsurface damage (SSD) is a defect that is inevitably induced during mechanical processes, such as grinding and polishing. This defect dramatically reduces the mechanical strength and the laser damage thresholds of optical elements. Compared with traditional mechanical machining, atmospheric pressure plasma processing (APPP) is a relatively novel technology that induces almost no SSD during the processing of silica-based optical materials. In this paper, a form of APPP, inductively coupled plasma (ICP), is used to process fused silica substrates with fluorocarbon precursor under atmospheric pressure. The surface morphology evolution of ICP-processed substrates was observed and characterized by confocal laser scanning microscope (CLSM), field emission scanning electron microscope (SEM), and atomic force microscopy (AFM). The results show that the roughness evolves with the etching depth, and the roughness evolution is a single-peaked curve. This curve results from the opening and the coalescing of surface cracks and fractures. The coalescence procedure of these microstructures was simulated with two common etched pits on a polished fused silica surface. Understanding the roughness evolution of plasma-processed surface might be helpful in optimizing the optical fabrication chain that contains APPP