WorldWideScience

Sample records for resistance patterns thirty-four

  1. Direct observation of contact and channel resistance in pentacene four-terminal thin-film transistor patterned by laser ablation method

    International Nuclear Information System (INIS)

    Yagi, Iwao; Tsukagoshi, Kazuhito; Aoyagi, Yoshinobu

    2004-01-01

    We established a dry-etching patterning process for the channel formation of pentacene thin-film transistor, and fabricated a four-terminal device equipped with a gate electrode. The four-terminal device enabled us to divide two-terminal source-drain resistance into two components of contact resistance and pentacene channel resistance. We obtained direct evidence of a gate-voltagedependent contact resistance change: the gate-induced charge significantly reduced the contact resistance and increased source-drain current. Furthermore, the temperature dependence of the device clearly indicated that the contact resistance was much higher than the channel resistance and was dominated in the two-terminal total resistance of the device below 120 K. An observed activation energy of 80 meV for contact resistance was higher than that of 42 meV for pentacene channel resistance

  2. Bacterial Pathogens and Antimicrobial Resistance Patterns in Pediatric Urinary Tract Infections: A Four-Year Surveillance Study (2009–2012)

    OpenAIRE

    Mirsoleymani, Seyed Reza; Salimi, Morteza; Shareghi Brojeni, Masoud; Ranjbar, Masoud; Mehtarpoor, Mojtaba

    2014-01-01

    The aims of this study were to assess the common bacterial microorganisms causing UTI and their antimicrobial resistance patterns in Bandar Abbas (Southern Iran) during a four-year period. In this retrospective study, samples with a colony count of ≥105 CFU/mL bacteria were considered positive; for these samples, the bacteria were identified, and the profile of antibiotic susceptibility was characterized. From the 19223 samples analyzed, 1513 (7.87%) were positive for bacterial infection. UTI...

  3. Four integration patterns

    DEFF Research Database (Denmark)

    Bygstad, Bendik; Nielsen, Peter Axel; Munkvold, Bjørn Erik

    2010-01-01

    This paper aims to contribute to a theory of integration within the field of IS project management. Integration is a key IS project management issue when new systems are developed and implemented into an increasingly integrated information infrastructure in corporate and governmental organizations....... Expanding the perspective of traditional project management research, we draw extensively on central insights from IS research. Building on socio-technical IS research and Software Engineering research we suggest four generic patterns of integration: Big Bang, Stakeholder Integration, Technical Integration...... and Socio-Technical Integration. We analyze and describe the advantages and disadvantages of each pattern. The four patterns are ideal types. To explore the forces and challenges in these patterns three longitudinal case studies were conducted. In particular we investigate the management challenges for each...

  4. Resistance patterns among multidrug-resistant tuberculosis patients in greater metropolitan Mumbai: trends over time.

    Science.gov (United States)

    Dalal, Alpa; Pawaskar, Akshay; Das, Mrinalini; Desai, Ranjan; Prabhudesai, Pralhad; Chhajed, Prashant; Rajan, Sujeet; Reddy, Deepesh; Babu, Sajit; Jayalakshmi, T K; Saranchuk, Peter; Rodrigues, Camilla; Isaakidis, Petros

    2015-01-01

    While the high burden of multidrug-resistant tuberculosis (MDR-TB) itself is a matter of great concern, the emergence and rise of advanced forms of drug-resistance such as extensively drug-resistant TB (XDR-TB) and extremely drug-resistant TB (XXDR-TB) is more troubling. The aim of this study was to investigate the trends over time of patterns of drug resistance in a sample of MDR-TB patients in greater metropolitan Mumbai, India. This was a retrospective, observational study of drug susceptibility testing (DST) results among MDR-TB patients from eight health care facilities in greater Mumbai between 2005 and 2013. We classified resistance patterns into four categories: MDR-TB, pre-XDR-TB, XDR-TB and XXDR-TB. A total of 340 MDR-TB patients were included in the study. Pre-XDR-TB was the most common form of drug-resistant TB observed overall in this Mumbai population at 56.8% compared to 29.4% for MDR-TB. The proportion of patients with MDR-TB was 39.4% in the period 2005-2007 and 27.8% in 2011-2013, while the proportion of those with XDR-TB and XXDR-TB was changed from 6.1% and 0% respectively to 10.6% and 5.6% during the same time period. During the same periods, the proportions of patients with ofloxacin, moxifloxacin and ethionamide resistance significantly increased from 57.6% to 75.3%, from 60.0% to 69.5% and from 24.2% to 52.5% respectively (pMumbai highlight the need for individualized drug regimens, designed on the basis of DST results involving first- and second-line anti-TB drugs and treatment history of the patient. A drug-resistant TB case-finding strategy based on molecular techniques that identify only rifampicin resistance will lead to initiation of suboptimal treatment regimens for a significant number of patients, which may in turn contribute to amplification of resistance and transmission of strains with increasingly advanced resistance within the community.

  5. Antibiotic Prescription, Organisms and its Resistance Pattern in Patients Admitted to Respiratory ICU with Respiratory Infection in Mysuru.

    Science.gov (United States)

    Mahendra, M; Jayaraj, B S; Lokesh, K S; Chaya, S K; Veerapaneni, Vivek Vardhan; Limaye, Sneha; Dhar, Raja; Swarnakar, Rajesh; Ambalkar, Shrikant; Mahesh, P A

    2018-04-01

    Respiratory infections account for significant morbidity, mortality and expenses to patients getting admitted to ICU. Antibiotic resistance is a major worldwide concern in ICU, including India. It is important to know the antibiotic prescribing pattern in ICU, organisms and its resistance pattern as there is sparse data on Indian ICUs. We conducted a prospective study from August 2015 to February 2016. All patients getting admitted to RICU with respiratory infection who were treated with antibiotics were included into study. Demographic details, comorbidities, Clinco-pathological score (CPI) on day1 and 2 of admission, duration of ICU admission, number of antibiotics used, antibiotic prescription, antimicrobial resistance pattern of patients were collected using APRISE questionnaire. During study period 352 patients were screened and 303 patients were included into study. Mean age was 56.05±16.37 and 190 (62.70%) were men. Most common diagnosis was Pneumonia (66%). Piperacillin-tazobactam was most common empirical antibiotic used. We found 60% resistance to piperacillin-tazobactam. Acinetobacter baumanii was the most common organism isolated (29.2%) and was highly resistant to Carbapenem (60%). Klebsiella pneumoniae was resistant to Amikacin (45%), piperacillin (55%) and Ceftazidime (50%). Piperacillin-tazobactam was the most common antibiotic prescribed to patients with respiratory infection admitted to ICU. More than half of patients (60%) had resistance to the empirical antibiotic used in our ICU, highlighting the need for antibiogram for each ICU. Thirty six percent of patient had prior antibiotic use and had mainly gram negative organisms with high resistance to commonly used antibiotics.

  6. Systematic drug screening reveals specific vulnerabilities and co-resistance patterns in endocrine-resistant breast cancer.

    Science.gov (United States)

    Kangaspeska, Sara; Hultsch, Susanne; Jaiswal, Alok; Edgren, Henrik; Mpindi, John-Patrick; Eldfors, Samuli; Brück, Oscar; Aittokallio, Tero; Kallioniemi, Olli

    2016-07-04

    The estrogen receptor (ER) inhibitor tamoxifen reduces breast cancer mortality by 31 % and has served as the standard treatment for ER-positive breast cancers for decades. However, 50 % of advanced ER-positive cancers display de novo resistance to tamoxifen, and acquired resistance evolves in 40 % of patients who initially respond. Mechanisms underlying resistance development remain poorly understood and new therapeutic opportunities are urgently needed. Here, we report the generation and characterization of seven tamoxifen-resistant breast cancer cell lines from four parental strains. Using high throughput drug sensitivity and resistance testing (DSRT) with 279 approved and investigational oncology drugs, exome-sequencing and network analysis, we for the first time, systematically determine the drug response profiles specific to tamoxifen resistance. We discovered emerging vulnerabilities towards specific drugs, such as ERK1/2-, proteasome- and BCL-family inhibitors as the cells became tamoxifen-resistant. Co-resistance to other drugs such as the survivin inhibitor YM155 and the chemotherapeutic agent paclitaxel also occurred. This study indicates that multiple molecular mechanisms dictate endocrine resistance, resulting in unexpected vulnerabilities to initially ineffective drugs, as well as in emerging co-resistances. Thus, combatting drug-resistant tumors will require patient-tailored strategies in order to identify new drug vulnerabilities, and to understand the associated co-resistance patterns.

  7. Two-phase flow patterns in a four by four rod bundle

    International Nuclear Information System (INIS)

    Mizutani, Yoshitaka; Tomiyama, Akio; Hosokawa, Shigeo; Sou, Akira; Kudo, Yoshiro; Mishima, Kaichiro

    2007-01-01

    Air-water two-phase flow patterns in a four by four square lattice rod bundle consisting of an acrylic channel box of 68 mm in width and transparent rods of 12mm in diameter were observed by utilizing a high speed video camera, FEP (fluorinated ethylene propylene) tubes for rods, and a fiberscope inserted in a rod. The FEP possesses the same refractive index as water, and thereby, whole flow patterns in the bundle and local flow patterns in subchannels were successfully visualized with little optical distortion. The ranges of gas and liquid volume fluxes, (J G ) and (J L ), in the present experiments were 0.1 L ) G ) G )-(J L ) flow pattern diagram is so narrow that it can be regarded as a boundary between bubbly and churn flows. (2) the boundary between bubbly and churn flows is close to the boundary between bubbly and slug flows of the Mishima and Ishii's flow pattern transition model, and (3) the boundary between churn and annular flow is close to the Mishima and Ishii's model. (author)

  8. Two-Phase Flow Patterns in a Four by Four Rod Bundle

    International Nuclear Information System (INIS)

    Yoshitaka Mizutani; Shigeo Hosokawa; Akio Tomiyama

    2006-01-01

    Air-water two-phase flow patterns in a four by four square lattice rod bundle consisting of an acrylic channel box of 68 mm in width and transparent rods of 12 mm in diameter were observed by utilizing a high speed video camera, FEP (fluorinated ethylene propylene) tubes for rods, and a fiber-scope inserted in a rod. The FEP possesses the same refractive index as water, and thereby, whole flow patterns in the bundle and local flow patterns in subchannels were successfully visualized with little optical distortion. The ranges of liquid and gas volume fluxes, G > and L >, in the present experiments were 0.1 L > G > G > - L > flow pattern diagram is so narrow that it can be regarded as a boundary between bubbly and churn flows, (2) the boundary between bubbly and churn flows is close to the boundary between bubbly and slug flows of the Mishima and Ishii's flow pattern transition model, and (3) the boundary between churn and annular flows is well predicted by the Mishima and Ishii's model. (authors)

  9. Gene Expression Analysis of Four Radiation-resistant Bacteria

    OpenAIRE

    Gao, Na; Ma, Bin-Guang; Zhang, Yu-Sheng; Song, Qin; Chen, Ling-Ling; Zhang, Hong-Yu

    2009-01-01

    To investigate the general radiation-resistant mechanisms of bacteria, bioinformatic method was employed to predict highly expressed genes for four radiation-resistant bacteria, i.e. Deinococcus geothermalis (D. geo), Deinococcus radiodurans (D. rad), Kineococcus radiotolerans (K. rad) and Rubrobacter xylanophilus (R. xyl). It is revealed that most of the three reference gene sets, i.e. ribosomal proteins, transcription factors and major chaperones, are generally highly expressed in the four ...

  10. The etiology of neonatal sepsis and patterns of antibiotic resistance

    International Nuclear Information System (INIS)

    Waheed, M.; Laeeq, A.; Maqbool, S.

    2003-01-01

    Objective: To study the patterns of causative bacteria and antibiotic resistance in neonatal sepsis. Results: Among 228 cases included in the study, the male to female ratio was 2.1 to 1. The gestational age was less than 36 weeks in 68 (30%) cases and low birth weight babies were 143 (62.6%). History of birth asphyxia was present in 103 (45%) cases. There were 142 (62.3%) cases of early onset ( 7 days). Out of 233 positive blood cultures Escherichia coli was found to be commonest (47.8%, n =111, p<0.05) both in early onset (47.8%, n=68, p <0.05) and late onset sepsis (47.3%,n=43, p<0.05). Staphylococcus aureus was the most common among gram positive organism. Resistance to cefotaxime, ceftazidime and amikacin was 34% to 80% and to ciprofloxacin 13% to 72%. A total of 64 cases (28%) died. Mortality was four times higher in early onset sespis. Conclusion: Gram negative bacteria are the commenst cause of neonatal sepsis. The resistance to the commonly used antibiotics is alarmingly high. Mortality is four times higher in early one set sepsis. (author)

  11. Systematic drug screening reveals specific vulnerabilities and co-resistance patterns in endocrine-resistant breast cancer

    International Nuclear Information System (INIS)

    Kangaspeska, Sara; Hultsch, Susanne; Jaiswal, Alok; Edgren, Henrik; Mpindi, John-Patrick; Eldfors, Samuli; Brück, Oscar; Aittokallio, Tero; Kallioniemi, Olli

    2016-01-01

    The estrogen receptor (ER) inhibitor tamoxifen reduces breast cancer mortality by 31 % and has served as the standard treatment for ER-positive breast cancers for decades. However, 50 % of advanced ER-positive cancers display de novo resistance to tamoxifen, and acquired resistance evolves in 40 % of patients who initially respond. Mechanisms underlying resistance development remain poorly understood and new therapeutic opportunities are urgently needed. Here, we report the generation and characterization of seven tamoxifen-resistant breast cancer cell lines from four parental strains. Using high throughput drug sensitivity and resistance testing (DSRT) with 279 approved and investigational oncology drugs, exome-sequencing and network analysis, we for the first time, systematically determine the drug response profiles specific to tamoxifen resistance. We discovered emerging vulnerabilities towards specific drugs, such as ERK1/2-, proteasome- and BCL-family inhibitors as the cells became tamoxifen-resistant. Co-resistance to other drugs such as the survivin inhibitor YM155 and the chemotherapeutic agent paclitaxel also occurred. This study indicates that multiple molecular mechanisms dictate endocrine resistance, resulting in unexpected vulnerabilities to initially ineffective drugs, as well as in emerging co-resistances. Thus, combatting drug-resistant tumors will require patient-tailored strategies in order to identify new drug vulnerabilities, and to understand the associated co-resistance patterns. The online version of this article (doi:10.1186/s12885-016-2452-5) contains supplementary material, which is available to authorized users

  12. Antibiotic Resistance Patterns of Common Gram-negative ...

    African Journals Online (AJOL)

    Background: The resistance of bacteria causing urinary tract infection (UTI) to commonly prescribed antibiotics is increasing both in developing and developed countries. Resistance has emerged even to more potent antimicrobial agents. This study was undertaken to determine the current antibiotic resistance pattern ...

  13. Rapid detection of drug resistance and mutational patterns of extensively drug-resistant strains by a novel GenoType® MTBDRsl assay

    Directory of Open Access Journals (Sweden)

    A K Singh

    2013-01-01

    Full Text Available Background: The emergence of extensively drug-resistant tuberculosis (XDR-TB is a major concern in the India. The burden of XDR-TB is increasing due to inadequate monitoring, lack of proper diagnosis, and treatment. The GenoType ® Mycobacterium tuberculosis drug resistance second line (MTBDRsl assay is a novel line probe assay used for the rapid detection of mutational patterns conferring resistance to XDR-TB. Aim: The aim of this study was to study the rapid detection of drug resistance and mutational patterns of the XDR-TB by a novel GenoType ® MTBDRsl assay. Materials and Methods: We evaluated 98 multidrug-resistant (MDR M. tuberculosis isolates for second line drugs susceptibility testing by 1% proportion method (BacT/ALERT 3D system and GenoType ® MTBDRsl assay for rapid detection of conferring drug resistance to XDR-TB. Results: A total of seven (17.4% were identified as XDR-TB by using standard phenotypic method. The concordance between phenotypic and GenoType ® MTBDRsl assay was 91.7-100% for different antibiotics. The sensitivity and specificity of the MTBDRsl assay were 100% and 100% for aminoglycosides; 100% and 100% for fluoroquinolones; 91.7% and 100% for ethambutol. The most frequent mutations and patterns were gyrA MUT1 (A90V in seven (41.2% and gyrA + WT1-3 + MUT1 in four (23.5%; rrs MUT1 (A1401G in 11 (64.7%, and rrs WT1-2 + MUT1 in eight (47.1%; and embB MUT1B (M306V in 11 (64.7% strains. Conclusions: These data suggest that the GenoType ® MTBDRsl assay is rapid, novel test for detection of resistance to second line anti-tubercular drugs. This assay provides additional information about the frequency and mutational patterns responsible for XDR-TB resistance.

  14. Methylation profiles of thirty four promoter-CpG islands and concordant methylation behaviours of sixteen genes that may contribute to carcinogenesis of astrocytoma

    Directory of Open Access Journals (Sweden)

    Wang Yifei

    2004-09-01

    Full Text Available Abstract Background Astrocytoma is a common aggressive intracranial tumor and presents a formidable challenge in the clinic. Association of altered DNA methylation patterns of the promoter CpG islands with the expression profile of cancer-related genes, has been found in many human tumors. Therefore, DNA methylation status as such may serve as an epigenetic biomarker for both diagnosis and prognosis of human tumors, including astrocytoma. Methods We used the methylation specific PCR in conjunction with sequencing verification to establish the methylation profile of the promoter CpG island of thirty four genes in astrocytoma tissues from fifty three patients (The WHO grading:. I: 14, II: 15, III: 12 and IV: 12 cases, respectively. In addition, compatible tissues (normal tissues distant from lesion from three non-astrocytoma patients were included as the control. Results Seventeen genes (ABL, APC, APAF1, BRCA1, CSPG2, DAPK1, hMLH1, LKB1, PTEN, p14ARF, p15INK4b, p27KIP1, p57KIP2, RASSF1C, RB1, SURVIVIN, and VHL displayed a uniformly unmethylated pattern in all the astrocytoma and non-astrocytoma tissues examined. However, the MAGEA1 gene that was inactivated and hypermethylated in non-astrocytoma tissues, was partially demethylated in 24.5% of the astrocytoma tissues (co-existence of the hypermethylated and demethylated alleles. Of the astrocytoma associated hypermethylated genes, the methylation pattern of the CDH13, cyclin a1, DBCCR1, EPO, MYOD1, and p16INK4a genes changed in no more than 5.66% (3/53 of astrocytoma tissues compared to non-astrocytoma controls, while the RASSF1A, p73, AR, MGMT, CDH1, OCT6,, MT1A, WT1, and IRF7 genes were more frequently hypermethylated in 69.8%, 47.2%, 41.5%, 35.8%, 32%, 30.2%, 30.2%, 30.2% and 26.4% of astrocytoma tissues, respectively. Demethylation mediated inducible expression of the CDH13, MAGEA1, MGMT, p73 and RASSF1A genes was established in an astrocytoma cell line (U251, demonstrating that expression of

  15. Resistivity Correction Factor for the Four-Probe Method: Experiment I

    Science.gov (United States)

    Yamashita, Masato; Yamaguchi, Shoji; Enjoji, Hideo

    1988-05-01

    Experimental verification of the theoretically derived resistivity correction factor (RCF) is presented. Resistivity and sheet resistance measurements by the four-probe method are made on three samples: isotropic graphite, ITO film and Au film. It is indicated that the RCF can correct the apparent variations of experimental data to yield reasonable resistivities and sheet resistances.

  16. Patterns of Force, Sequences of Resistance

    DEFF Research Database (Denmark)

    Lindegaard, Marie Rosenkrantz; Daniël De Vries, Thomas; Bernasco, Wim

    2018-01-01

    Robberies are improvised encounters involving offender threat, sometimes force, and often victim resistance. While the association between threat, force, and resistance in robberies is well-established, sequential patterns are disputed due to biases of retrospective studies. To overcome these bia...... the likelihood of victim resistance despite having no effect on offender vio- lence. By providing more reliable and detailed accounts of real-life behavior during robberies, our analysis illustrates the potential of a newly emergent field of studies of crimes caught on camera....

  17. Extreme ultraviolet resist materials for sub-7 nm patterning.

    Science.gov (United States)

    Li, Li; Liu, Xuan; Pal, Shyam; Wang, Shulan; Ober, Christopher K; Giannelis, Emmanuel P

    2017-08-14

    Continuous ongoing development of dense integrated circuits requires significant advancements in nanoscale patterning technology. As a key process in semiconductor high volume manufacturing (HVM), high resolution lithography is crucial in keeping with Moore's law. Currently, lithography technology for the sub-7 nm node and beyond has been actively investigated approaching atomic level patterning. EUV technology is now considered to be a potential alternative to HVM for replacing in some cases ArF immersion technology combined with multi-patterning. Development of innovative resist materials will be required to improve advanced fabrication strategies. In this article, advancements in novel resist materials are reviewed to identify design criteria for establishment of a next generation resist platform. Development strategies and the challenges in next generation resist materials are summarized and discussed.

  18. Extreme ultraviolet resist materials for sub-7 nm patterning

    KAUST Repository

    Li, Li; Liu, Xuan; Pal, Shyam; Wang, Shulan; Ober, Christopher K.; Giannelis, Emmanuel P.

    2017-01-01

    Continuous ongoing development of dense integrated circuits requires significant advancements in nanoscale patterning technology. As a key process in semiconductor high volume manufacturing (HVM), high resolution lithography is crucial in keeping with Moore's law. Currently, lithography technology for the sub-7 nm node and beyond has been actively investigated approaching atomic level patterning. EUV technology is now considered to be a potential alternative to HVM for replacing in some cases ArF immersion technology combined with multi-patterning. Development of innovative resist materials will be required to improve advanced fabrication strategies. In this article, advancements in novel resist materials are reviewed to identify design criteria for establishment of a next generation resist platform. Development strategies and the challenges in next generation resist materials are summarized and discussed.

  19. Evaluation of Relative Resistance in Thirty Dwarf Mahaleb Genotypes to Four Phytophthora Species in the Greenhouse and Garden

    Directory of Open Access Journals (Sweden)

    mohammad hajian

    2018-01-01

    Phytophthora species had different degrees of disease symptoms. Phytophthora species in their ability to create the symptoms are different. The lowest level of necrosis is created by P.cactorum. The highest and lowest levels of necrosis of P.cactorum were measured in 267 and 162 genotypes, respectively. In P. citrophthora, the highest and lowest levels of necrosis were measured in 277 and 188 genotypes, respectively. The highest and lowest levels of necrosis of P. nicotianae were measured in 272 and 188 genotypes, respectively. Conclusion The results of the assessment Mahaleb genotypes to four species of Phytophthora in the orchard showed that the lowest level of tissue necrosis in genotypes of 106, 139, 162, 188, 195, 224, 266 and 270, respectively. Finally our results revealed that P.citricola, P.cactorum were pathogenic Phytophthora species and three mahaleb genotypes (266, 224 and 188 had highest potential resistance to P. nicotianae, P.citricola, P.cactorum and the P.citrophthora respectively.

  20. Extreme ultraviolet resist materials for sub-7 nm patterning

    KAUST Repository

    Li, Li

    2017-06-26

    Continuous ongoing development of dense integrated circuits requires significant advancements in nanoscale patterning technology. As a key process in semiconductor high volume manufacturing (HVM), high resolution lithography is crucial in keeping with Moore\\'s law. Currently, lithography technology for the sub-7 nm node and beyond has been actively investigated approaching atomic level patterning. EUV technology is now considered to be a potential alternative to HVM for replacing in some cases ArF immersion technology combined with multi-patterning. Development of innovative resist materials will be required to improve advanced fabrication strategies. In this article, advancements in novel resist materials are reviewed to identify design criteria for establishment of a next generation resist platform. Development strategies and the challenges in next generation resist materials are summarized and discussed.

  1. Identification of Urinary Polyphenol Metabolite Patterns Associated with Polyphenol-Rich Food Intake in Adults from Four European Countries

    Directory of Open Access Journals (Sweden)

    Hwayoung Noh

    2017-07-01

    Full Text Available We identified urinary polyphenol metabolite patterns by a novel algorithm that combines dimension reduction and variable selection methods to explain polyphenol-rich food intake, and compared their respective performance with that of single biomarkers in the European Prospective Investigation into Cancer and Nutrition (EPIC study. The study included 475 adults from four European countries (Germany, France, Italy, and Greece. Dietary intakes were assessed with 24-h dietary recalls (24-HDR and dietary questionnaires (DQ. Thirty-four polyphenols were measured by ultra-performance liquid chromatography–electrospray ionization-tandem mass spectrometry (UPLC-ESI-MS-MS in 24-h urine. Reduced rank regression-based variable importance in projection (RRR-VIP and least absolute shrinkage and selection operator (LASSO methods were used to select polyphenol metabolites. Reduced rank regression (RRR was then used to identify patterns in these metabolites, maximizing the explained variability in intake of pre-selected polyphenol-rich foods. The performance of RRR models was evaluated using internal cross-validation to control for over-optimistic findings from over-fitting. High performance was observed for explaining recent intake (24-HDR of red wine (r = 0.65; AUC = 89.1%, coffee (r = 0.51; AUC = 89.1%, and olives (r = 0.35; AUC = 82.2%. These metabolite patterns performed better or equally well compared to single polyphenol biomarkers. Neither metabolite patterns nor single biomarkers performed well in explaining habitual intake (as reported in the DQ of polyphenol-rich foods. This proposed strategy of biomarker pattern identification has the potential of expanding the currently still limited list of available dietary intake biomarkers.

  2. Thirty-seven transcription factor genes differentially respond to a ...

    Indian Academy of Sciences (India)

    Plant transcription factors and insect defence si. Thirty-seven transcription factor genes differentially respond to a harpin protein and affect resistance to the green peach aphid in Arabidopsis. HUNLIN. PIN. RUOXUE LIŲ, BEIBEI LÜ, XIAOMENG WANG, CHUNLING ZHANG, SHUPING ZHANG, JUN QIAN, LEI CHEN,.

  3. "DRUG RESISTANCE PATTERN IN ISOLATED BACTERIA FROM BLOOD CULTURES"

    Directory of Open Access Journals (Sweden)

    A. Sobhani

    2004-05-01

    Full Text Available Bacteremia is an important infectious disease which may lead to death. Common bacteria and pattern of antibiotic resistance in different communities are different and understanding these differences is important. In the present study, relative frequency and pattern of drug resistance have been examined in bacteria isolated from blood cultures in Razi Hospital laboratory. The method of the study was descriptive. Data collection was carried out retrospectively. Total sample consisted of 311 positive blood cultures from 1999 to 2001. Variables under study were bacterial strains, antibiotics examined in antibiogram, microbial resistance, and patients' age and sex. The most common isolated bacteria were Salmonella typhi (22.2% and the least common ones were Citrobacter (1.6%. The highest antibiotic resistance was seen against amoxicillin (88.4%. The proportion of males to females was1: 1/1 and the most common age group was 15-44 (47.3%. Common bacteria and pattern of antibiotic resistance were different in some areas and this subject requires further studies in the future.

  4. Resistance of green lacewing, Chrysoperla carnea Stephens to nitenpyram: Cross-resistance patterns, mechanism, stability, and realized heritability.

    Science.gov (United States)

    Mansoor, Muhammad Mudassir; Raza, Abu Bakar Muhammad; Abbas, Naeem; Aqueel, Muhammad Anjum; Afzal, Muhammad

    2017-01-01

    The green lacewing, Chrysoperla carnea Stephens (Neuroptera: Chrysopidae) is a major generalist predator employed in integrated pest management (IPM) plans for pest control on many crops. Nitenpyram, a neonicotinoid insecticide has widely been used against the sucking pests of cotton in Pakistan. Therefore, a field green lacewing strain was exposed to nitenpyram for five generations to investigate resistance evolution, cross-resistance pattern, stability, realized heritability, and mechanisms of resistance. Before starting the selection with nitenpyram, a field collected strain showed 22.08-, 23.09-, 484.69- and 602.90-fold resistance to nitenpyram, buprofezin, spinosad and acetamiprid, respectively compared with the Susceptible strain. After continuous selection for five generations (G1-G5) with nitenpyram in the laboratory, the Field strain (Niten-SEL) developed a resistance ratio of 423.95 at G6. The Niten-SEL strain at G6 showed no cross-resistance to buprofezin and acetamiprid and negative cross-resistance to spinosad compared with the Field strain (G1). For resistance stability, the Niten-SEL strain was left unexposed to any insecticide for four generations (G6-G9) and bioassay results at G10 showed that resistance to nitenpyram, buprofezin and spinosad was stable, while resistance to acetamiprid was unstable. The realized heritability values were 0.97, 0.16, 0.03, and -0.16 to nitenpyram, buprofezin, acetamiprid and spinosad, respectively, after five generations of selection. Moreover, the enzyme inhibitors (PBO or DEF) significantly decreased the nitenpyram resistance in the resistant strain, suggesting that resistance was due to microsomal oxidases and esterases. These results are very helpful for integration of green lacewings in IPM programs. Copyright © 2016 Elsevier B.V. All rights reserved.

  5. Multiple Antibiotic Resistance Patterns of Escherichia coli Isolates from Swine Farms

    OpenAIRE

    Mathew, A. G.; Saxton, A. M.; Upchurch, W. G.; Chattin, S. E.

    1999-01-01

    Antibiotic resistance of Escherichia coli from sows and pigs was determined to compare patterns between pigs of various ages and degrees of antibiotic use. Resistance patterns differed between farm types and pigs of differing ages, indicating that pig age and degree of antibiotic use affect resistance of fecal E. coli.

  6. A "healthy diet-optimal sleep" lifestyle pattern is inversely associated with liver stiffness and insulin resistance in patients with nonalcoholic fatty liver disease.

    Science.gov (United States)

    Katsagoni, Christina N; Papatheodoridis, George V; Papageorgiou, Maria-Vasiliki; Ioannidou, Panagiota; Deutsch, Melanie; Alexopoulou, Alexandra; Papadopoulos, Nikolaos; Fragopoulou, Elisabeth; Kontogianni, Meropi D

    2017-03-01

    Several lifestyle habits have been described as risk factors for nonalcoholic fatty liver disease (NAFLD). Given that both healthy and unhealthy habits tend to cluster, the aim of this study was to identify lifestyle patterns and explore their potential associations with clinical characteristics of individuals with NAFLD. One hundred and thirty-six consecutive patients with ultrasound-proven NAFLD were included. Diet and physical activity level were assessed through appropriate questionnaires. Habitual night sleep hours and duration of midday naps were recorded. Optimal sleep duration was defined as sleep hours ≥ 7 and ≤ 9 h/day. Lifestyle patterns were identified using principal component analysis. Eight components were derived explaining 67% of total variation of lifestyle characteristics. Lifestyle pattern 3, namely high consumption of low-fat dairy products, vegetables, fish, and optimal sleep duration was negatively associated with insulin resistance (β = -1.66, P = 0.008) and liver stiffness (β = -1.62, P = 0.05) after controlling for age, sex, body mass index, energy intake, smoking habits, adiponectin, and tumor necrosis factor-α. Lifestyle pattern 1, namely high consumption of full-fat dairy products, refined cereals, potatoes, red meat, and high television viewing time was positively associated with insulin resistance (β = 1.66, P = 0.005), although this association was weakened after adjusting for adiponectin and tumor necrosis factor-α. A "healthy diet-optimal sleep" lifestyle pattern was beneficially associated with insulin resistance and liver stiffness in NAFLD patients independent of body weight status and energy intake.

  7. Mobile assemblies of Bennett linkages from four-crease origami patterns

    Science.gov (United States)

    Zhang, Xiao; Chen, Yan

    2018-02-01

    This paper deals with constructing mobile assemblies of Bennett linkages inspired by four-crease origami patterns. A transition technique has been proposed by taking the thick-panel form of an origami pattern as an intermediate bridge. A zero-thickness rigid origami pattern and its thick-panel form share the same sector angles and folding behaviours, while the thick-panel origami and the mobile assembly of linkages are kinematically equivalent with differences only in link profiles. Applying this transition technique to typical four-crease origami patterns, we have found that the Miura-ori and graded Miura-ori patterns lead to assemblies of Bennett linkages with identical link lengths. The supplementary-type origami patterns with different mountain-valley crease assignments correspond to different types of Bennett linkage assemblies with negative link lengths. And the identical linkage-type origami pattern generates a new mobile assembly. Hence, the transition technique offers a novel approach to constructing mobile assemblies of spatial linkages from origami patterns.

  8. Probing genetic diversity to characterize red rot resistance in sugarcane

    Energy Technology Data Exchange (ETDEWEB)

    Mumtaz, A S; Dure-e-Nayab,; Iqbal, M J; Shinwari, Z.K., E-mail: asmumtaz@qau.edu.pk

    2011-10-15

    Genetic diversity was assessed in a set of twelve sugarcane genotypes using Random Amplified Polymorphic DNA (RAPD). A total of thirty-two oligo-primers were employed, sixteen of them revealed amplification at 149 loci, out of which 136 were polymorphic. The genotype SPSG-26 showed the highest number of polymorphic loci, followed by CSSG-668 and HSF-242. Pairwise genetic similarity ranged from 67.2% to 83.3%. The UPGMA cluster analysis resolved most of the accessions in two groups. The clustering pattern did not place all resistant varieties in one or related group which depict diverse resistance source in the present set of sugarcane varieties. Ten primers revealed genotype specific bands among which four primers (K07, H02, K10 and F01) produced multiple genotype specific bands that aid genotype identification especially those with red rot resistance. The present study not only provided information on the genetic diversity among the genotypes but also revealed the potential of RAPD-PCR markers for genotype identification and therefore could be utilized in marker assisted selection for red rot resistance in sugarcane. (author)

  9. Bacterial Pathogens and Antimicrobial Resistance Patterns in Pediatric Urinary Tract Infections: A Four-Year Surveillance Study (2009–2012

    Directory of Open Access Journals (Sweden)

    Seyed Reza Mirsoleymani

    2014-01-01

    Full Text Available The aims of this study were to assess the common bacterial microorganisms causing UTI and their antimicrobial resistance patterns in Bandar Abbas (Southern Iran during a four-year period. In this retrospective study, samples with a colony count of ≥105 CFU/mL bacteria were considered positive; for these samples, the bacteria were identified, and the profile of antibiotic susceptibility was characterized. From the 19223 samples analyzed, 1513 (7.87% were positive for bacterial infection. UTI was more frequent in male (54.9%. E. coli was reported the most common etiological agent of UTI (65.2%, followed by Klebsiella spp. (26%, Pseudomonas aeruginosa (3.6%, and Staphylococcus coagulase positive (3.7%. Results of antimicrobial susceptibility analysis for E. coli to commonly used antibiotics are as follows: Amikacin (79.7%, Ofloxacin (78.3%, Gentamicin (71.6%, Ceftriaxone (41.8, Cefotaxime (41.4%, and Cefixime (27.8%. Empirical antibiotic selection should be based on awareness of the local prevalence of bacterial organisms and antibiotic sensitivities rather than on universal or even national guidelines. In this study, Amikacin and Gentamicin were shown to be the most appropriate antibiotics for empiric therapy of pyelonephritis, but empirical therapy should only be done by specialist physicians in cases where it is necessary while considering sex and age of children.

  10. Resistance patterns and trends of extensively drug-resistant tuberculosis: 5-year experience

    Directory of Open Access Journals (Sweden)

    Amresh Kumar Singh

    2013-12-01

    Full Text Available Objective:Extensively drug-resistant tuberculosis (XDR-TB strains were emerged when multidrug-resistant TB (MDR- TB was inadequately treated. Inadequate treatment of MDR-TB cases may result in additional resistance especially non-XDR-TB and then XDR-TB. The aim of this study was to know the prevalence, resistance patterns and trends of the XDR-TB strains among the MDR-TB at a tertiary care hospital in Lucknow, India Methods: A total of 430 Mycobacterium isolates were underwent NAP test and TB MPT64 Ag test for the identification of Mycobacterium tuberculosis complex (MTBC. Drug-susceptibility test (DST was performed over MTBC for the first line drugs by 1% proportion method (Bactec and for the second-line drugs by 1% proportion method (Lowenstein- Jensen media. The XDR-TB status was further confirmed by line probe assay (GenoType® MTBDRsl assay. Results: Among the 430 isolates of mycobacterium, 365 (84.9% were MTBC and 139 (38.1% were MDR-TB respectively. Further 97 MDR-TB from “highly suspected drug resistant-TB (DR-TB” cases among MDR-TB were tested with second line drugs in which 15 (15.5% XDR-TB and 82 (84.5% were non-XDR-TB. Regarding XDR-TB status, using the 1% proportion method a 100% agreement was seen with the GenoType® MTBDRsl assay. Resistance patterns of XDR-TB were as; 10/15 (66.7% as isoniazid + rifampicin + ciprofloxacin + amikacin resistance and 5/15 (33.3% as isoniazid + rifampicin + ciprofloxacin + amikacin + kanamycin resistance. Conclusion:The prevalence of XDR-TB was 15.5% among MDR-TB. Hence laboratory testing of “highly suspected drug resistant-TB” isolates should be done for both first and second line drugs simultaneously especially in developing countries.J Microbiol Infect Dis 2013;3(4: 169-175

  11. Resistivity Correction Factor for the Four-Probe Method: Experiment II

    Science.gov (United States)

    Yamashita, Masato; Yamaguchi, Shoji; Nishii, Toshifumi; Kurihara, Hiroshi; Enjoji, Hideo

    1989-05-01

    Experimental verification of the theoretically derived resistivity correction factor F is presented. Factor F can be applied to a system consisting of a disk sample and a four-probe array. Measurements are made on isotropic graphite disks and crystalline ITO films. Factor F can correct the apparent variations of the data and lead to reasonable resistivities and sheet resistances. Here factor F is compared to other correction factors; i.e. FASTM and FJIS.

  12. Thirty-Seven Years of Service with ESO!

    Science.gov (United States)

    Breysacher, J.

    2002-12-01

    On December 1st, 2002, after thirty- seven years of service, first in Chile and then in Garching, Ms. Christa Euler will leave ESO to enjoy a welldeserved retirement. Among the current staff, she is probably the only person who started her career at ESO just four years after the Organization was founded.

  13. Isolation and Determination of Antibiotic Resistance Patterns in Nontyphoid Salmonella spp isolated from chicken

    Directory of Open Access Journals (Sweden)

    Seyyedeh Hoorieh Fallah

    2013-01-01

    Full Text Available Background: Salmonellosis is one of the most common food borne diseases in industrial and developing countries. In recent years, an increase in antimicrobial drug resistance, among non-typhoid Salmonella spp has been observed. Objectives: The aim of this study was to isolate and determine antibiotic resistance pattern in non-typhoid Salmonella spp. Materials and Methods: This descriptive study was done on 100 samples of chickens collected from 196 retail markets and was examined for the presence of Salmonella using standard bacteriological procedures and stereotyping kit. Antimicrobial susceptibility testing was performed by disk diffusion methods according to the National Committee for Clinical Laboratory Standards (CLSI. The data were analyzed by using the SPSS software version 18. Result: Forty- four percent of samples were contaminated with Salmonella infection and 56% didn’t have any contamination. The stereotyping results showed that 34 of 44 isolates of Salmonella belonged to Salmonella infantis (79.5 %, one strain (2.3% of group C and 8 strain (18.2% of group D. However, all these strains were sensitive to Cefotaxime and Ciprofloxacin, and 100% were resistant to Nalidixic acid, Tetracyclin and Sterptomycin. The most common resistance pattern (34.1% was towards six antibiotics, and 6.8% of strains were resistant to at least three antibiotics. Conclusion: High levels of resistance to antibiotics that are used commonly for human and poultry can be a warning for our community health and this information must be used to form important strategies for improvement of infection control.

  14. Fusarium head blight resistance and mycotoxin profiles of four Triticum species genotypes

    Directory of Open Access Journals (Sweden)

    Tomasz GÓRAL

    2017-05-01

    Full Text Available Fusarium head blight (FHB resistance was evaluated for accessions of four Triticum species, including bread wheat (modern and old cultivars, spelt, emmer, and einkorn. Fusarium head infection, Fusarium kernel damage and accumulation of trichothecene toxins (deoxynivalenol, nivalenol in grains were analysed. Modern bread wheat cultivars were the most susceptible to head infection, and emmer and einkorn accessions were the most resistant. Kernel damage was the least for emmer and spelt and greatest for bread wheat. No significant differences between the four host species were observed for toxin accumulation. However, the greatest amounts of deoxynivalenol were detected in the grains of modern wheat cultivars and the least in old bread wheat cultivars. The greatest amount of nivalenol was detected in einkorn grains and the least in old bread wheat cultivars. Wide variability of resistance of all types in all four species was observed. Accessions resistant to FHB and toxin accumulation in grains were identified.

  15. Changes in Bacterial Resistance Patterns of Pediatric Urinary Tract Infections and Rationale for Empirical Antibiotic Therapy

    Directory of Open Access Journals (Sweden)

    İbrahim Gökçe

    2017-10-01

    Full Text Available Background: The causative agent spectrum and resistance patterns of urinary tract infections in children are affected by many factors. Aims: To demonstrate antibiotic resistance in urinary tract infections and changing ratio in antibiotic resistance by years. Study Design: Retrospective cross-sectional study. Methods: We analysed antibiotic resistance patterns of isolated Gram (- bacteria during the years 2011-2014 (study period 2 in children with urinary tract infections. We compared these findings with data collected in the same centre in 2001-2003 (study period 1. Results: Four hundred and sixty-five uncomplicated community-acquired Gram (- urinary tract infections were analysed from 2001-2003 and 400 from 2011-2014. Sixty-one percent of patients were female (1.5 girls : 1 boy. The mean age of children included in the study was 3 years and 9 months. Escherichia coli was the predominant bacteria isolated during both periods of the study (60% in study period 1 and 73% in study period 2. Bacteria other than E. coli demonstrated a higher level of resistance to all of the antimicrobials except trimethoprim-sulfamethoxazole than E. coli bacteria during the years 2011-2014. In our study, we found increasing resistance trends of urinary pathogens for cefixime (from 1% to 15%, p0.05. Conclusion: In childhood urinary tract infections, antibiotic resistance should be evaluated periodically and empiric antimicrobial therapy should be decided according to antibiotic sensitivity results

  16. Patterning characteristics of a chemically-amplified negative resist in synchrotron radiation lithography

    International Nuclear Information System (INIS)

    Deguchi, Kimiyoshi; Miyoshi, Kazunori; Ishii, Tetsuyoshi; Matsuda, Tadahito

    1992-01-01

    To explore the applicability of synchrotron radiation X-ray lithography for fabricating sub-quartermicron devices, we investigate the patterning characteristics of the chemically-amplified negative resist SAL601-ER7. Since these characteristics depend strongly on the conditions of the chemical amplification process, the effects of post-exposure baking and developing conditions on sensitivity and resolution are examined. The resolution-limiting factors are investigated, revealing that pattern collapse during the development process and fog caused by Fresnel diffraction, photo-electron scattering, and acid diffusion in the resist determine the resolution and the maximum aspect ratio of the lines and spaces pattern. Using the model of a swaying beam supported at one end, it is shown that pattern collapse depends on the resist pattern's flexural stiffness. Patterning stability, which depends on the delay time between exposure and baking, is also discussed. (author)

  17. Capacitively coupled and direct-current resistivity surveys of selected reaches of Cozad, Thirty-Mile, Orchard-Alfalfa, Kearney, and Outlet Canals in Nebraska, 2012-13

    Science.gov (United States)

    Hobza, Christopher M.; Burton, Bethany L.; Lucius, Jeffrey E.; Tompkins, Ryan E.

    2014-01-01

    Understanding the spatial characteristics of leakage from canals is critical to effectively managing and utilizing water resources for irrigation and hydroelectric purposes. Canal leakage in some parts of Nebraska is the primary source of water for groundwater recharge and helps maintain the base flow of streams. Because surface-water supplies depend on the streamflow of the Platte River and the available water stored in upstream reservoirs, water managers seek to minimize conveyance losses, which can include canal leakage. The U.S. Geological Survey, in cooperation with the Central Platte Natural Resources District and Nebraska Public Power District, used capacitively coupled (CC) and direct-current (DC) resistivity techniques for continuous resistivity profiling to map near-surface lithologies near and underlying the Cozad, Thirty-Mile, Orchard-Alfalfa, Kearney, and Outlet Canals. Approximately 84 kilometers (km) of CC-resistivity data were collected along the five canals. The CC-resistivity data were compared with results from continuous sediment cores and electrical conductivity logs. Generally, the highest resistivities were recorded at the upstream reaches of the Cozad, Thirty-Mile, and Orchard-Alfalfa canals where flood-plain deposits of silt and clay mantle coarser channel deposits of sand and gravel. The finer grained deposits gradually thicken with increasing distance away from the Platte River. Consequently, for many surveyed reaches the thickness of fine-grained deposits exceeded the 8-meter depth of investigation. A detailed geophysical investigation along a 5-km reach of the Outlet Canal southwest of North Platte, Nebraska, used CC and DC resistivity to examine the condition of a compacted-core bank structure and characterized other potential controls on areas of focused seepage. CC-resistivity data, collected along the 5-km study reach, were compared with continuous sediment cores and DC-resistivity data collected near a selected seep near Outlet

  18. Antibacterial resistance patterns of pediatric community-acquired urinary infection: Overview.

    Science.gov (United States)

    Konca, Capan; Tekin, Mehmet; Uckardes, Fatih; Akgun, Sadik; Almis, Habip; Bucak, Ibrahim Hakan; Genc, Yeliz; Turgut, Mehmet

    2017-03-01

    Urinary tract infection (UTI) is common in children. The aim of this study was therefor to construct a guide for the empirical antibiotic treatment of community-acquired UTI by investigating the etiology and antimicrobial resistance patterns of uropathogens and analyzing the epidemiological and clinical patient characteristics. A total of 158 children with positive urine culture were included in the study. Antibiotic susceptibility testing was performed with Vitek 2 Compact for 28 commonly used antimicrobials. Mean age was 3.36 ± 3.38 years (range, 45 days-15 years). Escherichia coli (60.1%), and Klebsiella spp. (16.5%) were the most common uropathogens. For all Gram-negative isolates, a high level of resistance was found against ampicillin/sulbactam (60.1%), trimethoprim/sulfamethoxazole (44.2%), cefazolin (36.2%), cefuroxime sodium (33.5%), and amoxicillin/clavulanate (31.5%). A low level of resistance was noted against cefepime (8.7%), ertapenem (4.6%), norfloxacin (1.3%), and meropenem (0.7%). There was no resistance against amikacin. There is high antibiotic resistance in children with UTI. The patterns of uropathogen antimicrobial resistance vary in susceptibility to antimicrobials depending on region and time. Thus, the trends of antibiotic susceptibility patterns should be analyzed periodically to select the appropriate regimen for UTI treatment. © 2016 Japan Pediatric Society.

  19. Antibiotic resistant pattern of methicillin resistant and sensitive Staphylococcus aureus isolated from patients durining 2009-2010, Ahvaz, Iran.

    Directory of Open Access Journals (Sweden)

    N Parhizgari

    2013-12-01

    Full Text Available Abstract Background & aim: Staphylococcus aureus is one of the most important nosocomial infecting agents resistant to commonly used antibiotics. Nowadays, methicillin-resistant S. aureus (MRSA is considered one of the main causes of nosocomial infections. The aim of this study was to identify the antibiotic resistance pattern of methicicllin- resistant and susceptible strains in Ahwaz, Iran. Methods: In the present cross - sectional study, a number of 255 clinically suspected cases of Staphylococcus aureus were collected during a 19 month period. The bacteria were investigated using standard biochemical tests such as catalase, mannitol fermentation, coagulase and Dnase. Sensitive strains were confirmed by disk diffusion method compared to commonly used antibiotics. The collected data were analyzed using descriptive statistical tests. Results: of 255 suspected cases, 180 were confirmed as S.aureus, a total of 59 strains of S. aureus (2/37 percent were resistant to methicillin. Resistance to S. aureus strains resistant to methicillin included: chloramphenicol (3.38%, rifampin (45.76%, norfloxacin (89.83%, gentamicin (89.83%, ciprofloxacin, (91.52%, azithromycin, (88.13%, cotrimoxazole (86.44% and all isolates strains were sensitive to vancomycin and nitrofurantoin. A total of 10 different patterns of antibiotic resistance in methicillin-resistant Staphylococcus aureus strains were identified. Conclusion: Expression of new resistance factor in nosocomial infection is one of the major challenges in treating these infections. This study showed a high prevalence of resistance against some class of antibiotics in MRSA isolated from Imam Khomeini and Golestan hospital of Ahwaz, Iran. Key words: Nosocomial infection, Methicillin Resistant Staphylococcus aureus (MRSA, Antibiotic Resistant Pattern

  20. Prevalence and antimicrobial resistance pattern of coagulase ...

    African Journals Online (AJOL)

    Prevalence and antimicrobial resistance pattern of coagulase negative Staphylococci isolated from pigs and in-contact humans in Jos Metropolis, Nigeria. ... (53/401) of the isolates were CoNS species based on confirmatory test with Microgen biochemical kit and were further subjected to antibiotic susceptibility testing.

  1. Prevalence of current patterns and predictive trends of multidrug-resistant Salmonella Typhi in Sudan.

    Science.gov (United States)

    Elshayeb, Ayman A; Ahmed, Abdelazim A; El Siddig, Marmar A; El Hussien, Adil A

    2017-11-14

    Enteric fever has persistence of great impact in Sudanese public health especially during rainy season when the causative agent Salmonella enterica serovar Typhi possesses pan endemic patterns in most regions of Sudan - Khartoum. The present study aims to assess the recent state of antibiotics susceptibility of Salmonella Typhi with special concern to multidrug resistance strains and predict the emergence of new resistant patterns and outbreaks. Salmonella Typhi strains were isolated and identified according to the guidelines of the International Standardization Organization and the World Health Organization. The antibiotics susceptibilities were tested using the recommendations of the Clinical Laboratories Standards Institute. Predictions of emerging resistant bacteria patterns and outbreaks in Sudan were done using logistic regression, forecasting linear equations and in silico simulations models. A total of 124 antibiotics resistant Salmonella Typhi strains categorized in 12 average groups were isolated, different patterns of resistance statistically calculated by (y = ax - b). Minimum bactericidal concentration's predication of resistance was given the exponential trend (y = n e x ) and the predictive coefficient R 2  > 0 current antimicrobial drug resistance patterns of community-acquired agents causing outbreaks.

  2. Diversity and antibiotic resistance patterns of Sphingomonadaceae isolates from drinking water.

    Science.gov (United States)

    Vaz-Moreira, Ivone; Nunes, Olga C; Manaia, Célia M

    2011-08-15

    Sphingomonadaceae (n = 86) were isolated from a drinking water treatment plant (n = 6), tap water (n = 55), cup fillers for dental chairs (n = 21), and a water demineralization filter (n = 4). The bacterial isolates were identified based on analysis of the 16S rRNA gene sequence, and intraspecies variation was assessed on the basis of atpD gene sequence analysis. The isolates were identified as members of the genera Sphingomonas (n = 27), Sphingobium (n = 28), Novosphingobium (n = 12), Sphingopyxis (n = 7), and Blastomonas (n = 12). The patterns of susceptibility to five classes of antibiotics were analyzed and compared for the different sites of isolation and taxonomic groups. Colistin resistance was observed to be intrinsic (92%). The highest antibiotic resistance prevalence values were observed in members of the genera Sphingomonas and Sphingobium and for beta-lactams, ciprofloxacin, and cotrimoxazole. In tap water and in water from dental chairs, antibiotic resistance was more prevalent than in the other samples, mainly due to the predominance of isolates of the genera Sphingomonas and Sphingobium. These two genera presented distinct patterns of association with antibiotic resistance, suggesting different paths of resistance development. Antibiotic resistance patterns were often related to the species rather than to the site or strain, suggesting the importance of vertical resistance transmission in these bacteria. This is the first study demonstrating that members of the family Sphingomonadaceae are potential reservoirs of antibiotic resistance in drinking water.

  3. Diversity and Antibiotic Resistance Patterns of Sphingomonadaceae Isolates from Drinking Water▿

    Science.gov (United States)

    Vaz-Moreira, Ivone; Nunes, Olga C.; Manaia, Célia M.

    2011-01-01

    Sphingomonadaceae (n = 86) were isolated from a drinking water treatment plant (n = 6), tap water (n = 55), cup fillers for dental chairs (n = 21), and a water demineralization filter (n = 4). The bacterial isolates were identified based on analysis of the 16S rRNA gene sequence, and intraspecies variation was assessed on the basis of atpD gene sequence analysis. The isolates were identified as members of the genera Sphingomonas (n = 27), Sphingobium (n = 28), Novosphingobium (n = 12), Sphingopyxis (n = 7), and Blastomonas (n = 12). The patterns of susceptibility to five classes of antibiotics were analyzed and compared for the different sites of isolation and taxonomic groups. Colistin resistance was observed to be intrinsic (92%). The highest antibiotic resistance prevalence values were observed in members of the genera Sphingomonas and Sphingobium and for beta-lactams, ciprofloxacin, and cotrimoxazole. In tap water and in water from dental chairs, antibiotic resistance was more prevalent than in the other samples, mainly due to the predominance of isolates of the genera Sphingomonas and Sphingobium. These two genera presented distinct patterns of association with antibiotic resistance, suggesting different paths of resistance development. Antibiotic resistance patterns were often related to the species rather than to the site or strain, suggesting the importance of vertical resistance transmission in these bacteria. This is the first study demonstrating that members of the family Sphingomonadaceae are potential reservoirs of antibiotic resistance in drinking water. PMID:21705522

  4. Drug Resistance Patterns of Escherichia coli in Ethiopia: A Meta-Analysis.

    Science.gov (United States)

    Tuem, Kald Beshir; Gebre, Abadi Kahsu; Atey, Tesfay Mehari; Bitew, Helen; Yimer, Ebrahim M; Berhe, Derbew Fikadu

    2018-01-01

    Antimicrobial drug resistance is a global threat for treatment of infectious diseases and costs life and money and threatens health delivery system's effectiveness. The resistance of E. coli to frequently utilized antimicrobial drugs is becoming a major challenge in Ethiopia. However, there is no inclusive countrywide study. Therefore, this study intended to assess the prevalence of E. coli resistance and antimicrobial-specific resistance pattern among E. coli clinical isolates in Ethiopia. Articles were retrieved from PubMed, Embase, and grey literature from 2007 to 2017. The main outcome measures were overall E. coli and drug-specific resistance patterns. A random-effects model was used to determine pooled prevalence with 95% confidence interval (CI), using DerSimonian and Laird method. In addition, subgroup analysis was conducted to improve the outcome. The study bias was assessed by Begg's funnel plot. This study was registered in PROSPERO as follows: PROSPERO 2017: CRD42017070106. Of 164 articles retrieved, 35 articles were included. A total of 19,235 study samples participated in the studies and 2,635 E. coli strains were isolated. Overall, E. coli antibacterial resistance was 45.38% (95% confidence interval (CI): 33.50 to 57.27). The resistance pattern ranges from 62.55% in Addis Ababa to 27.51% in Tigray region. The highest resistance of E. coli reported was to ampicillin (83.81%) and amoxicillin (75.79%), whereas only 13.55% of E. coli isolates showed resistance to nitrofurantoin. E. coli antimicrobial resistance remains high with disparities observed among regions. The bacterium was found to be highly resistant to aminopenicillins. The finding implies the need for effective prevention strategies for the E. coli drug resistance and calls for multifaceted approaches with full involvement of all stakeholders.

  5. A Computational Study of Nasal Spray Deposition Pattern in Four Ethnic Groups.

    Science.gov (United States)

    Keeler, Jarrod A; Patki, Aniruddha; Woodard, Charles R; Frank-Ito, Dennis O

    2016-04-01

    Very little is known about the role of nasal morphology due to ethnic variation on particle deposition pattern in the sinonasal cavity. This preliminary study utilizes computational fluid dynamics (CFD) modeling to investigate sinonasal airway morphology and deposition patterns of intranasal sprayed particles in the nose and sinuses of individuals from four different ethnic groups: African American (Black); Asian; Caucasian; and Latin American. Sixteen subjects (four from each ethnic group) with "normal" sinus protocol computed tomography (CT) were selected for CFD analysis. Three-dimensional reconstruction of each subject's sinonasal cavity was created from their personal CT images. CFD simulations were carried out in ANSYS Fluent(™) in two phases: airflow phase was done by numerically solving the Navier-Stokes equations for steady state laminar inhalation; and particle dispersed phase was solved by tracking injected (sprayed) particles through the calculated airflow field. A total of 10,000 particle streams were released from each nostril, 1000 particles per diameter ranging from 5 μm to 50 μm, with size increments of 5 μm. As reported in the literature, Caucasians (5.31 ± 0.42 cm(-1)) and Latin Americans (5.16 ± 0.40cm(-1)) had the highest surface area to volume ratio, while African Americans had highest nasal index (95.91 ± 2.22). Nasal resistance (NR) was highest among Caucasians (0.046 ± 0.008 Pa.s/mL) and Asians (0.042 ± 0.016Pa.s/mL). Asians and African Americans had the most regions with particle deposition for small (5 μm-15 μm) and large (20 μm-50 μm) particle sizes, respectively. Asians and Latin Americans individuals had the most consistent regional particle deposition pattern in the main nasal cavities within their respective ethnic groups. Preliminary results from these ethnic groups investigated showed that Caucasians and Latin Americans had the least patent nasal cavity. Furthermore, Caucasians

  6. Antibiotic resistance pattern in uropathogens

    Directory of Open Access Journals (Sweden)

    Gupta V

    2002-01-01

    Full Text Available Uropathogenic strains from inpatient and outpatient departments were studied from April 1997 to March 1999 for their susceptibility profiles. The various isolates were Escherichia coli, Klebsiella pneumoniae, Pseudomonas aeruginosa, Proteus mirabilis, Acinetobacter baumanii and Enterococcus faecalis. Antibiotic susceptibility pattern of these isolates revealed that for outpatients, first generation cephalosporins, nitrofurantoin, norfloxacin/ciprofloxacin were effective for treatment of urinary tract infection but for inpatients, parenteral therapy with newer aminoglycosides and third generation cephalosporins need to be advocated as the organisms for nosocomial UTI exhibit a high degree of drug resistance. Trimethoprim and sulphamethoxazole combination was not found to be effective for the treatment of urinary tract infections as all the uropathogens from inpatients and outpatients showed high degree of resistance to co-trimoxazole. Culture and sensitivity of the isolates from urine samples should be done as a routine before advocating the therapy.

  7. ArF photo resist pattern sample preparation method using FIB without protective coating

    Science.gov (United States)

    Okushima, Hirohisa; Onozuka, Toshihiko; Kuroda, Yasushi; Yaguchi, Toshie; Umemura, Kaoru; Tamochi, Ryuichiro; Watanabe, Kenji; Hasegawa, Norio; Kawata, Isao; Rijpers, Bart

    2006-03-01

    This paper presents a novel method of FIB (FIB: focused ion beam) sample preparation to accurately evaluate critical dimensions and profiles of ArF photo resist patterns without the use of a protective coating on the photo resist. In order to accomplish this, the FIB micro-sampling method that is one of effective FIB milling and fabrication method was employed. First a Si cap is picked up from a silicon wafer and fixed to ArF photo resist patterns to protect against ion beam irradiation. Then, a micro-sample, a piece of Si-capped ArF photo resist, was extracted from the bulk ArF photo resist. In this procedure, this silicon cap always protects ArF photo resist patterns against ion beam irradiation. For the next step, the micro-sample is fixed to a needle stub of the FIB-STEM (STEM: scanning transmission electron microscopy) compatible rotation holder. This sample on the needle stub was rotated 180 degrees and milled from the side of Si substrate. Lastly, the sample is milled to the thickness of 2μm. In this process, the ion beam is irradiating from the silicon substrate side to minimize the ion beam irradiation damages on the ArF photo resist patterns. EDX (EDX: Energy dispersive X-ray spectroscopy) analysis proved that no gallium ions were detected on the surface of the ArF photo resist patterns. The feasibility of high accelerating voltage observation of STEM to observe line edge roughness of a thick sample like 2μm without shrinkage has been demonstrated.

  8. Molecular characterization of four beta-tubulin genes from dinitroaniline susceptible and resistant biotypes of Eleusine indica.

    Science.gov (United States)

    Yamamoto, E; Baird, W V

    1999-01-01

    Dinitroaniline herbicides are antimicrotubule drugs that bind to tubulins and inhibit polymerization. As a result of repeated application of dinitroaniline herbicides, resistant biotypes of goosegrass (Eleusine indica) developed in previously susceptible wild-type populations. We have previously reported that alpha-tubulin missense mutations correlate with dinitroaniline response phenotypes (Drp) (Plant Cell 10: 297-308, 1998). In order to ascertain associations of other tubulins with dinitroaniline resistance, four beta-tubulin cDNA classes (designated TUB1, TUB2, TUB3, and TUB4) were isolated from dinitroaniline-susceptible and -resistant biotypes. Sequence analysis of the four beta-tubulin cDNA classes identified no missense mutations. Identified nucleotide substitutions did not result in amino acid replacements. These results suggest that the molecular basis of dinitroaniline resistance in goosegrass differs from those of colchicine/dinitroaniline cross-resistant Chlamydomonas reinhardtii and benzimidazole-resistant fungi and yeast. Expression of the four beta-tubulins was highest in inflorescences. This is in contrast to alpha-tubulin TUA1 that is expressed predominantly in roots. Collectively, these results imply that beta-tubulin genes are not associated with dinitroaniline resistance in goosegrass. Phylogenetic analysis of the four beta-tubulins, together with three alpha-tubulins, suggests that the resistant biotype developed independently in multiple locations rather than spreading from one location.

  9. Genetic architecture of fusarium head blight resistance in four winter triticale populations.

    Science.gov (United States)

    Kalih, R; Maurer, H P; Miedaner, T

    2015-03-01

    Fusarium head blight (FHB) is a devastating disease that causes significant reductions in yield and quality in wheat, rye, and triticale. In triticale, knowledge of the genetic architecture of FHB resistance is missing but essential due to modern breeding requirements. In our study, four doubled-haploid triticale populations (N=120 to 200) were evaluated for resistance to FHB caused by artificial inoculation with Fusarium culmorum in four environments. DArT markers were used to genotype triticale populations. Seventeen quantitative trait loci (QTL) for FHB resistance were detected across all populations; six of them were derived from rye genome and located on chromosomes 4R, 5R, and 7R, which are here reported for the first time. The total cross-validated ratio of the explained phenotypic variance for all detected QTL in each population was 41 to 68%. In all, 17 QTL for plant height and 18 QTL for heading stage were also detected across all populations; 3 and 5 of them, respectively, were overlapping with QTL for FHB. In conclusion, FHB resistance in triticale is caused by a multitude of QTL, and pyramiding them contributes to higher resistance.

  10. Conjunctival bacterial flora and antibiotic resistance pattern in patients undergoing cataract surgery

    Directory of Open Access Journals (Sweden)

    Arantes Tiago Eugênio Faria e

    2006-01-01

    Full Text Available PURPOSE: To evaluate the conjunctival bacterial flora and its antibiotic resistance pattern in eyes of patients undergoing cataract surgery. METHODS: From August to October 2004, 50 patients undergoing cataract surgery in the "Fundação Altino Ventura", Recife, Brazil, were prospectively evaluated. Conjunctival material was obtained on the day of surgery, before the application of topical anesthetic, antibiotic or povidone-iodine. The collected material was inoculated and bacterioscopic analysis was carried out. In the cases where there was bacterial growth, antibiotic susceptibility tests and cultures, for isolation and identification of the bacteria, were performed. RESULTS: Of the 50 eyes, 43 (86.0% had positive cultures. The coagulase-negative Staphylococcus (CNS, found in 27 (54.0% eyes, was the most frequent organism. More than 90% of the isolates of this bacterium were susceptible to cephalotin, vancomycin, chloramphenicol, ofloxacin and gatifloxacin; 70 to 90% were susceptible to gentamicin, cefotaxime, oxacillin and ciprofloxacin; and less than 70% were sensible to neomycin. Four (10.5% of the bacterial isolates were resistant to four or more antibiotics, two of them were CNS. CONCLUSION: The most frequent bacterium in the conjunctival flora is the coagulase-negative Staphylococcus. The isolates of this organism showed low susceptibility rate to neomycin, and high susceptibility rates to cephalotin, vancomycin, chloramphenicol, ofloxacin and gatifloxacin.

  11. Antimicrobial resistance patterns in outpatient urinary tract infections ...

    African Journals Online (AJOL)

    Background. There is a global emergence of resistance against commonly prescribed antibiotics. Empirical antibiotic prescribing should be guided by local antimicrobial susceptibility patterns. Aim. To identify organisms and determine antibiotic susceptibility in urinary tract infections (UTIs) at 3 Military Hospital, Bloemfontein ...

  12. Resist Parameter Extraction from Line-and-Space Patterns of Chemically Amplified Resist for Extreme Ultraviolet Lithography

    Science.gov (United States)

    Kozawa, Takahiro; Oizumi, Hiroaki; Itani, Toshiro; Tagawa, Seiichi

    2010-11-01

    The development of extreme ultraviolet (EUV) lithography has progressed owing to worldwide effort. As the development status of EUV lithography approaches the requirements for the high-volume production of semiconductor devices with a minimum line width of 22 nm, the extraction of resist parameters becomes increasingly important from the viewpoints of the accurate evaluation of resist materials for resist screening and the accurate process simulation for process and mask designs. In this study, we demonstrated that resist parameters (namely, quencher concentration, acid diffusion constant, proportionality constant of line edge roughness, and dissolution point) can be extracted from the scanning electron microscopy (SEM) images of patterned resists without the knowledge on the details of resist contents using two types of latest EUV resist.

  13. Drug-resistant tuberculosis in Sindh

    International Nuclear Information System (INIS)

    Almani, S.A.; Memon, N.M.; Qureshi, A.F.

    2002-01-01

    Objective: To assess the prevalence of primary and secondary drug resistance amongst the clinical isolates of M.tuberculosis, to identify risk factors and how to overcome this problem. Design: A case series of 50 indoor patients with sputum smear-positive pulmonary tuberculosis. Place and duration of Study: Department of Medicine, Liaquat University of Medical and Health Sciences Jamshoro, Sindh, (Pakistan) from January 1999 to December 2000. Patients and methods: Four first line anti-tuberculous drugs rifampicine, ethambutol and streptomycin were tested for sensitivity pattern. Results: Twelve (26.66%) were sensitive to all four drugs, 12(26.66%) were resistant to one drug, 14 (31.11%) were resistant to two drugs, 2 (4.44%) were resistant to three drugs, and 5(11.11%) were resistant to all four drugs. Resistance to isoniazid was the most common in 27 cases (60%) with primary resistance in 6(13.33%) and secondary resistance in 21(46.66%), followed by resistance to streptomycin in 17 cases (37.77%) with primary resistance in 5(11.11%) and secondary resistance in 12 (26.66%). Resistance to ethambutol in 10 cases (22.22%) and rifampicine in 11 (24.44%) and all cases were secondary. Similarly multi-drugs resistance (MRD) TB was found in 11(24.44%) isolates. Conclusion: This study showed high prevalence of drug resistance among clinical isolates of M. tuberculosis. Their is a need to establish centers at number of places with adequate facilities for susceptibility testing so that the resistant pattern could be ascertained and treatment regimens tailored accordingly. (author)

  14. Prevalence of current patterns and predictive trends of multidrug-resistant Salmonella Typhi in Sudan

    Directory of Open Access Journals (Sweden)

    Ayman A. Elshayeb

    2017-11-01

    Full Text Available Abstract Background Enteric fever has persistence of great impact in Sudanese public health especially during rainy season when the causative agent Salmonella enterica serovar Typhi possesses pan endemic patterns in most regions of Sudan - Khartoum. Objectives The present study aims to assess the recent state of antibiotics susceptibility of Salmonella Typhi with special concern to multidrug resistance strains and predict the emergence of new resistant patterns and outbreaks. Methods Salmonella Typhi strains were isolated and identified according to the guidelines of the International Standardization Organization and the World Health Organization. The antibiotics susceptibilities were tested using the recommendations of the Clinical Laboratories Standards Institute. Predictions of emerging resistant bacteria patterns and outbreaks in Sudan were done using logistic regression, forecasting linear equations and in silico simulations models. Results A total of 124 antibiotics resistant Salmonella Typhi strains categorized in 12 average groups were isolated, different patterns of resistance statistically calculated by (y = ax − b. Minimum bactericidal concentration’s predication of resistance was given the exponential trend (y = n ex and the predictive coefficient R2 > 0 < 1 are approximately alike. It was assumed that resistant bacteria occurred with a constant rate of antibiotic doses during the whole experimental period. Thus, the number of sensitive bacteria decreases at the same rate as resistant occur following term to the modified predictive model which solved computationally. Conclusion This study assesses the prediction of multi-drug resistance among S. Typhi isolates by applying low cost materials and simple statistical methods suitable for the most frequently used antibiotics as typhoid empirical therapy. Therefore, bacterial surveillance systems should be implemented to present data on the aetiology and current

  15. Dietary patterns and the insulin resistance phenotype among non-diabetic adults

    Science.gov (United States)

    Background: Information on the relation between dietary patterns derived by cluster analysis and insulin resistance is scarce. Objective: To compare insulin resistance phenotypes, including waist circumference, body mass index, fasting and 2-hour post-challenge insulin, insulin sensitivity index (I...

  16. Antibiotic resistance patterns of outpatient pediatric urinary tract infections.

    Science.gov (United States)

    Edlin, Rachel S; Shapiro, Daniel J; Hersh, Adam L; Copp, Hillary L

    2013-07-01

    We characterize the current national patterns of antibiotic resistance of outpatient pediatric urinary tract infection. We examined outpatient urinary isolates from patients younger than 18 years in 2009 using The Surveillance Network®, a database with antibiotic susceptibility results and patient demographic data from 195 United States hospitals. We determined the prevalence and antibiotic resistance patterns for the 6 most common uropathogens, ie Escherichia coli, Proteus mirabilis, Klebsiella, Enterobacter, Pseudomonas aeruginosa and Enterococcus. We compared differences in uropathogen prevalence between males and females using chi-square analysis. We identified 25,418 outpatient urinary isolates. E. coli was the most common uropathogen overall but the prevalence of E. coli was higher among females (83%) than males (50%, p Resistance among E. coli was highest for trimethoprim-sulfamethoxazole (24%) but lower for nitrofurantoin (less than 1%) and cephalothin (15%). Compared to 2002 Surveillance Network data, E. coli resistance rates increased for trimethoprim-sulfamethoxazole (from 23% to 31% in males and from 20% to 23% in females) and ciprofloxacin (from 1% to 10% and from 0.6% to 4%, respectively). E. coli remains the most common pediatric uropathogen. Although widely used, trimethoprim-sulfamethoxazole is a poor empirical choice for pediatric urinary tract infections in many areas due to high resistance rates. First-generation cephalosporins and nitrofurantoin are appropriate narrow-spectrum alternatives given their low resistance rates. Local antibiograms should be used to assist with empirical urinary tract infection treatment. Copyright © 2013 American Urological Association Education and Research, Inc. Published by Elsevier Inc. All rights reserved.

  17. Changes in Bacterial Resistance Patterns of Pediatric Urinary Tract Infections and Rationale for Empirical Antibiotic Therapy.

    Science.gov (United States)

    Gökçe, İbrahim; Çiçek, Neslihan; Güven, Serçin; Altuntaş, Ülger; Bıyıklı, Neşe; Yıldız, Nurdan; Alpay, Harika

    2017-09-29

    The causative agent spectrum and resistance patterns of urinary tract infections in children are affected by many factors. To demonstrate antibiotic resistance in urinary tract infections and changing ratio in antibiotic resistance by years. Retrospective cross-sectional study. We analysed antibiotic resistance patterns of isolated Gram (-) bacteria during the years 2011-2014 (study period 2) in children with urinary tract infections. We compared these findings with data collected in the same centre in 2001-2003 (study period 1). Four hundred and sixty-five uncomplicated community-acquired Gram (-) urinary tract infections were analysed from 2001-2003 and 400 from 2011-2014. Sixty-one percent of patients were female (1.5 girls : 1 boy). The mean age of children included in the study was 3 years and 9 months. Escherichia coli was the predominant bacteria isolated during both periods of the study (60% in study period 1 and 73% in study period 2). Bacteria other than E. coli demonstrated a higher level of resistance to all of the antimicrobials except trimethoprim-sulfamethoxazole than E. coli bacteria during the years 2011-2014. In our study, we found increasing resistance trends of urinary pathogens for cefixime (from 1% to 15%, pUrinary pathogens showed a decreasing trend for nitrofurantoin (from 17% to 7%, p=0.0001). No significant trends were detected for ampicillin (from 69% to 71%), amoxicillin-clavulanate (from 44% to 43%), cefazolin (from 39% to 32%), trimethoprim-sulfamethoxazole (from 32% to 31%), cefuroxime (from 21% to 18%) and ceftriaxone (from 10% to 14%) between the two periods (p>0.05). In childhood urinary tract infections, antibiotic resistance should be evaluated periodically and empiric antimicrobial therapy should be decided according to antibiotic sensitivity results.

  18. Highly efficient silver patterning without photo-resist using simple silver precursors

    International Nuclear Information System (INIS)

    Byun, Younghun; Hwang, Eoc-Chae; Lee, Sang-Yun; Lyu, Yi-Yeol; Yim, Jin-Heong; Kim, Jin-Young; Chang, Seok; Pu, Lyong Sun; Kim, Ji Man

    2005-01-01

    Highly efficient method for silver patterning without photo-resist was developed by using high photosensitive organo-silver precursors, which were prepared by a simple reaction of silver salts and excess of amines. The FT-IR and GC-MS spectra were recorded depending on UV exposure time, for (n-PrNH 2 )Ag(NO 3 ).0.5MeCN and (n-PrNH 2 )Ag(NO 2 ).0.5MeCN, to understand the photolysis mechanism. The results indicate not only dissociation of coordinated amine and acetonitrile, but also decomposition of corresponding anion upon UV irradiation. When a precursor thin film was exposed to broadband UV irradiation, a partially reduced and insoluble silver species were formed within several minutes. After development, the irradiated areas were treated with a reducing agent to obtain pure metallic patterns. Subsequently, annealing step was followed at 100-350 deg. C to increase the adhesion of interface and cohesion of silver particles. The line resolution of 5 μm was obtained by the present silver precursors. Film thickness was also controllable from 50 to 250 nm by repetition of the above procedure. The average electrical conductivity was in the range of 3-43 Ω cm, measured by four-point probe technique. AES depth profile of the silver pattern thus obtained showed carbon and oxygen contents are less than 1% through the whole range. Even though sulfur contaminant exists on the surface, it was believed that nearly pure silver pattern was generated

  19. Antibiotic-Impregnated Central Venous Catheters Do Not Change Antibiotic Resistance Patterns.

    Science.gov (United States)

    Turnbull, Isaiah R; Buckman, Sara A; Horn, Christopher B; Bochicchio, Grant V; Mazuski, John E

    2018-01-01

    Antibiotic-impregnated central venous catheters (CVCs) decrease the incidence of infection in high-risk patients. However, use of these catheters carries the hypothetical risk of inducing antibiotic resistance. We hypothesized that routine use of minocycline and rifampin-impregnated catheters (MR-CVC) in a single intensive care unit (ICU) would change the resistance profile for Staphylococcus aureus. We reviewed antibiotic susceptibilities of S. aureus isolates obtained from blood cultures in a large urban teaching hospital from 2002-2015. Resistance patterns were compared before and after implementation of MR-CVC use in the surgical ICU (SICU) in August 2006. We also compared resistance patterns of S. aureus obtained in other ICUs and in non-ICU patients, in whom MR-CVCs were not used. Data for rifampin, oxacillin, and clindamycin were available for 9,703 cultures; tetracycline resistance data were available for 4,627 cultures. After implementation of MR-CVC use in the SICU, rifampin resistance remained unchanged, with rates the same as in other ICU and non-ICU populations (3%). After six years of use of MR-CVCs in the SICU, the rate of tetracycline resistance was unchanged in all facilities (1%-3%). The use of MR-CVCs was not associated with any change in S. aureus oxacillin-resistance rates in the SICU (66% vs. 60%). However, there was a significant decrease in S. aureus clindamycin resistance (59% vs. 34%; p resistance of S. aureus isolates to rifampin or tetracyclines.

  20. Antibiotic resistance patterns of pediatric community-acquired urinary infections

    OpenAIRE

    Guidoni, Eliana Biondi Medeiros; Berezin, Eitan N.; Nigro, Stanley; Santiago, Nataly A; Benini, Vanda; Toporovski, Julio

    2008-01-01

    Knowledge about antimicrobial resistance patterns of the etiological agents of urinary tract infections (UTIs) is essential for appropriate therapy. Urinary isolates from symptomatic UTI cases attended at Santa Casa University Hospital of São Paulo from August 1986 to December 1989 and August 2004 to December 2005 were identified by conventional methods. Antimicrobial resistance testing was performed by Kirby Bauer's disc diffusion method. Among the 257 children, E. coli was found in 77%. A h...

  1. Multidrug-resistant pattern of food borne illness associated bacteria ...

    African Journals Online (AJOL)

    This study aimed at determining anti-microbial resistance pattern of food borne illness ... bial drugs in the pharmaceutical pipeline.2 The effective- ness of ... Materials and methods ... selected based on local availability, clinical efficiency, liter-.

  2. Patterns in Soil Electrical Resistivity Across Land Uses in the Calhoun Critical Zone Observatory Landscape

    Science.gov (United States)

    Markewitz, D.; Sutter, L.; Richter, D. D., Jr.

    2017-12-01

    Soil Electrical Resistivity Tomography (ERT) was measured across the Calhoun Critical Zone Observatory in relation to land use cover. ERT can help identify patterns in soil and saprolite physical attributes and moisture content through multiple meters. ERT data were generated with an AGI Supersting R8 with a 28 probe dipole-dipole array on a 1.5 meter spacing providing information through the upper 9 m. In Nov/Dec 2016 ten soil pits were dug to 3m depth in agricultural fields, pine forests, and hardwood forests across the CCZO and ERT measures were taken centered on these pits. ERT values ranged from 200 to 2500 Ohm-m. ERT patterns in the agricultural field demonstrated a limited resistivity gradient (200-700 Ohm-m) appearing moist throughout. In contrast, research areas under pine and hardwood forest had stronger resistivity gradients reflecting both moisture and physical attributes (i.e., texture or rock content). For example, research area 2 under pine had an area of higher resistivity that correlated with a band of saprolite that was readily visible in the exposed profile. In research area 7 and 8 that included both pine and hardwood forest resistivity gradients had contradictory patterns of high to low resistivity from top to bottom. In research area 7 resistivity was highest at the surface and decreased with depth, a common pattern when water table is at depth. In research area 8 the inverse was observed with low resistivity above and resistivity increasing with depth, a pattern observed in upper landscape positions on ridges with moist clay above dry saprolite. ERT patterns did reflect a large difference in the measured agricultural fields compared to forest while other difference appeared to reflect landscape position.

  3. Antibiotic resistant pattern of environmental isolates of Listeria ...

    African Journals Online (AJOL)

    Incidence of Listeria monocytogenes in cow manure, agricultural soil, and common vegetables sold in major markets in Ado-Ekiti, Nigeria was determined. Antibiotic resistant pattern of the isolates was examined by paper disk assay. A total of 196 environmental samples were cultured on a selective medium out of which ...

  4. Increasing resistance to quinolones: A four-year prospective study of urinary tract infection pathogens

    Directory of Open Access Journals (Sweden)

    Orhiosefe Omigie

    2009-08-01

    Full Text Available Orhiosefe Omigie, Lawrence Okoror, Patience Umolu, Gladys IkuuhDepartment of Microbiology, Ambrose Alli University, Ekpoma, NigeriaAbstract: A four-year prospective study was carried out to determine the incidence and rate of development of resistance by common urinary tract infection (UTI pathogens to quinolone antimicrobial agents. Results show that there is high intrinsic resistance to the quinolones among strains of Pseudomonas aeruginosa (43.4%, Escherichia coli (26.3%, and Proteus spp. (17.1%. Over four years, rising rates of resistance were observed in P. aeruginosa (14.6% increase, Staphylococcus aureus (9.8%, and E. coli (9.7%. The highest potency was exhibited by ciprofloxacin (91.2%, levofloxacin (89.2%, and moxifloxacin (85.1%, while there were high rates of resistance to nalidixic acid (51.7% and pefloxacin (29.0%. Coliforms, particularly E. coli (>45%, remain the most prevalent causative agents of UTI while females within the age range of 20–50 years were most vulnerable to UTI.Keywords: UTI, microorganisms, antibiotics, resistance

  5. Antibiotic resistance patterns of pediatric community-acquired urinary infections

    Directory of Open Access Journals (Sweden)

    Eliana Biondi Medeiros Guidoni

    Full Text Available Knowledge about antimicrobial resistance patterns of the etiological agents of urinary tract infections (UTIs is essential for appropriate therapy. Urinary isolates from symptomatic UTI cases attended at Santa Casa University Hospital of São Paulo from August 1986 to December 1989 and August 2004 to December 2005 were identified by conventional methods. Antimicrobial resistance testing was performed by Kirby Bauer's disc diffusion method. Among the 257 children, E. coli was found in 77%. A high prevalence of resistance was observed against ampicillin and TMP/SMX (55% and 51%. The antibiotic resistance rates for E. coli were: nitrofurantoin (6%, nalidixic acid (14%, 1st generation cephalosporin (13%, 3rd generation cephalosporins (5%, aminoglycosides (2%, norfloxacin (9% and ciprofloxacin (4%. We found that E. coli was the predominant bacterial pathogen of community-acquired UTIs. We also detected increasing resistance to TMP/SMX among UTI pathogens in this population.

  6. Sub-30 nm patterning of molecular resists based on crosslinking through tip based oxidation

    Science.gov (United States)

    Lorenzoni, Matteo; Wagner, Daniel; Neuber, Christian; Schmidt, Hans-Werner; Perez-Murano, Francesc

    2018-06-01

    Oxidation Scanning Probe Lithography (o-SPL) is an established method employed for device patterning at the nanometer scale. It represents a feasible and inexpensive alternative to standard lithographic techniques such as electron beam lithography (EBL) and nanoimprint lithography (NIL). In this work we applied non-contact o-SPL to an engineered class of molecular resists in order to obtain crosslinking by electrochemical driven oxidation. By patterning and developing various resist formulas we were able to obtain a reliable negative tone resist behavior based on local oxidation. Under optimal conditions, directly written patterns can routinely reach sub-30 nm lateral resolution, while the final developed features result wider, approaching 50 nm width.

  7. Drug resistance patterns in pulmonary tuberculosis

    International Nuclear Information System (INIS)

    Khoharo, H.K.; Shaikh, I.A.

    2011-01-01

    Objective: To determine the resistance patterns of mycobacterium tuberculosis (MTB) isolates among category I and II patients of pulmonary tuberculosis. Methods: This cross sectional study was conducted at the Department of Medicine, Liaquat University of Medical and Health Sciences Jamshoro, from November 2008 to September 2009. Patients were divided into category I and II. The sputa were collected, stained with Ziehl-Nielsen (Z-N) staining and ultimately inoculated on Lowenstein-Jensen (L-J) media for six weeks. Out of 890 pulmonary tuberculosis (PTB) patients, the growth was obtained in 285 cases. The Drug sensitivity testing (DST) for Isoniazid (INH), Rifampicin (RIF), Ethambutol (EMB) Pyrazinamide (PZA) and Streptomycin (SM) were performed. The data was analyzed on SPSS 10.0. A p-value of <0.05 was taken as significant. Result: Out of 285 cases, 176 (61.75%) were male and 109 (38.24%) female. The mean age was 37 +- 19.90 years. The DST showed drug sensitive and drug resistant isolates in 80 (28.05%) and 205 (71.92%) cases respectively (p=0.001). The drug resistant tuberculosis (DR-TB) rates for individual drugs; INH, RIF, EMB, PZA and SM were 51,22%, 15.4%, 13.33%, 9%12, and 3.85% respectively (p=0.03). The MDR-TB isolates were detected in 120 (42.10%) cases, including 5 (5.88%) in category I and 115 (57.50%) in category II patients (p=0.0001). Conclusion: Drug resistant and multidrug resistant tuberculosis was observed mainly in category II patients. However, primary MDR was also observed in category I patients and reflects dissemination of MDR cases within the community. (author)

  8. Comparative study of size dependent four-point probe sheet resistance measurement on laser annealed ultra-shallow junctions

    DEFF Research Database (Denmark)

    Petersen, Dirch Hjorth; Lin, Rong; Hansen, Torben Mikael

    2008-01-01

    have been used to characterize the sheet resistance uniformity of millisecond laser annealed USJs. They verify, both experimentally and theoretically, that the probe pitch of a four-point probe can strongly affect the measured sheet resistance. Such effect arises from the sensitivity (or "spot size......In this comparative study, the authors demonstrate the relationship/correlation between macroscopic and microscopic four-point sheet resistance measurements on laser annealed ultra-shallow junctions (USJs). Microfabricated cantilever four-point probes with probe pitch ranging from 1.5 to 500 mu m......") of an in-line four-point probe. Their study shows the benefit of the spatial resolution of the micro four-point probe technique to characterize stitching effects resulting from the laser annealing process....

  9. Intensive care antibiotic consumption and resistance patterns: a cross-correlation analysis

    Directory of Open Access Journals (Sweden)

    Luminita Baditoiu

    2017-11-01

    Full Text Available Abstract Background Over recent decades, a dramatic increase in infections caused by multidrug-resistant pathogens has been observed worldwide. The aim of the present study was to investigate the relationship between local resistance bacterial patterns and antibiotic consumption in an intensive care unit in a Romanian university hospital. Methods A prospective study was conducted between 1st January 2012 and 31st December 2013. Data covering the consumption of antibacterial drugs and the incidence density for the main resistance phenotypes was collected on a monthly basis, and this data was aggregated quarterly. The relationship between the antibiotic consumption and resistance was investigated using cross-correlation, and four regression models were constructed, using the SPSS version 20.0 (IBM, Chicago, IL and the R version 3.2.3 packages. Results During the period studied, the incidence of combined-resistant and carbapenem-resistant P. aeruginosa strains increased significantly [(gradient = 0.78, R2 = 0.707, p = 0.009 (gradient = 0.74, R2 = 0.666, p = 0.013 respectively], mirroring the increase in consumption of β-lactam antibiotics with β-lactamase inhibitors (piperacillin/tazobactam and carbapenems (meropenem [(gradient = 10.91, R2 = 0.698, p = 0.010 and (gradient = 14.63, R2 = 0.753, p = 0.005 respectively]. The highest cross-correlation coefficients for zero time lags were found between combined-resistant vs. penicillins consumption and carbapenem-resistant P. aeruginosa strains vs. carbapenems consumption (0.876 and 0.928, respectively. The best model describing the relation between combined-resistant P. aeruginosa strains and penicillins consumption during a given quarter incorporates both the consumption and the incidence of combined-resistant strains in the hospital department during the previous quarter (multiple R2 = 0.953, p = 0.017. The best model for explaining the carbapenem resistance of P

  10. A comparison of inpatient versus outpatient resistance patterns of pediatric urinary tract infection.

    Science.gov (United States)

    Saperston, Kara N; Shapiro, Daniel J; Hersh, Adam L; Copp, Hillary L

    2014-05-01

    Prior single center studies showed that antibiotic resistance patterns differ between outpatients and inpatients. We compared antibiotic resistance patterns for urinary tract infection between outpatients and inpatients on a national level. We examined outpatient and inpatient urinary isolates from children younger than 18 years using The Surveillance Network (Eurofins Scientific, Luxembourg, Luxembourg), a database of antibiotic susceptibility results, as well as patient demographic data from 195 American hospitals. We determined the prevalence and antibiotic resistance patterns of the 6 most common uropathogens, including Escherichia coli, Proteus mirabilis, Klebsiella, Enterobacter, Pseudomonas aeruginosa and Enterococcus. We compared differences in uropathogen prevalence and resistance patterns for outpatient and inpatient isolates using chi-square analysis. We identified 25,418 outpatient (86% female) and 5,560 inpatient (63% female) urinary isolates. Escherichia coli was the most common uropathogen overall but its prevalence varied by gender and visit setting, that is 79% of uropathogens overall for outpatient isolates, including 83% of females and 50% of males, compared to 54% for overall inpatient isolates, including 64% of females and 37% of males (p resistance to many antibiotics was lower in the outpatient vs inpatient setting, including trimethoprim/sulfamethoxazole 24% vs 30% and cephalothin 16% vs 22% for E. coli (each p resistance rates of several antibiotics are higher for urinary specimens obtained from inpatients vs outpatients. Separate outpatient vs inpatient based antibiograms can aid in empirical prescribing for pediatric urinary tract infections. Copyright © 2014 American Urological Association Education and Research, Inc. Published by Elsevier Inc. All rights reserved.

  11. Changes in Bacterial Resistance Patterns of Pediatric Urinary Tract Infections and Rationale for Empirical Antibiotic Therapy

    OpenAIRE

    İbrahim Gökçe; Neslihan Çiçek; Serçin Güven; Ülger Altuntaş; Neşe Bıyıklı; Nurdan Yıldız; Harika Alpay

    2017-01-01

    Background: The causative agent spectrum and resistance patterns of urinary tract infections in children are affected by many factors. Aims: To demonstrate antibiotic resistance in urinary tract infections and changing ratio in antibiotic resistance by years. Study Design: Retrospective cross-sectional study. Methods: We analysed antibiotic resistance patterns of isolated Gram (-) bacteria during the years 2011-2014 (study period 2) in children with urinary tract infections. We...

  12. Associations of erythrocyte fatty acid patterns with insulin resistance

    Science.gov (United States)

    Background: Synergistic and/or additive effects on cardiometabolic risk may be missed by examining individual fatty acids (FA). A pattern analysis may be a more useful approach. As well, it remains unclear whether erythrocyte fatty acid composition relates to insulin resistance among Hispanic/Latino...

  13. Changing patterns of drug-resistant Shigella isolates in egypt.

    Science.gov (United States)

    Abd-Elmeged, Ghada M; Khairy, Rasha M; Abo-Eloyoon, Sahar M; Abdelwahab, Sayed F

    2015-06-01

    The emergence of multidrug resistance (MDR) is a serious problem in treating shigellosis. There are limited existing data examining the change in the antimicrobial resistance profile of Shigella in Egypt. We previously reported that 58% of the Shigella isolates in Egypt were resistant to at least one member of the three different antimicrobial groups. This study was performed to determine the antimicrobial resistance profile of Shigella, determine their possible mechanisms of resistance, and compare their resistance profile to those reported 20 years ago. Stool samples were collected from 500 subjects and processed for the isolation and identification of Shigella. The susceptibility of the isolates to 11 different antimicrobials was determined using the disc diffusion method. Of 500 stool cultures, 24 (4.8%) samples were positive for Shigella. There was a high percentage of resistance to ampicillin (88%), tetracycline (83%), and sulfamethoxazole-trimethoprim (75%). Also, there was a moderate percentage of resistance to chloramphenicol (46%), streptomycin (42%), ceftazidime (33%), and cefotaxime (25%). A lower percentage of resistance was recorded for amikacin, nalidixic acid (17% each), and ofloxacin (7%), while no resistance was found to ciprofloxacin (0%). Twenty-one of the isolates (88%) were resistant to at least three different antimicrobial groups (indicating MDR). The average number of antimicrobial agents to which the Shigella isolates were resistant was 4.3±1.4, while it was 3.4±1.5 in the same locality in 1994. These data demonstrate that there is a marked increase in MDR and change in the resistance patterns of Shigella over the past 20 years.

  14. Consumption of processed food dietary patterns in four African populations.

    Science.gov (United States)

    Holmes, Michelle D; Dalal, Shona; Sewram, Vikash; Diamond, Megan B; Adebamowo, Sally N; Ajayi, Ikeoluwapo O; Adebamowo, Clement; Chiwanga, Faraja S; Njelekela, Marina; Laurence, Carien; Volmink, Jimmy; Bajunirwe, Francis; Nankya-Mutyoba, Joan; Guwatudde, David; Reid, Todd G; Willett, Walter C; Adami, Hans-Olov; Fung, Teresa T

    2018-06-01

    To identify predominant dietary patterns in four African populations and examine their association with obesity. Cross-sectional study.Setting/SubjectsWe used data from the Africa/Harvard School of Public Health Partnership for Cohort Research and Training (PaCT) pilot study established to investigate the feasibility of a multi-country longitudinal study of non-communicable chronic disease in sub-Saharan Africa. We applied principal component analysis to dietary intake data collected from an FFQ developed for PaCT to ascertain dietary patterns in Tanzania, South Africa, and peri-urban and rural Uganda. The sample consisted of 444 women and 294 men. We identified two dietary patterns: the Mixed Diet pattern characterized by high intakes of unprocessed foods such as vegetables and fresh fish, but also cold cuts and refined grains; and the Processed Diet pattern characterized by high intakes of salad dressing, cold cuts and sweets. Women in the highest tertile of the Processed Diet pattern score were 3·00 times more likely to be overweight (95 % CI 1·66, 5·45; prevalence=74 %) and 4·24 times more likely to be obese (95 % CI 2·23, 8·05; prevalence=44 %) than women in this pattern's lowest tertile (both Pobesity. We identified two major dietary patterns in several African populations, a Mixed Diet pattern and a Processed Diet pattern. The Processed Diet pattern was associated with obesity.

  15. Resistance patterns of bacterial isolates to antimicrobials from 3 hospitals in the United Arab Emirates

    International Nuclear Information System (INIS)

    AlDhaheri, Ahmed S; AlNiyadi, Mohammed S; AlDhaheri Ahmed D; Bastaki, Salim M

    2009-01-01

    To compare the resistance pattern of common bacterial pathogens to commonly used drugs. Information and statistics of antimicrobial resistance for 1994 and 2005 were collected from the 3 hospital microbiology laboratories in the United Arab Emirates. The resistance patterns of Staphylococcus aureus, Escherichia coli, Klebsiella spp, and Pseudomonas aeruginosa to several front-line drugs were estimated. All laboratories used automatic machines (Vitek 2), which identifies and determines minimum inhibitory concentrations simultaneously. Increased resistance was observed for Staphylococcus aureus, (n=315, 2005) to erythromycin (approximately 6 fold, Al-Ain Hospital only), cloxacillin (Al-Ain Hospital), and gentamicin (more than 3-10 folds in all hospitals). Increased penicillin resistance was not observed. For the common Gram-negative organisms, there was a high resistance to ampicillin, gentamicin, ceftriaxone, ciprofloxacin, and imipenem, which seemed to increase for Escherichia coli, (by 4.2-200%, n=305, 2005); however, there was very little resistance to imipenem (0.4%) in Tawam Hospital. Variable resistance patterns were obtained for Pseudomonas aeruginosa (n=316, 2005) and Klebsiella spp,(n=316, 2005) against aminoglycosides, cephalosporins, ciprofloxacin, and norfloxacin. Overall, there was an obvious increase in resistance of bacteria and the prevalence rate to a number of drugs from 1-120 folds during the 11-year period. (author)

  16. Series Resistance Analysis of Passivated Emitter Rear Contact Cells Patterned Using Inkjet Printing

    Directory of Open Access Journals (Sweden)

    Martha A. T. Lenio

    2012-01-01

    Full Text Available For higher-efficiency solar cell structures, such as the Passivated Emitter Rear Contact (PERC cells, to be fabricated in a manufacturing environment, potentially low-cost techniques such as inkjet printing and metal plating are desirable. A common problem that is experienced when fabricating PERC cells is low fill factors due to high series resistance. This paper identifies and attempts to quantify sources of series resistance in inkjet-patterned PERC cells that employ electroless or light-induced nickel-plating techniques followed by copper light-induced plating. Photoluminescence imaging is used to determine locations of series resistance losses in these inkjet-patterned and plated PERC cells.

  17. Characterization and drug resistance patterns of Ewing's sarcoma family tumor cell lines.

    Directory of Open Access Journals (Sweden)

    William A May

    Full Text Available Despite intensive treatment with chemotherapy, radiotherapy and surgery, over 70% of patients with metastatic Ewing's Sarcoma Family of Tumors (EFT will die of their disease. We hypothesize that properly characterized laboratory models reflecting the drug resistance of clinical tumors will facilitate the application of new therapeutic agents to EFT. To determine resistance patterns, we studied newly established EFT cell lines derived from different points in therapy: two established at diagnosis (CHLA-9, CHLA-32, two after chemotherapy and progressive disease (CHLA-10, CHLA-25, and two at relapse after myeloablative therapy and autologous bone marrow transplantation (post-ABMT (CHLA-258, COG-E-352. The new lines were compared to widely studied EFT lines TC-71, TC-32, SK-N-MC, and A-673. These lines were extensively characterized with regard to identity (short tandem repeat (STR analysis, p53, p16/14 status, and EWS/ETS breakpoint and target gene expression profile. The DIMSCAN cytotoxicity assay was used to assess in vitro drug sensitivity to standard chemotherapy agents. No association was found between drug resistance and the expression of EWS/ETS regulated genes in the EFT cell lines. No consistent association was observed between drug sensitivity and p53 functionality or between drug sensitivity and p16/14 functionality across the cell lines. Exposure to chemotherapy prior to cell line initiation correlated with drug resistance of EFT cell lines in 5/8 tested agents at clinically achievable concentrations (CAC or the lower tested concentration (LTC: (cyclophosphamide (as 4-HC and doxorubicin at CAC, etoposide, irinotecan (as SN-38 and melphalan at LTC; P<0.1 for one agent, and P<0.05 for four agents. This panel of well-characterized drug-sensitive and drug-resistant cell lines will facilitate in vitro preclinical testing of new agents for EFT.

  18. In situ analysis of negative-tone resist pattern formation using organic-solvent-based developer process

    Science.gov (United States)

    Santillan, Julius Joseph; Yamada, Keisaku; Itani, Toshiro

    2014-01-01

    In situ resistpattern formation” analysis during the development process using high-speed atomic force microscopy has been improved for application not only for conventional aqueous 0.26 N tetramethylammonium hydroxide (aq. TMAH), but also organic solvent n-butyl acetate (nBA) developers. Comparative investigations of resist dissolution in these developers, using the same resist material (hybrid of polyhydroxystyrene and methacrylate), showed a grainlike, uniform dissolution of the “unexposed resist film” in nBA development and uneven dissolution of the “exposed resist film” in aq. TMAH development. These results suggest the importance of dissolution uniformity in further improving the resulting pattern line width roughness.

  19. Antibiotic Resistance Patterns in Invasive Group B Streptococcal Isolates

    Directory of Open Access Journals (Sweden)

    Mei L. Castor

    2008-01-01

    Full Text Available Antibiotics are used for both group B streptococcal (GBS prevention and treatment. Active population-based surveillance for invasive GBS disease was conducted in four states during 1996—2003. Of 3813 case-isolates, 91.0% (3471 were serotyped, 77.1% (2937 had susceptibility testing, and 46.6% (3471 had both. All were sensitive to penicillin, ampicillin, cefazolin, cefotaxime, and vancomycin. Clindamycin and erythromycin resistance was 12.7% and 25.6%, respectively, and associated with serotype V (P<.001. Clindamycin resistance increased from 10.5% to 15.0% (X2 for trend 12.70; P<.001; inducible clindamycin resistance was associated with the erm genotype. Erythromycin resistance increased from 15.8% to 32.8% (X2 for trend 55.46; P<.001. While GBS remains susceptible to beta-lactams, resistance to alternative agents such as erythromycin and clindamycin is an increasing concern.

  20. Treatment strategy for trigeminal neuralgia: a thirty years experience.

    Science.gov (United States)

    Broggi, Giovanni; Ferroli, Paolo; Franzini, Angelo

    2008-05-01

    Trigeminal neuralgia is an invalidating disease when become drug-resistant. The only possible treatment is surgery with different modalities, percutaneous, open surgery or radiosurgery. The thirty years experience at the Fondazione Istituto Neurologico C. Besta, Milano, Italy suggests that these surgical strategies are successful in pain control in short and long term period in more than 90% of cases, with a low rate of side effects and high improvement of quality of life. The type of surgery should be tailored on the particular patient considering age, general physical condition, neuroradiological assessment in which MRI with dedicated sequences are mandatory, and also patient's attitude.

  1. The Influence of the Basic Styrofoam Patterns Final Shaping Parameters on the Resistance Properties

    Directory of Open Access Journals (Sweden)

    T. Pacyniak

    2012-12-01

    Full Text Available This work presents the analysis of the final shaping process of the patterns aimed at determining the influence of the pressure and the time of sintering on the resistance to bending. The analysis of the research results proved that when the pressure of the sintering rises and reaches Ps=2.1 bar the resistance to bending increases, above this level of the pressure the resistance value starts decreasing. The time of styrofoam sintering at which the highest bending resistance values were obtained is ts=90 s. When the sintering pressure is less than 2 bar prolongation of the time of sintering over 90 s causes a slight increase in the resistance, however, at higher pressures prolongation of the time of sintering causes submelting of the styrofoam pattern.

  2. The Influence of the Basic Styrofoam Patterns Final Shaping Parameters on the Resistance Properties

    Directory of Open Access Journals (Sweden)

    Pacyniak T.

    2012-12-01

    Full Text Available This work presents the analysis of the final shaping process of the patterns aimed at determining the influence of the pressure and the time of sintering on the resistance to bending. The analysis of the research results proved that when the pressure of the sintering rises and reaches Ps=2.1 bar the resistance to bending increases, above this level of the pressure the resistance value starts decreasing. The time of styrofoam sintering at which the highest bending resistance values were obtained is ts=90s. When the sintering pressure is less than 2 bar prolongation of the time of sintering over 90 s causes a slight increase in the resistance, however, at higher pressures prolongation of the time of sintering causes submelting of the styrofoam pattern.

  3. Dietary Patterns, Insulin Resistance, and Incidence of Type 2 Diabetes in the Whitehall II Study

    OpenAIRE

    McNaughton, Sarah A.; Mishra, Gita D.; Brunner, Eric J.

    2008-01-01

    OBJECTIVE?The aim of this study was to identify a dietary pattern associated with insulin resistance and investigate whether this pattern was prospectively associated with type 2 diabetes. RESEARCH DESIGN AND METHODS?Analysis was based on 7,339 participants of the Whitehall II study. Dietary intake was measured using a 127-item food frequency questionnaire. We used the reduced rank regression method to determine dietary patterns using the homeostasis model assessment of insulin resistance as ...

  4. Pattern of antibiotic resistant mastitis in dairy cows

    Directory of Open Access Journals (Sweden)

    D. Chandrasekaran

    2014-06-01

    Full Text Available Aim: To study the prevalence of drug resistant mastitis and their pattern of antibiotic resistance in dairy cows from Tamil Nadu. Materials and Methods: Isolation and identification of resistant pathogens were performed from acute clinical mastitis samples. Based on culture, isolation and sensitivity tests, cows with resistant mastitis were grouped as; Group I: Escherichia coli (n=119, Group II: Staphylococcus aureus (n=104 and Group III: Methicillin-resistant Staphylococcal aureus (MRSA (n=12. The isolates were tested using agar disc diffusion method for their antimicrobial susceptibility and modified resazurin assay microdilution technique for minimum inhibitory concentration (MIC to 8 antimicrobial drugs. The organisms were also confirmed for their identity by performing PCR on the bacterial pellet targeting the specific genes such as 16s-23s rRNA, mecA and blaZ respectively for the resistant pathogens and also confirmed by sequencing. Results: Antibiotic resistant mastitis was detected in 235 out of 401 cows accounting to 56.1%. The predominant resistant causative pathogen was E. coli (50.64% followed by S. aureus (44.25% and MRSA (5.11%. In vitro antibiotic sensitivity test and MIC breakpoints, E. coli, S. aureus and MRSA organisms showed more sensitivity to enrofloxacin, amoxicillin + sulbactam, gentamicin and ceftriaxone and had highest resistant to penicillin followed by amoxicillin, oxytetracycline and methicillin. E. coli and S. aureus isolates were found to be resistant to 1 or 2 antimicrobials, whereas most of the MRSA isolates were found to be multi-drug resistant i.e resistance to 3 or more of antimicrobials. Out of 235 milk samples, the specific target gene 16s-23s rRNA (E. coli , 16s-23s rRNA (S. aureus and MRSA (mecA and blaZ could be amplified from 119, 104 and 12 isolates with a percentage positivity of 50.64 (119/235, 89.64 (104/116 and 10.34 (12/116 respectively. Conclusion: Prevalence of antimicrobial resistance (AMR in

  5. A portable borehole temperature logging system using the four-wire resistance method

    Science.gov (United States)

    Erkan, Kamil; Akkoyunlu, Bülent; Balkan, Elif; Tayanç, Mete

    2017-12-01

    High-quality temperature-depth information from boreholes with a depth of 100 m or more is used in geothermal studies and in studies of climate change. Electrical wireline tools with thermistor sensors are capable of measuring borehole temperatures with millikelvin resolution. The use of a surface readout mode allows analysis of the thermally conductive state of a borehole, which is especially important for climatic and regional heat flow studies. In this study we describe the design of a portable temperature logging tool that uses the four-wire resistance measurement method. The four-wire method enables the elimination of cable resistance effects, thus allowing millikelvin resolution of temperature data at depth. A preliminary two-wire model of the system is also described. The portability of the tool enables one to collect data from boreholes down to 300 m, even in locations with limited accessibility.

  6. Temperature Effect on the Susceptibility of Methicillin-Resistant Staphylococcus aureus to Four Different Cephalosporins

    OpenAIRE

    Canawati, Hanna N.; Witte, Joyce L.; Sapico, Francisco L.

    1982-01-01

    Forty isolates of methicillin-resistant Staphylococcus aureus were tested for in vitro susceptibility to cephalothin, cefamandole, cefotaxime, and moxalactam, using the disk diffusion and microbroth dilution methods at incubation temperatures of 30 and 35°C. Resistance to all four antibiotics was more clearly evident at an incubation temperature of 30°C.

  7. Patterns of resistance to β-lactams and β-lactamase inhibitors in ...

    African Journals Online (AJOL)

    hope&shola

    2006-03-15

    Mar 15, 2006 ... Grupo de Estudo. Multicêntrico de Vigilância da Susceptibilidade aos Antibióticos,. Mecanismos de resistência aos β-lactâmicos em estirpes de. Escherichia coli de origem clínica. Arq. Med. 14: 71. Féria C, E Ferreira, JD Correia, J Gonçalves, M Caniça (2002). Patterns and mechanisms of resistance to β ...

  8. Etiology and antimicrobial resistance patterns in pediatric urinary tract infection.

    Science.gov (United States)

    Wang, Jun; He, Lijiao; Sha, Jintong; Zhu, Haobo; Huang, Liqu; Zhu, Xiaojiang; Dong, Jun; Li, Guogen; Ge, Zheng; Lu, Rugang; Ma, Geng; Shi, Yaqi; Guo, Yunfei

    2018-02-02

    Urinary tract infection (UTI) is one of most common pediatric infections. The aim of this study was to investigate the etiology and antimicrobial resistance patterns in children hospitalized at Children's Hospital of Nanjing Medical University. We conducted a retrospective, descriptive study of all UTI from 1 January 2013 to 30 November 2016 in children discharged from Nanjing Children's Hospital. The isolated pathogens and their resistance patterns were examined using midstream urine culture. A total of 2,316 children with UTI were included in the study. The occurrence rates of isolated pathogens were as follows: Enterococcus spp., 35.15%; Escherichia coli, 22.32%; Staphylococcus aureus spp., 7.73%; Streptococcus spp., 7.51%; and Klebsiella spp., 6.95%. Uropathogens had a low susceptibility to linezolid (3.47%), vancomycin (0.92%), imipenem (5.74%), and amikacin (3.17%), but they had a high susceptibility to erythromycin (90.52%), penicillin G (74.01%), cefotaxime (71.41%), cefazolin (73.41%), cefuroxime (72.52%), and aztreonam (70.11%). There is high antibiotic resistance in hospitalized children with UTI. Susceptibility testing should be carried out on all clinical isolates, and the empirical antibiotic treatment should be altered accordingly. © 2018 Japan Pediatric Society.

  9. Phosphine resistance does not confer cross-resistance to sulfuryl fluoride in four major stored grain insect pests.

    Science.gov (United States)

    Jagadeesan, Rajeswaran; Nayak, Manoj K

    2017-07-01

    Susceptibility to phosphine (PH 3 ) and sulfuryl fluoride (SF) and cross-resistance to SF were evaluated in two life stages (eggs and adults) of key grain insect pests, Rhyzopertha dominca (F.), Sitophilus oryzae (L.), Cryptolestes ferrugineus (Stephens), and Tribolium castaneum (Herbst). This study was performed with an aim to integrate SF into phosphine resistance management programmes in Australia. Characterisation of susceptibility and resistance to phosphine in eggs and adults showed that C. ferrugineus was the most tolerant as well as resistant species. Mortality responses of eggs and adults to SF at 25 °C revealed T. castaneum to be the most tolerant species followed by S. oryzae, C. ferrugineus and R. dominica. A high dose range of SF, 50.8-62.2 mg L -1 over 48 h, representing c (concentration) × t (time) products of 2438-2985 gh m -3 , was required for complete control of eggs of T. castaneum, whereas eggs of the least tolerant R. dominca required only 630 gh m -3 for 48 h (13.13 mg L -1 ). Mortality response of eggs and adults of phosphine-resistant strains to SF in all four species confirmed the lack of cross-resistance to SF. Our research concludes that phosphine resistance does not confer cross-resistance to SF in grain insect pests irrespective of the variation in levels of tolerance to SF itself or resistance to phosphine in their egg and adult stages. While our study confirms that SF has potential as a 'phosphine resistance breaker', the observed higher tolerance in eggs stresses the importance of developing SF fumigation protocols with longer exposure periods. © 2016 Society of Chemical Industry. © 2016 Society of Chemical Industry.

  10. A four-year surveillance program for detection of Plasmodium falciparum chloroquine resistance in Honduras.

    Science.gov (United States)

    Fontecha, Gustavo A; Sanchez, Ana L; Mendoza, Meisy; Banegas, Engels; Mejía-Torres, Rosa E

    2014-07-01

    Countries could use the monitoring of drug resistance in malaria parasites as an effective early warning system to develop the timely response mechanisms that are required to avert the further spread of malaria. Drug resistance surveillance is essential in areas where no drug resistance has been reported, especially if neighbouring countries have previously reported resistance. Here, we present the results of a four-year surveillance program based on the sequencing of the pfcrt gene of Plasmodium falciparum populations from endemic areas of Honduras. All isolates were susceptible to chloroquine, as revealed by the pfcrt "CVMNK" genotype in codons 72-76.

  11. Corrosion Resistance of Some Stainless Steels in Chloride Solutions

    Directory of Open Access Journals (Sweden)

    Kasprzyk D.

    2017-06-01

    Full Text Available The present work compares corrosion behaviour of four types of S30403, S31603, S32615 austenitic and S32404 austenitic-ferritic stainless steels in chloride solutions (1%, 3% NaCl and in Ringer solution, at 37°C temperature. Corrosion resistance was determined by potentiodynamic polarization measurements and a thirty day immersion test conducted in Ringer solution. The immersion test was performed in term of biomedical application. These alloy were spontaneously passivated in all electrolytes, wherein S30403, S31603 and S32404 undergo pitting corrosion. Only S32615 containing 5.5% Si shows resistance to pitting corrosion.

  12. Diversity of fecal coliforms and their antimicrobial resistance patterns in wastewater treatment model plant.

    Science.gov (United States)

    Luczkiewicz, A; Fudala-Ksiazek, S; Jankowska, K; Quant, B; Olańczuk-Neyman, K

    2010-01-01

    The occurrence of resistance patterns among wastewater fecal coliforms was determined in the study. Susceptibility of the isolates was tested against 19 antimicrobial agents: aminoglycosides, aztreonam, carbapenems, cephalosporines, beta-lactam/beta-lactamase inhibitors, penicillines, tetracycline, trimethoprim/sulfamethoxazole, and fluoroquinolones. Additionally the removal of resistant isolates was evaluated in the laboratory-scale wastewater treatment model plant (M-WWTP), continuously supplied with the wastewater obtained from the full-scale WWTP. Number of fecal coliforms in raw (after mechanical treatment) and treated wastewater, as well as in aerobic chamber effluent was determined using selective medium. The selected strains were identified and examined for antibiotic resistance using Phoenix Automated Microbiology System (BD Biosciences, USA). The strains were identified as Escherichia coli (n=222), Klebsiella pneumoniae ssp. ozaenae (n=9), and Pantoea agglomerans (n=1). The isolate of P. agglomerans as well as 48% of E. coli isolates were sensitive to all antimicrobials tested. The most frequent resistance patterns were found for ampicillin: 100% of K. pneumoniae ssp. ozaenae and 41% of E. coli isolates. Among E. coli isolates 12% was regarded as multiple antimicrobial resistant (MAR). In the studied M-WWTP, the applied activated sludge processes reduced considerably the number of fecal coliforms, but increased the ratio of antimicrobial-resistant E. coli isolates to sensitive ones, especially among strains with MAR patterns.

  13. Prevalence and antimicrobial susceptibility pattern of methicillin resistant Staphylococcus aureus: A multicentre study.

    Directory of Open Access Journals (Sweden)

    Rajaduraipandi K

    2006-01-01

    Full Text Available Purpose: Methicillin resistant Staphylococcus aureus (MRSA is an important nosocomial pathogen. We report the prevalence and antibiotic susceptibility pattern of MRSA in major southern districts of Tamilnadu. Methods: A total of 7172 clinical specimens and 1725 carrier screening samples were collected from different centers and subjected to MRSA screening using conventional microbiological methods. Subsequently the antibiotic sensitivity test was performed for the confirmed MRSA isolates. Results: Out of 906 strains of S. aureus isolated from clinical and carrier samples, 250 (31.1% and 39 (37.9% were found to be methicillin resistant respectively. Almost all clinical MRSA strains (99.6% were resistant to penicillin, 93.6% to ampicillin, and 63.2% towards gentamicin, co-trimoxazole, cephalexin, erythromycin, and cephotaxime. All MRSA strains (100% of carrier screening samples had resistance to penicillin and about 71.8% and 35.9% were resistant to ampicillin and co-trimoxazole respectively. Multidrug resistance was observed among 63.6% of clinical and 23% of carrier MRSA isolates. However, all strains of clinical and carrier subjects were sensitive to vancomycin. Conclusion: The determination of prevalence and antibiotic sensitivity pattern of MRSA will help the treating clinicians for first line treatment in referral hospitals.

  14. Performance of Four Transport and Storage Systems for Molecular Detection of Multidrug-Resistant Tuberculosis

    Science.gov (United States)

    Rabodoarivelo, Marie Sylvianne; Imperiale, Bélen; andrianiavomikotroka, Rina; Brandao, Angela; Kumar, Parveen; Singh, Sarman; Ferrazoli, Lucilaine; Morcillo, Nora; Rasolofo, Voahangy; Palomino, Juan Carlos; Vandamme, Peter; Martin, Anandi

    2015-01-01

    Background Detection of drug-resistant tuberculosis is essential for the control of the disease but it is often hampered by the limitation of transport and storage of samples from remote locations to the reference laboratory. We performed a retrospective field study to evaluate the performance of four supports enabling the transport and storage of samples to be used for molecular detection of drug resistance using the GenoType MTBDRplus. Methods Two hundred Mycobacterium tuberculosis strains were selected and spotted on slides, FTA cards, GenoCards, and in ethanol. GenoType MTBDRplus was subsequently performed with the DNA extracted from these supports. Sensitivity and specificity were calculated and compared to the results obtained by drug susceptibility testing. Results For all supports, the overall sensitivity and specificity for detection of resistance to RIF was between 95% and 100%, and for INH between 95% and 98%. Conclusion The four transport and storage supports showed a good sensitivity and specificity for the detection of resistance to RIF and INH in M. tuberculosis strains using the GenoType MTBDRplus. These supports can be maintained at room temperature and could represent an important alternative cost-effective method useful for rapid molecular detection of drug-resistant TB in low-resource settings. PMID:26431352

  15. A four-year surveillance program for detection of Plasmodium falciparum chloroquine resistance in Honduras

    Directory of Open Access Journals (Sweden)

    Gustavo A Fontecha

    2014-07-01

    Full Text Available Countries could use the monitoring of drug resistance in malaria parasites as an effective early warning system to develop the timely response mechanisms that are required to avert the further spread of malaria. Drug resistance surveillance is essential in areas where no drug resistance has been reported, especially if neighbouring countries have previously reported resistance. Here, we present the results of a four-year surveillance program based on the sequencing of the pfcrt gene of Plasmodium falciparum populations from endemic areas of Honduras. All isolates were susceptible to chloroquine, as revealed by the pfcrt “CVMNK” genotype in codons 72-76.

  16. MOLECULAR IDENTIFICATION AND ANTIMICROBIAL RESISTANCE PATTERN OF SEVEN CLINICAL ISOLATES OF Nocardia spp. IN BRAZIL

    Directory of Open Access Journals (Sweden)

    Larissa Anuska Zeni CONDAS

    2015-06-01

    Full Text Available Nocardia is a ubiquitous microorganism related to pyogranulomatous infection, which is difficult to treat in humans and animals. The occurrence of the disease is on the rise in many countries due to an increase in immunosuppressive diseases and treatments. This report of cases from Brazil presents the genotypic characterization and the antimicrobial susceptibility pattern using the disk-diffusion method and inhibitory minimal concentration with E-test® strips. In summary, this report focuses on infections in young adult men, of which three cases were cutaneous, two pulmonary, one neurological and one systemic. The pulmonary, neurological and systemic cases were attributed to immunosuppressive diseases or treatments. Sequencing analysis of the 16S rRNA segments (1491 bp identified four isolates of Nocardia farcinica, two isolates of Nocardia nova and one isolate of Nocardia asiatica. N. farcinica was involved in two cutaneous, one systemic and other pulmonary cases; N. nova was involved in one neurological and one pulmonary case; and Nocardia asiatica in one cutaneous case. The disk-diffusion antimicrobial susceptibility test showed that the most effective antimicrobials were amikacin (100%, amoxicillin/clavulanate (100%, cephalexin (100% and ceftiofur (100%, while isolates had presented most resistance to gentamicin (43%, sulfamethoxazole/trimethoprim (43% and ampicillin (29%. However, on the inhibitory minimal concentration test (MIC test, only one of the four isolates of Nocardia farcinica was resistant to sulfamethoxazole/trimethoprim.

  17. Microbiology and antimicrobial susceptibility of otitis externa: a changing pattern of antimicrobial resistance.

    Science.gov (United States)

    Heward, E; Cullen, M; Hobson, J

    2018-04-01

    Otitis externa is a common presentation to secondary care otolaryngology clinics. Despite this, few studies have investigated the microbiology and antimicrobial resistance of otitis externa. This study aimed to examine these issues. Analysis identified 302 swabs taken from 217 patients (100 male, 117 female), between 1 January 2015 and 30 March 2016, at our rapid access otolaryngology clinic. In total, 315 organisms were isolated; the most frequent was Pseudomonas aeruginosa (31.1 per cent), followed by candida species (22.9 per cent) and Staphylococcus aureus (11.7 per cent). P aeruginosa was sensitive to ciprofloxacin in 97.7 per cent of cases and to gentamicin in 78.4 per cent. Compared with studies worldwide, the relative proportions of different organisms causing otitis externa and the patterns of antimicrobial resistance differ. Increasing resistance of P aeruginosa to aminoglycosides demonstrates a changing pattern of antimicrobial resistance that has not been previously reported. Reassuringly, quinolone antibiotics remain highly effective when treating P aeruginosa.

  18. WHEAT PATHOGEN RESISTANCE AND CHITINASE PROFILE

    Directory of Open Access Journals (Sweden)

    Zuzana Gregorová

    2015-02-01

    Full Text Available The powdery mildew and leaf rust caused by Blumeria graminis and Puccinia recondita (respectively are common diseases of wheat throughout the world. These fungal diseases greatly affect crop productivity. Incorporation of effective and durable disease resistance is an important breeding objective for wheat improvement. We have evaluated resistance of four bread wheat (Triticum aestivum and four spelt wheat (Triticum spelta cultivars. Chitinases occurrence as well as their activity was determined in leaf tissues. There was no correlation between resistance rating and activity of chitinase. The pattern of chitinases reveals four isoforms with different size in eight wheat cultivars. A detailed understanding of the molecular events that take place during a plant–pathogen interaction is an essential goal for disease control in the future.

  19. Pattern of intensive phase treatment outcomes of multi-drug resistant ...

    African Journals Online (AJOL)

    Pattern of intensive phase treatment outcomes of multi-drug resistant tuberculosis in University of Port Harcourt Treatment Centre: a review of records from ... Data on patients' age, sex, HIV status, treatment outcomes were extracted from the hospital book records into a computer data sheet at the UPTH treatment centre.

  20. [Changes of resistant phenotype and CRISPR/Cas system of four Shigella strains passaged for 90 times without antibiotics].

    Science.gov (United States)

    Zhang, B; Hong, L J; Duan, G C; Liang, W J; Yang, H Y; Xi, Y L

    2017-02-10

    Objective: To explore the stability of resistant phenotypes and changes of clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated (Cas) gene system on four Shigella strains in the absence of antibiotics. Methods: Four clinical isolated Shigella strains that resistant to different antibiotics were consecutive passaged for 90 times without antibiotics. Agar dilution method was used to determine the minimum inhibitory concentration of Shigella strains. After sequence analysis with PCR, CRISPR Finder and Clustal X 2.1 were applied to identify the changes of CRISPR loci in the Shigella strains. Results: After the consecutive transfer of 90 generations, sensitivity to certain antibiotics of four Shigella strains with different drug resistant spectrums increased. Mel-sf1998024/zz resistance to ampicillin, cephalexin, cefotaxime, chloramphenicol decreased, mel-s2014026/sx resistance to norfloxacin, trimethoprim decreased, mel-sf2004004/sx drug resistance to ampicillin, cefuroxime, cefotaxime, chloramphenicol, trimethoprim decreased and mel-sf2013004/bj resistance to chloramphenicol decreased. The spacer of which matched gene codes Cas and its upstream repeat in 3'end of CRISPR3 got lost in mel-sf1998024/zz and mel-sf2013004/bj. Conclusions: Shigella strains could reduce or lose their resistance to some antibiotics after consecutive transfers, without the interference of antibiotics. CRISPR3 locus had dynamic spacers in Shigella strains while CRISPR3 locus and cas genes might have been co-evolved.

  1. Resistance patterns to beta-lactams and quinolones in clinical isolates of bacteria from Cuban hospitals.

    Science.gov (United States)

    Gonzáles, I; Niebla, A; Vallin, C

    1995-01-01

    The resistance patterns to 26 beta-lactams and 8 quinolones of clinical isolates from Cuban hospitals were evaluated using the disk susceptibility test, according to the NCCLS guidelines (1992). The genera studied were Escherichia sp (320), Enterobacter sp (10), Klebsiella sp (90), Proteus sp (10), Pseudomonas sp (90), Serratia sp (20), and Staphylococcus sp (80). Higher resistance to beta-lactams was observed in the genera Pseudomonas, Escherichia and Klebsiella. For fluoroquinolones we found no significant resistance, with the exception of the genus Klebsiella. The most effective antibiotics were cephalosporins of the second and third generations, fluoroquinolones, and non-classical beta-lactams (cephamycins, moxalactam and monobactams). On the contrary, a pronounced resistance was found to penicillin, oxacillin, ticarcillin, ampicillin, methicillin, nalidixic acid and cinoxacin. These resistance patterns correspond to the high consumption of these antibiotics throughout the country.

  2. Perspectives on distributed computing : thirty people, four user types, and the distributed computing user experience.

    Energy Technology Data Exchange (ETDEWEB)

    Childers, L.; Liming, L.; Foster, I.; Mathematics and Computer Science; Univ. of Chicago

    2008-10-15

    This report summarizes the methodology and results of a user perspectives study conducted by the Community Driven Improvement of Globus Software (CDIGS) project. The purpose of the study was to document the work-related goals and challenges facing today's scientific technology users, to record their perspectives on Globus software and the distributed-computing ecosystem, and to provide recommendations to the Globus community based on the observations. Globus is a set of open source software components intended to provide a framework for collaborative computational science activities. Rather than attempting to characterize all users or potential users of Globus software, our strategy has been to speak in detail with a small group of individuals in the scientific community whose work appears to be the kind that could benefit from Globus software, learn as much as possible about their work goals and the challenges they face, and describe what we found. The result is a set of statements about specific individuals experiences. We do not claim that these are representative of a potential user community, but we do claim to have found commonalities and differences among the interviewees that may be reflected in the user community as a whole. We present these as a series of hypotheses that can be tested by subsequent studies, and we offer recommendations to Globus developers based on the assumption that these hypotheses are representative. Specifically, we conducted interviews with thirty technology users in the scientific community. We included both people who have used Globus software and those who have not. We made a point of including individuals who represent a variety of roles in scientific projects, for example, scientists, software developers, engineers, and infrastructure providers. The following material is included in this report: (1) A summary of the reported work-related goals, significant issues, and points of satisfaction with the use of Globus software

  3. Antibiotic Resistance Pattern and Biofilm Formation Ability of Clinically Isolates of Salmonella enterica Serotype typhimurium

    Directory of Open Access Journals (Sweden)

    Hadi Ghasemmahdi

    2015-05-01

    Full Text Available Background: The emergence of antimicrobial-resistant bacteria with biofilm formation ability may be a major threat to public health and food safety and sanitation. Objectives: The aim of this study was to determine antibiotic resistance patterns and biofilm production characteristics of Salmonella typhimurium isolated from different species of birds. Materials and Methods: The antibiotic resistance patterns of 38 pre-identified isolates were screened by standard Kirby-Bauer disc-diffusion method performed on Mueller–Hinton agar to a panel of 17 antibiotics. The extent of biofilm formation was measured by Microtiter plate (MTP-based systems. Results: The highest antimicrobial resistance was detected against nalidixic acid (97%, followed by doxycycline (86%, colistin (84%, streptomycin (84% and tetracycline (84%. All isolates were sensitive to amikacin (100% and 97% and 95% of the isolates were sensitive to ceftazidime and ceftriaxone, respectively. Twenty one different antibiotic resistance patterns were observed among S. typhimurium isolates. According to the results of the microtitre plate biofilm assay, there was a wide variation in biofilm forming ability among S. typhimurium isolates. Most of the isolates (60.52% were not capable of producing biofilm, while 26.31%, 7.89%, and 5.26% isolates were weak, strong and moderate biofilm producers, respectively. Conclusions: It was concluded that nearly all S. typhimurium isolates revealed a high multiple antibiotic resistant with low biofilm forming capabilities which proposed low association between biofilm formation and antibiotic resistance of a major food important pathogen.

  4. Antibiotic resistant Salmonella and Escherichia coli isolated from ...

    African Journals Online (AJOL)

    Results: A hundred and four indigenous chicken rectal swabs were analysed, of which 67.3% were contaminated with Escherichia coli and 12.5% with Salmonella typhimurium. Seventy Escherichia coli isolates showed resistance phenotypes to one, two or more antibiotics. The most common antimicrobial resistance pattern ...

  5. An Investigation of Antibiotic Resistance Pattern in the Strains of Methicillin-resistant Staphylococcus epidermidis Isolated From Clinical Samples in Isfahan Province, Iran

    Directory of Open Access Journals (Sweden)

    Fahimeh Nourbakhsh

    2016-08-01

    Full Text Available Background and Objectives: Staphylococcus epidermidis is one of the effective factors causing nosocomial infections. This study was performed to investigate the antibiotic resistance pattern in the methicillin-resistant S. epidermidis strains isolated from clinical samples in Isfahan Province. Methods: In this descriptive cross-sectional study, 150 isolates of S. epidermidis were isolated from detected from the patients hospitalized in hospitals and treatment centers of Isfahan City. The antibiotic resistance pattern was evaluated by disk diffusion method. The presence of the gene encoding antibiotic resistance to methicillin (mec A in the isolates were investigated using PCR method. Data were analyzed with Chi-square and Fisher's exact statistical tests. Results: In this study, most isolates were related to urinary tract infections. The highest resistance was reported to penicillin (98.9%, erythromycin (89.4%, ciprofloxacin (77.7%, clindamycin (65.9%, tetracycline (63.2%, and meticillin (54%. None of the strains showed resistance to vancomycin and linezolid. Molecular studies indicated the presence of mecA gene in 76% of the studied isolates. Conclusion: According to the results of this study, vancomycin and linezolid antibiotics can be the best choice of treatment for infections caused by S. epidermidis. Also, high resistance of S. epidermidis can be a serious warning for increased multiple antibiotic resistance. Molecular studies are indicative of high sensitivity of molecular methods in the investigation of methicillin-resistant isolates.  

  6. Directly patternable high refractive index ferroelectric sol–gel resist

    Energy Technology Data Exchange (ETDEWEB)

    Garoli, D., E-mail: denis.garoli@iit.it [Istituto Italiano di Tecnologia, Via Morego 16, 16136 Genova (Italy); Della Giustina, G. [Industrial Engineering Department, University of Padova and INSTM, Via Marzolo 9, 35131 Padova (Italy)

    2015-08-15

    The development of a ferroelectric negative tone sol–gel resist for Ultraviolet (UV) and Electron Beam (EB) lithography is presented. A new system based on Lead Zirconate Titanate (PZT, with formula PbZr{sub 0.52}Ti{sub 0.48}O{sub 3}) was synthesized by sol–gel method. The lithographic performances were investigated and several structures spanning from the micron range down to less than 50 nm have been achieved by UV and EB lithography. The system interaction with UV light and Electron beam was thoroughly characterized by FT-IT spectroscopy. The exposed PZT was annealed at high temperatures in order to study the crystalline phase evolution, the optical constants values and stability of patterned structures. After exposure and annealing, the refractive index of the material can vary from 1.68 up to 2.33 (@400 nm), while the ferroelectric behaviour seems to be maintained after high temperature annealing. These results suggest a possible application of PZT resist not only as ferroelectric but also as nanopatternable high refractive index material. Moreover, direct nanopatterning by means of Focused Ion Beam (FIB) lithography was verified and the potentiality for the preparation of high aspect ratio hollow nanostructures will be presented. - Highlights: • A new formula directly patternable PZT high refractive index resist is presented. • The gel is sensitive to both UV and electron beam exposure. • The refractive index can vary from 1.68 up to 2.33 (@400 nm). • Direct nanopatterning by means of Focused Ion Beam (FIB) lithography was verified. • High aspect ratio hollow nanostructures will be presented.

  7. Antibiotic Resistance in Staphylococcus aureus and Coagulase Negative Staphylococci Isolated from Goats with Subclinical Mastitis

    Directory of Open Access Journals (Sweden)

    Salvatore Virdis

    2010-01-01

    Full Text Available Antimicrobial resistance patterns and gene coding for methicillin resistance (mecA were determined in 25 S. aureus and 75 Coagulase Negative Staphylococci (CNS strains isolates from half-udder milk samples collected from goats with subclinical mastitis. Fourteen (56.0% S. aureus and thirty-one (41.3% CNS isolates were resistant to one or more antimicrobial agents. S. aureus showed the highest resistance rate against kanamycin (28.0%, oxytetracycline (16.0%, and ampicillin (12.0%. The CNS tested were more frequently resistant to ampicillin (36.0% and kanamycin (6.7%. Multiple antimicrobial resistance was observed in eight isolates, and one Staphylococcus epidermidis was found to be resistant to six antibiotics. The mecA gene was not found in any of the tested isolates. Single resistance against β-lactamics or aminoglicosides is the most common trait observed while multiresistance is less frequent.

  8. Patrones de resistencia a antibióticos de enterococos aislados de aguas estuarinas Antibiotic resistance patterns of enterococci isolated from estuarine waters

    Directory of Open Access Journals (Sweden)

    M. Baldini

    2008-03-01

    30 µg, ampicillin (Am 10 µg and ciprofloxacin (CIP 5 µg according to the Clinical and Laboratory Standards Institute. Seven Enterococcus species were identified, being Enterococcus faecium and Enterococcus faecalis the most frequent. High level resistance to aminoglycosides was shown by 1.9% of the enterococci whereas 12.6% of the isolates were resistant to CIP. No isolates showed simultaneous resistance to StrH and GenH. Neither resistance to glycopeptides nor to Am was detected. Thirty four per cent of the isolates exhibited susceptibility to all antibiotics tested. Surveillance studies on antimicrobial resistance are usually based upon microorganisms isolated from clinical samples. The findings of this work constitute relevant data for the control of resistant strains, which were believed to be circumscribed to the hospital environment, but are also widespread in the natural sites.

  9. Antibiotic Resistance in Escherichia coli from Pigs in Organic and Conventional Farming in Four European Countries.

    Science.gov (United States)

    Österberg, Julia; Wingstrand, Anne; Nygaard Jensen, Annette; Kerouanton, Annaelle; Cibin, Veronica; Barco, Lisa; Denis, Martine; Aabo, Sören; Bengtsson, Björn

    2016-01-01

    Organic pig production differs in many ways from conventional production of pigs, e.g., in antibiotic use, herd structure, feeding regimes, access to outdoor areas and space allowance per pig. This study investigated if these differences result in a lower occurrence of antibiotic resistance in organic slaughter pigs in Denmark, France, Italy and Sweden. Samples were taken from the colon content and/or faeces and minimum inhibitory concentrations (MIC) of ten antibiotics were determined in isolates of Escherichia coli. In addition, the proportion of tetracycline (TET) resistant E. coli in colon content and/or faeces from individual pigs was determined. In all four countries the percentage resistance to ampicillin, streptomycin, sulphonamides or trimethoprim was significantly lower in E. coli from organic pigs. In France and Italy, the percentage of isolates resistant to chloramphenicol, ciprofloxacin, nalidixic acid or gentamicin was also significantly lower in the E. coli from organic pigs. Resistance to cefotaxime, was not found in any country. The percentage of E. coli isolates resistant to TET as well as the proportion of TET-resistant E. coli was significantly lower in organic than in conventional pigs, except in Sweden where TET-resistance was equally low in both production types. There were also differences between countries within production type in the percentage resistance to individual antibiotics as well as the proportion of TET-resistant E. coli with lower median proportions in Sweden and Denmark compared to France and Italy. The study shows that in each of the four countries resistance in intestinal E. coli was less common in organic than in conventional pigs, but that there were also large differences in resistance between countries within each production type, indicating that both country- and production-specific factors influence the occurrence of resistance.

  10. Susceptibility patterns and the role of extracellular DNA in Staphylococcus epidermidis biofilm resistance to physico-chemical stress exposure.

    Science.gov (United States)

    Olwal, Charles Ochieng'; Ang'ienda, Paul Oyieng'; Onyango, David Miruka; Ochiel, Daniel Otieno

    2018-05-02

    Over 65% of human infections are ascribed to bacterial biofilms that are often highly resistant to antibiotics and host immunity. Staphylococcus epidermidis is the predominant cause of recurrent nosocomial and biofilm-related infections. However, the susceptibility patterns of S. epidermidis biofilms to physico-chemical stress induced by commonly recommended disinfectants [(heat, sodium chloride (NaCl), sodium hypochlorite (NaOCl) and hydrogen peroxide (H 2 O 2 )] in domestic and human healthcare settings remains largely unknown. Further, the molecular mechanisms of bacterial biofilms resistance to the physico-chemical stresses remain unclear. Growing evidence demonstrates that extracellular DNA (eDNA) protects bacterial biofilms against antibiotics. However, the role of eDNA as a potential mechanism underlying S. epidermidis biofilms resistance to physico-chemical stress exposure is yet to be understood. Therefore, this study aimed to evaluate the susceptibility patterns of and eDNA release by S. epidermidis biofilm and planktonic cells to physico-chemical stress exposure. S. epidermidis biofilms exposed to physico-chemical stress conditions commonly recommended for disinfection [heat (60 °C), 1.72 M NaCl, solution containing 150 μL of waterguard (0.178 M NaOCl) in 1 L of water or 1.77 M H 2 O 2 ] for 30 and 60 min exhibited lower log reductions of CFU/mL than the corresponding planktonic cells (p chemical stress induced by the four commonly recommended disinfectants than the analogous planktonic cells. Further, S. epidermidis biofilms enhanced eDNA release in response to the sub-lethal heat and oxidative stress exposure than the corresponding planktonic cells suggesting a role of eDNA in biofilms resistance to the physico-chemical stresses.

  11. Comparison of antibiotic resistance patterns in collections of Escherichia coli and Proteus mirabilis uropathogenic strains.

    Science.gov (United States)

    Adamus-Bialek, Wioletta; Zajac, Elzbieta; Parniewski, Pawel; Kaca, Wieslaw

    2013-04-01

    Escherichia coli and Proteus mirabilis are important urinary tract pathogens. The constant increase in the antibiotic resistance of clinical bacterial strains has become an important clinical problem. The aim of this study was to compare the antibiotic resistance of 141 clinical (Sweden and Poland) and 42 laboratory (Czech Republic) P. mirabilis strains and 129 clinical (Poland) uropathogenic E. coli strains. The proportion of unique versus diverse patterns in Swedish clinical and laboratory P. mirabilis strain collections was comparable. Notably, a similar proportion of unique versus diverse patterns was observed in Polish clinical P. mirabilis and E. coli strain collections. Mathematical models of the antibiotic resistance of E. coli and P. mirabilis strains based on Kohonen networks and association analysis are presented. In contrast to the three clinical strain collections, which revealed complex associations with the antibiotics tested, laboratory P. mirabilis strains provided simple antibiotic association diagrams. The monitoring of antibiotic resistance patterns of clinical E. coli and P. mirabilis strains plays an important role in the treatment procedures for urinary tract infections and is important in the context of the spreading drug resistance in uropathogenic strain populations. The adaptability and flexibility of the genomes of E. coli and P. mirabilis strains are discussed.

  12. The Effect of Surface Patterning on Corrosion Resistance of Biomedical Devices

    Science.gov (United States)

    Guo, Mengnan; Toloei, Alisina; Rotermund, Harm H.

    2016-10-01

    In this study, two styles of surface topographies have been created on stainless steel wires to test their corrosion resistance as simulated implanted biomedical devices. Grade 316 LVM stainless steel wire was initially polished to G1500 surface finish before treatment to produce the two different topographies: 1. Unidirectional roughness was created using SiC papers and 2. Various patterns were created with specific hole diameter and inter-hole spacing using focused ion beam (FIB). In order to simulate the environment of implanted biomedical devices, a three-electrode electrochemical cell with 0.9% (by mass) NaCl solution has been used to test the corrosion resistance of the samples by potentiodynamic polarization test method. SEM and EDS analyzed the appearance and chemical composition of different elements including oxygen on the surface. The potential of stable pitting, time related to the initiation of the stable pitting, and the highest corrosion current associated with stable pitting have been compared for samples with the two styles of topography. It was found that surfaces with patterns have a relatively higher pitting potential and it takes longer time to initiate stable pitting than the surface without any patterns.

  13. Antibiotic resistance pattern of bacterial isolates in neonatal care unit

    Directory of Open Access Journals (Sweden)

    S Shrestha

    2010-12-01

    Full Text Available INTRODUCTION: Bacterial infections account for a huge proportion of neonatal deaths worldwide. The problem of antibiotic resistance among common bacterial pathogens mainly the gram negative bacteria is emerging globally which is of more serious concern in developing countries like Nepal. METHODS: A one year retrospective hospital based study was carried out to analyze the results of neonatal blood, cerebrospinal fluid, urine, stool and surface cultures and to look into the sensitivity pattern of the commonly used antibiotics. RESULTS: The positive yield of blood, urine, eye swab and CSF cultures were 19.56%, 38.5%, 60% and 0.36% respectively. The most common isolates in the blood culture were coagulase negative Staphylococcus, Acinetobacter, Enterobacter and non-haemolytic Streptococcus. A significant percent of the isolates were resistant to the first line antibiotics. Among the gram negative isolates more than 30% are resistant to cefotaxime and more than 50% are resistant to gentamicin. During the one year period we had Nursery outbreaks of methicillin resistant Staphylococcus aureus and Salmonella infections. With the help of environmental cultures we were able to trace the source and intervene appropriately. CONCLUSIONS: Continuous surveillance for antibiotic susceptibility, rational use of antibiotics and the strategy of antibiotic cycling can provide some answers to the emerging problem of antibiotic resistance.

  14. Antibiotic resistance pattern of bacterial isolates in neonatal care unit.

    Science.gov (United States)

    Shrestha, S; Adhikari, N; Rai, B K; Shreepaili, A

    2010-01-01

    Bacterial infections account for a huge proportion of neonatal deaths worldwide. The problem of antibiotic resistance among common bacterial pathogens mainly the gram negative bacteria is emerging globally which is of more serious concern in developing countries like Nepal. A one year retrospective hospital based study was carried out to analyze the results of neonatal blood, cerebrospinal fluid, urine, stool and surface cultures and to look into the sensitivity pattern of the commonly used antibiotics. The positive yield of blood, urine, eye swab and CSF cultures were 19.56%, 38.5%, 60% and 0.36% respectively. The most common isolates in the blood culture were coagulase negative Staphylococcus, Acinetobacter, Enterobacter and non-haemolytic Streptococcus. A significant percent of the isolates were resistant to the first line antibiotics. Among the gram negative isolates more than 30% are resistant to cefotaxime and more than 50% are resistant to gentamicin. During the one year period we had Nursery outbreaks of methicillin resistant Staphylococcus aureus and Salmonella infections. With the help of environmental cultures we were able to trace the source and intervene appropriately. Continuous surveillance for antibiotic susceptibility, rational use of antibiotics and the strategy of antibiotic cycling can provide some answers to the emerging problem of antibiotic resistance.

  15. Changing prevalence and resistance patterns in children with drug-resistant tuberculosis in Mumbai.

    Science.gov (United States)

    Shah, Ira; Shah, Forum

    2017-05-01

    The prevalence of drug-resistant (DR) tuberculosis (TB) in children is increasing. Although, in India, multi-drug-resistant (MDR) TB rates have been relatively stable, the number of children with pre-extensively drug-resistant and extensively drug-resistant (XDR) TB is increasing. To determine whether the prevalence of DR TB in children in Mumbai is changing and to study the evolving patterns of resistance. A retrospective study was undertaken in 1311 paediatric patients referred between April 2007 and March 2013 to the Paediatric TB clinic at B. J. Wadia Hospital for Children, Mumbai. Children were defined as having DR TB on the basis of drug susceptibility testing (DST) of Mycobacterium tuberculosis grown on culture of body fluids (in the case of extra pulmonary TB) or from gastric lavage/bronchi-alveolar lavage/sputum in patients with pulmonary TB or from DST of the contacts. The prevalence of DR TB was calculated and the type of DR was evaluated yearly and in the pre-2010 and post-2010 eras. The overall prevalence of DR TB was 86 (6.6%) with an increase from 23 (5.6%) patients pre-2010 to 63 (7%) post-2010 (P = 0.40). Nine (10.4%) patients were diagnosed on the basis of contact with a parent with DR TB. Overall fluoroquinolone resistance increased from 9 (39.1%) pre-2010 to 59 (93.7%) post-2010 (P = 0.0001): moxifloxacin resistance increased from 2 (8.7%) to 29 (46%) (P = 0.0018) and ofloxacin resistance increased from 7 (30.4%) to 30 (47.6%) (P = 0.14). Ethionamide resistance also increased from 6 (26.1%) to 31 (49.2%) (P = 0.04), aminoglycoside resistance was one (4.3%) pre-2010 and 12 (19%) post-2010 (P = 0.17) and resistance remained virtually the same for both amikacin [0 pre-2010 and 6 (9.5%) after 2010] and kanamycin [one (4.3%) pre- and 6 (9.5%) post-2010]. Of the first-line drugs, resistance remained the same for isoniazid [23 (100%) to 61 (96.8%)], rifampicin [22 (95.7%) to 51 (80.9%),P = 0.17], pyrazinamide [15 (65.2%) to

  16. Screening approach by ultra-high performance liquid chromatography-tandem mass spectrometry for the blood quantification of thirty-four toxic principles of plant origin. Application to forensic toxicology.

    Science.gov (United States)

    Carlier, Jérémy; Guitton, Jérôme; Romeuf, Ludovic; Bévalot, Fabien; Boyer, Baptiste; Fanton, Laurent; Gaillard, Yvan

    2015-01-15

    Plant poisonings have left their mark on history and still cause many deaths, whether intentional or accidental. The means to show toxicological evidence of such poisonings should be implemented with great care. This article presents a technique for measuring thirty-nine toxic principles of plant origin in the blood, covering a large amount of toxins from local or exotic plants: α-lobeline, α-solanine, aconitine, ajmaline, atropine, brucine, cephalomannine, colchicine, convallatoxin, cymarine, cytisine, digitoxin, digoxin, emetine, gelsemine, ibogaine, jervine, kavain, lanatoside C, lupanine, mitragynine, neriifolin, oleandrin, ouabain, paclitaxel, physostigmine, pilocarpine, podophyllotoxin, proscillaridin A, reserpine, retrorsine, ricinine, scopolamine, senecionine, sparteine, strophanthidin, strychnine, veratridine and yohimbine. Analysis was carried out using an original ultra-high performance liquid chromatography separation coupled with tandem mass spectrometry detection. Extraction was a standard solid phase extraction performed on Oasis(®) HLB cartridge. Thirty-four of the thirty-nine compounds were put through a validation procedure. The assay was linear in the calibration curve range from 0.5 or 5 μg/L to 1000 μg/L according to the compounds. The method is sensitive (LOD from 0.1 to 1.6 μg/L). The within-day precision of the assay was less than 22.5% at the LLOQ, and the between-day precision was less than 21.5% for 10 μg/L for all the compounds included. The assay accuracy was in the range of 87.4 to 119.8% for the LLOQ. The extraction recovery and matrix effect ranged from 30 to 106% and from -30 to 14%, respectively. It has proven useful and effective in several difficult forensic cases. Copyright © 2014 Elsevier B.V. All rights reserved.

  17. Bacterial Contamination of Iranian Paper Currency and Their Antibiotic Resistance Patterns

    Directory of Open Access Journals (Sweden)

    Farzaneh Firoozeh

    2017-11-01

    Full Text Available Background: Paper currency is used in exchange for services, and thisis why the circulation of paper currency from person to person expandsmicroorganisms. Objectives:: Paper banknotes would be a vector for transmission of pathogenic microorganisms through handling. This study aimed to determine bacterial contamination of Iranian paper currencies in circulation and their antibiotic resistance patterns. Materials and Methods: In this study, 337 currency notes of different value were collected from markets, shops, restaurants, bus stations and banks in Kashan, Iran during April 2015 to March 2016. The currency notes transferred to microbiology laboratory and were tested for bacterial contamination using standard microbiological methods. Antibiotic resistance patterns of isolated bacteria were determined by disk diffusion method according to CLSI standards. The results and data were analyzed using descriptive statistics. Results: Of 337 currency notes, 262 (77.7% were identified with bacterial contamination. Bacteria isolated from currency notes were as follows: Bacillus spp 113 (43.1%, coagulase-negative Staphylococci 99 (37.7%, Escherichia coli 20 (7.6%, Enterococci species 14 (5.3%, Staphylococcus aureus 8 (3.1%, Klebsiella spp 4 (1.5%, Shigella species 2 (0.8%, Pseudomonas species 2 (0.8%. The most and least contaminated currency notes were 50000 and 500 Rials, respectively. The most resistance rates in gram negative rods were against nalidixicacid, and ampicillin. Also most resistance rates in Staphylococcus aureus, coagulase-negative Staphylococci and Enterococci species were against ampicillin, erythromycin and tetracycline. Conclusion: Our study revealed that the bacterial contamination among Iranian paper currency in circulation especially those obtained from certain sources including shops and bus stations is high and in most cases these bacterial isolates are antibiotic resistant strains.

  18. Bovine salmonellosis in Northeast of Iran: Frequency, genetic fingerprinting and antimicrobial resistance patterns of Salmonella spp.

    Directory of Open Access Journals (Sweden)

    Hessam A. Halimi

    2014-01-01

    Conclusion: The emergence of multiple antibiotic-resistant strains of Salmonella Typhimurium should be of great concern to the public. No correlation between ERIC fingerprinting and resistance patterns of Salmonella isolates was found, which indicates resistance to antimicrobial agents was not related to specific genetic background.

  19. Evaluation of metal–nanowire electrical contacts by measuring contact end resistance

    International Nuclear Information System (INIS)

    Park, Hongsik; Beresford, Roderic; Xu, Jimmy; Ha, Ryong; Choi, Heon-Jin; Shin, Hyunjung

    2012-01-01

    It is known, but often unappreciated, that the performance of nanowire (NW)-based electrical devices can be significantly affected by electrical contacts between electrodes and NWs, sometimes to the extent that it is really the contacts that determine the performance. To correctly understand and design NW device operation, it is thus important to carefully measure the contact resistance and evaluate the contact parameters, specific contact resistance and transfer length. A four-terminal pattern or a transmission line model (TLM) pattern has been widely used to measure contact resistance of NW devices and the TLM has been typically used to extract contact parameters of NW devices. However, the conventional method assumes that the electrical properties of semiconducting NW regions covered by a metal are not changed after electrode formation. In this study, we report that the conventional methods for contact evaluation can give rise to considerable errors because of an altered property of the NW under the electrodes. We demonstrate that more correct contact resistance can be measured from the TLM pattern rather than the four-terminal pattern and correct contact parameters including the effects of changed NW properties under electrodes can be evaluated by using the contact end resistance measurement method. (paper)

  20. Self-alignment of RFID dies on four-pad patterns with water droplet for sparse self-assembly

    International Nuclear Information System (INIS)

    Chang, Bo; Routa, Iiris; Sariola, Veikko; Zhou, Quan

    2011-01-01

    This paper reports an in-depth study of a water-droplet-assisted self-alignment technique that self-aligns radio frequency identification (RFID) dies on four-pad patterns. The segmented structure of four hydrophilic pads on a hydrophobic substrate brings freedom to the design of the electrical functionality and the surface functionality. The paper investigates the influence of the key parameters that may affect the self-alignment in theory and experiment. The theoretical model justifies that RFID dies can be reliably aligned on the segmented four-pad pattern even when the initial placement error is as large as 50% of the size of the die and the gap between the four pads is about 10% of the size of the die. A method has been introduced to estimate the sufficient droplet volume for self-alignment. A series of experiments have been carried out to verify the results of the model. The experiments indicate that the self-alignment between the 730 × 730 µm RFID dies and the pattern occurs reliably when the releasing bias between the RFID die and antenna is less than 400 µm for patterns with 50 and 100 µm gaps, and successful self-alignment is possible even with greater bias of 500 µm

  1. STUDY ON THE ANTIBIOTIC-RESISTANCE IN STRAINS OF SALMONELLA ISOLATES IN FOOD FROM 2003 TO 2010

    Directory of Open Access Journals (Sweden)

    F. Capuano

    2012-08-01

    Full Text Available A survey on the antibiotics resistance on salmonella strains of food origin was carried out. Four hundred thirty five different strains of Salmonella detected during eight years since 2003 were tested with the protocols of the National Committee for Clinical Laboratory Standard (NCCLS. One hundred twenty Salmonella strains were of cow origin, 166 from swine, 92 from poultry and the remaining 57 from shellfish. Starting from 2007 a reduction in the resistance was evident on the total isolates.

  2. Antibiotic resistance patterns of bacteria isolated from indwelling Foley catheters following tube cystostomy in goats with obstructive urolithiasis.

    Science.gov (United States)

    Chigerwe, Munashe; Mavangira, Vengai; Byrne, Barbara A; Angelos, John A

    2017-05-01

    Tube cystostomy is a surgical method used for managing obstructive urolithiasis and involves placement of a Foley catheter into the urinary bladder. We identified and evaluated the antibiotic resistance patterns of bacteria isolated from indwelling Foley catheters following tube cystostomy in goats with obstructive urolithiasis. Urine samples collected over a 10-y period from catheter tips at the time of removal were submitted for bacteriologic culture and antibiotic susceptibility testing. Resistance patterns to antibiotics, trends in the resistance patterns over the study period, and the probability of a bacterial isolate being resistant as a function of the identity of the isolate and antibiotic tested were determined. A total of 103 urine samples from 103 male goats with obstructive urolithiasis managed surgically with tube cystostomy were included in the study. Aerococcus (36.9%) and Enterococcus (30.1%) were isolated most frequently. The susceptibility patterns of all bacteria isolated did not change over the study period ( p > 0.05). Proportions of isolates resistant to 1, 2, and ≥3 antibiotics were 36.9%, 18.5%, and 23.3%, respectively. Thus, 41.8% of bacterial isolates were resistant to 2 or more antibiotics tested. The probability of Aerococcus spp., Escherichia coli, and Pseudomonas aeruginosa isolates to be resistant to ampicillin, ceftiofur, erythromycin, penicillin, or tetracycline ranged from 0.59 to 0.76.

  3. Resistência a antimicrobianos de Escherichia coli isolada de dejetos suínos em esterqueiras Antibiotic-resistance of Escherichia coli isolates from stored pig slurry

    Directory of Open Access Journals (Sweden)

    F.F.P. Silva

    2008-06-01

    Full Text Available The antimicrobial resistance of 96 Escherichia coli strains isolated from a stabilization pond system on a pig-breeding farm was evaluated. Strains were tested for their resistance against 14 antimicrobial using the agar diffusion method. E. coli strains showed resistance to tetracycline (82.3%, nalidixic acid (64%, ampicilin (41%, sulfamethoxazole/trimethoprin (36%, sulfonamide (34%, cloranphenicol (274%, ciprofloxacin (19%, cefaclor (16%, streptomicyn (7.3%, neomicyn (1%, amoxacilin/ clavulanic acid (1%, and amikacin (1%. No resistance was observed to gentamicin and tobramycin, and 37.5% of E. coli strains were resistant to four or more antimicrobials. The multiresistance pattern was found in strains isolated during all sampled period. Strains showed a high variability in the antimicrobial resistance pattern.

  4. Study on contamination of sheep meat in Shahrekord area with Listeria ivanovii and determination its antibiotic resistance pattern

    Directory of Open Access Journals (Sweden)

    Farid Khalili Borujeni

    2013-06-01

    Full Text Available Background and objectives: Listeria monocytogenes and Listeria ivanovii are two pathogenic species of Listeria. The role of Listeria ivanovii is important in abortion, stillbirth, septicemia in animals and this bacterium sometimes is pathogenic in humans. Contamination of ovine carcasses during the slaughter and processing can cause foodborne infections in humans. In this study we examined the contamination of sheep meat in slaughter house of Shahrekord city to Listeria ivanovii and determined its antibiotic resistance pattern.Material and Methods: A total 200 samples of sheep meat were collected from abattoir and processed by use of two enrichment method. After doing specific biochemical tests and PCR, Listeria spp was identified and antibiotic resistance of isolated Listeria were tested by the agar disc diffusion method. Results: The contamination of sheep carcasses with listeria was 2.5% (5 out of 200 samples. All five isolates (2.5% were recognized as Listeria ivanovii and were resistant to four antibiotics, sensitive to six antibiotics and intermediate to other antibiotics.  Conclusion: According to the contamination rate in sheep carcasses with Listeria ivanovii and the relatively high antibiotic resistance specified in this bacteria, the role of red meat in transmission of Listeria spp. and appropriate use of antibiotics against this bacteria should be considered.

  5. A Common Force-Sharing Pattern in Joint Action That Consists of Four People.

    Science.gov (United States)

    Masumoto, Junya; Inui, Nobuyuki

    2017-12-20

    The authors examined the force-sharing patterns in a joint action performed by a group of two, three, or four people compared with a solo action. In the joint actions, 28 participants produced periodic isometric forces such that the sum of forces they produced cycled between 5% and 10% maximum voluntary contraction with the right hand at 1 Hz. In both the three- and four-person tasks, the correlation between forces produced by two of the three or four participants was negative, and the remaining one or two participants produced intermediate forces. The errors of force and interval and force variabilities were smaller in four- and three-people groups than individuals. Four- and three-people groups thus performed better than individuals.

  6. Writing forces associated with four pencil grasp patterns in grade 4 children.

    Science.gov (United States)

    Schwellnus, Heidi; Carnahan, Heather; Kushki, Azadeh; Polatajko, Helene; Missiuna, Cheryl; Chau, Tom

    2013-01-01

    OBJECTIVE. We investigated differences in handwriting kinetics, speed, and legibility among four pencil grasps after a 10-min copy task. METHOD. Seventy-four Grade 4 students completed a handwriting assessment before and after a copy task. Grip and axial forces were measured with an instrumented stylus and force-sensitive tablet. We used multiple linear regression to analyze the relationship between grasp pattern and grip and axial forces. RESULTS. We found no kinetic differences among grasps, whether considered individually or grouped by the number of fingers on the barrel. However, when grasps were grouped according to the thumb position, the adducted grasps exhibited higher mean grip and axial forces. CONCLUSION. Grip forces were generally similar across the different grasps. Kinetic differences resulting from thumb position seemed to have no bearing on speed and legibility. Interventions for handwriting difficulties should focus more on speed and letter formation than on grasp pattern. Copyright © 2013 by the American Occupational Therapy Association, Inc.

  7. Influence of 1-Methylcyclopropene Treatment on Postharvest Quality of Four Scab (Venturia inaequalis-Resistant Apple Cultivars

    Directory of Open Access Journals (Sweden)

    Moises Zucoloto

    2017-01-01

    Full Text Available Scab (Venturia inaequalis is a very serious disease for apples causing up to 80% of loss in yield but there are only a few studies on postharvest quality of scab-resistant cultivars. In this study we evaluated the effect of 1-methylcyclopropene (1-MCP on fruit quality, total phenolic content, and antioxidant capacity after storage of four scab-resistant cultivars and compared to a standard cultivar, “Golden Delicious.” In general, ethylene production and respiration rates significantly differed among cultivars, between control and 1-MCP-treated fruits, and between storage duration regimes. 1-MCP treatment retarded fruit softening and lowered juice pH but storage effect on soluble solids and acidity depended on cultivar and 1-MCP treatment. Total phenolic content was significantly affected by storage duration and 1-MCP treatment. Antioxidant capacity of the four scab-resistant cultivars was either similar to or significantly higher than that of “Golden Delicious” with the 1-MCP-treated fruits having significantly higher antioxidant capacity than the nontreated fruits after storage. Our results clearly show that the quality of four scab-resistant cultivars was comparable to that of “Golden Delicious” and 1-MCP effect differed among cultivars. These differences need to be considered in developing storage regime to minimize quality deterioration during long-term storage.

  8. Sample preparation for total reflection X-ray fluorescence analysis using resist pattern technique

    Science.gov (United States)

    Tsuji, K.; Yomogita, N.; Konyuba, Y.

    2018-06-01

    A circular resist pattern layer with a diameter of 9 mm was prepared on a glass substrate (26 mm × 76 mm; 1.5 mm thick) for total reflection X-ray fluorescence (TXRF) analysis. The parallel cross pattern was designed with a wall thickness of 10 μm, an interval of 20 μm, and a height of 1.4 or 0.8 μm. This additional resist layer did not significantly increase background intensity on the XRF peaks in TXRF spectra. Dotted residue was obtained from a standard solution (10 μL) containing Ti, Cr, Ni, Pb, and Ga, each at a final concentration of 10 ppm, on a normal glass substrate with a silicone coating layer. The height of the residue was more than 100 μm, where self-absorption in the large residue affected TXRF quantification (intensity relative standard deviation (RSD): 12-20%). In contrast, from a droplet composed of a small volume of solution dropped and cast on the resist pattern structure, the obtained residue was not completely film but a film-like residue with a thickness less than 1 μm, where self-absorption was not a serious problem. In the end, this sample preparation was demonstrated to improve TXRF quantification (intensity RSD: 2-4%).

  9. Prevalence and resistance pattern of Moraxella catarrhalis in community-acquired lower respiratory tract infections

    Directory of Open Access Journals (Sweden)

    Shaikh SBU

    2015-07-01

    Full Text Available Safia Bader Uddin Shaikh, Zafar Ahmed, Syed Ali Arsalan, Sana Shafiq Department of Pulmonology, Liaquat National Hospital, Karachi, Pakistan Introduction: Moraxella catarrhalis previously considered as commensal of upper respiratory tract has gained importance as a pathogen responsible for respiratory tract infections. Its beta-lactamase-producing ability draws even more attention toward its varying patterns of resistance. Methods: This was an observational study conducted to evaluate the prevalence and resistance pattern of M. catarrhalis. Patients aged 20–80 years admitted in the Department of Chest Medicine of Liaquat National Hospital from March 2012 to December 2012 were included in the study. Respiratory samples of sputum, tracheal secretions, and bronchoalveolar lavage were included, and their cultures were followed. Results: Out of 110 respiratory samples, 22 showed positive cultures for M. catarrhalis in which 14 were males and eight were females. Ten samples out of 22 showed resistance to clarithromycin, and 13 samples out of 22 displayed resistance to erythromycin, whereas 13 showed resistance to levofloxacin. Hence, 45% of the cultures showed resistance to macrolides so far and 59% showed resistance to quinolones. Conclusion: Our study shows that in our environment, M. catarrhalis may be resistant to macrolides and quinolones; hence, these should not be recommended as an alternative treatment in community-acquired lower respiratory tract infections caused by M. catarrhalis. However, a study of larger sample size should be conducted to determine if the recommendations are required to be changed. Keywords: community-acquired lower respiratory tract infections or pneumonia, M. catarrhalis, antibiotic resistance, gram-negative diplococcic, Pakistan

  10. MICROBIAL PROFILE AND ANTIBIOTIC RESISTANCE PATTERN OF THE BACTERIAL ISOLATES IN A TERTIARY CARE PSYCHIATRY HOSPITAL

    Directory of Open Access Journals (Sweden)

    Jyoti

    2015-11-01

    Full Text Available BACKGROUND: Antibiotic resistance is a challenge for effective management of infections as it increases the morbidity, mortality and costs of treating infectious diseases. AIMS: This study was aimed to obtain the profile of the bacterial isolates and their antibiotic resistance pattern. SETTINGS AND DESIGN: It is a cross sectional study carried out in a tertiary care psychiatry hospital in India. MATERIALS AND METHODS: Isolation and identification of the isolates were done by standard methods. Susceptibility patterns were checked by Kirby Bauer disc diffusion method. STATISTICAL ANALYSIS USED: Statistical analysis was done by using SPSS 16.0 version to calculate the frequencies as well as for cross tabulation. RESULTS: Significant bacterial growth observed in 43(25.6% samples, of which 39(90.7% showed resistant to at least one of the antibiotics used and 36(83.7% were multi-drug resistant. Gram negative organism accounted for the 25(58.14% of total significant isolates, Escherichia coli being the highest (76% in this group. Among multi-drug resistant (MDR isolates E.coli was the highest (44.4% and imipenem resistance was also observed in 1(5.3% of 19 E.coli isolates. Among the 43 isolates 18(41.86% were Gram positive with Streptococcus spp. showing incidence of 41.7% among the total MDR isolates. CONCLUSION: Increasing incidence of MDR strains seen in the population requires continuous monitoring and a restricted use of antibiotics to keep a check on resistance pattern, for effective treatment plan.

  11. Frequency of escherichia coli in patients with community acquired urinary tract infection and their resistance pattern against some commonly used anti bacterials

    International Nuclear Information System (INIS)

    Ahmad, W.; Jamshed, F.; Ahmad, W.

    2015-01-01

    Urinary tract infection (UTI) is a very common health problem and Escherichia coli (E coli) are the most common organisms associated with community acquired UTI. Unfortunately these bacteria have developed extensive resistance against most of the commonly used anti-bacterials. The objective of this study was to determine the frequency and resistance pattern of E coli in patients of community acquired UTI in an area in northern part of Pakistan. Methods: Urine specimens were collected from patients who were clinically diagnosed as community acquired UTI. Urine routine examination (Urine RE) was done and samples positive for UTI (Pus cells >10/High Power Field) were included in the study. These samples were inoculated on Eosin Methylene Blue (EMB) agar plates and incubated at 37 degree C for 36 hours. Suspected colonies were then inoculated further on EMB plates for pure cultures of E coli characterized by certain morphological characteristics. IMViC was applied for the confirmation of E coli. In vitro antibiotic susceptibility tests of E coli were performed with standardized commercial susceptibility discs (OXOID). Results: Out of 50 specimens, positive for UTI by urine RE, 20 showed pure growth of E coli on culture (40%). The majority of the isolates (28%; n=14) were from women while only 12% (n=6) were from men. Escherichia coli showed a high rate of resistance towards Ampicillin (90%), Tetracycline (70%), Erythromycin (70%) and Trimethoprim-Sulfamethoxazole (55%). Sparfloxacin showed better results (45%) than ciprofloxacin (50%). Out of 20 E coli isolates, two (10%) were resistant to all the antibacterials except chloramphenicol, eight isolates (40%) showed resistance to six or more than six while 14 (70%) were resistant to four or more than four drugs. Conclusion: Rate of resistance of E coli against commonly used antibacterials was quite high and majority of the strains showed multidrug resistance. (author)

  12. Drug resistance patterns of acinetobacter baumannii in makkah, saudi arabia

    International Nuclear Information System (INIS)

    Khan, M.A.; Ashshi, A.M.; Mahomed, M.F.

    2012-01-01

    Background: Acinetobacter baumannii causes infections of respiratory, urinary tract, blood stream and surgical sites. Its clinical significance has increased due to its rapidly developing resistance to major groups of antibiotics used for its treatment. There is limited data available on antimicrobial susceptibility of A. baumannii from Saudi Arabia. Objectives: To determine the patterns of drug resistance of Acinetobacter baumannii and predisposing factors for its acquisition.Subjects and Methods: In this descriptive study, 72 hospitalized patients infected with A baumannii were studied. The clinical and demographic data of the patients were collected using a predesigned questionnaire. Isolation and identification of A.baumannii from all clinical specimens were done using standard microbiological methods. Antibiotic susce ptibility testing was performed by disk diffusion method recommended by Clinical Laboratory Standards Institute. Results: Majority of the isolates (61.1%) were from respiratory tract infections. A.baumannii isolates showed high drug resistance to piperacil lin (93.1%), aztreonam (80.5%), ticarcillin, ampicillin, and tetracycline (76.4%, each) and cefotaxime (75%). Only amikacin showed low rate of resistance compared to other antibiotics (40.3%). About 36% patients had some underlying diseases with diabetes mellitus (11%) being the predominant underlying disease. Conclusions: High antimicrobial resistance to commonly used antibiotics was seen against A.baumannii isolates. Only amikacin was most effective against it. (author)

  13. Mathematical omnibus thirty lectures on classic mathematics

    CERN Document Server

    Fuchs, Dmitry; Fuchs, Dmitry

    2007-01-01

    The book consists of thirty lectures on diverse topics, covering much of the mathematical landscape rather than focusing on one area. The reader will learn numerous results that often belong to neither the standard undergraduate nor graduate curriculum and will discover connections between classical and contemporary ideas in algebra, combinatorics, geometry, and topology. The reader's effort will be rewarded in seeing the harmony of each subject. The common thread in the selected subjects is their illustration of the unity and beauty of mathematics. Most lectures contain exercises, and solutions or answers are given to selected exercises. A special feature of the book is an abundance of drawings (more than four hundred), artwork by an accomplished artist, and about a hundred portraits of mathematicians. Almost every lecture contains surprises for even the seasoned researcher.

  14. Diversity of staphylococcal cassette chromosome mec structures in methicillin-resistant Staphylococcus epidermidis and Staphylococcus haemolyticus strains among outpatients from four countries.

    Science.gov (United States)

    Ruppé, Etienne; Barbier, François; Mesli, Yasmine; Maiga, Aminata; Cojocaru, Radu; Benkhalfat, Mokhtar; Benchouk, Samia; Hassaine, Hafida; Maiga, Ibrahim; Diallo, Amadou; Koumaré, Abdel Karim; Ouattara, Kalilou; Soumaré, Sambou; Dufourcq, Jean-Baptiste; Nareth, Chhor; Sarthou, Jean-Louis; Andremont, Antoine; Ruimy, Raymond

    2009-02-01

    In staphylococci, methicillin (meticillin) resistance (MR) is mediated by the acquisition of the mecA gene, which is carried on the size and composition variable staphylococcal cassette chromosome mec (SCCmec). MR has been extensively studied in Staphylococcus aureus, but little is known about MR coagulase-negative staphylococci (MR-CoNS). Here, we describe the diversity of SCCmec structures in MR-CoNS from outpatients living in countries with contrasting environments: Algeria, Mali, Moldova, and Cambodia. Their MR-CoNS nasal carriage rates were 29, 17, 11, and 31%, respectively. Ninety-six MR-CoNS strains, comprising 75 (78%) Staphylococcus epidermidis strains, 19 (20%) Staphylococcus haemolyticus strains, 1 (1%) Staphylococcus hominis strain, and 1 (1%) Staphylococcus cohnii strain, were analyzed. Eighteen different SCCmec types were observed, with 28 identified as type IV (29%), 25 as type V (26%), and 1 as type III (1%). Fifteen strains (44%) were untypeable for their SCCmec. Thirty-four percent of MR-CoNS strains contained multiple ccr copies. Type IV and V SCCmec were preferentially associated with S. epidermidis and S. haemolyticus, respectively. MR-CoNS constitute a widespread and highly diversified MR reservoir in the community.

  15. Prevalence, serotypes and resistance patterns of Salmonella in Danish pig production

    DEFF Research Database (Denmark)

    Arguello, Hector; Sørensen, Gitte; Carvajal, Ana

    2013-01-01

    Typhimurium in finishers and Salmonella Derby in breeding herds while the most prevalent phage types of the S. Typhimurium isolates were DT 12 and DT 120. The antimicrobial resistance analysis yielded a 35.2% of the isolates from the slaughter pigs resistant to one or more antimicrobials while 19.3% were...... resistant to four or more antimicrobials. A significantly higher percentage of resistance to antimicrobials was found in the S. Typhimurium isolates (χ2=4.72, p=0.029), where 42.9% presented resistance to one or more compounds. In breeding herds, just S. Typhimurium and S. 4,5],12:i: – isolates were tested......The objective of this paper is to analyse in further detail the Danish results of the EFSA baseline studies in slaughter pigs and breeding herds, and compare them with the results obtained in (1) the pre-implementation study that was carried out to establish the initial prevalence values...

  16. Antibiotics and heavy metals resistance patterns of Enterococcus faecalis and faecium bacteria isolated from the human and the livestock sources

    Directory of Open Access Journals (Sweden)

    Yaser Sharifi

    2015-12-01

    Full Text Available Background: Enterococci have emerged as a major cause of nosocomial infections and within this group, Enterococcus faecalis and Enterococcus faecium cause the majority of human and livestock enterococcal infections. In this article, we tried to determine antibiotics and metals resistance patterns of E. faecalis and E. faecium strains. Methods: One hundred sixty different strains of E. faecalis and E. faecium were collected from livestock sewage and the human fecal waste during 15 months. Then bacterial antibiotics sensitivity tests were carried out using the Agar disc diffusion method. Results: Generally, 100% of E. faecalis strains separated from human and livestock sources (i.e. sheep showed penicillin (P/ kanamycin (K/ nitrofurantoin (N/ loracarbef (L/ Ciprofloxacin (Cc/ ampicillin (AN/ nalidixic acid (NA/ sulfamethoxazole (S antibiotics resistance patterns. In addition, 55% of isolated E. faecium showed P/S/AN/NA antibiotics resistance patterns. Each strain showed a resistance to at least two aminoglycoside antibiotics. However, E. faecalis strains from human and the livestock sources showed 94% and 100% of resistance to nitrofurantoin, respectively. The effects of different metal concentrations was evaluated in both strains. The agar dilution method was applied in this stage. Hg at 0.05 mmol/L of minimum inhibitory concentration (MIC showed toxicity to both the human and livestock Enterococcus strains. Cadmium at 1 mmol/L and 0.5 mmol/L concentrations had the most toxicity to E. faecalis and E. faecium strains, respectively. Obviously, toxicity to bacteria is less than other metals. As a result, Zn/Ni/Cu/Co resistance pattern is suggested for both strains. Finally, antibiotics and heavy metals resistance patterns were monitored simultaneously. Conclusion: Almost all E. faecalis strains isolated from humans and livestock showed antibiotics and heavy metals resistance patterns of P/K/L/Cc/S/AN/NA/Zn/Cu/Co simultaneously. Moreover, 55% of E

  17. Community-associated urinary infections requiring hospitalization: risk factors, microbiological characteristics and patterns of antibiotic resistance.

    Science.gov (United States)

    Medina-Polo, J; Guerrero-Ramos, F; Pérez-Cadavid, S; Arrébola-Pajares, A; Sopeña-Sutil, R; Benítez-Sala, R; Jiménez-Alcaide, E; García-González, L; Alonso-Isa, M; Lara-Isla, A; Passas-Martínez, J B; Tejido-Sánchez, Á

    2015-03-01

    Although patients with urinary tract infections (UTIs) are usually managed as outpatients, a percentage of them requires hospitalization. To review risk factors and microbiological characteristics of community-associated UTIs (CAUTIs) requiring hospitalization has been our objective. A prospective observational study was carried out from November 2011 to December 2013. Incidence, microbiological characteristics and antibiotic resistance patterns in patients with CAUTIs that required hospitalization were analyzed. Risk factors (including diabetes mellitus, urolithiasis, urinary catheterization) and resistance rates of each pathogen were also analyzed. Four hundred and fifty seven patients were hospitalized in our department with CAUTI. The mean age was 56.2±19.85 years. Of them, 52.1% patients were women, 19.7% had urinary indwelling catheter and 11.4% have had a previous UTI. The most frequently isolated pathogens were Escherichia coli (60.6%), followed by Klebsiella (9.2%), Enterococcus (8.4%) and Pseudomonas (7.2%). Enterobacteriaceae other than E.coli were more prevalent in male and older patients. On the other side the most frequently isolated pathogen in patients with a previous UTI and a urinary catheter was Entercoccus. The resistance rates E. coli against ampicillin/amoxicillin + β lactamase inhibitor was 23.5%, against third-generation cephalosporins 16.6%, against fluoroquinolones 31.3% and 16.7% against aminoglycosides. 11.4% E. coli strains were producers of extended-spectrum Beta-lactamases (ESBL). Finally, the resistance rates of Enterococcus and Pseudomonas against quinolones were of 50.0% and 61.5%, respectively. CAUTIs that require hospitalization are most frequent in older age, male gender, and presence of urinary catheter, with urolithiasis and with previous episodes of UTI. These factors are also related to isolation of pathogens other than E. coli and higher resistance rates. Copyright © 2014 AEU. Publicado por Elsevier España, S.L.U. All

  18. Bacillus thuringiensis Cry1Ca-resistant Spodoptera exigua lacks expression of one of four Aminopeptidase N genes

    Directory of Open Access Journals (Sweden)

    Moar William J

    2005-06-01

    Full Text Available Abstract Background Insecticidal toxins from Bacillus thuringiensis bind to receptors on midgut epithelial cells of susceptible insect larvae. Aminopeptidases N (APNs from several insect species have been shown to be putative receptors for these toxins. Here we report the cloning and expression analysis of four APN cDNAs from Spodoptera exigua. Results Suppression Subtractive Hybridization (SSH was used to construct cDNA libraries of genes that are up-and down-regulated in the midgut of last instar larvae of beet armyworm, S. exigua exposed to B. thuringiensis Cry1Ca toxin. Among the clones from the SSH libraries, cDNA fragments coding for two different APNs were obtained (APN2 and APN4. A similar procedure was employed to compare mRNA differences between susceptible and Cry1Ca resistant S. exigua. Among the clones from this last comparison, cDNA fragments belonging to a third APN (APN1 were detected. Using sequences obtained from the three APN cDNA fragments and degenerate primers for a fourth APN (APN3, the full length sequences of four S. exigua APN cDNAs were obtained. Northern blot analysis of expression of the four APNs showed complete absence of APN1 expression in the resistant insects, while the other three APNs showed similar expression levels in the resistant and susceptible insects. Conclusion We have cloned and characterized four different midgut APN cDNAs from S. exigua. Expression analysis revealed the lack of expression of one of these APNs in the larvae of a Cry1Ca-resistant colony. Combined with previous evidence that shows the importance of APN in the mode of action of B. thuringiensis toxins, these results suggest that the lack of APN1 expression plays a role in the resistance to Cry1Ca in this S. exigua colony.

  19. CLINICAL ISOLATES OF MECA, METHICILLIN, VANCOMYCIN RESISTANCE S. AUREUS; ESBLs PRODUCING K.PNEUMONIA, E.COLI, P. AUREGENOSA FROM VARIOUS CLINICAL SOURCE AND ITS ANTIMICROBIAL RESISTANCE PATTERNS

    Directory of Open Access Journals (Sweden)

    Ismail Mahmud Ali, Amirthalingam R

    2015-01-01

    Full Text Available Background and Objective: Antimicrobial resistance has turned into a key medical and public health crisis globally since the injudicious use of magic bullets (drugs. Aim of this study is focused on the clinical isolate and their percentages of resistant to antibiotics in gram positive bacteria such as MRSA, VRSA, and MSSA are common causes of nosocomical, skin structure infections, bacteremia and infection of other systems; ESBLs producing Enterobacteriaceae (E. coli, Klebsiella spp. is common agent of urinary tract, bloodstream, pulmonary and intra-abdominal infections and carbapenem resistant P. aeruginosa with its complete antimicrobial patterns which are currently practiced in this population. Methods: There are one hundred and fourteen (114 various clinical isolates, isolated from various clinical samples like throat swab, urine, pus, sputum, and blood culture, identified as specific isolate with resistance patterns were analyzed by BD phoenix-100 the auto analyzer. Results: Off 114 clinical isolate, 6 mecA-mediated resistance (cefoxitin>8mgc/ml, 11 methicillin resistance, 18 β lactam/βlactamase inhibitor, 12 methicillin sensitive and 3 vancomycin (>16µg/ml resistance S. aureus have been isolated from overall 50 isolate of S.aureus. In addition, there are 27 P.aeruginosa, 15 ESBLs from overall of 25 K. pneumoniae and 7 ESBLs out of 12 Escherichia coli species have been isolated. The resistance and susceptibility pattern percentages have been graphically represented for each isolates. Conclusion: Current study revealed that the drug classes of β lactam/βlactamase inhibitor having high resistance rate with S.aureus, P.aureginosa, K. pneumoniae and E. coli isolate. Also, some of other drug classes such as cepham and tetracycline having higher resistance rate with P.aureginosa and K.pneumoniae. In addition, the vancomycin resistances S. aureus have been isolated and reported as first time in this population.

  20. ORIGINAL ARTICLE: Multidrug Resistance and Phage Pattern of Staphylococcus aureus in Pyoderma Cases

    Directory of Open Access Journals (Sweden)

    Sanjay M. Wavare

    2012-01-01

    Full Text Available Background: Pyoderma is common in India and other tropical countries. Staphylococcus aureus is the commonest causative agent ofpyoderma. Aims and Objectives: To know the antibiotic susceptibility and bacteriophage pattern of Staphylococcus aureus isolated from pyoderma infection. Materials and Methods: One hundred clinically diagnosed pyoderma cases were investigated bacteriologically. A total of 59 isolates of S. aureus were subjected to antibioticsusceptibility testing by Kirby Bauer’s disk diffusion method and phage typing by routine test dilution X 100 bacteriophages. Results: Most of the strains were resistant to penicillin, ampicillin and were susceptible to gentamicin, streptomycin and erythromycin. Multidrug resistance was also high among these strains. Regarding the phage types, Phage type 52 (15 strains, 96 (8 strains and 71(16strains were predominant among the typed strains (55.95% of S. aureus. The most common group was mixed phage group (17% followed by phage group I (13.55%. Conclusion: Knowledge of antibioticsusceptibility pattern is essential to give proper antibiotic therapy and avoid unnecessary medication with non-effective drugs, which may increase resistance. Gentamicin, streptomycin and erythromycin are the drugs of choice in that order. Association of phage typing and antibiotic sensitivity of S. aureus showed the predominance of phage group III with greater frequency of penicillin resistance.

  1. Antibiotic Resistance in Escherichia coli from Pigs in Organic and Conventional Farming in Four European Countries

    DEFF Research Database (Denmark)

    Österberg, Julia; Wingstrand, Anne; Jensen, Annette Nygaard

    2016-01-01

    content and/or faeces from individual pigs was determined. In all four countries the percentage resistance to ampicillin, streptomycin, sulphonamides or trimethoprim was significantly lower in E. coli from organic pigs. In France and Italy, the percentage of isolates resistant to chloramphenicol...... in organic slaughter pigs in Denmark, France, Italy and Sweden. Samples were taken from the colon content and/or faeces and minimum inhibitory concentrations (MIC) of ten antibiotics were determined in isolates of Escherichia coli. In addition, the proportion of tetracycline (TET) resistant E. coli in colon...

  2. Antibiotic Resistance Patterns of Enterococci and Occurrence of Vancomycin-Resistant Enterococci in Raw Minced Beef and Pork in Germany

    Science.gov (United States)

    Klein, Günter; Pack, Alexander; Reuter, Gerhard

    1998-01-01

    The food chain, especially raw minced meat, is thought to be responsible for an increase in the incidence of vancomycin-resistant enterococci (VRE) in human nosocomial infections. Therefore, 555 samples from 115 batches of minced beef and pork from a European Union-licensed meat-processing plant were screened for the occurrence of VRE. The processed meat came from 45 different slaughterhouses in Germany. Enterococci were isolated directly from Enterococcosel selective agar plates and also from Enterococcosel selective agar plates supplemented with 32 mg of vancomycin per liter. In addition, peptone broth was used in a preenrichment procedure, and samples were subsequently plated onto Enterococcosel agar containing vancomycin. To determine resistance, 209 isolates from 275 samples were tested with the glycopeptides vancomycin, teicoplanin, and avoparcin and 19 other antimicrobial substances by using a broth microdilution test. When the direct method was used, VRE were found in 3 of 555 samples (0.5%) at a concentration of 1.0 log CFU/g of minced meat. When the preenrichment procedure was used, 8% of the samples were VRE positive. Our findings indicate that there is a low incidence of VRE in minced meat in Germany. In addition, the resistance patterns of the VRE isolates obtained were different from the resistance patterns of clinical isolates. A connection between the occurrence of VRE in minced meat and nosocomial infections could not be demonstrated on the basis of our findings. PMID:9572958

  3. Whole genome sequencing reveals complex evolution patterns of multidrug-resistant Mycobacterium tuberculosis Beijing strains in patients.

    Directory of Open Access Journals (Sweden)

    Matthias Merker

    Full Text Available Multidrug-resistant (MDR Mycobacterium tuberculosis complex (MTBC strains represent a major threat for tuberculosis (TB control. Treatment of MDR-TB patients is long and less effective, resulting in a significant number of treatment failures. The development of further resistances leads to extensively drug-resistant (XDR variants. However, data on the individual reasons for treatment failure, e.g. an induced mutational burst, and on the evolution of bacteria in the patient are only sparsely available. To address this question, we investigated the intra-patient evolution of serial MTBC isolates obtained from three MDR-TB patients undergoing longitudinal treatment, finally leading to XDR-TB. Sequential isolates displayed identical IS6110 fingerprint patterns, suggesting the absence of exogenous re-infection. We utilized whole genome sequencing (WGS to screen for variations in three isolates from Patient A and four isolates from Patient B and C, respectively. Acquired polymorphisms were subsequently validated in up to 15 serial isolates by Sanger sequencing. We determined eight (Patient A and nine (Patient B polymorphisms, which occurred in a stepwise manner during the course of the therapy and were linked to resistance or a potential compensatory mechanism. For both patients, our analysis revealed the long-term co-existence of clonal subpopulations that displayed different drug resistance allele combinations. Out of these, the most resistant clone was fixed in the population. In contrast, baseline and follow-up isolates of Patient C were distinguished each by eleven unique polymorphisms, indicating an exogenous re-infection with an XDR strain not detected by IS6110 RFLP typing. Our study demonstrates that intra-patient microevolution of MDR-MTBC strains under longitudinal treatment is more complex than previously anticipated. However, a mutator phenotype was not detected. The presence of different subpopulations might confound phenotypic and

  4. The effect of four user interface concepts on visual scan pattern similarity and information foraging in a complex decision making task.

    Science.gov (United States)

    Starke, Sandra D; Baber, Chris

    2018-07-01

    User interface (UI) design can affect the quality of decision making, where decisions based on digitally presented content are commonly informed by visually sampling information through eye movements. Analysis of the resulting scan patterns - the order in which people visually attend to different regions of interest (ROIs) - gives an insight into information foraging strategies. In this study, we quantified scan pattern characteristics for participants engaging with conceptually different user interface designs. Four interfaces were modified along two dimensions relating to effort in accessing information: data presentation (either alpha-numerical data or colour blocks), and information access time (all information sources readily available or sequential revealing of information required). The aim of the study was to investigate whether a) people develop repeatable scan patterns and b) different UI concepts affect information foraging and task performance. Thirty-two participants (eight for each UI concept) were given the task to correctly classify 100 credit card transactions as normal or fraudulent based on nine transaction attributes. Attributes varied in their usefulness of predicting the correct outcome. Conventional and more recent (network analysis- and bioinformatics-based) eye tracking metrics were used to quantify visual search. Empirical findings were evaluated in context of random data and possible accuracy for theoretical decision making strategies. Results showed short repeating sequence fragments within longer scan patterns across participants and conditions, comprising a systematic and a random search component. The UI design concept showing alpha-numerical data in full view resulted in most complete data foraging, while the design concept showing colour blocks in full view resulted in the fastest task completion time. Decision accuracy was not significantly affected by UI design. Theoretical calculations showed that the difference in achievable

  5. Assessment of the Bacteriological Quality of Food Samples May Offer Clues the Antibiotic Resistance Pattern

    Directory of Open Access Journals (Sweden)

    Enayatollah Kalantar

    2015-02-01

    Full Text Available Background: Foodborne illnesses continue to be a leading cause of morbidity and mortality worldwide; however, the burden of diseases caused by food-borne pathogens remains largely unknown. Objectives: The aim of the present study was to culture-confirmed the bacterial profile and their antibiotic resistant pattern in Food and Drug Laboratory, Alborz University of Medical Sciences, Karaj, Iran. Patients and Methods: A total of 22 bacteria including of Staphylococcus aureus, Klebsiella spp and E. coli were presumptive isolated from the traditional ice cream, cream pastries, sausage, and salami by the Official Food Microbiology Laboratory, Deputy of Food and Drug Administration, Alborz University of Medical Sciences, Karaj, Iran, and sent to the Research Center Laboratory, Alborz University of Medical Sciences, to confirm the bacterial spp by multiplex polymerase chain reaction. These isolates were also checked for their antimicrobial resistance pattern according to CLSI guideline. Results: The highest rate of contamination was with Klebsiella spp 09 (40.9%, followed by S. aureus 07 (31.8%, E. coli 06 (27.27%, as reported by the Official Food Microbiology Laboratory of Alborz University of Medical Sciences. Gel electrophoresis of the isolates shows the 600bp bp and 80 bp gene among S. aureus and E. coli respectively. The antibiotic resistant pattern in case of Klensiella spp showed that 6 (66.6% Klensiella spp were resistant to Penicillin and Cotrimoxazole. Similarly, penicillin and amoxicillin were found the highest resistant antibiotic against 83.3% E. coli, however, ceftriaxone showed the highest sensitivity against 100% E. coli isolates. Conclusions: In conclusion, Klebsiella spp, S. aureus and E. coli are contaminants of food specimens obtained from food industries in Karaj, Iran; they constitute a serious health risk for human population. Moreover, the principal purpose of this study is to increase awareness of the antibiotic resistance of

  6. Effects of extractives and ash on natural resistance of four woods to xylophogous termites

    Directory of Open Access Journals (Sweden)

    Juarez Benigno Paes

    2013-09-01

    Full Text Available This study tested the natural resistance of wood of four tree species to Nasutitermes corniger Motsch. xylophogous termite attack and correlate the resistance with the amount of extract and ash in the chemical composition of the tested species. The species evaluated were Anadenanthera colubrina (Vell. Brenan. var. cebil (Gris. Alts., Tabebuia aurea (Mart. Bureau., Amburana cearensis (Allem. A.C.Sm. and Eucalyptus camaldulensis Dehnh. Test samples with dimensions of 2.00 x 10.16 x 0.64 cm (radial x longitudinal x tangential were obtained at two positions (external heartwood and sapwood of each species. The samples were exposed to action of termites for 45 days in food preference assay. The content of wood extractives was obtained through the sawdust that went through sieve of 40 mesh and were retained in the 60 mesh. The natural resistance was not associated with wood extractive contents. The wood more resistant to termite attack was the Anadenanthera colubrina var. cebil in the two positions (external heartwood and sapwood and Eucalyptus camaldulensis wood presented the greatest wear. The biological resistance of wood was correlated with ash content, i.e., the species with the highest levels was the most resistant to termite attack.

  7. Metabolic Response to Four Weeks of Muscular Endurance Resistance Training

    Directory of Open Access Journals (Sweden)

    John W. Farrell III

    2017-10-01

    Full Text Available Background: Previous investigations have shown that muscular endurance resistance training (MERT is conducive in improving the onset of blood lactate accumulation (OBLA. However, the metabolic response and time course for adaption is still unclear. Objective: The aims of the current study were to evaluate and track the metabolic response to an individual session of MERT as well as to assess performance adaptations of supplementing an aerobic exercise training program with four weeks of MERT. Methods: Seventeen aerobically active men were randomly assigned to either the experimental (EX or control group (CON, 9 EX and 8 CON. Baseline measures included a graded exercise test (GXT and 1-repetition maximum (1RM testing for leg press (LP, leg curl (LC, and leg extension (LE. CON continued their regular aerobic activity while the EX supplemented their regular aerobic exercise with 4 weeks of MERT. Results: No significant group differences were observed for all pre-training variables. Following four weeks of training no significant differences in cardiorespiratory or metabolic variables were observed for either group. However, significant improvements in LC and LE 1-RM were observed in EX compared to CON. Substantial accumulations in blood lactate were observed following each MERT session. Conclusion: Four weeks of MERT did not improve cardiorespiratory or metabolic variables, but did significantly improve LC and LE. MERT was also observed to induce a blood lactate response similar to that of HIIT. These findings suggest greater than four weeks is need to see metabolic adaptations conducive for improved aerobic performance using MERT.

  8. Screening of wheat germplasm for the source of resistance against leaf and stripe rust under climatic conditions in Bhakkar

    International Nuclear Information System (INIS)

    Bhatti, M.A.; Burhan, M.; Shahzad, M.A.; Aslam, M.

    2009-01-01

    A field experiment was conducted to assess the level of resistance and susceptibility against stripe and leaf rust of wheat at Arid Zone Research 1, Institute, Bhakkar during, Rabi 2009, One hundred wheat genotypes were sown in second week of November. Each test line/variety of planted in two rows of 2 meter reach will two row of Morocco after every three entries to increase the disease pressure, fest lines/ varieties were inoculated thrice with highly susceptible Morocco and two most virulent Lr-26 and Lr-23 patho type. Out of eighty four test entries/varieties screened against le leaf rust, 5 exhibited resistant 21 moderately susceptible, 20 susceptible, 28 moderately resistant and 10 were highly susceptible. The present investigation indicated that there was no highly resistant lines/variety with zero disease severity. On the other hand, as regards stripe rust, out of thirty seven lines/varieties only two lines were susceptible to disease, Among other lines/ varieties, 12 resistant, 11 moderately resistant, 6 moderately susceptible and 2 susceptible against disease. Four (4) lines /varieties proved as highly resistant with zero disease severity.

  9. Deposition, characterization, patterning and mechanistic study of inorganic resists for next-generation nanolithography

    Science.gov (United States)

    Luo, Feixiang

    The semiconductor industry has witnessed a continuous decrease in the size of logic, memory and other computer chip components since its birth over half a century ago. The shrinking (scaling) of components has to a large extent been enabled by the development of micro- and now nano-lithographic techniques. This thesis focuses on one central component of lithography, the resist, which is essentially a thin film that when appropriately exposed enables a pattern to be printed onto a surface. Smaller features require an ever more precisely focused photon, electron or ion beam with which to expose the resist. The likely next generation source of radiation that will enable sub-20nm features to be written will employ extreme ultraviolet radiation (EUV), 92eV (13.5nm). The work discussed here involves a novel class of inorganic resists (including a solution processed Hf-based resist called HafSOx), as the organic resists that have dominated the microlithography industry for the past few decades have approached fundamental scaling limits. In order to maintain the high throughput required by high volume semiconductor manufacturing, metal oxide resists have been proposed and developed to meet the resolution and sensitivity in EUV lithography. One can think of our resists as the nano-lithographic analog to the silver halide film that dominated the photographic print industry for a century. In this thesis, we mainly describe our work on HafSOx, a "first generation" metal oxide EUV resist system. HafSOx thin films can be deposited by spin-coating a mixed solution of HfOCl2, H2O 2, and H2SO4. Various materials characterization techniques have been employed to achieve a comprehensive understanding of film composition and structure at both surface and bulk level, as well as a mechanistic understanding of the film radiation chemistry. Taking advantage of the high energy x-rays used in the XPS experiment, we developed an experiment to dynamically monitor the photochemistry within the

  10. Determination of the influence of dispersion pattern of pesticide-resistant individuals on the reliability of resistance estimates using different sampling plans.

    Science.gov (United States)

    Shah, R; Worner, S P; Chapman, R B

    2012-10-01

    Pesticide resistance monitoring includes resistance detection and subsequent documentation/ measurement. Resistance detection would require at least one (≥1) resistant individual(s) to be present in a sample to initiate management strategies. Resistance documentation, on the other hand, would attempt to get an estimate of the entire population (≥90%) of the resistant individuals. A computer simulation model was used to compare the efficiency of simple random and systematic sampling plans to detect resistant individuals and to document their frequencies when the resistant individuals were randomly or patchily distributed. A patchy dispersion pattern of resistant individuals influenced the sampling efficiency of systematic sampling plans while the efficiency of random sampling was independent of such patchiness. When resistant individuals were randomly distributed, sample sizes required to detect at least one resistant individual (resistance detection) with a probability of 0.95 were 300 (1%) and 50 (10% and 20%); whereas, when resistant individuals were patchily distributed, using systematic sampling, sample sizes required for such detection were 6000 (1%), 600 (10%) and 300 (20%). Sample sizes of 900 and 400 would be required to detect ≥90% of resistant individuals (resistance documentation) with a probability of 0.95 when resistant individuals were randomly dispersed and present at a frequency of 10% and 20%, respectively; whereas, when resistant individuals were patchily distributed, using systematic sampling, a sample size of 3000 and 1500, respectively, was necessary. Small sample sizes either underestimated or overestimated the resistance frequency. A simple random sampling plan is, therefore, recommended for insecticide resistance detection and subsequent documentation.

  11. Use of GenoType® MTBDRplus assay to assess drug resistance and mutation patterns of multidrug-resistant tuberculosis isolates in northern India

    Directory of Open Access Journals (Sweden)

    A K Maurya

    2013-01-01

    Full Text Available Purpose: The emergence and spread of multidrug-resistant tuberculosis (MDR-TB is a major public health problem. The diagnosis of MDR-TB is of paramount importance in establishing appropriate clinical management and infection control measures. The aim of this study was to evaluate drug resistance and mutational patterns in clinical isolates MDR-TB by GenoType® MTBDRplus assay. Material and Methods: A total of 350 non-repeated sputum specimens were collected from highly suspected drug-resistant pulmonary tuberculosis (PTB cases; which were processed by microscopy, culture, differentiation and first line drug susceptibility testing (DST using BacT/ALERT 3D system. Results: Among a total of 125 mycobacterium tuberculosis complex (MTBC strains, readable results were obtained from 120 (96% strains by GenoType® MTBDRplus assay. Only 45 MDR-TB isolates were analysed for the performance, frequency and mutational patterns by GenoType® MTBDRplus assay. The sensitivity of the GenoType® MDRTBplus assay for detecting individual resistance to rifampicin (RIF, isoniazid (INH and multidrug resistance was found to be 95.8%, 96.3% and 97.7%, respectively. Mutation in codon S531L of the rpoB gene and codon S315T1 of katG genes were dominated in MDR-TB strains, respectively (P < 0.05. Conclusions: The GenoType® MTBDRplus assay is highly sensitive with short turnaround times and a rapid test for the detection of the most common mutations conferring resistance in MDR-TB strains that can readily be included in a routine laboratory workflow.

  12. Intraventricular ciprofloxacin usage in treatment of multidrug-resistant central nervous system infections: report of four cases

    Directory of Open Access Journals (Sweden)

    Ayse Karaaslan

    2014-12-01

    Full Text Available In recent years, multidrug-resistant microorganisms appear as important nosocomial pathogens which treatment is quite difficult. As sufficient drug levels could not be achieved in cerebrospinal fluid during intravenous antibiotic therapy for central nervous system infections and due to multidrug-resistance treatment alternatives are limited. In this study, four cases of central nervous system infections due to multidrug-resistant microorganisms who were successfully treated with removal of the devices and intraventricular ciprofloxacin are presented. In conclusion, intraventricular ciprofloxacin can be used for treatment of central nervous system infections if the causative microorganism is sensitive to the drug and no other alternative therapy is available.

  13. Ciprofloxacin-resistant Escherichia coli in Central Greece: mechanisms of resistance and molecular identification

    Directory of Open Access Journals (Sweden)

    Mavroidi Angeliki

    2012-12-01

    Full Text Available Abstract Background Fluoroquinolone resistant E. coli isolates, that are also resistant to other classes of antibiotics, is a significant challenge to antibiotic treatment and infection control policies. In Central Greece a significant increase of ciprofloxacin-resistant Escherichia coli has occurred during 2011, indicating the need for further analysis. Methods A total of 106 ciprofloxacin-resistant out of 505 E. coli isolates consecutively collected during an eight months period in a tertiary Greek hospital of Central Greece were studied. Antimicrobial susceptibility patterns and mechanisms of resistance to quinolones were assessed, whereas selected isolates were further characterized by multilocus sequence typing and β-lactamase content. Results Sequence analysis of the quinolone-resistance determining region of the gyrA and parC genes has revealed that 63% of the ciprofloxacin-resistant E. coli harbored a distinct amino acid substitution pattern (GyrA:S83L + D87N; ParC:S80I + E84V, while 34% and 3% carried the patterns GyrA:S83L + D87N; ParC:S80I and GyrA:S83L + D87N; ParC:S80I + E84G respectively. The aac (6’-1b-cr plasmid-mediated quinolone resistance determinant was also detected; none of the isolates was found to carry the qnrA, qnrB and qnrS. Genotyping of a subset of 35 selected ciprofloxacin-resistant E. coli by multilocus sequence typing has revealed the presence of nine sequence types; ST131 and ST410 were the most prevalent and were exclusively correlated with hospital and health care associated infections, while strains belonging to STs 393, 361 and 162 were associated with community acquired infections. The GyrA:S83L + D87N; ParC:S80I + E84V substitution pattern was found exclusively among ST131 ciprofloxacin-resistant E. coli. Extended-spectrum β-lactamase-positive ST131 ciprofloxacin-resistant isolates produced CTX-M-type enzymes; eight the CTX-M-15 and one the CTX-M-3 variant. CTX-M-1 like and KPC-2 enzymes were detected

  14. The Thirty-Meter Telescope

    Indian Academy of Sciences (India)

    2016-01-27

    Jan 27, 2016 ... The Thirty-Meter Telescope international observatory will enable transformational observations over the full cosmic timeline all the way from the first luminous objects in the Universe to the planets and moons of our own solar system. To realize its full scientific potential, TMT will be equipped with a powerful ...

  15. [Microbiological characteristics and patterns of resistance in prosthetic joint infections in a referral hospital].

    Science.gov (United States)

    Ortega-Peña, Silvestre; Colín-Castro, Claudia; Hernández-Duran, Melissa; López-Jácome, Esaú; Franco-Cendejas, Rafael

    2015-01-01

    The prosthetic joint infection is the most feared and catastrophic complication for cause severe physical damage to patients and, generates high economic costs. To describe the microbiological characteristics and to determine the resistance pattern in prosthetic joint infections in a reference hospital in Mexico. Patients whose prosthetic devices were withdrawn due to suspicion of septic and aseptic loosening were included. Cultures were performed to identify microorganisms and susceptibility analysis. Of the 111 patients included, 55% were diagnosed with prosthetic joint infection, with the most frequent prosthesis being of the hip (43%). Positive cultures were obtained in 97% of the infected cases, of which 75% were monomicrobial infections. The most frequent bacterial species isolated were: Staphylococcus epidermidis (31%), Enterococcus faecalis (16%), Staphylococcus aureus (13%), and Escherichia coli (8%). The resistance patterns for the Staphylococcus genus were: oxacillin (79%), erythromycin (45%) and ciprofloxacin (37%). Enterococcus faecalis showed a high percentage of resistance to erythromycin and clindamycin (86%), and fluoroquinolones (43%). The large majority (86%) of Escherichia coli were extended spectrum beta-lactamases positive, in addition to having high resistance to fluoroquinolones (86%), trimethoprim/sulfamethoxazole (86%) and gentamicin (72%). The microbiological characteristics found in prosthetic joint infections vary according to the hospitals. In this series, a high proportion of coagulase-negative Staphylococci and Enterococcus spp. were found, as well as a high bacterial resistance. Copyright © 2015 Academia Mexicana de Cirugía A.C. Published by Masson Doyma México S.A. All rights reserved.

  16. Antimicrobial resistance patterns in community acquired urinary tract infections

    International Nuclear Information System (INIS)

    Gilani, S.Y.H; Ahmad, N.; Shah, S.R.A.

    2016-01-01

    Urinary tract infection (UTI) is the most frequent disease for which patients seek medical care. The antimicrobial agents causing UTI and their sensitivity patterns have remarkably changed throughout the world over the past few years. Hence, the present study was designed to explore the uropathogens and their susceptibility to various molecules in our region. Methods: This descriptive cross sectional study was conducted at Medical C Unit of Ayub Teaching Hospital, Abbottabad from January 2015 to January 2016. Patients with clinical features of UTI were evaluated using Urine R/E and Urine culture and sensitivity. Ten antibiotics were checked for susceptibility. Results were analysed using SPSS 17. Results: A total of 630 patients presented with urinary complaints. Of these, 236 patients had more than 8-10 pus cells on urine R/E. They were further evaluated using culture and sensitivity and positive culture was obtained in 75 patients. Of these 34 (45.3%) were males and 41 (54.7%) were females. E Coli was the predominant isolate being present in 49 (65.3%) patients. This was followed by Klebsiella in 9 (12%) patients. Tazobactam-piperacillin and cefoperazone-sulbactam were the most sensitive drugs having overall sensitivity of 96% and 93.3% respectively. The isolates were highly resistant to Fluoroquinolones 77.3% followed by Penicillins 72% and TMP-SMX 69.3%.Conclusion: Antibiotic sensitivity patterns have enormously changed over the past decade. Newer agents are quite efficacious but their use should be highly judicious to prevent the development of resistance to these molecules. (author)

  17. Transition of torque pattern in undulatory locomotion due to wave number variation in resistive force dominated media

    Science.gov (United States)

    Ding, Yang; Ming, Tingyu

    2016-11-01

    In undulatory locomotion, torque (bending moment) is required along the body to overcome the external forces from environments and bend the body. Previous observations on animals using less than two wavelengths on the body showed such torque has a single traveling wave pattern. Using resistive force theory model and considering the torque generated by external force in a resistive force dominated media, we found that as the wave number (number of wavelengths on the locomotor's body) increases from 0.5 to 1.8, the speed of the traveling wave of torque decreases. When the wave number increases to 2 and greater, the torque pattern transits from a single traveling wave to a two traveling waves and then a complex pattern that consists two wave-like patterns. By analyzing the force distribution and its contribution to the torque, we explain the speed decrease of the torque wave and the pattern transition. This research is partially supported by the Recruitment Program of Global Young Experts (China).

  18. Artemisinin resistance in Plasmodium falciparum is associated with an altered temporal pattern of transcription

    Directory of Open Access Journals (Sweden)

    Newton Paul N

    2011-08-01

    Full Text Available Abstract Background Artemisinin resistance in Plasmodium falciparum malaria has emerged in Western Cambodia. This is a major threat to global plans to control and eliminate malaria as the artemisinins are a key component of antimalarial treatment throughout the world. To identify key features associated with the delayed parasite clearance phenotype, we employed DNA microarrays to profile the physiological gene expression pattern of the resistant isolates. Results In the ring and trophozoite stages, we observed reduced expression of many basic metabolic and cellular pathways which suggests a slower growth and maturation of these parasites during the first half of the asexual intraerythrocytic developmental cycle (IDC. In the schizont stage, there is an increased expression of essentially all functionalities associated with protein metabolism which indicates the prolonged and thus increased capacity of protein synthesis during the second half of the resistant parasite IDC. This modulation of the P. falciparum intraerythrocytic transcriptome may result from differential expression of regulatory proteins such as transcription factors or chromatin remodeling associated proteins. In addition, there is a unique and uniform copy number variation pattern in the Cambodian parasites which may represent an underlying genetic background that contributes to the resistance phenotype. Conclusions The decreased metabolic activities in the ring stages are consistent with previous suggestions of higher resilience of the early developmental stages to artemisinin. Moreover, the increased capacity of protein synthesis and protein turnover in the schizont stage may contribute to artemisinin resistance by counteracting the protein damage caused by the oxidative stress and/or protein alkylation effect of this drug. This study reports the first global transcriptional survey of artemisinin resistant parasites and provides insight to the complexities of the molecular basis

  19. Simulation of pattern and defect detection in periodic amplitude and phase structures using photorefractive four-wave mixing

    Science.gov (United States)

    Nehmetallah, Georges; Banerjee, Partha; Khoury, Jed

    2015-03-01

    The nonlinearity inherent in four-wave mixing in photorefractive (PR) materials is used for adaptive filtering. Examples include script enhancement on a periodic pattern, scratch and defect cluster enhancement, periodic pattern dislocation enhancement, etc. through intensity filtering image manipulation. Organic PR materials have large space-bandwidth product, which makes them useful in adaptive filtering techniques in quality control systems. For instance, in the case of edge enhancement, phase conjugation via four-wave mixing suppresses the low spatial frequencies of the Fourier spectrum of an aperiodic image and consequently leads to image edge enhancement. In this work, we model, numerically verify, and simulate the performance of a four wave mixing setup used for edge, defect and pattern detection in periodic amplitude and phase structures. The results show that this technique successfully detects the slightest defects clearly even with no enhancement. This technique should facilitate improvements in applications such as image display sharpness utilizing edge enhancement, production line defect inspection of fabrics, textiles, e-beam lithography masks, surface inspection, and materials characterization.

  20. Prevalence and resistance to antibiotics for Aeromonas species from retail fish in Malaysia.

    Science.gov (United States)

    Radu, Son; Ahmad, Noorlis; Ling, Foo Hooi; Reezal, Abdul

    2003-03-25

    A total of 87 market fish samples representing five types of fish were evaluated for the presence of Aeromonas spp. Of the samples examined, 69%, 55%, 11.5% and 2.3% harbored Aeromonas spp., A. veronii biovar sobria, A. hydrophila and A. caviae, respectively. The 60 isolated Aeromonas spp. strains were further examined for hemolytic activity, resistance to antimicrobial agents and presence of plasmids. Hemolytic activity varied widely among the isolated strains. Though all the isolates demonstrated resistance to three or more of the antibiotics tested, all were susceptible to ceptazidime. Thirty-four (56.7%) of the sixty isolates harbored plasmids, with sizes ranging from 2.3 to 15.7 kb. These results indicate that hemolytic, multiple antibiotic resistant and genetically diverse aeromonads are easily recovered from fish in this region.

  1. Changes in drug resistance patterns following the introduction of HIV type 1 non-B subtypes in Spain.

    Science.gov (United States)

    De Mendoza, Carmen; Garrido, Carolina; Poveda, Eva; Corral, Angélica; Zahonero, Natalia; Treviño, Ana; Anta, Lourdes; Soriano, Vincent

    2009-10-01

    Natural genetic variability at the pol gene may account for differences in drug susceptibility and selection of resistance patterns across HIV-1 clades. Spread of non-B subtypes along with changes in antiretroviral drug use may have modified drug resistance patterns in recent years. All HIV-1 clinical samples sent to a reference laboratory located in Madrid for drug resistance testing since January 2000 were analyzed. The pol gene was sequenced and HIV-1 subtypes were assigned using the Stanford algorithm and phylogenetic analyses for non-B subtypes. Drug resistance mutations were recorded using the IAS-USA mutation list (April 2008). A total of 3034 specimens from 730 antiretroviral-naive individuals (92 with non-B subtypes) and 1569 antiretroviral-experienced patients (97 with non-B subtypes) were examined. The prevalence of HIV-1 non-B subtypes in the study period increased from 4.4% (2000-2003) to 10.1% (2004-2007) (p 41.8%) and G (17.5%). Thymidine analogue mutations (TAMs) were more prevalent in B than non-B subtypes, in both drug-naive (6.2% vs. 1%; p < 0.01) and treatment-experienced patients (49% vs. 30%, p < 0.01). K103N was most frequent in B than non-B subtypes (34% vs. 21%; p < 0.01); conversely, 106A/M was more prevalent in non-B than B clades (11% vs. 5%). Codon 179 mutations associated with etravirine resistance were more frequent in non-B than B subtypes. Finally, secondary protease resistance mutations were more common in non-B than B clades, with a potentially significant impact at least on tipranavir. The prevalence of HIV-1 non-B subtypes has increased since the year 2000 in a large drug resistance database in Spain, determining changes in drug resistance patterns that may influence the susceptibility to new antiretroviral drugs and have an impact on genotypic drug resistance interpretation algorithms.

  2. Phenotypic and genotypic characterization of antibiotic resistance of methicillin-resistant Staphylococcus aureus isolated from hospital food

    Directory of Open Access Journals (Sweden)

    Farhad Safarpoor Dehkordi

    2017-10-01

    Full Text Available Abstract Background Pathogenic biotypes of the Methicillin-resistant Staphylococcus aureus (MRSA strains are considered to be one of the major cause of food-borne diseases in hospitals. The present investigation was done to study the pattern of antibiotic resistance and prevalence of antibiotic resistance genes of different biotypes of the MRSA strains isolated from various types of hospital food samples. Methods Four-hundred and eighty-five raw and cooked hospital food samples were cultured and MRSA strains were identified using the oxacillin and cefoxitin disk diffusion tests and mecA-based PCR amplification. Isolated strains were subjected to biotyping and their antibiotic resistance patterns were analyzed using the disk diffusion and PCR methods. Results Prevalence of S. aureus and MRSA were 9.69 and 7.62%, respectively. Meat and chicken barbecues had the highest prevalence of MRSA. Prevalence of bovine, ovine, poultry and human-based biotypes in the MRSA strains were 8.10, 8.10, 32.43 and 48.64%, respectively. All of the MRSA strains recovered from soup, salad and rice samples were related to human-based biotypes. MRSA strains harbored the highest prevalence of resistance against penicillin (100%, ceftaroline (100%, tetracycline (100%, erythromycin (89.18% and trimethoprim-sulfamethoxazole (83.78%. TetK (72.97%, ermA (72.97%, msrA (64.86% and aacA-D (62.16% were the most commonly detected antibiotic resistance genes. Conclusions Pattern of antibiotic resistance and also distribution of antibiotic resistance genes were related to the biotype of MRSA strains. Presence of multi-drug resistance and also simultaneous presence of several antibiotic resistance genes in some MRSA isolates showed an important public health issue Further researches are required to found additional epidemiological aspects of the MRSA strains in hospital food samples.

  3. Triatoma rubrovaria (Blanchard, 1843 (Hemiptera-Reduviidae-Triatominae III: patterns of feeding, defecation and resistance to starvation

    Directory of Open Access Journals (Sweden)

    Carlos Eduardo Almeida

    2003-04-01

    Full Text Available Data from the Chagas Disease Control Program indicate a growing domiciliary and peridomiciliary invasion of Triatoma rubrovaria in the State of Rio Grande do Sul, where it has become the most frequent triatomine species captured there since the control of T. infestans. Bionomic characteristics that could influence the vectorial capacity of T. rubrovaria as vector of Trypanosoma cruzi were evaluated: patterns of (i feeding, (ii defecation, and (iii resistance to starvation, using insects fed on mice. Fifty three percent of the females showed a defecation pattern conducive to chagasic transmission, defecating either on or near the bite site. The averages of the resistance to starvation varied from 48.1 to 179 days, for the first and fifth nymphal stages, respectively. Our study shows that with respect to the patterns of feeding, defecation and resistance to fasting, T. rubrovaria presented similar rates to the ones observed for other effective vector species, such as T. infestans. Thus, based on our studies we conclude that T. rubrovaria has biological characteristics that can positively influence its capacity to become infected and transmit T. cruzi, and also to keep residual populations after chemical control interventions.

  4. Antibiotic resistance pattern and empirical therapy for urinary tract infections in children

    International Nuclear Information System (INIS)

    Al-Harthi, Abdulla A.; Al-Fifi, Suliman H.

    2008-01-01

    Objective was to study the type of bacterial pathogen causing urinary tract infection in children at Aseer Central Hospital, southwestern Saudi Arabia and their antimicrobial resistance patterns. A retrospective study of all the urine cultures carried out on the children in the period from January 2003 to December 2006, for a total of 4 years were reviewed at the bacteriology laboratory, Aseer Central Hospital, southwestern region of Saudi Arabia. Their antimicrobial resistances as well as sensitivities were also analyzed. A total of 464 urine cultures were identified. Escherichia coli constitutes the most common pathogen isolated 37.3%, followed by Klebsiella 16.4% and Pseudomonas species 15.7%. In general, there was a significant increase in the resistance rates of different bacterial pathogens to different antibiotics. In spite of an increase in the resistance rates of bacterial pathogens causing UTI, ceftriaxone, imipenem and to some extent Azactam are appropriate for initial empirical intravenous therapy in UTI. In patients with uncomplicated UTI not requiring hospitalization, Nalidixic acid and Nitrofurantoin can be used as oral treatment. (author)

  5. An association of genotypes and antimicrobial resistance patterns among Salmonella isolates from pigs and humans in Taiwan.

    Directory of Open Access Journals (Sweden)

    Hung-Chih Kuo

    Full Text Available We collected 110 Salmonella enterica isolates from sick pigs and determined their serotypes, genotypes using pulsed-field gel electrophoresis (PFGE, and antimicrobial susceptibility to 12 antimicrobials and compared the data with a collection of 18,280 isolates obtained from humans. The pig isolates fell into 12 common serovars for human salmonellosis in Taiwan; S. Typhimurium, S. Choleraesuis, S. Derby, S. Livingstone, and S. Schwarzengrund were the 5 most common serovars and accounted for a total of 84% of the collection. Of the 110 isolates, 106 (96% were multidrug resistant (MDR and 48 (44% had PFGE patterns found in human isolates. S. Typhimurium, S. Choleraesuis, and S. Schwarzengrund were among the most highly resistant serovars. The majority of the 3 serovars were resistant to 8-11 of the tested antimicrobials. The isolates from pigs and humans sharing a common PFGE pattern displayed identical or very similar resistance patterns and Salmonella strains that caused severe infection in pigs were also capable of causing infections in humans. The results indicate that pigs are one of the major reservoirs to human salmonellosis in Taiwan. Almost all of the pig isolates were MDR, which highlights the necessity of strictly regulating the use of antimicrobials in the agriculture sector in Taiwan.

  6. An association of genotypes and antimicrobial resistance patterns among Salmonella isolates from pigs and humans in Taiwan.

    Science.gov (United States)

    Kuo, Hung-Chih; Lauderdale, Tsai-Ling; Lo, Dan-Yuan; Chen, Chiou-Lin; Chen, Pei-Chen; Liang, Shiu-Yun; Kuo, Jung-Che; Liao, Ying-Shu; Liao, Chun-Hsing; Tsao, Chi-Sen; Chiou, Chien-Shun

    2014-01-01

    We collected 110 Salmonella enterica isolates from sick pigs and determined their serotypes, genotypes using pulsed-field gel electrophoresis (PFGE), and antimicrobial susceptibility to 12 antimicrobials and compared the data with a collection of 18,280 isolates obtained from humans. The pig isolates fell into 12 common serovars for human salmonellosis in Taiwan; S. Typhimurium, S. Choleraesuis, S. Derby, S. Livingstone, and S. Schwarzengrund were the 5 most common serovars and accounted for a total of 84% of the collection. Of the 110 isolates, 106 (96%) were multidrug resistant (MDR) and 48 (44%) had PFGE patterns found in human isolates. S. Typhimurium, S. Choleraesuis, and S. Schwarzengrund were among the most highly resistant serovars. The majority of the 3 serovars were resistant to 8-11 of the tested antimicrobials. The isolates from pigs and humans sharing a common PFGE pattern displayed identical or very similar resistance patterns and Salmonella strains that caused severe infection in pigs were also capable of causing infections in humans. The results indicate that pigs are one of the major reservoirs to human salmonellosis in Taiwan. Almost all of the pig isolates were MDR, which highlights the necessity of strictly regulating the use of antimicrobials in the agriculture sector in Taiwan.

  7. Discover Patterns and Mobility of Twitter Users—A Study of Four US College Cities

    Directory of Open Access Journals (Sweden)

    Yue Li

    2017-02-01

    Full Text Available Geo-tagged tweets provide useful implications for studies in human geography, urban science, location-based services, targeted advertising, and social network. This research aims to discover the patterns and mobility of Twitter users by analyzing the spatial and temporal dynamics in their tweets. Geo-tagged tweets are collected over a period of six months for four US Midwestern college cities: (1 West Lafayette, IN; (2 Bloomington, IN; (3 Ann Arbor, MI; (4 Columbus, OH. Various analytical and statistical methods are used to reveal the spatial and temporal patterns of tweets, and the tweeting behaviors of Twitter users. It is discovered that Twitter users are most active between 9:00 pm and 11:00 pm. In smaller cities, tweets aggregate at campuses and apartment complexes, while tweets in residential areas of bigger cities make up the majority of tweets. We also found that most Twitter users have two to four places of frequent visits. The mean mobility range of frequent Twitter users is linearly correlated to the size of the city, specifically, about 40% of the city radius. The research therefore confirms the feasibility and promising future for using geo-tagged microblogging services such as Twitter to understand human behavior patterns and carry out other geo-social related studies.

  8. Changing patterns and trends of multidrug-resistant tuberculosis at referral centre in Northern India: A 4-year experience

    Directory of Open Access Journals (Sweden)

    A K Maurya

    2013-01-01

    Full Text Available Purpose: India has a high burden of drug-resistant tuberculosis (TB, although there is little data on multidrug-resistant tuberculosis (MDR-TB. Although MDR-TB has existed for long time in India, very few diagnostic laboratories are well-equipped to test drug sensitivity. The objectives of this study were to determine the prevalence of MDR-TB, first-line drug resistance patterns and its changing trends in northern India in the 4 years. Materials and Methods: This was a prospective study from July 2007 to December 2010. Microscopy, culture by Bactec460 and p-nitro-α-acetylamino-β-hydroxypropiophenone (NAP test was performed to isolate and identify Mycobacterium tuberculosis (M. tb complex (MTBC. Drug sensitivity testing (DST was performed by 1% proportional method (Bactec460 for four drugs: Rifampicin, isoniazid, ethambutol and streptomycin. Various clinical and demographical profiles were evaluated to analyse risk factors for development of drug resistance. Results: We found the overall prevalence rate of MDR-TB to be 38.8%, increasing from 36.4% in 2007 to 40.8% in 2010. we found that the prevalence of MDR-TB in new and previously treated cases was 29.1% and 43.3% ( P < 0.05; CI 95%. The increasing trend of MDR-TB was more likely in pulmonary TB when compared with extra-pulmonary TB ( P < 0.05; CI 95%. Conclusions: we found a high prevalence (38.8% of MDR-TB both in new cases (29.1% and previously treated cases (43.3%.This study strongly highlights the need to make strategies for testing, surveillance, monitoring and management of such drug-resistant cases.

  9. Contrasting patterns of insecticide resistance and knockdown resistance (kdr) in the dengue vectors Aedes aegypti and Aedes albopictus from Malaysia.

    Science.gov (United States)

    Ishak, Intan H; Jaal, Zairi; Ranson, Hilary; Wondji, Charles S

    2015-03-25

    Knowledge on the extent, distribution and mechanisms of insecticide resistance is essential for successful insecticide-based dengue control interventions. Here, we report an extensive resistance profiling of the dengue vectors Aedes aegypti and Aedes albopictus across Malaysia and establish the contribution of knockdown resistance mechanism revealing significant contrast between both species. Aedes mosquitoes were collected from four states in Malaysia in 2010 using ovitraps and tested against six major insecticides using WHO bioassays. Knockdown resistance (kdr) was investigated in both species. A moderate resistance to temephos was detected from samples collected in 2010 in Penang, Kuala Lumpur, Johor Bharu and Kota Bharu (1.5 Malaysia but neither of these mutations were found in Ae. albopictus. Additionally, signatures of selection were detected on the Voltage-gated sodium channel gene in Ae. aegypti but not in Ae. albopictus. The presence of the 1534C allele was significantly associated with pyrethroid resistance and an additive effect to pyrethroid resistance was observed in individuals containing both kdr alleles. Findings from this study will help to design and implement successful insecticide-based interventions against Ae. aegypti and Ae. albopictus to improve dengue control across Malaysia.

  10. Voluntary resistance running wheel activity pattern and skeletal muscle growth in rats.

    Science.gov (United States)

    Legerlotz, Kirsten; Elliott, Bradley; Guillemin, Bernard; Smith, Heather K

    2008-06-01

    The aims of this study were to characterize the pattern of voluntary activity of young rats in response to resistance loading on running wheels and to determine the effects of the activity on the growth of six limb skeletal muscles. Male Sprague-Dawley rats (4 weeks old) were housed individually with a resistance running wheel (R-RUN, n = 7) or a conventional free-spinning running wheel (F-RUN, n = 6) or without a wheel, as non-running control animals (CON, n = 6). The torque required to move the wheel in the R-RUN group was progressively increased, and the activity (velocity, distance and duration of each bout) of the two running wheel groups was recorded continuously for 45 days. The R-RUN group performed many more, shorter and faster bouts of running than the F-RUN group, yet the mean daily distance was not different between the F-RUN (1.3 +/- 0.2 km) and R-RUN group (1.4 +/- 0.6 km). Only the R-RUN resulted in a significantly (P RUN and R-RUN led to a significantly greater wet mass relative to increase in body mass and muscle fibre cross-sectional area in the soleus muscle compared with CON. We conclude that the pattern of voluntary activity on a resistance running wheel differs from that on a free-spinning running wheel and provides a suitable model to induce physiological muscle hypertrophy in rats.

  11. Time to Surgery Is Associated with Thirty-Day and Ninety-Day Mortality After Proximal Femoral Fracture

    DEFF Research Database (Denmark)

    Nyholm, Anne Marie; Gromov, Kirill; Palm, Henrik

    2015-01-01

    than twenty-four hours (odds ratio, 1.23; p = 0.04). An education level of the surgeon below that of an attending surgeon increased the risk of thirty-day mortality (odds ratio, 1.28; p = 0.035) and ninety-day mortality (odds ratio, 1.26; p = 0.016). Increasing American Society of Anesthesiologists...

  12. Methicillin-resistant Staphylococcus aureus prevalence: Current susceptibility patterns in Trinidad

    Directory of Open Access Journals (Sweden)

    Land Michael

    2006-05-01

    to identify carriers who would be offered antibiotics to eradicate the organisms. Most MRSA are resistant to several non-β-lactam antibiotics. Frequent monitoring of susceptibility patterns of MRSA and the formulation of a definite antibiotic policy maybe helpful in decreasing the incidence of MRSA infection.

  13. COMPARISON OF FOUR PATTERNS OF MANAGEMENT OF COASTAL TOURISM DESTINATIONS IN BALI-INDONESIA

    Directory of Open Access Journals (Sweden)

    Made - Antara

    2016-03-01

    Full Text Available Utilization of coastal in Bali tourism destination by investor is to build of hotel, bar and restaurant, and to develop beach tourism attractions, and so location of tourists expose to the sun known with term 3S is Sea, Sand, Sun. There are four patterns of management of coastal tourism destinatyion in Bali, nemaly, (1 Pattern of management by village tradition; (2 Pattern of management by village foundation; (3 Pattern of management by government authority/agency; and (4 Natural development (without pattern of management. The pattern of management by village tradition was applied in Kuta beach and Jimbaran beach in Badung regency. The pattern of management by village foundation was applied to manage of Sanur beach in Denpasar city. The pattern of management by government authority/agency was used to manage of Nusa Dua beach and surrounding in Badung regency. Meanwhile, natural development (without pattern of management was used in many beaches in Bali, like Nusa Lembongan island beach in Klungkung regency. Two management patterns - management by village tradition and management by village foundation initiatives by local community and its developing, local community livelihood in all long of beach very depending on tourism. While, management by authority agency initiatives are mainly applied by the central government in Jakarta. Coastal tourism managed through the three kinds of management approaches are generally good, particularly on environmental security, tourists safety, maintaining clean environment which are made possible through mobilization of task forces. On the other hand, coastal areas without planned tourism management, generally suffers from several problems. Hence the development of sustainable coastal tourism should be managed by one of institution which may be that of a local community or a governmental authority/agency

  14. Molecular characterization of multidrug-resistant Klebsiella pneumoniae isolates

    Directory of Open Access Journals (Sweden)

    Xiang-hua Hou

    2015-09-01

    Full Text Available Klebsiella pneumoniae is an important cause of healthcare-associated infections worldwide. Selective pressure, the extensive use of antibiotics, and the conjugational transmission of antibiotic resistance genes across bacterial species and genera facilitate the emergence of multidrug-resistant (MDR K. pneumoniae. Here, we examined the occurrence, phenotypes and genetic features of MDR K. pneumoniae isolated from patients in intensive care units (ICUs at the First Affiliated Hospital of Xiamen University in Xiamen, China, from January to December 2011. Thirty-eight MDR K. pneumoniae strains were collected. These MDR K. pneumoniae isolates possessed at least seven antibiotic resistance determinants, which contribute to the high-level resistance of these bacteria to aminoglycosides, macrolides, quinolones and β-lactams. Among these isolates, 24 strains were extended-spectrum β-lactamase (ESBL producers, 2 strains were AmpC producers, and 12 strains were both ESBL and AmpC producers. The 38 MDR isolates also contained class I (28/38 and class II integrons (10/38. All 28 class I-positive isolates contained aacC1, aacC4, orfX, orfX’ and aadA1 genes. β-lactam resistance was conferred through blaSHV (22/38, blaTEM (10/38, and blaCTX-M (7/38. The highly conserved blaKPC-2 (37/38 and blaOXA-23(1/38 alleles were responsible for carbapenem resistance, and a gyrAsite mutation (27/38 and the plasmid-mediated qnrB gene (13/38 were responsible for quinolone resistance. Repetitive-sequence-based PCR (REP-PCR fingerprinting of these MDR strains revealed the presence of five groups and sixteen patterns. The MDR strains from unrelated groups showed different drug resistance patterns; however, some homologous strains also showed different drug resistance profiles. Therefore, REP-PCR-based analyses can provide information to evaluate the epidemic status of nosocomial infection caused by MDR K. pneumoniae; however, this test lacks the power to discriminate some

  15. Comparison of antimicrobial resistance patterns in enterococci from intensive and free range chickens in Australia.

    Science.gov (United States)

    Obeng, Akua Serwaah; Rickard, Heather; Ndi, Olasumbo; Sexton, Margaret; Barton, Mary

    2013-02-01

    Resistance to antimicrobials in enterococci from poultry has been found throughout the world and is generally recognized as associated with antimicrobial use. This study was conducted to evaluate the phenotypic and genotypic profile of enterococcal isolates of intensive (indoor) and free range chickens from 2008/09 and 2000 in order to determine the patterns of antimicrobial resistance associated with different management systems. The minimum inhibitory concentrations in faecal enterococci isolates were determined by agar dilution. Resistance to bacitracin, ceftiofur, erythromycin, lincomycin, tylosin and tetracycline was more common among meat chickens (free range and intensive) than free range egg layers (Pfree range meat chickens.

  16. Investigation of fabrication process for sub 20-nm dense pattern of non-chemically amplified electron beam resist based on acrylic polymers

    Science.gov (United States)

    Ochiai, Shunsuke; Takayama, Tomohiro; Kishimura, Yukiko; Asada, Hironori; Sonoda, Manae; Iwakuma, Minako; Hoshino, Ryoichi

    2016-10-01

    In this study, we examine exposure characteristics of a positive tone electron beam resist consisting of methyl α- chloroacrylate and α-methylstyrene by changing the development process conditions. 25/25 nm and 30/30 nm line-andspace (L/S) patterns (design value) are developed in amyl and heptyl acetates. The resist patterns developed at 0ºC for 120 s show the better shapes having the vertical sidewalls than those developed at 22 °C for 60 s. The dose margins of pattern formation for 0°C development become wider, although the sensitivities are lower. The effect of post exposure baking (PEB) on exposure characteristics is also investigated. Adding PEB process performed at 120°C for 2 min, the dose margin also becomes wider although the sensitivity is lower. 20/20 nm L/S patterns are fabricated by using PEB and/or 0°C development. Though the required exposure dose is larger, the resist pattern is improved by PEB and/or 0°C development. The formation of 35 nm pitch pattern is also presented.

  17. Direct patterning of highly-conductive graphene@copper composites using copper naphthenate as a resist for graphene device applications.

    Science.gov (United States)

    Bi, Kaixi; Xiang, Quan; Chen, Yiqin; Shi, Huimin; Li, Zhiqin; Lin, Jun; Zhang, Yongzhe; Wan, Qiang; Zhang, Guanhua; Qin, Shiqiao; Zhang, Xueao; Duan, Huigao

    2017-11-09

    We report an electron-beam lithography process to directly fabricate graphene@copper composite patterns without involving metal deposition, lift-off and etching processes using copper naphthenate as a high-resolution negative-tone resist. As a commonly used industrial painting product, copper naphthenate is extremely cheap with a long shelf time but demonstrates an unexpected patterning resolution better than 10 nm. With appropriate annealing under a hydrogen atmosphere, the produced graphene@copper composite patterns show high conductivity of ∼400 S cm -1 . X-ray diffraction, conformal Raman spectroscopy and X-ray photoelectron spectroscopy were used to analyze the chemical composition of the final patterns. With the properties of high resolution and high conductivity, the patterned graphene@copper composites could be used as conductive pads and interconnects for graphene electronic devices with ohmic contacts. Compared to common fabrication processes involving metal evaporation and lift-off steps, this pattern-transfer-free fabrication process using copper naphthenate resist is direct and simple but allows comparable device performance in practical device applications.

  18. Health system factors influencing management of multidrug-resistant tuberculosis in four European Union countries - learning from country experiences

    Directory of Open Access Journals (Sweden)

    Gerard de Vries

    2017-04-01

    Full Text Available Abstract Background In the European Union and European Economic Area only 38% of multidrug-resistant tuberculosis patients notified in 2011 completed treatment successfully at 24 months’ evaluation. Socio-economic factors and patient factors such as demographic characteristics, behaviour and attitudes are associated with treatment outcomes. Characteristics of healthcare systems also affect health outcomes. This study was conducted to identify and better understand the contribution of health system components to successful treatment of multidrug-resistant tuberculosis. Methods We selected four European Union countries to provide for a broad range of geographical locations and levels of treatment success rates of the multidrug-resistant tuberculosis cohort in 2009. We conducted semi-structured interviews following a conceptual framework with representatives from policy and planning authorities, healthcare providers and civil society organisations. Responses were organised according to the six building blocks of the World Health Organization health systems framework. Results In the four included countries, Austria, Bulgaria, Spain, and the United Kingdom, the following healthcare system factors were perceived as key to achieving good treatment results for patients with multidrug-resistant tuberculosis: timely diagnosis of drug-resistant tuberculosis; financial systems that ensure access to a full course of treatment and support for multidrug-resistant tuberculosis patients; patient-centred approaches with strong intersectoral collaboration that address patients’ emotional and social needs; motivated and dedicated healthcare workers with sufficient mandate and means to support patients; and cross-border management of multidrug-resistant tuberculosis to secure continuum of care between countries. Conclusion We suggest that the following actions may improve the success of treatment for multidrug-resistant tuberculosis patients: deployment of

  19. Simulation of AZ-PN100 resist pattern fluctuation in X-ray lithography, including synchrotron beam polarization

    International Nuclear Information System (INIS)

    Scheckler, E.W.; Ogawa, Taro; Tanaka, Toshihiko; Takeda, Eiji; Oizumi, Hiroaki.

    1993-01-01

    A new simulation model for nanometer-scale pattern fluctuation in X-ray lithography is presented and applied to a study of AZ-PN100 negative chemical amplification resist. The exposure simulation considers polarized photons from a synchrotron radiation (SR) source. Monte Carlo simulation of Auger and photoelectron generation is followed by electron scattering simulation to determine the deposited energy distribution at the nanometer scale, including beam polarization effects. An acid-catalyst random walk model simulates the post-exposure bake (PEB) step. Fourier transform infrared (FTIR) spectroscopy and developed resist thickness measurements are used to fit PEB and rate models for AZ-PN100. A polymer removal model for development simulation predicts the macroscopic resist shape and pattern roughness. The simulated 3σ linewidth variation is in excess of 24 nm. Simulation also shows a detrimental effect if the beam polarization is perpendicular to the line. Simulation assuming a theoretical ideal exposure yields a 50 nm minimum line for standard process conditions. (author)

  20. Development of a High Slip-resistant Footwear Outsole Using a Hybrid Rubber Surface Pattern

    OpenAIRE

    YAMAGUCHI, Takeshi; HOKKIRIGAWA, Kazuo

    2014-01-01

    Abstract: The present study examined whether a new footwear outsole with tread blocks and a hybrid rubber surface pattern, composed of rough and smooth surfaces, could increase slip resistance and reduce the risk of fall while walking on a wet floor surface. A drag test was performed to measure static and dynamic coefficient of friction (SCOF and DCOF, respectively) values for the footwear with the hybrid rubber surface pattern outsole and two types of commercially available boots that are co...

  1. Changing patterns and widening of antibiotic resistance in Shigella spp. over a decade (2000-2011), Andaman Islands, India.

    Science.gov (United States)

    Bhattacharya, D; Bhattacharya, H; Sayi, D S; Bharadwaj, A P; Singhania, M; Sugunan, A P; Roy, S

    2015-02-01

    This study is a part of the surveillance study on childhood diarrhoea in the Andaman and Nicobar Islands; here we report the drug resistance pattern of recent isolates of Shigella spp. (2006-2011) obtained as part of that study and compare it with that of Shigella isolates obtained earlier during 2000-2005. During 2006-2011, stool samples from paediatric diarrhoea patients were collected and processed for isolation and identification of Shigella spp. Susceptibility to 22 antimicrobial drugs was tested and minimum inhibitory concentrations were determined for third-generation cephalosporins, quinolones, amoxicillin-clavulanic acid combinations and gentamicin. A wide spectrum of antibiotic resistance was observed in the Shigella strains obtained during 2006-2011. The proportions of resistant strains showed an increase from 2000-2005 to 2006-2011 in 20/22 antibiotics tested. The number of drug resistance patterns increased from 13 in 2000-2005 to 43 in 2006-2011. Resistance to newer generation fluoroquinolones, third-generation cephalosporins and augmentin, which was not observed during 2000-2005, appeared during 2006-2011. The frequency of resistance in Shigella isolates has increased substantially between 2000-2006 and 2006-2011, with a wide spectrum of resistance. At present, the option for antimicrobial therapy in shigellosis in Andaman is limited to a small number of drugs.

  2. Antibacterial susceptibility patterns and cross-resistance of methicillin resistant and sensitive Staphyloccus aureus isolated from the hospitalized patients in Shiraz, Iran

    Directory of Open Access Journals (Sweden)

    Aziz Japoni

    2010-10-01

    Full Text Available Nosocomial infections caused by methicillin-resistant staphylococci (MRSA pose a serious problem in many countries. This study aimed to determine the antibacterial susceptibility patterns of methicillin sensitive and resistant Staphylococcus aureus isolates from the hospitalized patients. Totally 356 isolates of Staphylococcus aureus (S. aureus including 200, 137 and 19 corresponding to MSSA, MRSA, and intermediate MRSA strains, respectively were isolated. Antibacterial susceptibility patterns of the isolates to 14 antibiotics were examined using Kirby-Bauer method. MICs of 15 antibiotics to 156 MRSA isolates were determined by E test method. Cross-resistances of MRSA isolates (137+19 to the other tested antibiotics were also determined. S.aureus with high frequencies were isolated from the blood, sputum and deep wound samples. All of 200 MSSA isolates were sensitive to oxacillin, vancomycin, tecoplanin, rifampin, linezolid, quinupristin/dalfopristin, mupirocin and fusidic acid. A gradient of reduced susceptibility of MSSA to cephalexin, co-trimoxazole, ciprofloxacin, clindamycin, tetracycline, erythromycin and gentamicin were evident. MRSA isolates were sensitive to vancomycin, tecoplanin, linezolid, quinupristin/dalfopristin, mupirocin and fusidic acid, while reduced susceptibility of them to rifampin, co-trimoxazole, clindamycin, cephalexin, tetracycline, ciprofloxacin, erythromycin and gentamicin were observed. MRSA isolates exhibited a high range of cross-resistance to the eight tested antibiotics. Overall, co-trimoxazole, ciprofloxacin, clindamycin, tetracycline, erythromycin and gentamicin showed low activity against MSSA and MRSA isolates which may indicate they are not suitable to be used in clinical practices. To preserve the effectiveness of antibiotics, rational prescription and concomitant application of preventive measures against the spread of MRSA are recommended.

  3. Antibiotic resistance rates and physician antibiotic prescription patterns of uncomplicated urinary tract infections in southern Chinese primary care

    OpenAIRE

    Wong, Carmen Ka Man; Kung, Kenny; Au-Doung, Philip Lung Wai; Ip, Margaret; Lee, Nelson; Fung, Alice; Wong, Samuel Yeung Shan

    2017-01-01

    Uncomplicated urinary tract infections (UTI) are common in primary care. Whilst primary care physicians are called to be antimicrobial stewards, there is limited primary care antibiotic resistance surveillance and physician antibiotic prescription data available in southern Chinese primary care. The study aimed to investigate the antibiotic resistance rate and antibiotic prescription patterns in female patients with uncomplicated UTI. Factors associated with antibiotic resistance and prescrip...

  4. Transmission pattern of drug-resistant tuberculosis and its implication for tuberculosis control in eastern rural China.

    Directory of Open Access Journals (Sweden)

    Yi Hu

    Full Text Available OBJECTIVE: Transmission patterns of drug-resistant Mycobacterium tuberculosis (MTB may be influenced by differences in socio-demographics, local tuberculosis (TB endemicity and efficaciousness of TB control programs. This study aimed to investigate the impact of DOTS on the transmission of drug-resistant TB in eastern rural China. METHODS: We conducted a cross-sectional study of all patients diagnosed with drug-resistant TB over a one-year period in two rural Chinese counties with varying lengths of DOTS implementation. Counties included Deqing, with over 11 years' DOTS implementation and Guanyun, where DOTS was introduced 1 year prior to start of this study. We combined demographic, clinical and epidemiologic information with IS6110-based restricted fragment length polymorphism (RFLP and Spoligotyping analysis of MTB isolates. In addition, we conducted DNA sequencing of resistance determining regions to first-line anti-tuberculosis agents. RESULTS: Of the 223 drug-resistant isolates, 73(32.7% isolates were identified with clustered IS6110RFLP patterns. The clustering proportion among total drug-resistant TB was higher in Guanyun than Deqing (26/101.vs.47/122; p,0.04, but not significantly different among the 53 multidrug-resistant isolates (10/18.vs.24/35; p,0.35. Patients with cavitary had increased risk of clustering in both counties. In Guanyun, patients with positive smear test or previous treatment history had a higher clustering proportion. Beijing genotype and isolates resistant to isoniazid and/or rifampicin were more likely to be clustered. Of the 73 patients with clustered drug-resistant isolates, 71.2% lived in the same or neighboring villages. Epidemiological link (household and social contact was confirmed in 12.3% of the clustered isolates. CONCLUSION: Transmission of drug-resistant TB in eastern rural China is characterized by small clusters and limited geographic spread. Our observations highlight the need for supplementing DOTS

  5. Patterns of resource exploitation in four coexisting globeflower fly species ( Chiastocheta sp.)

    Science.gov (United States)

    Pompanon, François; Pettex, Emeline; Després, Laurence

    2006-03-01

    Life history and spatio-temporal patterns of resource utilisation were characterised in four Chiastocheta (Diptera: Anthomyiidae) species, whose larvae compete as seed predators on Trollius europaeus fruits. Interspecific co-occurrence was observed in 80% of the resource patches (= Trollius fruits) in the two communities studied. Isolated larvae from all species had a similar food intake, but differed in development time and size at emergence. Different species exhibit contrasting resource exploitation strategies with specific mining patterns and a partial temporal shift. Two species exhibited particularly singular strategies. C. rotundiventris escaped from strong interactions with other species because it was the first species to develop and the only one to exploit the central pith of Trollius fruits. The key role of this species as the main pollinator of the host-plant appears to be a by-product of constraints imposed by occupying a restricted niche. Although the resource is ephemeral due to seed dispersal, C. dentifera, the last species to oviposit, is not disadvantaged because it has a short development time and rapid food intake. The different patterns can partly explain the stability of Chiastocheta communities, but do not prevent competition to occur at high larval densities.

  6. Acinetobacter baumannii in Southern Croatia: clonal lineages, biofilm formation, and resistance patterns.

    Science.gov (United States)

    Kaliterna, Vanja; Kaliterna, Mariano; Hrenović, Jasna; Barišić, Zvonimir; Tonkić, Marija; Goic-Barisic, Ivana

    2015-01-01

    Acinetobacter baumannii is one of the most prevalent causes of severe hospital-acquired infections and is responsible for the dramatic increase in carbapenem resistance in Croatia in the last 5 years. Such data have encouraged multicenter research focused on the organism's ability to form biofilm, susceptibility to antibiotics, and particular genotype lineage. Biofilm formation in 109 unrelated clinical isolates of A. baumannii recovered in six cities of Southern Croatia was investigated. Genotyping was performed by pulsed-field gel electrophoresis and antibiotic profile was tested by applying the disc diffusion method and confirmed by determining the minimum inhibitory concentrations. The ability to form biofilm in vitro was determined from overnight cultures of the collected isolates on microtiter plates, after staining with crystal violet, and quantified at 570 nm after solubilization with ethanol. The statistical relevance was calculated in an appropriate program with level of statistical confidence. There was no significant difference in biofilm formation due to the genotype lineage. Isolates collected from intensive care units (ICUs) and isolated from respiratory samples were more likely to create a biofilm compared with isolates from other departments and other samples. There was a significant difference in the ability to produce biofilm in relation to antibiotic resistance pattern. A large proportion of A. baumannii isolates that were resistant to ampicillin/sulbactam, carbapenems, and amikacin were found to be biofilm-negative. In contrast, isolates susceptible and intermediately susceptible to ampicillin/sulbactam, carbapenems, and amikacin were biofilm producers. Clinical isolates of A. baumannii from respiratory samples in ICUs with a particular susceptibility pattern are more prone to form biofilm.

  7. Frequency of isolation and antibiotic resistance patterns of bacterial isolates from wound infections

    Directory of Open Access Journals (Sweden)

    Stojanović-Radić, Z.

    2016-12-01

    Full Text Available Six hundred and thirteen bacterial strains were isolated from wound swabs and the isolates were identified on the basis of growth on differential and selective media. In order to test the sensitivity of isolated strains to different antibiotics, the disc diffusion method, according to EUCAST protocol v 5.0 was used. The most common species isolated from wound swabs was Staphylococcus epidermidis (18.4%, followed by Staphylococcus aureus, Pseudomonas aeruginosa and Enterococcus faecalis (16.8%, 12.7% and 10.4%, respectively. The maximum resistance of Gram-positive cocci was observed to penicillin and the lowest to linezolid. Gram-negative bacteria showed the highest resistance to tetracyclines, while the same strains demonstrated the highest sensitivity to polypeptide antibiotics. Comparison of the resistance patterns of Gramnegative and Gram-positive bacterial strains showed significant difference in the tetracycline efficiency.

  8. Identification of powdery mildew resistance genes in Polish common oat (Avena sativa L. cultivars using host-pathogen tests

    Directory of Open Access Journals (Sweden)

    Sylwia Okoń

    2012-10-01

    Full Text Available The aim of the present study was to characterize and identify powdery mildew resistance genes in Polish common oat cultivars using host-pathogen tests. A differential set of six Blumeria graminis f.sp. avenae isolates virulent or avirulent to four cultivars and one line that has known resistance to powdery mildew were used. Among the investigated cultivars, only four of them (13.3% had resistance patterns similar to genotypes belonging to the differential set. The resistance of OMR group 1 was found in the cultivar ‘Dragon’, while that of OMR2 in the cultivar ‘Skrzat’. The cultivars ‘Deresz’ and ‘Hetman’ showed a resistance pattern that corresponded with OMR group 3. The resistance corresponding to OMR4 was not found, which suggests that until now this gene has not been used in Polish oat breeding programmes. The cultivar ‘Canyon’ had a different pat- tern of resistance than the genotypes that have already known OMR genes, which indicates that the resistance of this cultivar is determined by a new gene or a combination of known genes.

  9. Monitoring and evaluation of antibiotic resistance genes in four municipal wastewater treatment plants in Harbin, Northeast China.

    Science.gov (United States)

    Wen, Qinxue; Yang, Lian; Duan, Ruan; Chen, Zhiqiang

    2016-05-01

    The development and proliferation of antibiotic resistance in pathogenic and environmental microorganisms is of great concern for public health. In this study, the distribution and removal efficiency of intI1 and eight subtypes of antibiotic resistance genes (ARGs) for tetracycline, sulfonamides, beta-lactams resistance in four municipal wastewater treatment plants (WWTPs) in Harbin, which locates in Songhua River basin in cold areas of China, were monitored by real-time fluorescent quantitative PCR. The results showed that intI1 and 6 ARGs except for blaTEM and blaSHV were detected in wastewater and sludge samples and 0.3-2.7 orders of magnitude of ARGs removal efficiency in the four WWTPs were observed. The investigation on the removal of ARGs of different treatment units in one WWTP showed that the biological treatment unit played the most important role in ARGs removal (1.2-1.8 orders of magnitude), followed by UV disinfection, while primary physical treatment units can hardly remove any ARGs. Although all the WWTPs can remove ARGs effectively, ARGs concentrations are still relatively high in the effluent, their further attenuation should be investigated. Copyright © 2016 Elsevier Ltd. All rights reserved.

  10. Assessment of resistance risk to lambda-cyhalothrin and cross-resistance to four other insecticides in the house fly, Musca domestica L. (Diptera: Muscidae).

    Science.gov (United States)

    Abbas, Naeem; Shad, Sarfraz Ali

    2015-07-01

    Lambda-cyhalothrin, a sodium channel modulator insecticide, has been used frequently for the control of house flies, Musca domestica L. (Diptera: Muscidae) worldwide, including Pakistan. This experiment was performed to determine the selection and assessment of lambda-cyhalothrin resistance evolution along with four other insecticides. After 26 generations of selection, the lambda-cyhalothrin-selected population developed 445-fold resistance to lambda-cyhalothrin compared to the susceptible population. There was low cross-resistance to bifenthrin and very low cross-resistance to methomyl, imidacloprid, and fipronil in the lambda-cyhalothrin-selected population compared to the field population (G1). Realized heritability (h (2)) of resistance to lambda-cyhalothrin, bifenthrin, methomyl, imidacloprid, and fipronil was 0.07, 0.05, 0.01, 0.08, and 0.08, respectively. The projected rate of resistance development revealed that if 90 % house flies were selected, then a tenfold increase in lethal concentration 50 occurred after 17, 20, 159, 13, and 14 generations for lambda-cyhalothrin (h (2) = 0.07, slope = 2.09), bifenthrin (h (2) = 0.05, slope = 1.73), methomyl (h (2) = 0.01, slope = 2.52), imidacloprid (h (2) = 0.08, slope = 1.89), and fipronil (h (2) = 0.08, slope = 2.03), respectively. The results of our study concluded that the house fly has the potential to develop multiple insecticide resistances following continued selection pressure with lambda-cyhalothrin. This study will be helpful for assisting the development of resistance management strategies.

  11. Thin Cu film resistivity using four probe techniques: Effect of film thickness and geometrical shapes

    Science.gov (United States)

    Choudhary, Sumita; Narula, Rahul; Gangopadhyay, Subhashis

    2018-05-01

    Precise measurement of electrical sheet resistance and resistivity of metallic thin Cu films may play a significant role in temperature sensing by means of resistivity changes which can further act as a safety measure of various electronic devices during their operation. Four point probes resistivity measurement is a useful approach as it successfully excludes the contact resistance between the probes and film surface of the sample. Although, the resistivity of bulk samples at a particular temperature mostly depends on its materialistic property, however, it may significantly differ in the case of thin films, where the shape and thickness of the sample can significantly influence on it. Depending on the ratio of the film thickness to probe spacing, samples are usually classified in two segments such as (i) thick films or (ii) thin films. Accordingly, the geometric correction factors G can be related to the sample resistivity r, which has been calculated here for thin Cu films of thickness up to few 100 nm. In this study, various rectangular shapes of thin Cu films have been used to determine the shape induced geometric correction factors G. An expressions for G have been obtained as a function of film thickness t versus the probe spacing s. Using these expressions, the correction factors have been plotted separately for each cases as a function of (a) film thickness for fixed linear probe spacing and (b) probe distance from the edge of the film surface for particular thickness. Finally, we compare the experimental results of thin Cu films of various rectangular geometries with the theoretical reported results.

  12. The Effect of mechanical resistive loading on optimal respiratory signals and breathing patterns under added dead space and CO2 breathing

    Directory of Open Access Journals (Sweden)

    Lin Shyan-Lung

    2016-01-01

    Full Text Available Current study aims to investigate how the respiratory resistive loading affects the behaviour of the optimal chemical-mechanical respiratory control model, the respiratory signals and breathing pattern are optimized under external dead space loading and CO2 breathing. The respiratory control was modelled to include a neuro-muscular drive as the control output to derive the waveshapes of instantaneous airflow, lung volume profiles, and breathing pattern, including total/alveolar ventilation, breathing frequency, tidal volume, inspiratory/expiratory duration, duty cycle, and arterial CO2 pressure. The simulations were performed under various respiratory resistive loads, including no load, inspiratory resistive load, expiratory resistive load, and continuous resistive load. The dead space measurement was described with Gray’s derivation, and simulation results were studied and compared with experimental findings.

  13. Pattern of secondary acquired drug resistance to antituberculosis drug in Mumbai, India--1991-1995.

    Science.gov (United States)

    Chowgule, R V; Deodhar, L

    1998-01-01

    A retrospective observational study was conducted to find out whether secondary acquired drug resistance to isoniazid and ethambutol is high and to rifamycin and pyrazinamide is low, as is commonly believed in India. There were 2033 patients, whose sputum samples (6099) were reviewed from a specimen registry of the microbiology laboratory for the years 1991 to 1995. Of these, 521 (25.6%) patients [335 males and 186 females; age ranged from 11 to 75 years] had sputum positive culture and sensitivity for acid-fast bacilli (AFB). The drug resistance patterns in our study were: isoniazid (H) 15%, rifamycin (R) 66.8%, pyrazinamide (Z) 72.2%, ethambutol (E) 8.4%, streptomycin (S) 53.6%, cycloserine (C) 39.2% kanamycin (K) 25.1% and ethionamide (Eth) 65.3%. The resistance to streptomycin showed a significant fall over a year while there was a rise in resistance to cycloserine and kanamycin which is significant. The rate of secondary acquired resistance of isoniazid and ethambutol was low, and the rate of secondary acquired resistance to rifamycin and pyrazinamide was high, which is contarary to the common belief regarding these drugs in India. This implies that isoniazid is still a valuable drug in the treatment of multidrug resistance in India.

  14. Early childhood growth patterns and school-age respiratory resistance, fractional exhaled nitric oxide and asthma.

    Science.gov (United States)

    Casas, Maribel; den Dekker, Herman T; Kruithof, Claudia J; Reiss, Irwin K; Vrijheid, Martine; de Jongste, Johan C; Jaddoe, Vincent W V; Duijts, Liesbeth

    2016-12-01

    Greater infant weight gain is associated with lower lung function and increased risk of childhood asthma. The role of early childhood peak growth patterns is unclear. We assessed the associations of individually derived early childhood peak growth patterns with respiratory resistance, fractional exhaled nitric oxide, wheezing patterns, and asthma until school-age. We performed a population-based prospective cohort study among 5364 children. Repeated growth measurements between 0 and 3 years of age were used to derive standard deviation scores (s.d.s) of peak height and weight velocities (PHV and PWV, respectively), and body mass index (BMI) and age at adiposity peak. Respiratory resistance and fractional exhaled nitric oxide were measured at 6 years of age. Wheezing patterns and asthma were prospectively assessed by annual questionnaires. We also assessed whether any association was explained by childhood weight status. Greater PHV was associated with lower respiratory resistance [Z-score (95% CI): -0.03 (-0.04, -0.01) per s.d.s increase] (n = 3382). Greater PWV and BMI at adiposity peak were associated with increased risks of early wheezing [relative risk ratio (95% CI): 1.11 (1.06, 1.16), 1.26 (1.11, 1.43), respectively] and persistent wheezing [relative risk ratio (95% CI): 1.09 (1.03, 1.16), 1.37 (1.17, 1.60), respectively] (n = 3189 and n = 3005, respectively). Childhood weight status partly explained these associations. No other associations were observed. PWV and BMI at adiposity peak are critical for lung developmental and risk of school-age wheezing. Follow-up studies at older ages are needed to elucidate whether these effects persist at later ages. © 2016 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  15. Mask patterning process using the negative tone chemically amplified resist TOK OEBR-CAN024

    Science.gov (United States)

    Irmscher, Mathias; Beyer, Dirk; Butschke, Joerg; Hudek, Peter; Koepernik, Corinna; Plumhoff, Jason; Rausa, Emmanuel; Sato, Mitsuru; Voehringer, Peter

    2004-08-01

    Optimized process parameters using the TOK OEBR-CAN024 resist for high chrome load patterning have been determined. A tight linearity tolerance for opaque and clear features, independent on the local pattern density, was the goal of our process integration work. For this purpose we evaluated a new correction method taking into account electron scattering and process influences. The method is based on matching of measured pattern geometry by iterative back-simulation using multiple Gauss and/or exponential functions. The obtained control function acts as input for the proximity correction software PROXECCO. Approaches with different pattern oversize and two Cr thicknesses were accomplished and the results have been reported. Isolated opaque and clear lines could be realized in a very tight linearity range. The increasing line width of small dense lines, induced by the etching process, could be corrected only partially.

  16. In vitro ciprofloxacin resistance patterns of gram positive bacteria isolated from clinical specimens in a teaching hospital in Saudi Arabia

    International Nuclear Information System (INIS)

    Akhtar, N.; Alzahrani, A.; Obeid, O.El-Treify; Dassal, D.

    2009-01-01

    Over the last few decades the ever-increasing level of bacterial resistance to antimicrobials has been a cause of worldwide concern. Fluoroquinolones, particularly ciprofloxacin has been used indiscriminately for both gram-positive and gram-negative bacterial infections. The increased use of ciprofloxacin has led to a progressive loss of bacterial susceptibility to this antibiotic. Therefore it is necessary to have update knowledge of resistance pattern of bacteria to this antibiotic so that alternate appropriate antibiotics can be used for ciprofloxacin-resistant bacterial infections. Objective: To evaluate the trends of ciprofloxacin resistance pattern in commonly isolated gram positive bacteria over time in a Saudi Arabian teaching hospital. Methods: A retrospective analysis was carried out for ciprofloxacin susceptibility patterns of 5534 isolates of gram-positive bacteria isolated from clinical specimens submitted to microbiology laboratories at King Fahd Hospital of the University (KFHU), Al-Khobar, Saudi Arabia during the period from January 2002 to August 2005. Results: Increase in ciprofloxacin resistance rates with some fluctuations, among these isolates, were observed. For Staphylococcus aureus, it varied from 4.62, 1.83, 7.01 and 3.98%, methicillin resistant Staphylococcus aureus (MRSA) 97.92, 97.75, 87.01 and 88.26%, Streptococcus pyogenes 5.35, 4.47, 14.44 and 3.53% during the years 2002, 2003, 2004 and 2005 respectively. Cirprofloxacin resistance during the years 2002, 2004 and 2005 for other isolates was as follows: Streptococcus pneumoniae, 30.23, 23.02 and 26.47%; enterococcus group D, 43.05, 20.68 and 57.03% and non-enterococcus group D, 62.96, 76.92 and 87.50% respectively. Conclusion: Ciprofloxacin resistance in gram positive bacterial clinical isolates particularly Staphylococcus aureus, methicillin resistant Staphylococcus aureus (MRSA) enterococcus group D, and non-enterococcus group D, has greatly increased and ciprofloxacin no more remains

  17. Bovine salmonellosis in northeast of Iran: frequency, genetic fingerprinting and antimicrobial resistance patterns of Salmonella spp.

    Science.gov (United States)

    Halimi, Hessam A; Seifi, Hesam A; Rad, Mehrnaz

    2014-01-01

    To evaluate serovar and antimicrobial resistance patterns of Salmonella spp isolated from healthy, diseased and necropsied cows and calves in this observational study. Nineteen isolates recovered from feces and tissues of salmonellosis-affected animals of two commercial farms in north-east of Iran. In second part of the study, the two farms were sampled 4 times with an interval of 2 month. The samples included calves' feces, adult cows' feces, feeds, water, milk filters, and milk fed to calves. Five Salmonella were isolated from 332 fecal samples collected from calves and peri-parturient cows. No Salmonella was recovered from water, feed, milk filers and milk fed to calves. Salmonella Typhimurium was the most frequently isolate among all sero-groups. S. Dublin was only accounted for 8% (two out of 24) of isolates. Isolated Salmonella strains were used for the ERIC PCR DNA fingerprinting assay. Our results grouped Salmonella isolates into 3 clusters, suggesting that specific genotypes were responsible for each sero-group of Salmonella. The results also revealed diversity among Salmonella isolates in cluster III (sero-group B). Eighteen out of 19 Salmonella spp. were resistant to oxytetracycline. Five isolates out of 19 showed more than one drug resistance. Multi-drug resistance was seen only among Salmonella Typhimurium isolates. Enrofloxacin was the most susceptible antibiotic against all isolates in this study. The emergence of multiple antibiotic-resistant strains of Salmonella Typhimurium should be of great concern to the public. No correlation between ERIC fingerprinting and resistance patterns of Salmonella isolates was found, which indicates resistance to antimicrobial agents was not related to specific genetic background. Copyright © 2014 Asian Pacific Tropical Biomedical Magazine. Published by Elsevier B.V. All rights reserved.

  18. Antimicrobial Resistant Pattern of Escherichia Coli Strains Isolated from Pediatric Patients in Jordan

    Directory of Open Access Journals (Sweden)

    Mohammad Alshara

    2011-05-01

    Full Text Available The present study was conducted to investigate antimicrobial resistant pattern of Escherichia coli (E. coli strains isolated from clinical specimens of Jordanian pediatric patients during the period from January to December 2008. A total of 444 E. coli strains were isolated from clinical specimens and tested for their susceptibility to different antimicrobial drugs. Overall, high resistance rate was observed for ampicillin (84%, followed by amoxicillin-clavulanic acid (74.3%, cotrimoxazole (71%, nalidixic acid (47.3%, cephalothin (41%. Lower resistance rates were observed for amikacin (0% followed by Cefotaxime (11%, Ceftriaxone (11.7%, ciprofloxacin (14.5%, Norfloxacin (16.5%, gentamicin (17.3% cephalexin (20.9%, Ceftazidime (22.5%, cefixime (29.6%, and cefaclor (32.8%. Ampicillin, amoxicillin-clavulanic acid and cotrimoxazole were found to be ineffective at in vitro inhibition of the E. coli of pediatric origin. Amikacin was highly effective for E. coli with susceptibility rate of 100%. The majority of E. coli strains were susceptible to third generation cephalosporins and fluoroquinolones.

  19. Prevalence and antimicrobial resistance pattern of bacterial meningitis in Egypt

    Directory of Open Access Journals (Sweden)

    Shaban Lamyaa

    2009-09-01

    Full Text Available Abstract Infectious diseases are the leading cause of morbidity and mortality in the developing world. In Egypt bacterial diseases constitute a great burden, with several particular bacteria sustaining the leading role of multiple serious infections. This article addresses profound bacterial agents causing a wide array of infections including but not limited to pneumonia and meningitis. The epidemiology of such infectious diseases and the prevalence of Streptococcus pneumoniae, Neisseria meningitidis and Haemophilus influenzae are reviewed in the context of bacterial meningitis. We address prevalent serotypes in Egypt, antimicrobial resistance patterns and efficacy of vaccines to emphasize the importance of periodic surveillance for appropriate preventive and treatment strategies.

  20. Note: A non-invasive electronic measurement technique to measure the embedded four resistive elements in a Wheatstone bridge sensor

    International Nuclear Information System (INIS)

    Ravelo Arias, S. I.; Ramírez Muñoz, D.; Cardoso, S.; Ferreira, R.; Freitas, P.

    2015-01-01

    The work shows a measurement technique to obtain the correct value of the four elements in a resistive Wheatstone bridge without the need to separate the physical connections existing between them. Two electronic solutions are presented, based on a source-and-measure unit and using discrete electronic components. The proposed technique brings the possibility to know the mismatching or the tolerance between the bridge resistive elements and then to pass or reject it in terms of its related common-mode rejection. Experimental results were taken in various Wheatstone resistive bridges (discrete and magnetoresistive integrated bridges) validating the proposed measurement technique specially when the bridge is micro-fabricated and there is no physical way to separate one resistive element from the others

  1. Note: A non-invasive electronic measurement technique to measure the embedded four resistive elements in a Wheatstone bridge sensor

    Energy Technology Data Exchange (ETDEWEB)

    Ravelo Arias, S. I.; Ramírez Muñoz, D. [Department of Electronic Engineering, University of Valencia, Avda. de la Universitat, s/n, 46100-Burjassot (Spain); Cardoso, S. [INESC Microsystems and Nanotechnologies (INESC-MN) and Institute for Nanosciences and Nanotechnologies, R. Alves Redol 9, Lisbon 1000-029 (Portugal); Ferreira, R. [INL-International Iberian Nanotechnology Laboratory, Av. Mestre José Veiga, Braga 4715-31 (Portugal); Freitas, P. [INESC Microsystems and Nanotechnologies (INESC-MN) and Institute for Nanosciences and Nanotechnologies, R. Alves Redol 9, Lisbon 1000-029 (Portugal); INL-International Iberian Nanotechnology Laboratory, Av. Mestre José Veiga, Braga 4715-31 (Portugal)

    2015-06-15

    The work shows a measurement technique to obtain the correct value of the four elements in a resistive Wheatstone bridge without the need to separate the physical connections existing between them. Two electronic solutions are presented, based on a source-and-measure unit and using discrete electronic components. The proposed technique brings the possibility to know the mismatching or the tolerance between the bridge resistive elements and then to pass or reject it in terms of its related common-mode rejection. Experimental results were taken in various Wheatstone resistive bridges (discrete and magnetoresistive integrated bridges) validating the proposed measurement technique specially when the bridge is micro-fabricated and there is no physical way to separate one resistive element from the others.

  2. Pain patterns during adolescence can be grouped into four pain classes with distinct profiles

    DEFF Research Database (Denmark)

    Holden, Sinead; Rathleff, Michael Skovdal; Roos, E. M.

    2018-01-01

    L (assessed by Euro-QoL 5D-3L). Latent class analysis was used to classify spatial pain patterns, based on the pain sites. The analysis included 2953 adolescents. RESULTS: Four classes were identified as follows: (1) little or no pain (63% of adolescents), (2) majority lower extremity pain (10%), (3) multi......-site bodily pain (22%) and (4) head and stomach pain (3%). The lower extremity multi-site pain group reported highest weekly sports participation (p ....001). Males were more likely to belong to the little or no pain class, whereas females were more likely to belong to the multi-site bodily pain class. CONCLUSIONS: Latent class analysis identified distinct classes of pain patterns in adolescents, characterized by sex, differences in HRQoL and sports...

  3. In-roads to the spread of antibiotic resistance: regional patterns of microbial transmission in northern coastal Ecuador

    OpenAIRE

    Eisenberg, Joseph N. S.; Goldstick, Jason; Cevallos, William; Trueba, Gabriel; Levy, Karen; Scott, James; Percha, Bethany; Segovia, Rosana; Ponce, Karina; Hubbard, Alan; Marrs, Carl; Foxman, Betsy; Smith, David L.; Trostle, James

    2011-01-01

    The evolution of antibiotic resistance (AR) increases treatment cost and probability of failure, threatening human health worldwide. The relative importance of individual antibiotic use, environmental transmission and rates of introduction of resistant bacteria in explaining community AR patterns is poorly understood. Evaluating their relative importance requires studying a region where they vary. The construction of a new road in a previously roadless area of northern coastal Ecuador provide...

  4. Development of innovative micro-pattern gaseous detectors with resistive electrodes and first results of their applications

    CERN Document Server

    Di Mauro, A; Martinengo, P; Nappi, E; Oliveira, R; Peskov, Vladimir; Periale, L; Picchi, P; Pietropaolo, F; Rodionov, I; Santiard, Jean-Claude

    2007-01-01

    The paper summarizes our latest progress in the development of newly introduced micro pattern gaseous detectors with resistive electrodes. These resistive electrodes protect the detector and the front-end electronics in case of occasional discharges and thus make the detectors very robust and reliable in operation. As an example, we describe in greater detail a new recently developed GEM-like detector, fully spark-protected with electrodes made of resistive kapton. We discovered that all resistive layers used in these studies (including kapton), that are coated with photosensitive layers, such as CsI, can be used as efficient photo cathodes for detectors operating in a pulse counting mode. We describe the first applications of such detectors combined with CsI or SbCs photo cathodes for the detection of UV photons at room and cryogenic temperatures.

  5. High variability of dung beetle diversity patterns at four mountains of the Trans-Mexican Volcanic Belt

    Directory of Open Access Journals (Sweden)

    Alfonsina Arriaga-Jiménez

    2018-02-01

    Full Text Available Insect diversity patterns of high mountain ecosystems remain poorly studied in the tropics. Sampling dung beetles of the subfamilies Aphodiinae, Scarabaeinae, and Geotrupinae was carried out at four volcanoes in the Trans-Mexican Volcanic Belt (TMVB in the Mexican transition zone at 2,700 and 3,400 MASL, and on the windward and leeward sides. Sampling units represented a forest–shrubland–pasture (FSP mosaic typical of this mountain region. A total of 3,430 individuals of 29 dung beetle species were collected. Diversity, abundance and compositional similarity (CS displayed a high variability at all scales; elevation, cardinal direction, or FSP mosaics did not show any patterns of higher or lower values of those measures. The four mountains were different regarding dispersion patterns and taxonomic groups, both for species and individuals. Onthophagus chevrolati dominated all four mountains with an overall relative abundance of 63%. CS was not related to distance among mountains, but when O. chevrolati was excluded from the analysis, CS values based on species abundance decreased with increasing distance. Speciation, dispersion, and environmental instability are suggested as the main drivers of high mountain diversity patterns, acting together at different spatial and temporal scales. Three species new to science were collected (>10% of all species sampled. These discoveries may indicate that speciation rate is high among these volcanoes—a hypothesis that is also supported by the elevated number of collected species with a restricted montane distribution. Dispersion is an important factor in driving species composition, although naturally limited between high mountains; horizontal colonization events at different time scales may best explain the observed species composition in the TMVB, complemented by vertical colonization events to a lesser extent. Environmental instability may be the main factor causing the high variability of diversity

  6. High variability of dung beetle diversity patterns at four mountains of the Trans-Mexican Volcanic Belt.

    Science.gov (United States)

    Arriaga-Jiménez, Alfonsina; Rös, Matthias; Halffter, Gonzalo

    2018-01-01

    Insect diversity patterns of high mountain ecosystems remain poorly studied in the tropics. Sampling dung beetles of the subfamilies Aphodiinae, Scarabaeinae, and Geotrupinae was carried out at four volcanoes in the Trans-Mexican Volcanic Belt (TMVB) in the Mexican transition zone at 2,700 and 3,400 MASL, and on the windward and leeward sides. Sampling units represented a forest-shrubland-pasture (FSP) mosaic typical of this mountain region. A total of 3,430 individuals of 29 dung beetle species were collected. Diversity, abundance and compositional similarity (CS) displayed a high variability at all scales; elevation, cardinal direction, or FSP mosaics did not show any patterns of higher or lower values of those measures. The four mountains were different regarding dispersion patterns and taxonomic groups, both for species and individuals. Onthophagus chevrolati dominated all four mountains with an overall relative abundance of 63%. CS was not related to distance among mountains, but when O. chevrolati was excluded from the analysis, CS values based on species abundance decreased with increasing distance. Speciation, dispersion, and environmental instability are suggested as the main drivers of high mountain diversity patterns, acting together at different spatial and temporal scales. Three species new to science were collected (>10% of all species sampled). These discoveries may indicate that speciation rate is high among these volcanoes-a hypothesis that is also supported by the elevated number of collected species with a restricted montane distribution. Dispersion is an important factor in driving species composition, although naturally limited between high mountains; horizontal colonization events at different time scales may best explain the observed species composition in the TMVB, complemented by vertical colonization events to a lesser extent. Environmental instability may be the main factor causing the high variability of diversity and abundance patterns

  7. Bacterial Aetiology and Antibiotic Resistance Pattern of Community-Acquired Urinary Tract Infections in Children in a Tertiary Care Hospital in Bangladesh

    Directory of Open Access Journals (Sweden)

    Lazina Sharmin

    2017-09-01

    Full Text Available Background: Urinary tract infections (UTIs in children are among the most common bacterial infections. Community-acquired urinary tract infections (CAUTI are often treated empirically with broad-spectrum antibiotics. Pattern of aetiologic agents and their antibiotic sensitivity may vary according to geographical and regional location. So, knowledge of antibiotic resistance trends is important for improving evidence-based recommendations for empirical treatment of UTIs. Objectives: To determine the common bacterial aetiologies of CAUTIs and their antibiotic resistance patterns in a tertiary care hospital, Savar. Materials and Methods: This cross-sectional descriptive study was conducted at Enam Medical College Hospital, Savar from May 2016 to April 2017. We collected clean-catch mid-stream urine samples from 257 patients having clinical diagnosis of UTI and submitted to the clinical microbiology laboratory for culture and sensitivity. Results: A total of 120 (46.7% samples were positive for bacterial growth. Escherichia coli (79% was the most common pathogen, followed by Klebsiella spp. (14%. Bacterial isolates showed high prevalence of resistance to multiple antibiotics. Resistance against amoxicillin/clavulanic acid, co-trimoxazole and ciprofloxacin was higher compared to newer quinolones and aminoglycosides. Conclusion: Esch. coli and Klebsiella spp. were the predominant bacterial pathogens. The resistance pattern to commonly prescribed antibiotics was quite high and alarming.

  8. Resistance of Aerosolized Bacterial Viruses to Four Germicidal Products.

    Directory of Open Access Journals (Sweden)

    Nathalie Turgeon

    Full Text Available Viral diseases can spread through a variety of routes including aerosols. Yet, limited data are available on the efficacy of aerosolized chemicals to reduce viral loads in the air. Bacteriophages (phages are often used as surrogates for hazardous viruses in aerosol studies because they are inexpensive, easy to handle, and safe for laboratory workers. Moreover, several of these bacterial viruses display physical characteristics similar to pathogenic human and animal viruses, like morphological size, type of nucleic acids, capsid morphology, and the presence of an envelope. In this study, the efficacy of four chemicals was evaluated on four airborne phages at two different relative humidity levels. Non-tailed bacteriophages MS2 (single-stranded RNA, ϕ6 (double-stranded RNA, enveloped, PR772 (double-stranded DNA, and ϕX174 (single-stranded DNA were first aerosolized in a 55L rotative environmental chamber at 19°C with 25% and 50% relative humidity. Then, hydrogen peroxide, Eugenol (phenylpropene used in commercial perfumes and flavorings, Mist® (automobile disinfectant containing Triethylene glycol, and Pledge® (multisurface disinfectant containing Isopropanol, n-Alkyl Dimethyl Benzyl Amonium Chlorides, and n-Alkyl Dimethyl Ethylbenzyl Ammonium Chloride were nebulized with the phages using a separate nebulizer. Aerosols were maintained in suspension during 10 minutes, 1 hour, and 2 hours. Viral aerosols were sampled using an SKC BioSampler and samples were analyzed using qPCR and plaque assays. The resistance levels of the four phages varied depending on the relative humidity (RH and germicidal products tested. Phage MS2 was the most stable airborne virus under the environmental conditions tested while phage PR772 was the least stable. Pledge® and Eugenol reduced the infectivity of all airborne phages tested. At 25% RH, Pledge® and Eugenol were more effective at reducing infectivity of RNA phages ϕ6 and MS2. At 50% RH, Pledge® was the most

  9. The Kinematics and Kinetics of the Running Pattern of Two-, Four-, and Six-Year-Old Children.

    Science.gov (United States)

    Fortney, Virginia L.

    1983-01-01

    The running patterns of two-, four-, and six-year-old children were analyzed to determine how age and sex differences affected selected kinematic and kinetic variables. Differences tended to involve displacement, velocity, and magnitude of force measures. Sex differences concerning the leg swing were noted. (Author/PP)

  10. Memory resistive switching in CeO{sub 2}-based film microstructures patterned by a focused ion beam

    Energy Technology Data Exchange (ETDEWEB)

    Velichko, A. [Petrozavodsk State University, 185910 Petrozavodsk (Russian Federation); Boriskov, P., E-mail: boriskov@psu.karelia.ru [Petrozavodsk State University, 185910 Petrozavodsk (Russian Federation); Savenko, A. [Petrozavodsk State University, 185910 Petrozavodsk (Russian Federation); Grishin, A.; Khartsev, S.; Yar, M. Ahmed; Muhammed, M. [Royal Institute of Technology, SE-164 40 Stockholm, Kista (Sweden)

    2014-04-01

    Heteroepitaxial CeO{sub 2} (80 nm)/La{sub 0.5}Sr{sub 0.5}CoO{sub 3} (500 nm) film structure has been pulsed laser deposited on a sapphire substrate. The Ag/CeO{sub 2} microjunctions patterned by a focused ion beam on a La{sub 0.5}Sr{sub 0.5}CoO{sub 3} film exhibit reproducible reversible switching between a high resistance state (OFF) with insulating properties and a semiconducting or metallic low resistance state (ON) with resistance ratios up to 10{sup 4}. The influence of micro-scaling and defects formed at the cell boundaries during etching on its electrical characteristics has been analyzed. The appearance of a switching channel at the moment of the electrical forming, responsible for the memory effect, has been proved, along with a mechanism of a self-healing electrical breakdown. - Highlights: • Ag/CeO{sub 2}/La{sub 0.5}Sr{sub 0.5}CoO{sub 3} microstructures were patterned by a focused ion beam. • Reproducible memory resistive switching was discovered in Ag/CeO{sub 2} microjunctions. • Micro-scaling affects electrical characteristics of Ag/CeO{sub 2} microjunctions. • A mechanism of a self-healing breakdown was discovered.

  11. Rootstock-regulated gene expression patterns associated with fire blight resistance in apple

    Directory of Open Access Journals (Sweden)

    Jensen Philip J

    2012-01-01

    Full Text Available Abstract Background Desirable apple varieties are clonally propagated by grafting vegetative scions onto rootstocks. Rootstocks influence many phenotypic traits of the scion, including resistance to pathogens such as Erwinia amylovora, which causes fire blight, the most serious bacterial disease of apple. The purpose of the present study was to quantify rootstock-mediated differences in scion fire blight susceptibility and to identify transcripts in the scion whose expression levels correlated with this response. Results Rootstock influence on scion fire blight resistance was quantified by inoculating three-year old, orchard-grown apple trees, consisting of 'Gala' scions grafted to a range of rootstocks, with E. amylovora. Disease severity was measured by the extent of shoot necrosis over time. 'Gala' scions grafted to G.30 or MM.111 rootstocks showed the lowest rates of necrosis, while 'Gala' on M.27 and B.9 showed the highest rates of necrosis. 'Gala' scions on M.7, S.4 or M.9F56 had intermediate necrosis rates. Using an apple DNA microarray representing 55,230 unique transcripts, gene expression patterns were compared in healthy, un-inoculated, greenhouse-grown 'Gala' scions on the same series of rootstocks. We identified 690 transcripts whose steady-state expression levels correlated with the degree of fire blight susceptibility of the scion/rootstock combinations. Transcripts known to be differentially expressed during E. amylovora infection were disproportionately represented among these transcripts. A second-generation apple microarray representing 26,000 transcripts was developed and was used to test these correlations in an orchard-grown population of trees segregating for fire blight resistance. Of the 690 transcripts originally identified using the first-generation array, 39 had expression levels that correlated with fire blight resistance in the breeding population. Conclusions Rootstocks had significant effects on the fire blight

  12. Temporal trends and risks factors for antimicrobial resistant Enterobacteriaceae urinary isolates from outpatients in Guadeloupe.

    Science.gov (United States)

    Guyomard-Rabenirina, Stéphanie; Malespine, Joyce; Ducat, Célia; Sadikalay, Syndia; Falord, Mélanie; Harrois, Dorothée; Richard, Vincent; Dozois, Charles; Breurec, Sébastien; Talarmin, Antoine

    2016-06-24

    Urinary tract infections are bacterial infections most commonly encountered in the community. The resistance rate of uropathogens to commonly prescribed antibiotics has increased worldwide but there are no published data concerning the resistance of strains isolated from community-acquired UTI in Guadeloupe. To assess the susceptibility patterns of Enterobacteriaceae strains isolated from outpatients in Guadeloupe we conducted a prospective study from December 2012 to May 2014 among outpatients consulting at private and public laboratories for urine analysis. Risk factors for E. coli resistance to amoxicillin, third-generation cephalosporin, and ciprofloxacin were also determined. To study the trends of E. coli resistance rates over the past 10 years, data on the susceptibility patterns of E. coli from 2003 to 2014 were also collected from three major laboratories for a retrospective study. During the prospective study, we isolated 1293 bacterial strains from the urine of outpatients presenting for urine analysis. The most commonly isolated bacteria were E. coli (57 %) and Klebsiella pneumoniae (15.5 %). Thirty seven per cent of the E. coli strains were resistant to amoxicillin. Resistance rates to third generation cephalosporin were low for E. coli and other Enterobacteriaceae (3.1 and 12.2 % respectively) and mostly due to the presence of an Extended Spectrum Beta-lactamase. Resistance to cotrimoxazole and ciprofloxacin was moderate (17.8 and 15.6 % respectively). However, the resistance rate of E. coli to ciprofloxacin has significantly increased during the last 10 years. Risk factors were consistent with previously reported data, especially for the increasing ciprofloxacin resistance with age. General practitioners in Guadeloupe need to be better informed to favor the prescription of fosfomycin-trometamol to reduce the risk of resistance to fluoroquinolones.

  13. Emergence of antibiotic-resistant bacteria in patients with Fournier gangrene.

    Science.gov (United States)

    Lin, Wei-Ting; Chao, Chien-Ming; Lin, Hsin-Lan; Hung, Ming-Chran; Lai, Chih-Cheng

    2015-04-01

    This study was conducted to investigate the bacteriology and associated patterns of antibiotic resistance Fournier gangrene. Patients with Fournier's gangrene from 2008 to 2012 were identified from the computerized database in a medical center in southern Taiwan. The medical records of all patients with Fournier's gangrene were reviewed retrospectively. There were 61 microorganisms, including 60 bacteria and one Candida spp, isolated from clinical wound specimens from 32 patients. The most common isolates obtained were Streptococcus spp. (n=12), Peptoniphilus spp. (n=8), Staphylococcus aureus (n=7), Escherichia coli (n=7), and Klebsiella pneumoniae (n=7). Among 21 strains of gram-negative bacilli, five (23.8%) were resistant to fluoroquinolones, and three isolates were resistant to ceftriaxone. Two E. coli strains produced extended-spectrum beta-lactamase. Four of the seven S. aureus isolates were methicillin-resistant. Among 15 anaerobic isolates, nine (60%) were resistant to penicillin, and eight (53.3%) were resistant to clindamycin. Four (26.7%) isolates were resistant to metronidazole. The only independent risk factor associated with mortality was inappropriate initial antibiotic treatment (p=0.021). Antibiotic-resistant bacteria are emerging in the clinical setting of Fournier gangrene. Clinicians should use broad-spectrum antibiotics initially to cover possible antibiotic-resistant bacteria.

  14. Ultra-shallow junction (USJ) sheet resistance measurements with a non-penetrating four point probe

    International Nuclear Information System (INIS)

    Benjamin, M.C.; Hillard, R.J.; Borland, J.O.

    2005-01-01

    An accurate method to measure the four point probe (4PP) sheet resistance (R S ) of ultra shallow junction (USJ) Source-Drain Extension structures is described. The method utilizes Elastic Material probes (EM-probes) to form non-penetrating contacts to the silicon surface [R.J. Hillard, P.Y. Hung, William Chism, C. Win Ye, W.H. Howland, L.C. Tan, C.E. Kalnas, Characterization and Metrology for ULSI Technology, AIP Conference proceedings 683 (2003) 802.]. The probe design is kinematic and the force is controlled to ensure elastic deformation of the probe material. The probe material is such that large direct tunneling currents can flow through the native oxide thereby forming a low impedance contact. Sheet resistance measurements on USJ implanted P+/N structures with Secondary Ion Mass Spectroscopy (SIMS) junction depths less than 15 nm have been measured. The method is demonstrated on implanted USJ structures and found to be consistent with expectations

  15. How Medical Tourism Enables Preferential Access to Care: Four Patterns from the Canadian Context.

    Science.gov (United States)

    Snyder, Jeremy; Johnston, Rory; Crooks, Valorie A; Morgan, Jeff; Adams, Krystyna

    2017-06-01

    Medical tourism is the practice of traveling across international borders with the intention of accessing medical care, paid for out-of-pocket. This practice has implications for preferential access to medical care for Canadians both through inbound and outbound medical tourism. In this paper, we identify four patterns of medical tourism with implications for preferential access to care by Canadians: (1) Inbound medical tourism to Canada's public hospitals; (2) Inbound medical tourism to a First Nations reserve; (3) Canadian patients opting to go abroad for medical tourism; and (4) Canadian patients traveling abroad with a Canadian surgeon. These patterns of medical tourism affect preferential access to health care by Canadians by circumventing domestic regulation of care, creating jurisdictional tensions over the provision of health care, and undermining solidarity with the Canadian health system.

  16. Local resistance patterns to antimicrobials in internal medicine: a focused report from the REGIMEN (REGistro Infezioni in MEdicina INterna) study.

    Science.gov (United States)

    Cei, Marco; Pardelli, Riccardo; Sani, Spartaco; Mumoli, Nicola

    2014-02-01

    The treatment for infections in hospitalized patients can be summarized in the timely start of empirical therapy, followed by adjustment on the basis of isolates and microbial susceptibilities. Initial therapy may be based on international guidelines. However, to know local frequencies of bacterial and fungal strains together with patterns of drug resistance should be a better approach to therapy. REGIMEN is a retrospective observational study of all consecutive recorded bacterial and fungal isolates, collected between October 2009 and August 2011 from patients admitted in a 53-bedded ward of internal medicine of a non-teaching Italian hospital. We investigated type of samples and of microorganisms, patterns of susceptibility and resistance to antibiotics, and in-hospital mortality. A total of 504 samples were examined (244 from urine, 189 from blood and 71 from skin and various exudates). Participants were old (mean age, 83 years), and so overall mortality was high (20 %). There were high frequencies of drug resistance; only 27.9 % of urinary gram-negatives and 52.6 % of blood gram-negatives were susceptible to levofloxacin. Susceptibility profiles compatible with the presence of extended-spectrum beta-lactamases were present in 64.2 % of gram-negative strains, and 10.1 % were also resistant to carbapenems. ESKAPE organisms account for a third of all bacterial infections. Local patterns of drug resistance should influence empirical antibiotic therapy for patients admitted in internal medicine wards, where mortality is high.

  17. Leisure Patterns among Four-Day Workers.

    Science.gov (United States)

    Seltzer, Joseph; Wilson, James A.

    1980-01-01

    Insight into the patterns of leisure time usage may lead to a better understanding of the relationships between work and leisure and other aspects of an individual's life. A five-factor model was developed to explicate individual motivations for leisure pursuits. (JN)

  18. SEM based overlay measurement between resist and buried patterns

    Science.gov (United States)

    Inoue, Osamu; Okagawa, Yutaka; Hasumi, Kazuhisa; Shao, Chuanyu; Leray, Philippe; Lorusso, Gian; Baudemprez, Bart

    2016-03-01

    With the continuous shrink in pattern size and increased density, overlay control has become one of the most critical issues in semiconductor manufacturing. Recently, SEM based overlay of AEI (After Etch Inspection) wafer has been used for reference and optimization of optical overlay (both Image Based Overlay (IBO) and Diffraction Based Overlay (DBO)). Overlay measurement at AEI stage contributes monitor and forecast the yield after formation by etch and calibrate optical measurement tools. however those overlay value seems difficult directly for feedback to a scanner. Therefore, there is a clear need to have SEM based overlay measurements of ADI (After Develop Inspection) wafers in order to serve as reference for optical overlay and make necessary corrections before wafers go to etch. Furthermore, to make the corrections as accurate as possible, actual device like feature dimensions need to be measured post ADI. This device size measurement is very unique feature of CDSEM , which can be measured with smaller area. This is currently possible only with the CD-SEM. This device size measurement is very unique feature of CD-SEM , which can be measured with smaller area. In this study, we assess SEM based overlay measurement of ADI and AEI wafer by using a sample from an N10 process flow. First, we demonstrate SEM based overlay performance at AEI by using dual damascene process for Via 0 (V0) and metal 1 (M1) layer. We also discuss the overlay measurements between litho-etch-litho stages of a triple patterned M1 layer and double pattern V0. Second, to illustrate the complexities in image acquisition and measurement we will measure overlay between M1B resist and buried M1A-Hard mask trench. Finally, we will show how high accelerating voltage can detect buried pattern information by BSE (Back Scattering Electron). In this paper we discuss the merits of this method versus standard optical metrology based corrections.

  19. Effect of tumor volume on the enhancement pattern of parathyroid adenoma on parathyroid four-dimensional CT

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Eun Kyoung [Seoul National University Medical Research Center, Institute of Radiation Medicine, Seoul (Korea, Republic of); Dongguk University Ilsan Hospital, Department of Radiology, Goyang-si (Korea, Republic of); Yun, Tae Jin; Kim, Ji-hoon; Kang, Koung Mi; Choi, Seung Hong; Sohn, Chul-Ho [Seoul National University Medical Research Center, Institute of Radiation Medicine, Seoul (Korea, Republic of); Seoul National University Hospital, Department of Radiology, Jongno-gu, Seoul (Korea, Republic of); Lee, Kyu Eun; Kim, Su-jin [Seoul National University Hospital, Department of Surgery, Seoul (Korea, Republic of); Won, Jae-Kyung [Seoul National University Hospital, Department of Pathology, Seoul (Korea, Republic of)

    2016-05-15

    The purpose of this study is to assess the effect of tumor volume on the enhancement pattern of parathyroid adenoma (PTA) on four-dimensional computed tomography (4D-CT). We analyzed the enhancement patterns of PTA on four-phase 4D-CT in 44 patients. Dependency of the changes of Hounsfield unit values (ΔHU) on the tumor volumes and clinical characteristics was evaluated using linear regression analyses. In addition, an unpaired t test was used to compare ΔHU of PTAs between PTA volume ≥1 cm{sup 3} and <1 cm{sup 3}, thyroid gland, and lymph node. PTA volume based on CT was the strongest factor on the ΔHU{sub Pre} {sub to} {sub Arterial} and ΔHU{sub Arterial} {sub to} {sub Venous} and ΔHU{sub Arterial} {sub to} {sub Delayed} (R {sup 2} = 0.34, 0.25, and 0.32, respectively, P < 0.001 for both). PTA ≥1 cm {sup 3} had statistically significant greater enhancement between the unenhanced phase and the arterial phase than PTA <1 cm {sup 3} (mean values ± standard deviations (SDs) of ΔHU{sub Pre} {sub to} {sub Arterial}, 102.7 ± 33.7 and 57.5 ± 28.8, respectively, P < 0.001). PTA ≥1 cm {sup 3} showed an early washout pattern on the venous phase, whereas PTA <1 cm {sup 3} showed a progressive enhancement pattern on the venous phase (mean values ± SDs of ΔHU{sub Arterial} {sub to} {sub Venous}, -13.2 ± 31.6 and 14.4 ± 32.7, respectively; P = 0.009). The enhancement pattern of PTA on 4D-CT is variable with respect to PTA volume based on CT. Therefore, the enhancement pattern of PTA on 4D-CT requires careful interpretation concerning the tumor volume, especially in cases of PTA <1 cm {sup 3}. (orig.)

  20. Incidence of antibiotics resistance among uropathogens in Omani children presenting with a single episode of urinary tract infection.

    Science.gov (United States)

    Sharef, Sharef W; El-Naggari, Mohamed; Al-Nabhani, Dana; Al Sawai, Ali; Al Muharrmi, Zakaria; Elnour, Ibtisam

    2015-01-01

    Urinary tract infection (UTI) is one of the most common community-acquired infections. Different organisms can be the cause of UTI in children, with resistance to antibiotics becoming a significant problem in the choice of treatment. Worldwide studies have documented the prevalence of uropathogens in different countries. However, there is no previous study documenting the incidence of different uropathogens in Oman. We aim to report the most common uropathogens and their antibiotic sensitivity patterns in children presenting with documented, single episode UTI at a tertiary hospital in Oman. A retrospective analysis of all Omani children below 14 years who presented with a case of first documented UTI to SQUH between September 2008 and August 2012 was conducted. Data were obtained from the patients' electronic records in the hospital information system. Data were then analyzed using SSPS (Statistical Package for Social Sciences program, Version 20, IBM, Chicago, IL, USA). In the retrospective review of all urine cultures, 438 positive urine cultures were identified. Out of those, 208 (47.5%) belonged to children with their first episode of UTI. Thirty-three patients were excluded and 75 patients were included in the final analysis. Escherichia coli was the most frequently encountered uropathogen in our cohort (69%), followed by Klebsiella pneumoniae infection (17%). Nearly half (46.6%) of these two common organism were resistant to Cotrimoxazole, while 31% of them were resistant to Augmentin. Twenty-four percent of the E. coli and K. pneumoniae strains were resistant to Cefuroxime, and only 10% were resistant to nitrofurantoin. Both Augmentin and Cotrimoxazole should not be the first line antibiotics to treat UTI. Copyright © 2015 King Saud Bin Abdulaziz University for Health Sciences. Published by Elsevier Ltd. All rights reserved.

  1. Resistance patterns, prevalence, and predictors of fluoroquinolones resistance in multidrug resistant tuberculosis patients

    Directory of Open Access Journals (Sweden)

    Nafees Ahmad

    2016-01-01

    Conclusion: The high degree of drug resistance observed, particularly to fluoroquinolones, is alarming. We recommend the adoption of more restrictive policies to control non-prescription sale of fluoroquinolones, its rational use by physicians, and training doctors in both private and public–private mix sectors to prevent further increase in fluoroquinolones resistant Mycobacterium tuberculosis strains.

  2. Changes in DNA Methylation Pattern at Two Seedling Stages in Water Saving and Drought-Resistant Rice Variety after Drought Stress Domestication

    Directory of Open Access Journals (Sweden)

    Xiao-guo ZHENG

    2014-09-01

    Full Text Available Recent studies revealed that DNA methylation plays an important role in plant growth and development. In this study, a water-saving and drought-resistant rice variety Huhan 3 was subjected to drought stress from tillering to grain-filling stages in six successive growth cycles. The variations in DNA methylation pattern between the original generation (G0 and the sixth generation (G6 were analyzed by using methylation sensitive amplification polymorphism method. The results revealed that the methylated loci accounted for 34.3% to 34.8% of the total loci. Among these methylated loci, 83.1% to 84.8% were full- and hyper-methylated and 15.2% to 16.9% were hemi-methylated. The DNA methylation level decreased from the three-leaf to four-leaf stages in Huhan 3. Differentially methylated loci (DML between generations or/and between different developmental stages accounted for 4.0% of the total loci, most of which were only related to plant development (57.9%. Compared to G0, the DNA methylation pattern of G6 changed after drought domestication, at the three-leaf stage, de-methylation accounting for 59.1%, while at the four-leaf stage, re-methylation for 47.9%. Genome-wide alternations of DNA methylation were observed between the two seedling stages, and DML mainly occurred on the gene's promoter and exon region. The genes related to DML involved in a wide range of functional biology and participated in many important biological processes.

  3. Urban public health assessment and pattern analysis: comparison of four cities in different countries

    Science.gov (United States)

    Su, Meirong; Chen, Chen; Lu, Weiwei; Liu, Gengyuan; Yang, Zhifeng; Chen, Bin

    2013-06-01

    Urban public health is an important global issue, and receives extensive attention. It is necessary to compare urban public health status among different cities, so that each city can define its own health patterns and limiting factors. The following assessment indicators were established to evaluate urban public health status: living conditions, physical health, education and culture, environmental quality, and social security. A weighted-sum model was used in combination with these indicators to compare the urban public health status in four cities—Beijing, New York, London, and Tokyo—using data for 2000-2009. Although the urban public health level of Beijing was lower than that of the other cities, it showed the greatest increase in this level over the study period. Different patterns of urban public health were identified: London had the most balanced, steady pattern (almost all factors performed well and developed stably); New York and Tokyo showed balanced, but unsteady patterns (most factors remained high, though social security and environmental quality fluctuated); Beijing had the most unbalanced, unsteady pattern (the different factors were at different levels, and education and culture and social security fluctuated). For enhanced urban public health status, environmental quality and education and culture clearly need to be improved in Beijing. This study demonstrates that a comparison of different cities is helpful in identifying limiting factors for urban public health and providing an orientation for future urban development.

  4. Determination of phylogenetic groups and antibiotic resistance pattern of Enterotoxigenic Escherishia coli isolates from diarrheoic cases in Bam City by PCR

    Directory of Open Access Journals (Sweden)

    Hesam Alizade

    2015-04-01

    Full Text Available Background and Aim: Purposes of this study were to determine the phylogenetic groups, prevalence of enterotoxigenic pathotype and antibiotic resistance of Escherichia coli (E. coli isolates from diarrheic cases in Bam city. Materials and Methods: In this study 155 E. coli were isolated from diarrheic samples in Bam city. Phylogenetic groups of isolates and enterotoxigenic pathotype were determined by detection of chuA, yjaA, TspE4C2 and ST, LT genes respectively. Results: One hundred fifty five examined isolates were distributed in phylogenetic groups: A (71.60%, B1 (3.22%, B2 (9.67% and D (15.48%. The genes for enterotoxigenic pathotype were detected in 52 isolates (33.54%, which ST gene were found in 29 isolates, LT in 16 isolates and LT, ST genes in 7 isolates. Twenty nine ST gene positive isolates were distributed in three phylogenetic groups A (48.28%, D (41.38% and B2 (10.34%. According to the antibiotic susceptibility tests maximum and minimum antibiotic resistance rate was against to trimethoprim/sulfamethoxazole (74.19% and ciprofloxacin and gentamycin (9.67%. Fifteen multiple antibiotic resistance patterns were detected in four phylogenetic groups. Conclusions: Escherichia coli isolates from enterotoxigenic pathotype have a considerable antibiotic resistance rate in Bam city and were distributed in different phylogenetic groups. Since a considerable number of isolates were negative for LT and ST genes, it is necessary to study the other virulence genes and their phylogenetic background in E. coli isolates from diarrheic cases in Bam city.

  5. Synergistic effects of tacrolimus and azole antifungal compounds in fluconazole-susceptible and fluconazole-resistant Candida glabrata isolates

    Directory of Open Access Journals (Sweden)

    Laura Bedin Denardi

    2015-03-01

    Full Text Available In vitro interaction between tacrolimus (FK506 and four azoles (fluconazole, ketoconazole, itraconazole and voriconazole against thirty clinical isolates of both fluconazole susceptible and -resistant Candida glabrata were evaluated by the checkerboard microdilution method. Synergistic, indifferent or antagonism interactions were found for combinations of the antifungal agents and FK506. A larger synergistic effect was observed for the combinations of FK506 with itraconazole and voriconazole (43%, followed by that of the combination with ketoconazole (37%, against fluconazole-susceptible isolates. For fluconazole-resistant C. glabrata, a higher synergistic effect was obtained from FK506 combined with ketoconazole (77%, itraconazole (73%, voriconazole (63% and fluconazole (60%. The synergisms that we observed in vitro, notably against fluconazole-resistant C. glabrata isolates, are promising and warrant further analysis of their applications in experimental in vivo studies.

  6. Antimicrobial consumption, costs and resistance patterns: a two year prospective study in a Romanian intensive care unit.

    Science.gov (United States)

    Axente, Carmen; Licker, Monica; Moldovan, Roxana; Hogea, Elena; Muntean, Delia; Horhat, Florin; Bedreag, Ovidiu; Sandesc, Dorel; Papurica, Marius; Dugaesescu, Dorina; Voicu, Mirela; Baditoiu, Luminita

    2017-05-22

    Due to the vulnerable nature of its patients, the wide use of invasive devices and broad-spectrum antimicrobials used, the intensive care unit (ICU) is often called the epicentre of infections. In the present study, we quantified the burden of hospital acquired pathology in a Romanian university hospital ICU, represented by antimicrobial agents consumption, costs and local resistance patterns, in order to identify multimodal interventional strategies. Between 1 st January 2012 and 31 st December 2013, a prospective study was conducted in the largest ICU of Western Romania. The study group was divided into four sub-samples: patients who only received prophylactic antibiotherapy, those with community-acquired infections, patients who developed hospital acquired infections and patients with community acquired infections complicated by hospital-acquired infections. The statistical analysis was performed using the EpiInfo version 3.5.4 and SPSS version 20. A total of 1596 subjects were enrolled in the study and the recorded consumption of antimicrobial agents was 1172.40 DDD/ 1000 patient-days. The presence of hospital acquired infections doubled the length of stay (6.70 days for patients with community-acquired infections versus 16.06/14.08 days for those with hospital-acquired infections), the number of antimicrobial treatment days (5.47 in sub-sample II versus 11.18/12.13 in sub-samples III/IV) and they increased by 4 times compared to uninfected patients. The perioperative prophylactic antibiotic treatment had an average length duration of 2.78 while the empirical antimicrobial therapy was 3.96 days in sample II and 4.75/4.85 days for the patients with hospital-acquired infections. The incidence density of resistant strains was 8.27/1000 patient-days for methicilin resistant Staphylococcus aureus, 7.88 for extended spectrum β-lactamase producing Klebsiella pneumoniae and 4.68/1000 patient-days for multidrug resistant Acinetobacter baumannii. Some of the most

  7. Screening of nasal carriage of methicillin-resistant Staphylococcus aureus during admission of patients to Frantz Fanon Hospital, Blida, Algeria

    Directory of Open Access Journals (Sweden)

    Mohamed Amine Ouidri

    2018-05-01

    Full Text Available A study was performed of Staphylococcus aureus and methicillin-resistant S. aureus (MRSA strains isolated from nasal preoperative samples. Of 663 samples assessed, staphylococcus was detected in 143 (21.57%. The disc diffusion method (cefoxitin 30 μg, a screening test (oxacillin 6 μg/mL and a search for Protein Binding Additional Penicillin 2 (PLP2a allowed the detection and confirmation of resistance to methicillin for 36 strains, a rate of 5.43% of the total population studied. Eight MRSA carriers received care in the trauma service, 14 in cardiology, five in ear, nose and throat, four in neurosurgery and paediatrics, and one in SCI. Thirty-six methicillin-resistant of the nasal portage strains are in their great majority, 27 of 36, rather limited multi-R character (two to three families namely resistance: tetracyclines, fluoroquinolones, aminoglycosides, macrolides. One of the MRSA strains was found to have intermediate sensitivity to vancomycin. Keywords: Antibiotic resistance, Healthy volunteers, MRSA, Prevalence, Staphylococcus aureus

  8. Patterning of diamond like carbon films for sensor applications using silicon containing thermoplastic resist (SiPol) as a hard mask

    Energy Technology Data Exchange (ETDEWEB)

    Virganavičius, D. [Paul Scherrer Institute, Laboratory for Micro- and Nanotechnology, 5232 Villigen PSI (Switzerland); Kaunas University of Technology, Institute of Materials Science, 51423 Kaunas (Lithuania); Cadarso, V.J.; Kirchner, R. [Paul Scherrer Institute, Laboratory for Micro- and Nanotechnology, 5232 Villigen PSI (Switzerland); Stankevičius, L.; Tamulevičius, T.; Tamulevičius, S. [Kaunas University of Technology, Institute of Materials Science, 51423 Kaunas (Lithuania); Schift, H., E-mail: helmut.schift@psi.ch [Paul Scherrer Institute, Laboratory for Micro- and Nanotechnology, 5232 Villigen PSI (Switzerland)

    2016-11-01

    Highlights: • Nanopatterning of thin diamond-like carbon (DLC) films and silver containing DLC composites. • Nanoimprint lithography with thermoplastic silicon containing resist. • Zero-residual layer imprinting and pattern transfer by reactive ion etching. • Robust leaky waveguide sensors with sensitivity up to 319 nm/RIU. - Abstract: Patterning of diamond-like carbon (DLC) and DLC:metal nanocomposites is of interest for an increasing number of applications. We demonstrate a nanoimprint lithography process based on silicon containing thermoplastic resist combined with plasma etching for straightforward patterning of such films. A variety of different structures with few hundred nanometer feature size and moderate aspect ratios were successfully realized. The quality of produced patterns was directly investigated by the means of optical and scanning electron microscopy (SEM). Such structures were further assessed by employing them in the development of gratings for guided mode resonance (GMR) effect. Optical characterization of such leaky waveguide was compared with numerical simulations based on rigorous coupled wave analysis method with good agreement. The use of such structures as refractive index variation sensors is demonstrated with sensitivity up to 319 nm/RIU, achieving an improvement close to 450% in sensitivity compared to previously reported similar sensors. This pronounced GMR signal fully validates the employed DLC material, the technology to pattern it and the possibility to develop DLC based gratings as corrosion and wear resistant refractometry sensors that are able to operate under harsh conditions providing great value and versatility.

  9. Two- and four-point Kapitza resistance between harmonic solids

    NARCIS (Netherlands)

    Maassen van den Brink, A.; Dekker, H.

    1996-01-01

    The calculation of the Kapitza boundary resistance between dissimilar harmonic solids has since long (Little [Can. J. Phys. 37 (1959) 334]) suffered from a paradox: this resistance erroneously tends to a finite value in the limit of identical solids. We resolve this paradox by calculating

  10. Histopathological patterns in paediatric idiopathic steroid resistant nephrotic syndrome

    International Nuclear Information System (INIS)

    Shah, S.S.H.; Akhtar, N.; Rehman, M.F.U.; Sunbleen, F.; Ahmed, T.

    2015-01-01

    Background: Steroid-resistant nephrotic syndrome (SRNS) is a common problem but difficult to treat for pediatric nephrologists. Due to paucity of studies done in few centres in southern Pakistan regarding the histopathological aspects in paediatric patients with SRNS, this study was conducted to determine the histopathological spectrum in children with SRNS at our centre. Method: This descriptive study has been conducted at the Nephrology department, The Children's Hospital Lahore from February 2014 to January 2015. Based upon history, physical examination and laboratory results, all patients diagnosed as idiopathic SRNS were included in the study and renal biopsy was done to determine the underlying pathology. Histopathology reports were retrieved and data analysis done using SPSS-20.0. Results: There were a total of 96 patients, 64 (66.7 percentage) males and 32 (33.3 percentage) females. The age range was from 0.80 to 15 years with mean age of presentation being 6.34+3.75 years. The most common histo-pathological pattern was mesangio-proliferative Glomerulonephritis found in 79 (82.3 percentage) cases followed by Focal segmental glomerulosclerosis (FSGS) in 9 (9.4 percentage) patients while Minimal change disease (MCD) was seen in 5 (5.2 percentage) subjects. Conclusion: Mesangioproliferative glomerulonephritis is the most common histological pattern seen in children presenting with idiopathic SRNS at our centre followed by FSGS and MCD. (author)

  11. The impact of chewing gum resistance on immediate free recall.

    Science.gov (United States)

    Rickman, Sarah; Johnson, Andrew; Miles, Christopher

    2013-08-01

    Although the facilitative effects of chewing gum on free recall have proved contentious (e.g., Tucha, Mecklinger, Maier, Hammerl, & Lange, 2004; Wilkinson, Scholey, & Wesnes, 2002), there are strong physiological grounds, for example, increased cerebral activity and blood flow following the act of mastication, to suppose facilitation. The present study manipulated resistance to mastication, that is, chewing four pellets versus one pellet of gum, with the assumption that increased resistance will accentuate cerebral activity and blood flow. Additionally, chewing rate was recorded for all participants. In a within-participants design, participants performed a series of immediate free recall tasks while chewing gum at learning (one or four pellets) and recall (one or four pellets). Increased chewing resistance was not associated with increased memory performance, despite consistent chewing rates for both the one and four pellet conditions at both learning and recall. However, a pattern of recall consistent with context-dependent memory was observed. Here, participants who chewed the equivalent number of gum pellets at both learning and recall experienced significantly superior word recall compared to those conditions where the number of gum pellets differed. ©2012 The British Psychological Society.

  12. Heavy metal incorporated helium ion active hybrid non-chemically amplified resists: Nano-patterning with low line edge roughness

    Directory of Open Access Journals (Sweden)

    Pulikanti Guruprasad Reddy

    2017-08-01

    Full Text Available Helium (He ion lithography is being considered as one of the most promising and emerging technology for the manufacturing of next generation integrated circuits (ICs at nanolevel. However, He-ion active resists are rarely reported. In this context, we are introducing a new non-chemically amplified hybrid resist (n-CAR, MAPDSA-MAPDST, for high resolution He-ion beam lithography (HBL applications. In the resist architecture, 2.15 % antimony is incorporated as heavy metal in the form of antimonate. This newly developed resists has successfully used for patterning 20 nm negative tone features at a dose of 60 μC/cm2. The resist offered very low line edge roughness (1.27±0.31 nm for 20 nm line features. To our knowledge, this is the first He-ion active hybrid resist for nanopatterning. The contrast (γ and sensitivity (E0 of this resist were calculated from the contrast curve as 0.73 and 7.2 μC/cm2, respectively.

  13. Heavy metal incorporated helium ion active hybrid non-chemically amplified resists: Nano-patterning with low line edge roughness

    Science.gov (United States)

    Reddy, Pulikanti Guruprasad; Thakur, Neha; Lee, Chien-Lin; Chien, Sheng-Wei; Pradeep, Chullikkattil P.; Ghosh, Subrata; Tsai, Kuen-Yu; Gonsalves, Kenneth E.

    2017-08-01

    Helium (He) ion lithography is being considered as one of the most promising and emerging technology for the manufacturing of next generation integrated circuits (ICs) at nanolevel. However, He-ion active resists are rarely reported. In this context, we are introducing a new non-chemically amplified hybrid resist (n-CAR), MAPDSA-MAPDST, for high resolution He-ion beam lithography (HBL) applications. In the resist architecture, 2.15 % antimony is incorporated as heavy metal in the form of antimonate. This newly developed resists has successfully used for patterning 20 nm negative tone features at a dose of 60 μC/cm2. The resist offered very low line edge roughness (1.27±0.31 nm) for 20 nm line features. To our knowledge, this is the first He-ion active hybrid resist for nanopatterning. The contrast (γ) and sensitivity (E0) of this resist were calculated from the contrast curve as 0.73 and 7.2 μC/cm2, respectively.

  14. Impacts of four northern-hemisphere teleconnection patterns on atmospheric circulations over Eurasia and the Pacific

    Science.gov (United States)

    Gao, Tao; Yu, Jin-yi; Paek, Houk

    2017-08-01

    The impacts of four teleconnection patterns on atmospheric circulation components over Eurasia and the Pacific region, from low to high latitudes in the Northern Hemisphere (NH), were investigated comprehensively in this study. The patterns, as identified by the Climate Prediction Center (USA), were the East Atlantic (EA), East Atlantic/Western Russia (EAWR), Polar/Eurasia (POLEUR), and Scandinavian (SCAND) teleconnections. Results indicate that the EA pattern is closely related to the intensity of the subtropical high over different sectors of the NH in all seasons, especially boreal winter. The wave train associated with this pattern serves as an atmospheric bridge that transfers Atlantic influence into the low-latitude region of the Pacific. In addition, the amplitudes of the EAWR, SCAND, and POLEUR patterns were found to have considerable control on the "Vangengeim-Girs" circulation that forms over the Atlantic-Eurasian region in winter or spring. The EA and EAWR mainly affect the westerlies in winter and spring and the POLEUR and SCAND, respectively, in summer and winter. Strong westerlies confine the extension of the North Polar vortex, which generally results in a small weak vortex and a shallow East Asian trough located in a position further east than normal. Furthermore, the North Polar vortex presents significant connections with the patterns during winter and summer. Analyses in this work suggest that the teleconnection patterns in summer could be driven, at least partly, by the Atlantic Multidecadal Oscillation, which to some degree might transmit the influence of the Atlantic Ocean to Eurasia and the Pacific region.

  15. Development of a High Slip-resistant Footwear Outsole Using a Hybrid Rubber Surface Pattern

    Science.gov (United States)

    YAMAGUCHI, Takeshi; HOKKIRIGAWA, Kazuo

    2014-01-01

    Abstract: The present study examined whether a new footwear outsole with tread blocks and a hybrid rubber surface pattern, composed of rough and smooth surfaces, could increase slip resistance and reduce the risk of fall while walking on a wet floor surface. A drag test was performed to measure static and dynamic coefficient of friction (SCOF and DCOF, respectively) values for the footwear with the hybrid rubber surface pattern outsole and two types of commercially available boots that are conventionally used in food factories and restaurant kitchens with respect to a stainless steel floor covered with glycerol solution. Gait trials were conducted with 14 participants who wore the footwear on the wet stainless steel floor. The drag test results indicated that the hybrid rubber surface pattern sole exhibited higher SCOF (≥0.44) and DCOF (≥0.39) values than the soles of the comparative footwear (pfootwear with the hybrid rubber surface pattern outsole were significantly lower than those for the comparative footwear, which resulted in no falls during trials. PMID:25055846

  16. One-step patterning of double tone high contrast and high refractive index inorganic spin-on resist

    Energy Technology Data Exchange (ETDEWEB)

    Zanchetta, E.; Della Giustina, G.; Brusatin, G. [Industrial Engineering Department and INSTM, Via Marzolo 9, 35131 Padova (Italy)

    2014-09-14

    A direct one-step and low temperature micro-fabrication process, enabling to realize large area totally inorganic TiO₂ micro-patterns from a spin-on resist, is presented. High refractive index structures (up to 2 at 632 nm) without the need for transfer processes have been obtained by mask assisted UV lithography, exploiting photocatalytic titania properties. A distinctive feature not shared by any of the known available resists and boosting the material versatility, is that the system behaves either as a positive or as negative tone resist, depending on the process parameters and on the development chemistry. In order to explain the resist double tone behavior, deep comprehension of the lithographic process parameters optimization and of the resist chemistry and structure evolution during the lithographic process, generally uncommon in literature, is reported. Another striking property of the presented resist is that the negative tone shows a high contrast up to 19, allowing to obtain structures resolution down to 2 μm wide. The presented process and material permit to directly fabricate different titania geometries of great importance for solar cells, photo-catalysis, and photonic crystals applications.

  17. The Composition and Spatial Patterns of Bacterial Virulence Factors and Antibiotic Resistance Genes in 19 Wastewater Treatment Plants.

    Directory of Open Access Journals (Sweden)

    Bing Zhang

    Full Text Available Bacterial pathogenicity and antibiotic resistance are of concern for environmental safety and public health. Accumulating evidence suggests that wastewater treatment plants (WWTPs are as an important sink and source of pathogens and antibiotic resistance genes (ARGs. Virulence genes (encoding virulence factors are good indicators for bacterial pathogenic potentials. To achieve a comprehensive understanding of bacterial pathogenic potentials and antibiotic resistance in WWTPs, bacterial virulence genes and ARGs in 19 WWTPs covering a majority of latitudinal zones of China were surveyed by using GeoChip 4.2. A total of 1610 genes covering 13 virulence factors and 1903 genes belonging to 11 ARG families were detected respectively. The bacterial virulence genes exhibited significant spatial distribution patterns of a latitudinal biodiversity gradient and a distance-decay relationship across China. Moreover, virulence genes tended to coexist with ARGs as shown by their strongly positive associations. In addition, key environmental factors shaping the overall virulence gene structure were identified. This study profiles the occurrence, composition and distribution of virulence genes and ARGs in current WWTPs in China, and uncovers spatial patterns and important environmental variables shaping their structure, which may provide the basis for further studies of bacterial virulence factors and antibiotic resistance in WWTPs.

  18. Assessment of Seed Germination and Dormancy of Thirty Seeds Lots of

    Directory of Open Access Journals (Sweden)

    H.R Ehyaee

    2012-06-01

    Full Text Available Most seeds of medicinal plants due to ecological adaptation to environmental conditions have several types of dormancy. Hence, it's necessary to recognize ecological factors that affect dormancy and provide optimum conditions for germination in medicinal plant species. Thirty seed lots were used to estimate germination and dormancy of medicinal plants. Treatments were KNO3, (2% and scarification of seeds by sand paper, hypochlorite sodium and removing the seed coat with four replicates of 25 seeds. Maximum and minimum germination observed in H2O for Digitalis purpure 100% and Saponaria officinalis 0%. In KNO3 treatment, Portulaca oleracea had the highest germination of 91% and Hyocyamus niger had no any germinated seeds. In sand paper treatment, the Saponaria officinalis and Datura stramonium had maximum, 33% and minimum 0% germination respectively.

  19. Antibiotic resistance patterns and beta-lactamase identification in ...

    African Journals Online (AJOL)

    Background. Antibiotic resistance is a growing problem worldwide. Mechanisms of resistance vary, and some can confer resistance to multiple classes of antibiotics. Objective. To characterise the antibiotic resistance profiles of Escherichia coli isolates obtained from stool samples of young rural children exposed or ...

  20. Antibiotic resistance determinants and genetic analysis of Salmonella enterica isolated from food in Morocco.

    Science.gov (United States)

    Murgia, Manuela; Bouchrif, Brahim; Timinouni, Mohammed; Al-Qahtani, Ahmed; Al-Ahdal, Mohammed N; Cappuccinelli, Pietro; Rubino, Salvatore; Paglietti, Bianca

    2015-12-23

    Antimicrobial-resistant non-typhoidal Salmonella (NTS) are an important cause of infection in Africa, but there is a lack of information on their molecular mechanisms of resistance and epidemiology. This study contributes to fill this gap through the characterization by pulsed-field gel electrophoresis (PFGE), multilocus sequence typing (MLST), plasmid profiling and analysis of antibiotic-resistance determinants of 94 Salmonella enterica strains isolated from food in Morocco. PFGE revealed considerable heterogeneity among the strains, showing 32 pulsotypes. MLST of strains representative of the different serovars evidenced 13 sequence types (STs), three of which were newly identified (ST1694, ST1768 and ST1818) and nine not previously reported in Morocco. Thirty-four strains harbored from one to four plasmids, of IncI1 group in S. Mbandaka, IncFIIA in S. Typhimurium, IncL/M in S. Hadar and S. Blockley. For the first time in Morocco an intact Salmonella Genomic Island 1 (SGI1) carrying the resistance genes aadA2, floR, tetG, blaPSE-1 and sul1 was detected in S. Typhimurium DT104. In serovar Hadar resistance to ampicillin, tetracycline and streptomycin was associated to blaTEM-1, tetA and strA genes respectively, whereas one mutation in gyrA (Asp87Asn) and one in parC (Thr54Ser) genes conferred resistance to nalidixic acid. These findings improve the information on foodborne Salmonella in Morocco, evidencing the presence of MDR strains potentially dangerous to humans, and provide useful data for future studies. Copyright © 2015 Elsevier B.V. All rights reserved.

  1. Effects of Find Thirty every day(R): cross-sectional findings from a Western Australian population-wide mass media campaign, 2008-2010.

    Science.gov (United States)

    Leavy, Justine E; Rosenberg, Michael; Bauman, Adrian E; Bull, Fiona C; Giles-Corti, Billie; Shilton, Trevor; Maitland, Clover; Barnes, Rosanne

    2013-08-01

    Internationally, over the last four decades large-scale mass media campaigns have been delivered to promote physical activity and its associated health benefits. In 2002-2005, the first Western Australian statewide adult physical activity campaign Find Thirty. It's Not a Big Exercise was launched. In 2007, a new iteration of the campaign was proposed with new objectives, executions, and tag line Find Thirty every day(®). This article reports on the population-level effects of the Find Thirty every day (®) campaign from 2008 to 2010, with a focus on changes in awareness, intention, and physical activity. Evaluation of the campaign involved pre- and posttest serial cross-sectional surveys. Baseline data were collected in May 2008, and subsequent surveys in 2009 and 2010. Samples sizes were as follows: baseline (n = 972), first follow-up (n = 938), and second follow-up (n = 937). Data were derived from self-reported responses to a random-sample computer-assisted telephone interview. Total awareness increased from 30.4% at baseline to 48.5% at second follow-up. Total awareness was higher in women and low socioeconomic status adults. Intention was 21.0%, double that reported at baseline. There were positive significant changes from baseline to first follow-up across all four categories: walking, moderate, vigorous, and total physical activity. There also were positive significant changes for self-reported walking from baseline to second follow-up. Find Thirty every day (®) resulted in an increase in awareness, intention, walking, vigorous intensity, and total level of physical activity in priority target groups. Campaign effects should be further examined by subgroups to identify the most receptive population segments.

  2. Identification of resistance to Aspergillus flavus infection in cotton germplasm

    Science.gov (United States)

    Natural resistance of in cottonseed to Aspergillus flavus infection has not been explored to date. A green fluorescent protein (GFP) expressing -70 strain was used to assess the resistance of seed from thirty five35 cotton varieties including representatives from Gossypium arboreum, G. barbadense, a...

  3. HIV drug resistance patterns in pregnant women using next generation sequence in Mozambique.

    Science.gov (United States)

    Rupérez, María; Noguera-Julian, Marc; González, Raquel; Maculuve, Sonia; Bellido, Rocío; Vala, Anifa; Rodríguez, Cristina; Sevene, Esperança; Paredes, Roger; Menéndez, Clara

    2018-01-01

    Few data on HIV resistance in pregnancy are available from Mozambique, one of the countries with the highest HIV toll worldwide. Understanding the patterns of HIV drug resistance in pregnant women might help in tailoring optimal regimens for prevention of mother to child transmission of HIV (pMTCT) and antenatal care. To describe the frequency and characteristics of HIV drug resistance mutations (HIVDRM) in pregnant women with virological failure at delivery, despite pMTCT or antiretroviral therapy (ART). Samples from HIV-infected pregnant women from a rural area in southern Mozambique were analysed. Only women with HIV-1 RNA >400c/mL at delivery were included in the analysis. HIVDRM were determined using MiSeq® (detection threshold 1%) at the first antenatal care (ANC) visit and at the time of delivery. Ninety and 60 samples were available at the first ANC visit and delivery, respectively. At first ANC, 97% of the women had HIV-1 RNA>400c/mL, 39% had CD4+ counts HIV-1 genotyping, less than 20% of women with detectable viremia at delivery had HIVDRM before initiating pMTCT or ART. This suggests that factors other than pre-existing resistance, such as lack of adherence or interruptions of the ANC chain, are also relevant to explain lack of virological suppression at the time of delivery in women receiving antiretrovirals drugs during pregnancy.

  4. Identification and Determination of Drug Resistant of Candida species isolated from Hospital Acquired Infections

    Directory of Open Access Journals (Sweden)

    Kambiz Diba

    2015-01-01

    Full Text Available Background & aim: Currently, the use of antifungal azole group and yeasts resistant to these drugs is increasing. The aim of this study was to isolate and identify the yeasts obtained from candidiasis patients and furthermore determining thier antifungal resistance. Methods: In the present descriptive study, infections samples were collected from 256 patients with suspected nosocomial candidiasis, then direct exam and culture were performed. Yeast colonies were identified using phenotypic methods, polymerase chain reaction method and enzyme digestion. Data were analyzed using Descriptive statistical tests. Results: Of sixty isolated yeast, thirty-seven cases of Candida albicans (61.6%, seven cases of C. krusei and C. glabrata (11.6% each, five cases of C. dubliniensis (8.3% and four cases of C. tropicalis (6.6% were indicated. The study showed that the sensitivity of C. albicans and C. cruise species to amphotericin B was negligible in disk diffusion and very sensitve in microdilution. Conclusion: Inspite of the results of antifungal susceptibility test of strains studied did not show high resistance, but screening for drug-resistant Candida isolates in Candida infection by disk diffusion and microdilution methods for new cases of drug resistance is reasonable.

  5. Prevalence and multidrug resistance pattern of Salmonella isolated from resident wild birds of Bangladesh

    Directory of Open Access Journals (Sweden)

    Abdullah Al Faruq

    2016-10-01

    Full Text Available Aim: Salmonellosis is one of the most common zoonotic diseases, and the presence of antimicrobial resistant Salmonella in wild birds is global public health threat. Throughout the last decades, multidrug resistance of Salmonella spp. has increased, particularly in developing countries. Therefore, a cross-sectional study was conducted to investigate the prevalence of Salmonella spp. and antimicrobial resistance pattern against Salmonella spp. from two species of resident wild birds namely house crow (Corvus splendens and Asian pied starling (Gracupica contra. Materials and Methods: Samples were collected from cloacal swabs of house crows and Asian pied starling for isolating Salmonella spp. (bacteriological culture methods followed by antimicrobial susceptibility testing (disk diffusion method against Salmonella spp. isolates during March to December 2014. Results: The prevalence of Salmonella in Asian pied starling and house crows were 67% and 65%, respectively. Within the category of samples from different species, the variation in prevalence was not varied significantly (p>0.05. Isolated Salmonella spp. was tested for resistance to six different antimicrobial agents. Among six antimicrobial tested, 100% resistance were found to penicillin, oxacillin, and clindamycin followed by erythromycin (50-93%, kanamycin (7-20%, and cephalothin (30-67% from both species of birds. Kanamycin remained sensitive in (70-73%, cephalothin (26-70%, and erythromycin appeared to be (0-30% sensitive against Salmonella spp. isolates. Isolated Salmonella spp. was multidrug resistant up to three of the six antimicrobials tested. Conclusion: It can be said that the rational use of antimicrobials needs to be adopted in the treatment of disease for livestock, poultry, and human of Bangladesh to limit the emergence of drug resistance to Salmonella spp.

  6. Genotyping and drug resistance patterns of Mycobacterium tuberculosis strains observed in a tuberculosis high-burden municipality in Northeast, Brazil

    Directory of Open Access Journals (Sweden)

    Roberta dos Santos Silva Luiz

    2013-06-01

    Full Text Available OBJECTIVES: This study has used a combination of clinical information, spoligotyping, and georeferencing system to elucidate the genetic diversity of the Mycobacterium tuberculosis isolates circulating in a TB-prevalent municipality of Northeast Brazil. METHODS: A total of 115 M. tuberculosis strains were isolated from pulmonary tuberculosis patients from January 2007 to March 2008 in Fortaleza. Drug susceptibility and spoligotyping assays were performed and place of residence of the patients were georeferenced. RESULTS: Of the M. tuberculosis strains studied, 51 (44.3% isolates were resistant to at least one drug (R-TB and 64 (55.7% were sensitive to all the drugs tested (S-TB. A high frequency of resistance was found in previously treated cases (84% and among new cases (16%; p < 0.001. a total of 74 (64% isolates were grouped into 22 spoligotyped lineages, while 41 (36% isolates were identified as new. among the predominant genotypes, 33% were latim american mediterranean (lam, 12% haarlem (h, and 5% u. there was no association of geographic distribution of rt-tb patients as compared to the controls and also the geographic location to the spoligotype patterns. the geospatial analysis revealed that 24 (23% patients (hot spot zones either shared the same residence or lived in a close neighborhood of a case. among these concentration zones, the patients lived in the same residence and shared a common genotype pattern and resistance pattern. DISCUSSION: it was observed that the spoligopatterns family distribution was similar to that reported for south america, prevailing the lam and h lineages. a high rate-case among the resistant TB group occurs as a result of transmitted and acquired resistance. A more effective surveillance program is needed in order to succeed in reducing tuberculosis in Northeast Brazil.

  7. Genotyping and drug resistance patterns of Mycobacterium tuberculosis strains observed in a tuberculosis high-burden municipality in Northeast, Brazil

    Directory of Open Access Journals (Sweden)

    Roberta dos Santos Silva Luiz

    Full Text Available OBJECTIVES: This study has used a combination of clinical information, spoligotyping, and georeferencing system to elucidate the genetic diversity of the Mycobacterium tuberculosis isolates circulating in a TB-prevalent municipality of Northeast Brazil. METHODS: A total of 115 M. tuberculosis strains were isolated from pulmonary tuberculosis patients from January 2007 to March 2008 in Fortaleza. Drug susceptibility and spoligotyping assays were performed and place of residence of the patients were georeferenced. RESULTS: Of the M. tuberculosis strains studied, 51 (44.3% isolates were resistant to at least one drug (R-TB and 64 (55.7% were sensitive to all the drugs tested (S-TB. A high frequency of resistance was found in previously treated cases (84% and among new cases (16%; p < 0.001. a total of 74 (64% isolates were grouped into 22 spoligotyped lineages, while 41 (36% isolates were identified as new. among the predominant genotypes, 33% were latim american mediterranean (lam, 12% haarlem (h, and 5% u. there was no association of geographic distribution of rt-tb patients as compared to the controls and also the geographic location to the spoligotype patterns. the geospatial analysis revealed that 24 (23% patients (hot spot zones either shared the same residence or lived in a close neighborhood of a case. among these concentration zones, the patients lived in the same residence and shared a common genotype pattern and resistance pattern. DISCUSSION: it was observed that the spoligopatterns family distribution was similar to that reported for south america, prevailing the lam and h lineages. a high rate-case among the resistant TB group occurs as a result of transmitted and acquired resistance. A more effective surveillance program is needed in order to succeed in reducing tuberculosis in Northeast Brazil.

  8. Genotypes, antibiotic resistance, and virulence factors of staphylococci from ready-to-eat food.

    Science.gov (United States)

    Podkowik, Magdalena; Bystroń, Jarosław; Bania, Jacek

    2012-01-01

    Sixty-seven staphylococcal isolates belonging to 12 species were obtained from 70 ready-to-eat food products. Staphylococcus aureus (n=25), and Staphylococcus epidermidis (n=13) were dominant. Susceptibility to penicillin, oxacillin, tetracycline, clindamycin, gentamicin, erythromycin, ciprofloxacin, and vancomycin was determined. All investigated S. aureus isolates were resistant to at least one antibiotic, and fifteen isolates were resistant to four and more antibiotics. Thirty-eight coagulase-negative staphylococci (CNS) isolates were resistant to at least one antibiotic, and seventeen to four and more antibiotics. Fifteen CNS isolates were mecA positive, and grew in the presence of 6 μg/mL oxacillin. All S. aureus isolates were mecA-negative. Arginine catabolic mobile element (ACME) was found in seven S. epidermidis isolates. Five S. epidermidis isolates harbored ica operon, ACME and were able to form biofilm. Three of them also possessed IS256 element and were mecA-positive. The expression of icaA gene was comparable in five ica-positive S. epidermidis isolates. One of six mecA positive S. epidermidis isolates was classified as sequence type (ST)155, one as ST110, and two as ST88. Two methicillin-resistant Staphylococcus epidermis (MRSE) belonged to new STs, that is, ST362, and ST363. Enterotoxin genes were found in 92% of S. aureus isolates. No enterotoxin gene was detected in analyzed CNS population. We show that ready-to-eat products are an important source of antibiotic-resistant CNS and potentially virulent strains of S. epidermidis, including genotypes undistinguishable from hospital-adapted clones.

  9. The impact of insecticide applications on the dynamics of resistance: The case of four Aedes aegypti populations from different Brazilian regions

    Science.gov (United States)

    Martins, Ademir de Jesus; Maciel-de-Freitas, Rafael; Linss, Jutta Gerlinde Birggitt; Araújo, Simone Costa; Lima, José Bento Pereira; Valle, Denise

    2018-01-01

    Background In the tropics, the utilization of insecticides is still an important strategy for controlling Aedes aegypti, the principle vector of dengue, chikungunya and Zika viruses. However, increasing insecticide resistance in Ae. aegypti populations might hinder insecticide efficacy on a long-term basis. It will be important to understand the dynamics and evolution of insecticide resistance by assessing its frequency and the mechanisms by which it occurs. Methodology/Principal findings The insecticide resistance status of four Brazilian Ae. aegypti populations was monitored. Quantitative bioassays with the major insecticides employed in the country was performed: the adulticide deltamethrin (a pyrethroid—PY) and the larvicides, temephos (an organophosphate) and diflubenzuron (a chitin synthesis inhibitor). Temephos resistance was detected in all populations although exhibiting a slight decrease over time probably due to the interruption of field use. All vector populations were susceptible to diflubenzuron, recently introduced in the country to control Ae. aegypti. Resistance against deltamethrin was extremely high in three populations. Molecular assays investigated substitutions in the voltage gated sodium channel (NaV), the PY target site, at positions 1011, 1016 and 1534. Elevated frequencies of substitutions Val1016Ile and Phe1534Cys related to high PY resistance levels were identified. Biochemical assays detected alterations in the activities of two detoxifying enzyme classes related to metabolic resistance, glutathion-S-transferases and esterases. The results obtained were evaluated in the context of both recent insecticide use and the records of dengue incidence in each locality. Conclusions/Significance The four Ae. aegypti populations evaluated were resistant to the neurotoxic insecticides, temephos and deltamethrin. However, they were still susceptible to diflubenzuron. A probable correlation between adult insect resistance to PY and the domestic

  10. Gamma radiation-induced mutant of NSIC RC144 with broad-spectrum resistance to bacterial blight

    International Nuclear Information System (INIS)

    Alfonso, A.A.; Avellanoza, E.S.; Miranda, R.T.; Espejo, E.O.; Garcia, N.S.

    2014-01-01

    Mutant lines derived from gamma radiation-treated commercial variety NSIC RC144 were produced and screened for novel resistance to bacterial blight, one of the most serious diseases of rice. Preliminary screening of a bulk M2 population through induced method using race 3 of the pathogen Xanthomonas oryzae pv. oryzae (Xoo) resulted in the selection of 89 resistant plants. Subsequent repeated bacterial blight screenings and generation advance for five seasons resulted in the selection of two highly resistant M7 sister lines whose origin can be traced to a single M2 plant. DNA fingerprinting using 63 genome-wide simple sequence repeat (SSR) markers revealed an identical pattern in these lines. Using the same set of markers, they also exhibited 98% similarity to wild type NSIC RC144 indicating that the resistance is due to mutation and not due to genetic admixture or seed impurity. Two seasons of bacterial blight screening using 14 local isolates representing ten races of Xoo revealed an identical reaction pattern in these lines. The reaction pattern was observed to be unique compared to known patterns in four IRBB isolines (IRBB 4, 5, 7 and 21) with strong resistant reaction to bacterial blight suggesting possible novel resistance. The susceptible reaction in F1 testcrosses using Xoo race 6 and the segregation patterns in two F2 populations that fit with the expected 3 susceptible: 1 resistant ratio (P = 0.4, ns) suggest a single-gene recessive mutation in these lines. These mutants are now being used as resistance donor in the breeding program while further molecular characterization to map and characterize the mutated gene is being pursued

  11. [Antibiotic resistance patterns of Escherichia coli strains isolated from urine cultures in Turkey: a meta-analysis].

    Science.gov (United States)

    Aykan, Sadiye Berna; Ciftci, Ihsan Hakkı

    2013-10-01

    Escherichia coli is the most frequently isolated microorganism from both community-acquired and nosocomial urinary tract infections in Turkey. A large number of studies concerning antibiotic susceptibility of E.coli have been published from different centers throughout the country. The aim of this study was to evaluate the antibiotic resistance patterns of E.coli strains isolated from urine cultures by a meta-analysis in published medical literature between the years of 1996-2012 in Turkey. The study was planned and conducted in accordance with the declaration of PRISMA and describes the methods of literature search, the determining criteria for inclusion and evaluation of articles, data collection and statistical analysis. To find the published series Google Scholar and PubMed international databases were used to access published manuscripts evaluated according to the determined criteria for acceptance and rejection. For each study, general data and antibiotic resistance rates were collected as a common unit. Publications considered as lacking in appropriate content was eliminated from the study. Statistical analysis of the data obtained were 95% confidence intervals, and p≤ 0.05 value was considered as significant difference. A total of 228 articles were found to be published during 1996-2012 period, while 101 of them were included in the meta-analysis according to the eligibility criteria. The analyses indicated that nitrofurantoin and piperacillin resistance rates have been decreased, whereas ciprofloxacin, cefepime, co-trimoxazole and extended-spectrum beta-lactamase (ESBL) positivity rates have been increased during the study period. The increases in the rates of ciprofloxacin and cefepime resistance and and ESBL production were statistically-significant (pAntibiotic resistance rates, except for imipenem, in bacterial strains, isolated from hospitalized patients were found significantly higher in strains obtained from outpatients. The differences between

  12. Infecções do trato urinário em pacientes não hospitalizados: etiologia e padrão de resistência aos antimicrobianos Urinary tract infections in non hospitalized patients: etiology and antibiotic resistance patterns

    Directory of Open Access Journals (Sweden)

    Alexandre Braoios

    2009-12-01

    Full Text Available INTRODUÇÃO E OBJETIVO: Infecção do trato urinário (ITU é comumente diagnosticada na prática médica, e é cada vez mais comum o isolamento de cepas resistentes em pacientes não hospitalizados. Nosso objetivo foi avaliar a frequência e a resistência dos principais uropatógenos na cidade de Presidente Prudente, SP, entre janeiro de 2006 e dezembro de 2007. MATERIAL E MÉTODOS: Nós realizamos um levantamento de dados retrospectivo sobre a etiologia e o padrão de resistência dos uropatógenos. RESULTADOS: O uropatógeno mais frequente foi E. coli (65,97%. Foi encontrada diferença significativa (p INTRODUCTION AND OBJECTIVE: Urinary tract infection (UTI is frequently diagnosed in medical practice and the isolation of resistant strains in non-hospitalized patients is increasingly common. Our objective was to evaluate the frequency and resistance of uropathogens in the city of Presidente Prudente, Brazil, between January 2006 and December 2007. MATERIAL AND METHODS: We carried out a retrospective investigation into the etiology and resistance patterns of uropathogens. RESULTS: The most frequent uropathogen was E. coli (65.97%. There was a significant difference (p < 0.05 in the prevalence of E. coli according to the age group. Ampicillin and nitrofurantoin showed, respectively, smaller and larger inhibitory power against enterobacteria. However, 88.4% of Proteus mirabilis showed resistance to nitrofurantoin as well as wider resistance pattern. Resistance rates to ceftriaxone suggest production of ESBL. DISCUSSION: Our data are similar to those found in other studies. It is important that the local medical community should be acquainted with these findings as well as data from future studies that can detect changes in etiology or resistance pattern in our region. This monitoring is an important tool for the update of empirical therapy. CONCLUSION: The data reported herein show that the etiology of urinary infections is very similar to

  13. Characteristics of Four SPE Classes According to Onset Timing and Proton Acceleration Patterns

    Science.gov (United States)

    Kim, Roksoon

    2015-04-01

    In our previous work (Kim et al., 2015), we suggested a new classification scheme, which categorizes the SPEs into four groups based on association with flare or CME inferred from onset timings as well as proton acceleration patterns using multienergy observations. In this study, we have tried to find whether there are any typical characteristics of associated events and acceleration sites in each group using 42 SPEs from 1997 to 2012. We find: (i) if the proton acceleration starts from a lower energy, a SPE has a higher chance to be a strong event (> 5000 pfu) even if the associated flare and CME are not so strong. The only difference between the SPEs associated with flare and CME is the location of the acceleration site. For the former, the sites are very low ( ~1 Rs) and close to the western limb, while the latter has a relatively higher (mean=6.05 Rs) and wider acceleration sites. (ii) When the proton acceleration starts from the higher energy, a SPE tends to be a relatively weak event (pfu), in spite of its associated CME is relatively stronger than previous group. (iii) The SPEs categorized by the simultaneous proton acceleration in whole energy range within 10 minutes, tend to show the weakest proton flux (mean=327 pfu) in spite of strong related eruptions. Their acceleration heights are very close to the locations of type II radio bursts. Based on those results, we suggest that the different characteristics of the four groups are mainly due to the different mechanisms governing the acceleration pattern and interval, and different condition such as the acceleration location.

  14. Effects of "Find Thirty Every Day [R]": Cross-Sectional Findings from a Western Australian Population-Wide Mass Media Campaign, 2008-2010

    Science.gov (United States)

    Leavy, Justine E.; Rosenberg, Michael; Bauman, Adrian E.; Bull, Fiona C.; Giles-Corti, Billie; Shilton, Trevor; Maitland, Clover; Barnes, Rosanne

    2013-01-01

    Background: Internationally, over the last four decades large-scale mass media campaigns have been delivered to promote physical activity and its associated health benefits. In 2002-2005, the first Western Australian statewide adult physical activity campaign "Find Thirty. It's Not a Big Exercise" was launched. In 2007, a new iteration…

  15. An evaluation of four patterns of interaction for integrating disparate ESBs effectively and easily

    Directory of Open Access Journals (Sweden)

    Stewart John Green

    2013-07-01

    Full Text Available Organisations use Enterprise Service Busses (ESBs to support enterprise application integration. For a variety of reasons – mergers and acquisitions, geographically distributed enterprise units, distributed governance, scalability – enterprises sometimes need to acquire multiple, disparate ESBs and enable the applications that each one supports to interoperate. However, currently, no standard architecture exists for integrating multiple, disparate ESBs. To begin to address this problem, four candidate disparate ESB integration patterns – directly connected, web services, homogeneous messaging middleware, and message bridge – were identified from the enterprise application integration literature and tested for their effectiveness in integrating multiple, disparate ESBs. Each pattern was applied in two different scenarios: loan broker request, and inter-divisional messaging. In each scenario a number of enterprise applications were integrated using three disparate ESBs: Oracle Service Bus, Apache ServiceMix, and Mule ESB. The experiments were designed to test how well the different patterns supported effective integration of different ESBs. The results indicate that the web services and homogeneous messaging middleware patterns are the best for integrating disparate EBS effectively and with minimal difficulty. In addition, it was discovered that the degree to which ESB integration could be achieved depended upon the number of ESBs being integrated, the relevant skills of the integration team, and the types of the ESBs. The results may be of practical benefit to the communities engaged in enterprise application integration research and practice.

  16. 17. The Thirty Years War

    OpenAIRE

    Blamires, David

    2013-01-01

    Without any question the period of the Thirty Years War, from 1618 to 1648, was one of the most horrifying in the history of Germany. Not only were huge numbers of soldiers killed in battle in virtually every part of the Holy Roman Empire, but even greater numbers of the civilian population died in the conflict or through starvation or disease. Houses, churches, villages and towns were burnt and destroyed, and by the end of the war the population had been reduced from about sixteen millions t...

  17. Race-Specific Adult-Plant Resistance in Winter Wheat to Stripe Rust and Characterization of Pathogen Virulence Patterns.

    Science.gov (United States)

    Milus, Eugene A; Moon, David E; Lee, Kevin D; Mason, R Esten

    2015-08-01

    Stripe rust, caused by Puccinia striiformis f. sp. tritici, is an important disease of wheat in the Great Plains and southeastern United States. Growing resistant cultivars is the preferred means for managing stripe rust, but new virulence in the pathogen population overcomes some of the resistance. The objectives of this study were to characterize the stripe rust resistance in contemporary soft and hard red winter wheat cultivars, to characterize the virulence of P. striiformis f. sp. tritici isolates based on the resistances found in the cultivars, and to determine wheat breeders' perceptions on the importance and methods for achieving stripe rust resistance. Seedlings of cultivars were susceptible to recent isolates, indicating they lacked effective all-stage resistance. However, adult-plants were resistant or susceptible depending on the isolate, indicating they had race-specific adult-plant resistance. Using isolates collected from 1990 to 2013, six major virulence patterns were identified on adult plants of twelve cultivars that were selected as adult-plant differentials. Race-specific adult-plant resistance appears to be the only effective type of resistance protecting wheat from stripe rust in eastern United States. Among wheat breeders, the importance of incorporating stripe rust resistance into cultivars ranged from high to low depending on the frequency of epidemics in their region, and most sources of stripe rust resistance were either unknown or already overcome by virulence in the pathogen population. Breeders with a high priority for stripe rust resistance made most of their selections based on adult-plant reactions in the field, whereas breeders with a low priority for resistance based selections on molecular markers for major all-stage resistance genes.

  18. Assistive devices alter gait patterns in Parkinson disease: advantages of the four-wheeled walker.

    Science.gov (United States)

    Kegelmeyer, Deb A; Parthasarathy, Sowmya; Kostyk, Sandra K; White, Susan E; Kloos, Anne D

    2013-05-01

    Gait abnormalities are a hallmark of Parkinson's disease (PD) and contribute to fall risk. Therapy and exercise are often encouraged to increase mobility and decrease falls. As disease symptoms progress, assistive devices are often prescribed. There are no guidelines for choosing appropriate ambulatory devices. This unique study systematically examined the impact of a broad range of assistive devices on gait measures during walking in both a straight path and around obstacles in individuals with PD. Quantitative gait measures, including velocity, stride length, percent swing and double support time, and coefficients of variation were assessed in 27 individuals with PD with or without one of six different devices including canes, standard and wheeled walkers (two, four or U-Step). Data were collected using the GAITRite and on a figure-of-eight course. All devices, with the exception of four-wheeled and U-Step walkers significantly decreased gait velocity. The four-wheeled walker resulted in less variability in gait measures and had less impact on spontaneous unassisted gait patterns. The U-Step walker exhibited the highest variability across all parameters followed by the two-wheeled and standard walkers. Higher variability has been correlated with increased falls. Though subjects performed better on a figure-of-eight course using either the four-wheeled or the U-Step walker, the four-wheeled walker resulted in the most consistent improvement in overall gait variables. Laser light use on a U-Step walker did not improve gait measures or safety in figure-of-eight compared to other devices. Of the devices tested, the four-wheeled-walker offered the most consistent advantages for improving mobility and safety. Copyright © 2012 Elsevier B.V. All rights reserved.

  19. Determination Pattern of Antibiotic Resistance in Entropathogenic Escherichia coli Strains Isolated from Children with Diarrhea

    Directory of Open Access Journals (Sweden)

    P. Karami

    2012-04-01

    Full Text Available Introduction & Objective: Diarrheal diseases are considered a major health problem, especially in children. Enteropathogenic Escherichia coli (EPEC strains are the common cause of diarrhea in children especially in developing countries. Because of undesirable effects of diarrhea and its interference with children's growth, in some cases antibiotic treatment is recommended. In recent years, resistance toward common and effective antibiotics in the treatment of infectious diseases became one of the most important challenges in medical society, for this purpose, antibiotic sensitivity and resistance of strains in every geographical zone must be determined. So in this study, of antibiotic patterns of these bacteria were examined.Materials & Methods: This cross-sectional study was performed on 192 strains of Enteropathogen Escherichia coli isolated from children who were suffering from diarrhea in 1389-1390 in the microbiology laboratory of Hamadan University of medical sciences. To identify these strains, standard biochemical and serology tests were used. The antibiotic sensitivity test of these isolates was carried out with disc diffusion agar method according to the CLSI standards for 14 different antibiotics disc. Resistance toward 3 or more than 3 classes of antibiotics were defined as multidrug resistance.Results: The result of this study shows EPEC strains had the highest resistance to cefpodoxime (97%, trimethoprim (60.7%, tetracycline (58.4% and ampicillin (45.8%. Multidrug resistance was 68.7 percent. These strains also showed the highest sensitivity against imipenem, ceftriaxone, and ciprofloxacin antibiotics.Conclusion: EPEC strains that were studied with resistance to ampicillin, tetracycline and convenient sensitivity against fluoroquinolones are one of the major factors in children’s diarrhea. A result of this research suggests that antimicrobial resistance in Escherichia coli strains are high and prescribing and antibiotic is not

  20. Predominant bacteria and patterns of antibiotic susceptibility in urinary tract infection in children with spina bifida.

    Science.gov (United States)

    Ortiz, Tara K; Velazquez, Nermarie; Ding, Laura; Routh, Jonathan C; Wiener, John S; Seed, Patrick C; Ross, Sherry S

    2018-04-20

    Urinary tract infection is more common in children with spina bifida (SB) than neurologically intact children, and Escherichiacoli is the most common urinary pathogen in the general pediatric population. Less is known of the pathogens responsible for urinary tract infections (UTI) in the pediatric SB population or their evolving antimicrobial resistance patterns. The goal of this study is to determine the epidemiology and antimicrobial resistance patterns of SB-associated urinary pathogens. Between January 1996 and August 2013, 231 patients aged 1 month to 18 years were identified with a diagnosis of SB-NB and at least one symptomatic urinary tract infection (UTI) event (Table). Two-hundred and thirty-one normally voiding children with a single symptomatic UTI were age-matched based on age at diagnosis of UTI at a 1:1 ratio. Chi-square tests and Generalized Estimating Equation analysis, controlling for clinicopathological factors, were performed to compare rates of pathogen-associations with UTI between groups and likelihood of UTI with multi-drug resistant (MDR) organisms. Children in the SB-NB group had a higher rate of non-E. coli UTI compared with controls (64% vs. 41%, p Children with SB-NB are more likely to have non-E. coli UTI, UTIs with MDR organisms, and urosepsis than the general pediatric population. Published by Elsevier Ltd.

  1. High-temperature abnormal behavior of resistivities for Bi-In melts

    International Nuclear Information System (INIS)

    Xi Yun; Zu Fangqiu; Li Xianfen; Yu Jin; Liu Lanjun; Li Qiang; Chen Zhihao

    2004-01-01

    The patterns of electrical resistivities versus temperature in large temperature range have been studied, using the D.C. four-probe method, for liquid Bi-In alloys (Bi-In(33 wt%), Bi-In(38 wt%), Bi-In(50.5 wt%), Bi-In(66 wt%)). The clear turning point of each resistivity-temperature curves of the liquid Bi-In alloys is observed at the temperature much above the melting point, in which temperature range the resistivity-temperature coefficient increases rapidly. Except for the turning temperature range, the resistivities of Bi-In alloys increase linearly with temperature. Because resistivity is sensitive to the structure, this experiment shows the structural transition in Bi-In melts at the temperature much higher than the liquidus. And it is suggested that there are different Bi-In short-range orderings in different Bi-In melts, so the resistivity-temperature curves have the turns at different temperatures and the resistivity-temperature coefficients are also different

  2. Cfr-mediated linezolid-resistance among methicillin-resistant coagulase-negative staphylococci from infections of humans.

    Directory of Open Access Journals (Sweden)

    Lanqing Cui

    Full Text Available Four methicillin-resistant coagulase-negative staphylococci (MRCoNS, one Staphylococcus haemolyticus and three Staphylococcus cohnii, from infections of humans collected via the Ministry of Health National Antimicrobial Resistance Surveillance Net (Mohnarin program in China were identified as linezolid-resistant. These four isolates were negative for the 23S rRNA mutations, but positive for the gene cfr. Mutations in the gene for the ribosomal protein L3, which resulted in the amino acid exchanges Gly152Asp and Tyr158Phe, were identified in S. haemolyticus 09D279 and S. cohnii NDM113, respectively. In each isolate, the cfr gene was located on a plasmid of ca. 35.4 kb, as shown by S1 nuclease pulsed-field gel electrophoresis and Southern blotting experiments. This plasmid was indistinguishable from the previously described plasmid pSS-02 by its size, restriction pattern, and a sequenced 14-kb cfr-carrying segment. Plasmid pSS-02 was originally identified in staphylococci isolated from pigs. This is the first time that a cfr-carrying plasmid has been detected in MRCoNS obtained from intensive care patients in China. Based on the similarities to the cfr-carrying plasmid pSS-02 from porcine coagulase-negative staphylococci, a transmission of this cfr-carrying plasmid between staphylococci from pigs and humans appears to be likely.

  3. Cfr-mediated linezolid-resistance among methicillin-resistant coagulase-negative staphylococci from infections of humans.

    Science.gov (United States)

    Cui, Lanqing; Wang, Yang; Li, Yun; He, Tao; Schwarz, Stefan; Ding, Yujing; Shen, Jianzhong; Lv, Yuan

    2013-01-01

    Four methicillin-resistant coagulase-negative staphylococci (MRCoNS), one Staphylococcus haemolyticus and three Staphylococcus cohnii, from infections of humans collected via the Ministry of Health National Antimicrobial Resistance Surveillance Net (Mohnarin) program in China were identified as linezolid-resistant. These four isolates were negative for the 23S rRNA mutations, but positive for the gene cfr. Mutations in the gene for the ribosomal protein L3, which resulted in the amino acid exchanges Gly152Asp and Tyr158Phe, were identified in S. haemolyticus 09D279 and S. cohnii NDM113, respectively. In each isolate, the cfr gene was located on a plasmid of ca. 35.4 kb, as shown by S1 nuclease pulsed-field gel electrophoresis and Southern blotting experiments. This plasmid was indistinguishable from the previously described plasmid pSS-02 by its size, restriction pattern, and a sequenced 14-kb cfr-carrying segment. Plasmid pSS-02 was originally identified in staphylococci isolated from pigs. This is the first time that a cfr-carrying plasmid has been detected in MRCoNS obtained from intensive care patients in China. Based on the similarities to the cfr-carrying plasmid pSS-02 from porcine coagulase-negative staphylococci, a transmission of this cfr-carrying plasmid between staphylococci from pigs and humans appears to be likely.

  4. Resistance Training With Ankle Weight Cuffs Is Feasible in Patients With Acute Exacerbation of COPD

    DEFF Research Database (Denmark)

    Kofod, Linette Marie; Døssing, Martin; Steentoft, Johnna

    2017-01-01

    -extension strength training. During training, the patients were seated on the bedside and performed 3 sets of 10-repetition maximum loads, using ankle weight cuffs. The primary outcome was the change in load from the first to last training sessions. The secondary outcomes were changes in maximal isometric knee......-extension strength improved by a mean of 12% (P = .02), whereas the TUG and STS test performances improved by 11% (P = .001) and 19% (P = .03), respectively. Ninety-eight percent of the planned training sessions were completed with no side effects. CONCLUSIONS: Progressive resistance training with ankle weight cuffs...... of progressive knee-extension resistance training, using ankle weight cuffs on patients with AECOPD, based on prespecified criteria for feasibility. METHODS: Thirty-four patients (18 men, mean age 74 years, forced expiratory volume in 1 second = 33% predicted) with AECOPD participated in daily knee...

  5. Antimicrobial resistance patterns and plasmid profiles of ...

    African Journals Online (AJOL)

    Objectives: To determine the frequency of resistance of Staphylococcus aureus to various antimicrobial agents, and the relationship between antimicrobial resistance of the isolates and carriage of plasmids. Design: A random sampling of milk and meat samples was carried out. Setting: Milk was collected from various dairy ...

  6. Differences in genotype and virulence among four multidrug-resistant Streptococcus pneumoniae isolates belonging to the PMEN1 clone.

    Directory of Open Access Journals (Sweden)

    N Luisa Hiller

    Full Text Available We report on the comparative genomics and characterization of the virulence phenotypes of four S. pneumoniae strains that belong to the multidrug resistant clone PMEN1 (Spain(23F ST81. Strains SV35-T23 and SV36-T3 were recovered in 1996 from the nasopharynx of patients at an AIDS hospice in New York. Strain SV36-T3 expressed capsule type 3 which is unusual for this clone and represents the product of an in vivo capsular switch event. A third PMEN1 isolate - PN4595-T23 - was recovered in 1996 from the nasopharynx of a child attending day care in Portugal, and a fourth strain - ATCC700669 - was originally isolated from a patient with pneumococcal disease in Spain in 1984. We compared the genomes among four PMEN1 strains and 47 previously sequenced pneumococcal isolates for gene possession differences and allelic variations within core genes. In contrast to the 47 strains - representing a variety of clonal types - the four PMEN1 strains grouped closely together, demonstrating high genomic conservation within this lineage relative to the rest of the species. In the four PMEN1 strains allelic and gene possession differences were clustered into 18 genomic regions including the capsule, the blp bacteriocins, erythromycin resistance, the MM1-2008 prophage and multiple cell wall anchored proteins. In spite of their genomic similarity, the high resolution chinchilla model was able to detect variations in virulence properties of the PMEN1 strains highlighting how small genic or allelic variation can lead to significant changes in pathogenicity and making this set of strains ideal for the identification of novel virulence determinants.

  7. Monitoring and evaluation of antibiotic resistance genes in four municipal wastewater treatment plants in Harbin, Northeast China

    International Nuclear Information System (INIS)

    Wen, Qinxue; Yang, Lian; Duan, Ruan; Chen, Zhiqiang

    2016-01-01

    The development and proliferation of antibiotic resistance in pathogenic and environmental microorganisms is of great concern for public health. In this study, the distribution and removal efficiency of intI1 and eight subtypes of antibiotic resistance genes (ARGs) for tetracycline, sulfonamides, beta-lactams resistance in four municipal wastewater treatment plants (WWTPs) in Harbin, which locates in Songhua River basin in cold areas of China, were monitored by real-time fluorescent quantitative PCR. The results showed that intI1 and 6 ARGs except for bla_T_E_M and bla_S_H_V were detected in wastewater and sludge samples and 0.3–2.7 orders of magnitude of ARGs removal efficiency in the four WWTPs were observed. The investigation on the removal of ARGs of different treatment units in one WWTP showed that the biological treatment unit played the most important role in ARGs removal (1.2–1.8 orders of magnitude), followed by UV disinfection, while primary physical treatment units can hardly remove any ARGs. Although all the WWTPs can remove ARGs effectively, ARGs concentrations are still relatively high in the effluent, their further attenuation should be investigated. - Highlights: • The distribution of 8 ARGs and intI1 in WWTPs in Harbin in winter were monitored. • ARGs removal in 4 WWTPs with different processes were investigated. • Biological treatment process plays the most important role in ARGs removal. • A relatively high level of ARGs is still present in the effluent after wastewater treatment. • Regional uses of antibiotics other than season temperature affects the fate of ARGs in WWTPs.

  8. An oxygen-insensitive degradable resist for fabricating metallic patterns on highly curved surfaces by UV-nanoimprint lithography.

    Science.gov (United States)

    Hu, Xin; Huang, Shisong; Gu, Ronghua; Yuan, Changsheng; Ge, Haixiong; Chen, Yanfeng

    2014-10-01

    In this paper, an oxygen-insensitive degradable resist for UV-nanoimprint is designed, com-prising a polycyclic degradable acrylate monomer, 2,10-diacryloyloxymethyl-1,4,9,12-tetraoxa-spiro [4.2.4.2] tetradecane (DAMTT), and a multifunctional thiol monomer pentaerythritol tetra(3-mercaptopropionate) (PETMP). The resist can be quickly UV-cured in the air atmosphere and achieve a high monomer conversion of over 98%, which greatly reduce the adhesion force between the resist and the soft mold. High conversion, in company with an adequate Young's modulus (about 1 GPa) and an extremely low shrinkage (1.34%), promises high nanoimprint resolution of sub-50 nm. The cross-linked resist is able to break into linear molecules in a hot acid solvent. As a result, metallic patterns are fabricated on highly curved surfaces via the lift off process without the assistance of a thermoplastic polymer layer. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Water Use Patterns of Four Tropical Bamboo Species Assessed with Sap Flux Measurements.

    Science.gov (United States)

    Mei, Tingting; Fang, Dongming; Röll, Alexander; Niu, Furong; Hendrayanto; Hölscher, Dirk

    2015-01-01

    Bamboos are grasses (Poaceae) that are widespread in tropical and subtropical regions. We aimed at exploring water use patterns of four tropical bamboo species (Bambusa vulgaris, Dendrocalamus asper, Gigantochloa atroviolacea, and G. apus) with sap flux measurement techniques. Our approach included three experimental steps: (1) a pot experiment with a comparison of thermal dissipation probes (TDPs), the stem heat balance (SHB) method and gravimetric readings using potted B. vulgaris culms, (2) an in situ calibration of TDPs with the SHB method for the four bamboo species, and (3) field monitoring of sap flux of the four bamboo species along with three tropical tree species (Gmelina arborea, Shorea leprosula, and Hevea brasiliensis) during a dry and a wet period. In the pot experiment, it was confirmed that the SHB method is well suited for bamboos but that TDPs need to be calibrated. In situ, species-specific parameters for such calibration formulas were derived. During field monitoring we found that some bamboo species reached high maximum sap flux densities. Across bamboo species, maximal sap flux density increased with decreasing culm diameter. In the diurnal course, sap flux densities in bamboos peaked much earlier than radiation and vapor pressure deficit (VPD), and also much earlier than sap flux densities in trees. There was a pronounced hysteresis between sap flux density and VPD in bamboos, which was less pronounced in trees. Three of the four bamboo species showed reduced sap flux densities at high VPD values during the dry period, which was associated with a decrease in soil moisture content. Possible roles of internal water storage, root pressure and stomatal sensitivity are discussed.

  10. Pediatric - specific Antimicrobial Resistance Patterns of Urinary Tract Infections: A Single - Centre Experience from Turkey

    OpenAIRE

    Kandur, Yasar; Ozden, Sevinc; Buyukkaragoz, Bahar

    2016-01-01

    Objectives: Antimicrobial resistance of the causative microorganisms of pediatric urinary tract infection (UTI) is a growing problem. The aim of this study is to determine the changing pattern of antibiotic susceptibility in UTIs in an outpatient setting. Methods: We retrospectively reviewed the medical records of pediatric patients with UTI who were followed-up in our center between January-2014 and May-2015. Results: One hundred and seventy-one patients (M...

  11. Patterning and predicting aquatic insect richness in four West-African coastal rivers using artificial neural networks

    OpenAIRE

    Edia E.O.; Gevrey M.; Ouattara A.; Brosse S.; Gourène G.; Lek S.

    2010-01-01

    Despite their importance in stream management, the aquatic insect assemblages are still little known in West Africa. This is particularly true in South-Eastern Ivory Coast, where aquatic insect assemblages were hardly studied. We therefore aimed at characterising aquatic insect assemblages on four coastal rivers in South-Eastern Ivory Coast. Patterning aquatic insect assemblages was achieved using a Self-Organizing Map (SOM), an unsupervised Artificial Neural Networks (ANN) method. This metho...

  12. Antimicrobial resistance pattern of Gram –negative bacilli isolated of Vali-Asr Hospital wards in Arak

    Directory of Open Access Journals (Sweden)

    Farshid Didgar

    2014-11-01

    Full Text Available Background: Infectious diseases are of the most important causes of mortality all around the world particular in developing countries. Recently, the most important thing that has worried medical society is antibiotic resistance. Multi-resistant gram_negative rods are important pathogens in hospitals, causing high rate of mortality.The main goal of this study was to investigate the antimicrobial resistance patterns among common gram-negative bacilli isolated from patients of Vali-Asr Hospital. Material and Methods: This is a cross-sectional descriptive study conducted between the years 2010-2012 in Vali-Asr hospital in Arak. In this study 1120 specimen were examined. Bacterial strains were isolated by conventional methods from various clinical samples of patients including: blood, urine, wound, sputum, CSF, andetc.All isolates were examined for antimicrobial resistance using disc diffusion method. Results: In this study 737 specimen were positive cultures. A total of 332 isolates of Gram-negative bacilli were identified. The most frequent gram negative bacteria were isolated from urine, wound, blood, respiratory secretion and catheter. The most frequent pathogens were E.coli followed by k.pneumonia, entrobacter, p.oaeruginosa, Acinetobacter spp, citrobacter and proteus. High rate of resistance to third generation of cephalospoins & carbapenems observed amang isolates of Acintobacter spp.Prodution of extended spectrum beralactamases (ESBLS was found in 51.4% of all Gram negative bacteria. Conclusion: Antibiotic resistance, particularly multi-drug resistance is frequent among microorganisms of ValiAsr Hospital. Resistance in our country, like other countries have been shown to be increased, so it is highly recommended to prohibit unnecessary prescription of antibiotics.

  13. Cardiorespiratory interactions during resistive load breathing.

    Science.gov (United States)

    Calabrese, P; Perrault, H; Dinh, T P; Eberhard, A; Benchetrit, G

    2000-12-01

    The addition to the respiratory system of a resistive load results in breathing pattern changes and in negative intrathoracic pressure increases. The aim of this study was to use resistive load breathing as a stimulus to the cardiorespiratory interaction and to examine the extent of the changes in heart rate variability (HRV) and respiratory sinus arrhythmia (RSA) in relation to the breathing pattern changes. HRV and RSA were studied in seven healthy subjects where four resistive loads were applied in a random order during the breath and 8-min recording made in each condition. The HRV spectral power components were computed from the R-R interval sequences, and the RSA amplitude and phase were computed from the sinusoid fitting the instantaneous heart rate within each breath. Adding resistive loads resulted in 1) increasing respiratory period, 2) unchanging heart rate, and 3) increasing HRV and changing RSA characteristics. HRV and RSA characteristics are linearly correlated to the respiratory period. These modifications appear to be linked to load-induced changes in the respiratory period in each individual, because HRV and RSA characteristics are similar at a respiratory period obtained either by loading or by imposed frequency breathing. The present results are discussed with regard to the importance of the breathing cycle duration in these cardiorespiratory interactions, suggesting that these interactions may depend on the time necessary for activation and dissipation of neurotransmitters involved in RSA.

  14. Detection of resistance, cross-resistance, and stability of resistance to new chemistry insecticides in Bemisia tabaci (Homoptera: Aleyrodidae).

    Science.gov (United States)

    Basit, Muhammad; Saeed, Shafqat; Saleem, Mushtaq Ahmad; Denholm, Ian; Shah, Maqbool

    2013-06-01

    Resistance levels in whitefly, Bemisia tabaci (Gennadius) collections from cotton and sunflower (up to four districts) for five neonicotinoids and two insect growth regulators (IGRs) were investigated for two consecutive years. Based on the LC50(s), all collections showed slight to moderate levels of resistance for the tested insecticides compared with the laboratory susceptible population. The data also indicated that cotton and sunflower collections had similar resistance levels. In comparison (four collections), Vehari collections showed higher resistance for acetamiprid, thiacloprid, and nitenpyram compared with those of others. Average resistance ratios for acetamiprid, thiacloprid, and nitenpyram ranged from 5- to 13-, 4- to 8-, and 9- to 13-fold, respectively. Multan and Vehari collections also exhibited moderate levels (9- to 16-fold) of resistance to buprofezin. Furthermore, toxicity of neonicotinoids against immature stages was equal to that of insect growth regulators. The data also suggested that resistance in the field populations was stable. After selection for four generations with bifenthrin (G1 to G4), resistance to bifenthrin increased to 14-fold compared with the laboratory susceptible population. Selection also increased resistance to fenpropathrin, lambdacyhalothrin, imidacloprid, acetamiprid, and diafenthuron. Cross-resistance and stability of resistance in the field populations is of some concern. Rotation of insecticides having no cross-resistance and targeting the control against immature stages may control the resistant insects, simultaneously reducing the selection pressure imposed.

  15. Resistance Patterns of Typhoid Fever in Children: A Longitudinal Community-Based Study.

    Science.gov (United States)

    Vala, Snehal; Shah, Urvesh; Ahmad, Syed Amir; Scolnik, Dennis; Glatstein, Miguel

    2016-01-01

    Salmonella typhi and S. paratyphi are important causes of bacteremia in children, especially those from the developing world. There is a lack of standardized treatment protocols for such patients in the literature, and there are also reports of therapeutic failure related to resistance to commonly used antibiotics. We analyzed the epidemiological, clinical, and antimicrobiological sensitivity patterns of disease in patients diagnosed with blood culture-positive typhoid fever over a 6-month period in a tertiary-care pediatric hospital in western India. Data were retrospectively analyzed for all patients with Salmonella isolates on blood culture between January 1 and June 30, 2011 at the Synergy Neonatal and Pediatric Hospital. Susceptibility of isolates to antimicrobials and minimum inhibitory concentrations were determined. Demographic data, symptoms and signs, basic laboratory results, treatment courses, and clinical outcomes were collected from clinical charts. All of the 61 isolates of S. typhi were sensitive to cefepime (fourth-generation cephalosporin), 96% to third-generation cephalosporins, and 95% to quinolones. There was intermediate sensitivity to ampicillin (92%) and chloramphenicol (80%). Notably, azithromycin resistance was observed in 63% of isolates. All patients ultimately made full recoveries. There is an urgent need for large scale, community-based clinical trials to evaluate the effectiveness of different antibiotics in enteric fever. Our antimicrobial susceptibility data suggest that quinolones and third-generation cephalosporins should be used as first-line antimicrobials in enteric fever. Although fourth-generation cephalosporins are useful, we feel their use should be restricted to complicated or resistant cases.

  16. Changes in Gram Negative Microorganisms' Resistance Pattern During 4 Years Period in a Referral Teaching Hospital; a Surveillance Study

    Directory of Open Access Journals (Sweden)

    Hossein Khalili

    2012-09-01

    Full Text Available Background and purpose Surveillance studies evaluating antimicrobial susceptibilities are of great value in preventing the spread of resistant pathogens by elucidating the trend of resistance in commonly used antibiotics and as a consequence providing information for prescribing the most appropriate agent. This study is a longitudinal antimicrobial resistance surveillance study designed to evaluate the trend in antimicrobial resistance to gram negative microorganisms from 2007 to 2010. Method:During a four-year period (2007-2010 isolates derived from all patients admitted to infectious diseases ward of Imam Khomeini Hospital, the major referral center for infectious disease in Iran with the highest admission rates, were evaluated. Based on disk diffusion method and zone of inhibition size, the microorganism was regarded as to be sensitive, resistant or has intermediate susceptibility to the antimicrobial agents. Results:The widest spread Gram-negative microorganism in all of isolates taken together in our study was E.coli (30% followed by Stenotrophomonas maltophilia in 28.6% and Enterobacter spp. in 11.9%, respectively. The susceptibility to amikacin, imipenem, piperacillin/tazobactam, and nitrofurantoin was equal or above 50% for all microorganisms over four years. However, the susceptibility to ampicillin, ampicillin/sulbactam, cefotaxim, and ceftriaxone was less than 50% in derived isolates during the study period.Conclusion:In conclusion, the finding of the present study revealed that resistance rate to common antimicrobial agents in Iran is growing and isolates were susceptible mostly to broadspectrum antibiotics including imipenem and piperacillin/tazobactam

  17. Antimicrobial susceptibility pattern and SCCmec types of methicillin-resistant coagulase-negative staphylococci from subclinical bovine mastitis in Hatay, Turkey

    Directory of Open Access Journals (Sweden)

    Aslantaş Özkan

    2014-12-01

    Full Text Available Eighty-nine isolates of coagulase-negative staphylococci (CoNS of eight species from subclinical bovine mastitis were screened for the phenotypic and genotypic methicilline-resistance. In addition, all methicillin-resistant (MR isolates indicating the mecA gene were examined by PCR for the antimicrobial susceptibility patterns, and staphylococcal cassette chromosome mec (SCCmec types were also determined by multiplex PCR. A total of 21 (23.6% CoNS isolates were found to be resistant to oxacillin in broth microdilution assay. All isolates phenotypically resistant to oxacillin did not have the mecA gene, which was only found in 14.6% (13 of the isolates. Most MR-CoNS isolates were highly resistant to erythromycin (92.3%, fusidic acid (84.6%, penicillin (76.9%, and rifampycin (61.5%, and susceptible to mupirocin (100%, tetracycline (100%, vancomycin (100%, clindamycin (92.3%, and sulfamethoxazole-trimethoprim (69.2%. In conclusion, a high rate of antimicrobial resistance among MR-CoNS isolated from food producing animals emphasises the need for periodic surveillance of their resistance.

  18. Patterns of Resistance in Managing Assessment Change

    Science.gov (United States)

    Deneen, Christopher; Boud, David

    2014-01-01

    Achieving change in assessment practices in higher education is difficult. One of the reasons for this is resistance among those responsible for teaching and assessing. This paper seeks to explore this resistance through an analysis of staff dialogue during a major attempt to change the assessment practices at one institution. An institution-wide…

  19. An analysis of potential resistance of the phytophagous mite, Tetranychus urticae Koch (Acari: Tetranychidae to four botanical pesticides

    Directory of Open Access Journals (Sweden)

    Attia, S.

    2015-01-01

    Full Text Available Description of the subject. Synthetic acaricides have been widely used to manage Tetranychus urticae. Due to the excessive use of biocide and the associated problems of pesticide resistance and environmental pollution, there is an increasing demand for sustainable, environmentally-friendly control methods. Among the current alternative strategies aimed at decreasing the pest populations, the pesticides based on plant extracts are currently one of the most promising methods. Essential oils with acaricidal properties have been categorized as green pesticides because they are biodegradable and predominantly non-toxic to vertebrates. Objectives. With an aim to reduce the use of synthetic pesticides, they represent a promising approach for eco-chemical control of mites. Method. The aim of the present work was to analyze the risk of resistance emergence of T. urticae to repeated treatments with four plant extracts: Deverra scoparia Coss. & Durieu (Araliales: Apiaceae, Hertia cheirifolia (L. Kuntze (Asterales: Ateraceae, Santolina africana Jord. & Fourr. (Asterales: Asteraceae essential oils and garlic distillate Allium sativum L. (Asparagales: Alliaceae after 20 generations. Results. Repeated treatments with S. africana essential oil during 20 generations did not provoke an emergence of resistance while a low development of resistance was observed with H. cheirifolia, A. sativum and D. scoparia extracts. Conclusions. The efficacy of these extracts against the two spotted spider mite and their low development of resistance make them a promising use for pest management.

  20. Drug resistance pattern of mycobacterial isolates in HIV and non-HIV population in South India

    Directory of Open Access Journals (Sweden)

    Umamaheshwari Shivaswamy

    2016-01-01

    Full Text Available Background: Emergence of drug resistance has complicated the treatment of tuberculosis (TB. WHO reports India to be one among 27 “high burden” multidrug-resistant (MDR TB countries. Objective: To diagnose TB and detect drug resistance of mycobacterial isolates in acid-fast bacilli (AFB smear negative HIV reactive patients (Group A and compare them with HIV seropositive AFB smear positive (Group B and HIV-seronegative AFB positive cases (Group C. Materials and Methods: Clinical specimens collected in all groups were processed as per the standard protocol except blood, which was processed by lysis centrifugation technique. They were then inoculated with Lowenstein-Jensen media and the isolates obtained were subjected to drug susceptibility test (DST by proportion method and genotype MTBDR plus assay. Results: In Group A, 162 patients were included. Of the 443 clinical samples collected, 76 mycobacterial strains were obtained from 67 (41% patients. Of these, 50 (65.8% were sensitive to all drugs and 26 (34.2% resistant to one or more anti-tubercular drugs. Antibiogram of Group A when compared with Group B and C showed that the MDR rate 6.6%, 6.7% and 8% respectively did not differ much; but resistance to at least single drug was (26 [34.2%], 3 [10%], and 8 [16%], respectively. Conclusion: Our study suggests that HIV has no influence on the anti-tubercular resistance pattern, but increased MDR rate along with HIV in high TB burden setting stresses the need for early diagnosis and DST in providing proper regimens and improve prognosis.

  1. Prevalence of antimicrobial resistance of non-typhoidal Salmonella serovars in retail aquaculture products.

    Science.gov (United States)

    Zhang, Jianmin; Yang, Xiaowei; Kuang, Dai; Shi, Xianming; Xiao, Wenjia; Zhang, Jing; Gu, Zhen; Xu, Xuebin; Meng, Jianghong

    2015-10-01

    Aquaculture products can become sources of Salmonella by exposure to contaminated water or through processing practices, thus representing a public health hazard. A study was conducted on Salmonella contamination in aquaculture products sampled from marketplaces and retailers in Shanghai, China. A total of 730 samples (including fish, shellfish, bullfrog, clam, shrimp and others) were obtained from 2006 to 2011. Among them, 217 (29.7%) were positive for Salmonella. Thirty-eight serovars were identified in the 217 Salmonella isolates. The most prevalent were Salmonella Aberdeen (18.4%), S. Wandsworth (12.0%), S. Thompson (9.2%), S. Singapore (5.5%), S. Stanley (4.6%), S. Schwarzengrund (4.6%), S. Hvittingfoss (4.1%) and S. Typhimurium (4.1%). Many resistant isolates were detected, with 69.6% resistant to at least one antimicrobial drug. We observed high resistance to sulfonamides (56.5%), tetracycline (34.1%), streptomycin (28.6%), ampicillin (23.5%) and nalidixic acid (21.2%). Lower levels of resistance were found for gentamicin (3.2%), ciprofloxacin (2.3%), ceftiofur (1.3%), cefotaxime (0.9%), ceftazidime (0.5%) and cefepime (0.5%). A total of 43.3% of the Salmonella isolates were multidrug-resistant and 44 different resistance patterns were found. This study provided data on the prevalence, serovars and antimicrobial resistance of Salmonella from retail aquaculture products in Shanghai, and indicated the need for monitoring programs for microbiologic safety in such projects and for more prudent drug use in aquaculture production in order to reduce the risk of development and spread of antimicrobial resistance. Copyright © 2015 Elsevier B.V. All rights reserved.

  2. Antibacterial susceptibility patterns of methicillin resistant staphylococcus spp. from a tertiary reference hospital

    Directory of Open Access Journals (Sweden)

    Çiğdem Karabıçak

    2012-03-01

    Full Text Available Objectives: Methicillin resistant Staphylococcus strainsstill remain as an important reason of hospital acquiredinfections. The aim of this study to see the antimicrobialsensitivity patterns of these strains for effective empiricaltherapyMaterial and methods: Antibiotic susceptibility resultsof staphylococcus strains were investigated retrospectivelyfrom tertiary reference hospital. 276 methicillin resistantstaphylococcus species, which were isolated fromKırıkkale University Faculty of Medicine Department of InfectiousDisease and Clinical Microbiology laboratory betweenNovember 2009-2010 were enrolled in this study.Identification and antibiotic susceptibilities of the strainswere evaluated by using Vitek automated systems (bioMerieux.Results: Most of these strains were isolated from blood(49% and wound (40 % samples. There was no glycopeptideresistance established from 276 strains. Susceptibilitypercents of these strains to linezolid and erythromycinwere 97% and 16% respectively.Conclusions: we believe that, informing physiciansabout antibiotic susceptibility patterns of methicillin resistantstaphylococcus species will be helpful for effectivetreatment and control the spread of these infections. JClin Exp Invest 2012; 3(1: 71-74

  3. Selection for chlorpyrifos resistance in Liriomyza sativae Blanchard: Cross-resistance patterns, stability and biochemical mechanisms.

    Science.gov (United States)

    Askari-Saryazdi, Ghasem; Hejazi, Mir Jalil; Ferguson, J Scott; Rashidi, Mohammad-Reza

    2015-10-01

    The vegetable leafminer (VLM), Liriomyza sativae (Diptera: Agromyzidae) is a serious pest of vegetable crops and ornamentals worldwide. In cropping systems with inappropriate management strategies, development of resistance to insecticides in leafminers is probable. Chlorpyrifos is a commonly used pesticide for controlling leafminers in Iran, but resistance to this insecticide in leafminers has not been characterized. In order to develop strategies to minimize resistance in the field and greenhouse, a laboratory selected chlorpyrifos resistant strain of L. sativae was used to characterize resistance and determine the rate of development and stability of resistance. Selecting for resistance in the laboratory after 23 generations yielded a chlorpyrifos resistant selected strain (CRSS) with a resistance ratio of 40.34, determined on the larval stage. CRSS exhibited no cross-resistance to other tested insecticides except for diazinon. Synergism and biochemical assays indicated that esterases (EST) had a key role in metabolic resistance to chlorpyrifos, but glutathione S-transferase (GST) and mixed function oxidase (MFO) were not mediators in this resistance. In CRSS acetylcholinesterase (AChE) was more active than the susceptible strain, Sharif (SH). AChE in CRSS was also less sensitive to inhibition by propoxur. The kinetics parameters (Km and Vmax) of AChE indicated that affinities and hydrolyzing efficiencies of this enzyme in CRSS were higher than SH. Susceptibility to chlorpyrifos in L. sativae was re-gained in the absence of insecticide pressure. Synergism, biochemical and cross-resistance assays revealed that overactivity of metabolic enzymes and reduction in target site sensitivity are probably joint factors in chlorpyrifos resistance. An effective insecticide resistance management program is necessary to prevent fast resistance development in crop systems. Copyright © 2015 Elsevier Inc. All rights reserved.

  4. Diversity and Antimicrobial Resistance Genotypes in Non-Typhoidal Salmonella Isolates from Poultry Farms in Uganda

    Directory of Open Access Journals (Sweden)

    Terence Odoch

    2018-02-01

    Full Text Available Non-typhoidal Salmonella (NTS are foodborne pathogens of global public health significance. The aim of this study was to subtype a collection of 85 NTS originating from poultry farms in Uganda, and to evaluate a subgroup of phenotypically resistant isolates for common antimicrobial resistance genes and associated integrons. All isolates were subtyped by pulsed-field gel electrophoresis (PFGE. Phenotypically resistant isolates (n = 54 were screened by PCR for the most relevant AMR genes corresponding to their phenotypic resistance pattern, and all 54 isolates were screened by PCR for the presence of integron class 1 and 2 encoding genes. These genes are known to commonly encode resistance to ampicillin, tetracycline, ciprofloxacin, trimethoprim, sulfonamide and chloramphenicol. PFGE revealed 15 pulsotypes representing 11 serotypes from 75 isolates, as 10 were non-typable. Thirty one (57.4% of the 54 resistant isolates carried at least one of the seven genes (blaTEM-1, cmlA, tetA, qnrS, sul1, dhfrI, dhfrVII identified by PCR and six (11% carried class 1 integrons. This study has shown that a diversity of NTS-clones are present in Ugandan poultry farm settings, while at the same time similar NTS-clones occur in different farms and areas. The presence of resistance genes to important antimicrobials used in human and veterinary medicine has been demonstrated, hence the need to strengthen strategies to combat antimicrobial resistance at all levels.

  5. Multidrug Resistant Salmonella typhi in Asymptomatic Typhoid Carriers among Food Handlers in Namakkal District, Tamil Nadu

    Directory of Open Access Journals (Sweden)

    Senthilkumar B

    2005-01-01

    Full Text Available Purpose: to screen Salmonella typhi in asymptomatic typhoid carriers and to find out drug resistance and ability of the strains to transmit drug resistance to other bacteria. Methods: Cultural characters, biochemical tests, antibiotic sensitivity test (disc diffusion, agarose gel electrophoresis, and conjugation protocols were done. Thirty five stool samples were collected from the suspected food handlers for the study. Results: Among 35 samples, (17.14% yielded a positive result. Out of these 4 (20.0% were women and 2 (13.33% were men. The isolates were tested with a number of conventional antibiotics viz, amikacin, amoxicillin, ampicillin, chloramphenicol, ciprofloxacin, co-trimaxazole, rifampicin, gentamicin, nalidixic acid, ofloxacin and tetracycline. Five isolates were having the multidrug resistant character. Four (66.66% multidrug resistant isolates were found to have plasmids, while one (16.66% multidrug resistant isolate had no plasmid and the chromosome encoded the resistance. Only one strain (16.66% showed single antibiotic resistance in the study and had no plasmid DNA. The molecular weights of the plasmids were determined and found to be 120 kb.The mechanism of spreading of drug resistance through conjugation process was analyzed. In the conjugation studies, the isolates having R+ factor showed the transfer of drug resistance through conjugation, which was determined by the development of antibiotic resistance in the recipients. Conclusion: This study shows that drug resistant strains are able to transfer genes encoding drug resistance.

  6. Antimicrobial-resistant patterns of Escherichia coli and Salmonella strains in the aquatic Lebanese environments

    International Nuclear Information System (INIS)

    Harakeh, Steve; Yassine, Hadi; El-Fadel, Mutasem

    2006-01-01

    This study is the first to be conducted in Lebanon on the isolation and molecular characterization and the antimicrobial resistance profile of environmental pathogenic bacterial strains. Fifty-seven samples of seawater, sediment, crab, and fresh water were collected during the spring and summer seasons of 2003. The isolation of Escherichia coli and Salmonella using appropriate selective media revealed that 94.7% of the tested samples were contaminated with one or both of the tested bacteria. The polymerase chain reaction (PCR) was then used to identify the species of both bacteria using various sets of primers. Many pathogenic E. coli isolates were detected by PCR out of which two were identified as O157:H7 E. coli. Similarly, the species of many of the Salmonella isolates was molecularly identified. The confirmed isolates of Salmonella and E. coli were then tested using the disk diffusion method for their susceptibility to four different antimicrobials revealing high rates of antimicrobial resistance. - First report of antibiotic resistance in bacteria in the environment in Lebanon

  7. Multi-drug resistance and molecular pattern of erythromycin and ...

    African Journals Online (AJOL)

    The appearance and dissemination of penicillin resistant and macrolide resistant Streptococcus pneumoniae strains has caused increasing concern worldwide. The aim of this study was to survey drug resistance and genetic characteristics of macrolide and penicillin resistance in S. pneumoniae. This is a cross-sectional ...

  8. A model for investigating the influence of road surface texture and tyre tread pattern on rolling resistance

    Science.gov (United States)

    Hoever, Carsten; Kropp, Wolfgang

    2015-09-01

    The reduction of rolling resistance is essential for a more environmentally friendly road transportation sector. Both tyre and road design can be utilised to reduce rolling resistance. In both cases a reliable simulation tool is needed which is able to quantify the influence of design parameters on the rolling resistance of a tyre rolling on a specific road surface. In this work a previously developed tyre/road interaction model is extended to account for different tread patterns and for losses due to small-scale tread deformation. Calculated contact forces and tyre vibrations for tyre/road interaction under steady-state rolling are used to predict rolling losses in the tyre. Rolling resistance is calculated for a series of different tyre/road combinations. Results are compared with rolling resistance measurements. The agreement between simulations and measurements is generally very good. It is found that both the tyre structure and small-scale tread deformations contribute to the rolling losses. The small-scale contribution depends mainly on the road roughness profile. The mean profile depth of the road surface is identified to correlate very well with the rolling resistance. Additional calculations are performed for non-traditional rubberised road surfaces, however, with mixed results. This possibly indicates the existence of additional loss mechanisms for these surfaces.

  9. Antibiotic Resistance Pattern of Bacteria Causing Urinary Tract Infections in Children of Fasa During the years 2012 and 2014

    Directory of Open Access Journals (Sweden)

    alireza molazade

    2015-02-01

    Conclusion: Regarding the results, it is recommended to use Ciprofloxacin and Nitrofurantoin for outpatient treatment of UTI. Selecting proper antibiotics for UTI treatment should be on the basis of the local prevalence of pathogenic bacteria and antibiotic resistance pattern.

  10. Development of thirty-four novel polymorphic microsatellite markers ...

    Indian Academy of Sciences (India)

    This work was supported by the Special Research Fund for national nonprofit institutes (East ... by Pierre Louis Juoy at Shanghai and Hong Kong, China. Proc. U. S. Nat. Mus. ... bionts in 'stress-tolerant' clade D Symbiodinium. Mol. Ecol. Res.

  11. Distribution Pattern of Healthcare Facilities in Osun State, Nigeria ...

    African Journals Online (AJOL)

    `123456789jkl''''#

    existing spatial pattern of distribution of healthcare facilities play very prominent role in gauging the level of efficiency or ... distribution pattern of healthcare facilities in the thirty local government areas in Osun State, Nigeria. Twelve indices ... (Federal, State and Local) always budget huge .... This, we believe, will help policy.

  12. Maximal power output during incremental exercise by resistance and endurance trained athletes.

    Science.gov (United States)

    Sakthivelavan, D S; Sumathilatha, S

    2010-01-01

    This study was aimed at comparing the maximal power output by resistance trained and endurance trained athletes during incremental exercise. Thirty male athletes who received resistance training (Group I) and thirty male athletes of similar age group who received endurance training (Group II) for a period of more than 1 year were chosen for the study. Physical parameters were measured and exercise stress testing was done on a cycle ergometer with a portable gas analyzing system. The maximal progressive incremental cycle ergometer power output at peak exercise and carbon dioxide production at VO2max were measured. Highly significant (P biofeedback and perk up the athlete's performance.

  13. Evolution of antimicrobial resistance of Salmonella enteritidis (1972–2005

    Directory of Open Access Journals (Sweden)

    Jermaine Khumalo

    2014-11-01

    Full Text Available With the extensive use of antibiotics in livestock production, surveillance revealed an increase in Salmonella resistance to the commonly used antimicrobials in veterinary and public health. This serious threat to health care is further exacerbated by the limited epidemiological information about the common zoonotic agent, Salmonella enteritidis, required to determine antibiotic therapy. The aim was to characterise the antimicrobial resistance patterns of S. enteritidis isolates across different timelines (1972–2005 with accompanying genetic changes being investigated. Thirty-seven stored S. enteritidis isolates were collected from the Central Veterinary Laboratory, Harare, with antimicrobial susceptibility determined against eight antibiotics. Plasmids were isolated to analyse any genetic variation. An overall significant increase in resistance (p < 0.05 to nalidixic acid (0% – 10%, ampicillin (14.3% – 50%, tetracycline (14.3% – 30% and erythromycin (71.4% – 100% was observed across the timeline. However, the highest rates of susceptibility were maintained for gentamicin, sulphamethoxazole-trimethoprim, kanamycin and chloramphenicol. We report an increase in multidrug resistance (MDR of 14.2% – 50% with an increase in resistotypes and plasmid profiles across the timeline. Eleven plasmid profiles were obtained in the 37 isolates studied with a minority of isolates (21.6%, 8/37 harbouring a 54 kb plasmid, commonly serovar-specific. A concerning increase in antimicrobial resistance to commonly administered drugs was observed across the timeline. The surge in MDR is of great concern and implies the need for consistent antimicrobial stewardship. No correlation was observed between the plasmid and antibiotic profiles.

  14. [Detection of CRISPR and its relationship to drug resistance in Shigella].

    Science.gov (United States)

    Wang, Linlin; Wang, Yingfang; Duan, Guangcai; Xue, Zerun; Guo, Xiangjiao; Wang, Pengfei; Xi, Yuanlin; Yang, Haiyan

    2015-04-04

    To detect clustered regularly interspaced short palindromic repeats (CRISPR) in Shigella, and to analyze its relationship to drug resistance. Four pairs of primers were used for the detection of convincing CRISPR structures CRISPR-S2 and CRISPR-S4, questionable CRISPR structures CRISPR-S1 and CRISPR-S3 in 60 Shigella strains. All primers were designed using sequences in CRISPR database. CRISPR Finder was used to analyze CRISPR and susceptibilities of Shigella strains were tested by agar diffusion method. Furthermore, we analyzed the relationship between drug resistance and CRISPR-S4. The positive rate of convincing CRISPR structures was 95%. The four CRISPR loci formed 12 spectral patterns (A-L), all of which contained convincing CRISPR structures except type K. We found one new repeat and 12 new spacers. The multi-drug resistance rate was 53. 33% . We found no significant difference between CRISPR-S4 and drug resistant. However, the repeat sequence of CRISPR-S4 in multi- or TE-resistance strains was mainly R4.1 with AC deletions in the 3' end, and the spacer sequences of CRISPR-S4 in multi-drug resistance strains were mainly Sp5.1, Sp6.1 and Sp7. CRISPR was common in Shigella. Variations df repeat sequences and diversities of spacer sequences might be related to drug resistance in Shigella.

  15. Antimicrobial Resistance Pattern in Escherichia coli Isolates Obtained from a Specialized Women and Children Hospital in Shiraz, Iran: A Prevalence Study

    Directory of Open Access Journals (Sweden)

    Mahtab Hadadi

    2016-10-01

    Full Text Available Abstract Background: Escherichia coli, known as a clinically significant bacteria, can cause a wide range of infections, including urinary tract infections (UTIs, blood stream infections (BSIs, and can frequently be isolated from various clinical specimens. Evaluation of antimicrobial resistant pattern is a necessary action, especially about such bacteria which are frequent and life threatening. The aim of this study was to determine the frequency and antimicrobial resistance pattern of E. coli isolates obtained from various clinical specimens. Methods: This retrospective study was performed within a seven month period from January 2015 to August 2015 at a specialized women and children hospital in Shiraz, Iran. E. coli isolates were obtained from various clinical specimens and identified using standard microbiological procedure. Antimicrobial susceptibility patterns were determined using disk diffusion method in accordance with CLSI recommendation. Results: Of the total 130 positive cultures, the majority of E. coli isolates were obtained from urine (96=73.8% and blood (11=8.5% specimens. Overall, gentamicin (70.8% was the effective antibiotic for the tested E. coli isolates. E. coli isolates obtained from urine specimens showed the highest resistance rates against ampicillin (84.4% and nalidixic acid (61.5%; while they showed the most sensitivity to gentamicin (79.2%, nitrofurantoin (70.8% and ciprofloxacin (66.7%. Moreover, the highest antibiotic resistance rates belonged to the isolates recovered from endotracheal tube (ETT. Conclusion: The results showed that gentamicin was the most effective antibiotic against E. coli infections. However, in addition to the gentamicin, we can recommend nitrofurantoin and ciprofloxacin as the other effective agents for UTIs

  16. Elevated circulating microRNA-122 is associated with obesity and insulin resistance in young adults.

    Science.gov (United States)

    Wang, Rui; Hong, Jie; Cao, Yanan; Shi, Juan; Gu, Weiqiong; Ning, Guang; Zhang, Yifei; Wang, Weiqing

    2015-03-01

    MicroRNAs (miRNAs) are involved in the regulation of adiposity, but functional studies have yielded inconclusive results. Examining the associations of circulating miRNAs levels with obesity and insulin sensitivity in humans may lead to improved insights. Serum samples collected from 112 obese and control subjects (50.0% men) were randomly divided and combined into four pools (28 samples in each obese or control pool). The genome-wide circulating miRNA profiles were detected via microarray. Elevated miR-122 was selected and validated in individual serum samples from 123 obese (46.7% men) and 107 control (50.0% men) young adults. Associations between circulating miR-122 levels and parameters related to adiposity, insulin resistance, lipid profiles and hepatic enzymes were further assessed. Thirty-four miRNAs were found to be expressed differently in the sera of obese patients compared with control subjects (Pobese patients had 3.07-fold higher circulating miR-122 levels than controls (Pobesity and insulin resistance in young adults. These findings provide a better understanding regarding the role of miRNAs in adiposity and insulin sensitivity. © 2015 European Society of Endocrinology.

  17. Antibiotic resistance patterns of coagulase-negative staphylococcus strains isolated from blood cultures of septicemic patients in Turkey.

    Science.gov (United States)

    Koksal, F; Yasar, H; Samasti, M

    2009-01-01

    The aim of this study is to determine antibiotic resistance patterns and slime production characteristics of coagulase-negative Staphylococci (CoNS) caused nosocomial bacteremia. A total of 200 CoNS strains were isolated from blood samples of patients with true bacteremia who were hospitalized in intensive care units and in other departments of Istanbul University Cerrahpasa Medical Hospital between 1999 and 2006. Among 200 CoNS isolates, Staphylococcus epidermidis was the most prevalent species (87) followed by Staphylococcus haemolyticus (23), Staphylococcus hominis (19), Staphylococcus lugdunensis (18), Staphylococcus capitis (15), Staphylococcus xylosus (10), Staphylococcus warneri (8), Staphylococcus saprophyticus (5), Staphylococcus lentus (5), Staphylococcus simulans (4), Staphylococcus chromogenes (3), Staphylococcus cohnii (1), Staphylococcus schleiferi (1), and Staphylococcus auricularis (1). Resistance to methicillin was detected in 67.5% of CoNS isolates. Methicillin-resistant CoNS strains were determined to be more resistant to antibiotics than methicillin-susceptible CoNS strains. Resistance rates of methicillin-resistant and methicillin-susceptible CoNS strains to the antibacterial agents, respectively, were as follows: gentamicin 90% and 17%, erythromycin 80% and 37%, clindamycin 72% and 18%, trimethoprim-sulfamethoxazole 68% and 38%, ciprofloxacin 67% and 23%, tetracycline 60% and 45%, chloramphenicol 56% and 13% and fusidic acid 25% and 15%. None of the strains were resistant to vancomycin and teicoplanin. Slime production was detected in 86 of 200 CoNS strains. Resistance to methicillin was found in 81% of slime-positive and in 57% of slime-negative strains. Our results indicated that there is a high level of resistance to widely used agents in causative methicillin-resistant CoNS strains. However fusidic acid has the smallest resistance ratio, with the exception of glycopeptides. Additionally, most S. epidermidis strains were slime

  18. Computational fluid dynamics modeling patterns and force characteristics of flow over in-line four square cylinders

    Directory of Open Access Journals (Sweden)

    Song Yidan

    2017-01-01

    Full Text Available The flow over four square cylinders in an in-line, square arrangement was numerically investigated by using the finite volume method with CFD techniques. The working fluid is an incompressible ideal gas. The length of the sides of the array, L, is equal. The analysis is carried out for a Reynolds number of 300, with center-to-center distance ratios, L/D, ranging from 1.5 to 8.0. To fully understand the flow mechanism, details in terms of lift and drag coefficients and Strouhal numbers of the unsteady wake frequencies are analyzed, and the vortex shedding patterns around the four square cylinders are described. It is concluded that L/D has important effects on the drag and lift coefficients, vortex shedding frequencies, and flow field characteristics.

  19. Drug-resistance patterns of Mycobacterium tuberculosis strains and associated risk factors among multi drug-resistant tuberculosis suspected patients from Ethiopia.

    Science.gov (United States)

    Mesfin, Eyob Abera; Beyene, Dereje; Tesfaye, Abreham; Admasu, Addisu; Addise, Desalegn; Amare, Miskir; Dagne, Biniyam; Yaregal, Zelalem; Tesfaye, Ephrem; Tessema, Belay

    2018-01-01

    Multidrug drug-resistant tuberculosis (MDR-TB) is a major health problem and seriously threatens TB control and prevention efforts globally. Ethiopia is among the 30th highest TB burden countries for MDR-TB with 14% prevalence among previously treated cases. The focus of this study was on determining drug resistance patterns of Mycobacterium tuberculosis among MDR-TB suspected cases and associated risk factors. A cross-sectional study was conducted in Addis Ababa from June 2015 to December 2016. Sputum samples and socio-demographic data were collected from 358 MDR-TB suspected cases. Samples were analyzed using Ziehl-Neelsen technique, GeneXpert MTB/RIF assay, and culture using Lowenstein-Jensen and Mycobacterial growth indicator tube. Data were analyzed using SPSS version 23. A total of 226 the study participants were culture positive for Mycobacterium tuberculosis, among them, 133 (58.8%) participants were males. Moreover, 162 (71.7%) had been previously treated for tuberculosis, while 128 (56.6%) were TB/HIV co-infected. A majority [122 (54%)] of the isolates were resistant to any first-line anti-TB drugs. Among the resistant isolates, 110 (48.7%) were determined to be resistant to isoniazid, 94 (41.6%) to streptomycin, 89 (39.4%) to rifampicin, 72 (31.9%) to ethambutol, and 70 (30.9%) to pyrazinamide. The prevalence of MDR-TB was 89 (39.4%), of which 52/89 (58.4%) isolates were resistance to all five first-line drugs. Risk factors such as TB/HIV co-infection (AOR = 5.59, p = 0.00), cigarette smoking (AOR = 3.52, p = 0.045), alcohol drinking (AOR = 5.14, p = 0.001) hospital admission (AOR = 3.49, p = 0.005) and visiting (AOR = 3.34, p = 0.044) were significantly associated with MDR-TB. The prevalence of MDR-TB in the study population was of a significantly high level among previously treated patients and age group of 25-34. TB/HIV coinfection, smoking of cigarette, alcohol drinking, hospital admission and health facility visiting were identified as risk factors

  20. Analysis of Acinetobacter baumannii resistance patterns in patients with chronic obstructive pulmonary disease (COPD in terms of choice of effective empiric antibiotic therapy

    Directory of Open Access Journals (Sweden)

    Aneta Grochowalska

    2017-06-01

    In the performed study, the infections caused by multi-resistant Acinetobacter baumannii, were observed in COPD, which should be taken into consideration in choosing empirical antibiotic therapy. Simultaneously, the local resistance patterns of multi-drug-resistant (MDR Gram-negative strains co-infecting COPD should be considered in empirical treatment. Moreover, both additional clinical complication and co-infections contribute to a more severe course of diseases. In this study, the mortality percent exceeded 29%.

  1. Changes in gram negative microorganisms’ resistance pattern during 4 years period in a referral teaching hospital; a surveillance study

    Directory of Open Access Journals (Sweden)

    Khalili Hossein

    2012-09-01

    Full Text Available Abstract Background and purpose Surveillance studies evaluating antimicrobial susceptibilities are of great value in preventing the spread of resistant pathogens by elucidating the trend of resistance in commonly used antibiotics and as a consequence providing information for prescribing the most appropriate agent. This study is a longitudinal antimicrobial resistance surveillance study designed to evaluate the trend in antimicrobial resistance to gram negative microorganisms from 2007 to 2010. Method During a four-year period (2007–2010 isolates derived from all patients admitted to infectious diseases ward of Imam Khomeini Hospital, the major referral center for infectious disease in Iran with the highest admission rates, were evaluated. Based on disk diffusion method and zone of inhibition size, the microorganism was regarded as to be sensitive, resistant or has intermediate susceptibility to the antimicrobial agents. Results The widest spread Gram-negative microorganism in all of isolates taken together in our study was E.coli (30% followed by Stenotrophomonas maltophilia in 28.6% and Enterobacter spp. in 11.9%, respectively. The susceptibility to amikacin, imipenem, piperacillin/tazobactam, and nitrofurantoin was equal or above 50% for all microorganisms over four years. However, the susceptibility to ampicillin, ampicillin/sulbactam, cefotaxim, and ceftriaxone was less than 50% in derived isolates during the study period. Conclusion In conclusion, the finding of the present study revealed that resistance rate to common antimicrobial agents in Iran is growing and isolates were susceptible mostly to broad-spectrum antibiotics including imipenem and piperacillin/tazobactam.

  2. Resistance of Four Canola Genotypes Against Cabbage Aphid Brevicoryne brassicae (L.

    Directory of Open Access Journals (Sweden)

    S.H. MousaviAnzabi

    2017-12-01

    Full Text Available Introduction: Canola (Brassica napus L. is one of the prominent oil seed plants in Iran. This plant has good agricultural and food nourishment properties, such as resistant to drought, cold and salinity stresses and low level of cholesterol. Cabbage waxy aphid Brevicorynebrassicae (L. is the most important and cosmopolitan pest of cruciferous crops. This aphid is reduced 9 to 77% grain yields and up to 11% oil content. Developing environmental-friendly methods, such as deploying insect-resistant varieties to pest control was advised by scientists. Resistant varieties decrease production costs and can be integrated with other pest control policies in IPM programs. In a greenhouse experiment plants of cabbage, cauliflower wassusceptible host plant and broccoli, turnip, rapeseed, showed resistance to cabbage aphid. With the aim of identifying the existence of resistance resources, a laboratory study was conducted to evaluate the effects of seven canola genotypes on biological parameters of cabbage aphid. Detected resistant variety could be used as a resistance source. Material and Methods: In order to resistancy evaluation of canola, genotypes contain “RGS”,“Hyola-308”,“Hyola-401” and “Sarigol” to cabbage aphid, two experiments was conducted under field and greenhouse conditions in Kahriz region of West Azerbaijan province in 2010.In this study infestation index and tolerance in Field conditions and antibiosis study in greenhouse conditions was evaluated.To study antibiosis, genotypes were planted in pots with 10 replications based on completely random design and cabbage aphid population intrinsic rate of increase (rm was calculated. As followed: (Lotka 1924: 1= other population parameters computed by Carey (1993 method. Field experiment contains10 replications wereperformed based on complete randomized blocks experimental designs that five of them were under natural infestation and five others, free of infestation (control. To

  3. Lung volumes and airway resistance in patients with a possible restrictive pattern on spirometry.

    Science.gov (United States)

    Schultz, Kenia; D'Aquino, Luiz Carlos; Soares, Maria Raquel; Gimenez, Andrea; Pereira, Carlos Alberto de Castro

    2016-01-01

    Many patients with proportional reductions in FVC and FEV1 on spirometry show no reduction in TLC. The aim of this study was to evaluate the role that measuring lung volumes and airway resistance plays in the correct classification of patients with a possible restrictive pattern on spirometry. This was a prospective study involving adults with reduced FVC and FEV1, as well as an FEV1/FV(C) ratio within the predicted range. Restrictive lung disease (RLD) was characterized by TLC below the 5th percentile, as determined by plethysmography. Obstructive lung disease (OLD) was characterized by high specific airway resistance, significant changes in post-bronchodilator FEV1, or an FEF25-75% espirometria não têm CPT reduzida. O objetivo deste estudo foi avaliar o papel da medida dos volumes pulmonares e da resistência das vias aéreas para a classificação correta de pacientes com possível restrição à espirometria. Estudo prospectivo de adultos com CVF e VEF1 reduzidos e relação VEF1/CV(F) na faixa prevista. Distúrbio ventilatório restritivo (DVR) foi definido por CPT espirometria. A obstrução ao fluxo aéreo é comum nesses casos.

  4. A new multiplex PCR for easy screening of methicillin-resistant Staphylococcus aureus SCCmec types I-V

    DEFF Research Database (Denmark)

    Boye, Kit; Bartels, Mette Damkjær; Andersen, Ina S

    2007-01-01

    A multiplex PCR with four primer-pairs was designed to identify the five main known SCCmec types. A clear and easily discriminated band pattern was obtained for all five types. The SCCmec type was identified for 98% of 312 clinical isolates of methicillin-resistant Staphylococcus aureus (MRSA...

  5. Conjugated Linoleic Acid Supplementation Does Not Reduce Visceral Adipose Tissue in Middle-Aged Men Engaged in a Resistance-Training Program

    Directory of Open Access Journals (Sweden)

    King Clay

    2006-12-01

    Full Text Available Abstract Conjugated linoleic acid (CLA supplementation has shown convincing effects at reducing body fat in animals; yet human study results have been somewhat inconclusive. The purpose of this study is to determine whether four weeks of CLA supplementation, the approximate length of a commercial package, can result in a positive change in visceral adipose tissue in resistance-trained middle-aged men. Thirty overweight and moderately obese, but otherwise healthy male subjects (aged 35 to 55 years currently involved in resistance training, were randomly assigned into CLA and placebo groups in a double-blind, placebo controlled approach. The study lasted for 12 weeks and consisted of three four-week periods. During the first four weeks (run-in period each subject received placebo (4 g safflower oil. Throughout the next four weeks (supplementation period, the placebo group continued receiving placebo, while the CLA group received 3.2 g/d of CLA. During the final four weeks (run-out period all subjects received the placebo. Computed tomography (CT scans were used to measure visceral adipose tissue (VAT at weeks 4, 8 and 12. No significant reduction in VAT cross-sectional area was determined in the CLA group during the study. On the contrary, a significant reduction in cross-sectional area of VAT of 23.12 cm2 during the supplementation period was measured in the placebo group, which was abated during the run-out period. Our results suggest that CLA supplementation of 3.2 g/d for four weeks does not promote decreases in VAT in middle-aged men currently participating in a resistance-training program.

  6. Source, pattern and antibiotic resistance of blood stream infections in hematopoietic stem cell transplant recipients

    International Nuclear Information System (INIS)

    El-Mahallawy, H.; Samir, I.; Kadry, D.; Abdel Fattah, R.; El-Kholy, A.

    2014-01-01

    Mucositis developing as a result of myelo-ablative high dose therapy administered prior to hematopoietic stem cell transplantation (HSCT) is associated with the risk of bacteremia. The aim of the present study was to detect the pattern of bacteremia coinciding with the present practice of HSCT, to study the contribution of health-care associated infection (HAI) to the pattern of infection, in the context of the problem of antibiotic resistance in HSCT recipients. Patients and methods: This is a retrospective, single center study including patients who developed febrile neutropenia (FN) among HSCT recipients in one year duration. Results: Ninety FN episodes were recorded in 50 patients. Out of 39 positive blood cultures, Gram negative rods (GNR) were the predominant pathogens, constituting 67% (n =26) of isolated organisms, while 33% of infections were caused by gram positive cocci (GPC) (n= 13). Bacteremia was significantly associated with central venous line (CVL) infections and gastroenteritis (diarrhea and vomiting) with a p-value 0.024, 0.20 and 0.0001, respectively. Multi-drug resistant organisms (MDROs) were identified in 27 (69%) of the 39 positive blood cultures. Conclusion: In one year duration, gram negative pathogens were the predominant causes of infection in HSCT recipients with high rates of MDROs in our institution. Gastroenteritis and central venous line infections are the main sources of bacteremia

  7. Distribution Pattern of Healthcare Facilities in Osun State, Nigeria ...

    African Journals Online (AJOL)

    In this paper we employed the use of locational quotient, which is a measure of spatial pattern of services, to examine the distribution pattern of healthcare facilities in the thirty local government areas in Osun State, Nigeria. Twelve indices, representing the totality of healthcare delivery by State and local governments in the ...

  8. CMOS-based active RC sinusoidal oscillator with four-phase quadrature outputs and single-resistance-controlled (SRC) tuning laws

    OpenAIRE

    Lahiri, Abhirup; Herencsár, Norbert

    2012-01-01

    This paper proposes a very compact CMOS realization of active RC sinusoidal oscillator capable of generating four quadrature voltage outputs. The oscillator is based on the cascade of lossless and lossy integrators in loop. The governing laws for the condition of oscillation (CO) and the frequency of oscillation (FO) are single-resistance-controlled (SRC) and which allow independent FO tuning. Unlike previously reported SRC-based sinusoidal oscillators based on the active building block (ABB)...

  9. Codes of Commitment to Crime and Resistance: Determining Social and Cultural Factors over the Behaviors of Italian Mafia Women.

    Science.gov (United States)

    Cayli, Baris

    2016-01-02

    This article categorizes thirty-three women in four main Italian Mafia groups and explores social and cultural behaviors of these women. This study introduces the feminist theory of belief and action. The theoretical inquiry investigates the sometimes conflicting behaviors of women when they are subject to systematic oppression. I argue that there is a cultural polarization among the categorized sub-groups. Conservative radicals give their support to the Mafia while defectors and rebels resist the Mafia. After testing the theory, I assert that emancipation of women depends on the strength of their beliefs to perform actions against the Mafiosi culture.

  10. Prevalence of etravirine-associated mutations in clinical samples with resistance to nevirapine and efavirenz.

    Science.gov (United States)

    Llibre, J M; Santos, J R; Puig, T; Moltó, J; Ruiz, L; Paredes, R; Clotet, B

    2008-11-01

    To evaluate the expected activity of etravirine in clinical samples, according to mutational patterns associated with decreased virological response (VR). We identified 1586 routine clinical samples with resistance-associated mutations (RAMs) to nevirapine and efavirenz (K103N 60%, Y181C 37%, G190A 27%, V108I 13%). Concerning in vitro identified etravirine mutations, samples with F227C, Y181I, M230L or L100I plus K103N plus Y181C were considered highly resistant. Samples with two RAMs plus Y181C or V179D or K101E or Y188L were considered intermediate. The prevalence of 13 RAMs recently associated with decreased VR to etravirine in the DUET clinical trials was also investigated. Most samples (69%) harboured more than one IAS-USA RAM to first-generation non-nucleoside reverse transcriptase inhibitors (NNRTIs): 42% harboured two RAMs, 21% three RAMs and 6% four or more RAMs. The prevalence of 13 specific etravirine RAMs was V179F 0.12%, G190S 3.9%, Y181V 0.1%, V106I 2.6%, V179D 1.6%, K101P 2.0%, K101E 10.1%, Y181C 36.9%, A98G 5.9%, V90I 6.9%, Y181I 3.6%, G190A 27% and L100I 9.1%. The five RAMs with the most impact on VR (V179F/D, G190S, Y181V and V106I) occurred less often. Overall, 8.2% of the samples had three or more etravirine RAMs and only 1.1% had four or more. In addition, patterns of RAMs previously associated with intermediate etravirine resistance were present in 26.2% of the samples, whereas 4.85% displayed patterns of high-degree resistance. For RAMs associated with decreased VR, etravirine resistance in routine clinical samples was lower than previously reported. High-degree resistance was uncommon, even in patients with resistance to first-generation NNRTIs, whereas low-to-intermediate etravirine resistance was more common.

  11. beta-Lactamases among extended-spectrum beta-lactamase (ESBL)-resistant Salmonella from poultry, poultry products and human patients in The Netherlands

    DEFF Research Database (Denmark)

    Hasman, Henrik; Mevius, D.; Veldman, K.

    2005-01-01

    Objectives: The purpose of this work was to study the genetic determinants responsible for extended-spectrum beta-lactamase (ESBL) resistance of Salmonella isolated from Dutch poultry, poultry meat and hospitalized humans. Methods: Thirty-four ESBL-resistant Salmonella isolates from The Netherlands...... were tested towards 21 antimicrobial agents. PCR and sequencing were used to determine the underlying genetic determinants responsible for the ESBL phenotypes. The transferability of the ESBL phenotypes was tested by conjugation to a susceptible Salmonella enterica serovar Dublin and plasmid....... Finally, the bla(ACC-1) gene was cloned from a S. Bareilly isolate and was found to be present on indistinguishable plasmids in all S. Bareilly isolates examined as well as in a S. Braenderup isolate and a S. Infantis isolate. Conclusions: Our data underscore the diversity of ESBL genes in Salmonella...

  12. [Antibiotic resistance pattern of 24, 526 strains of Vibrio cholerae O1 isolated in Mexico from 1991 to 1993].

    Science.gov (United States)

    Giono-Cerezo, S; Zárate, A; Gutiérrez, L; Valdespino, J L

    1994-01-01

    Profile of antimicrobial resistance by Kirby-Bauer method was performed on 24526 Vibrio cholerae O1 strains isolated in México (1991-1993) from fecal swabs in cholera cases and from asymptomatic carriers. Minimal inhibitory concentration (MIC) tests for tetracycline (Te) and doxycycline (D) were done on selected strains. Single antibiotic discs were used at concentrations of: Te, 30 micrograms; D, 30 micrograms; erythromycin (E), 15 micrograms; chloramphenicol (CM), 30 micrograms; ampicillin (AM), 10 micrograms; trimethoprim-sulfamethoxazole (SXT) 1.25 micrograms/23.75 micrograms. Strains whose halos were of a smaller diameter than the intermediate value were considered resistant. It is important to maintain surveillance on antimicrobial susceptibility as epidemiological marker on geographical selected areas in order to detect changes of resistant patterns.

  13. Antibiotic resistance in Escherichia coli isolates from roof-harvested rainwater tanks and urban pigeon faeces as the likely source of contamination.

    Science.gov (United States)

    Chidamba, Lizyben; Korsten, Lise

    2015-07-01

    The objective of this study was to investigate the risks associated with the use of roof-harvested rainwater (RHRW) and the implication of pigeons as the most likely source of contamination by testing for antibiotic resistance profiles of Escherichia coli. A total of 239 E. coli were isolated from thirty fresh pigeon faecal samples (130 isolates), 11 RHRW tanks from three sites in Pretoria (78) and two in Johannesburg (31). E. coli isolates were tested against a panel of 12 antibiotics which included ampicillin, amoxicillin, amikacin, cefoxitin, ceftriaxone, chloramphenicol, ciprofloxacin, cotrimoxazole, enrofloxacin, gentamicin, nalidixic acid and tetracycline. In all samples, resistance to ampicillin (22.7.9%), gentamicin (23.6%), amikacin (24%), tetracycline (17.4) and amoxicillin (16.9%) were the most frequently encountered form of resistance. However, a relatively higher proportion of isolates from pigeon faeces (67.3%) were antibiotic resistant than those from RHRW (53.3%). The highest number of phenotypes was observed for single antibiotics, and no single antibiotic resistance was observed for chloramphenicol, ceftriaxone, gentamicin, cefoxitin, cotrimoxazole, although they were detected in multiple antibiotic resistance (MAR) phenotypes. The highest multiple antibiotic resistance (MAR) phenotypes were observed for a combination of four antibiotics, on isolates from JHB (18.8%), pigeon faeces (15.2%) and Pretoria (5.1%). The most abundant resistance phenotype to four antibiotics, Ak-Gm-Cip-T was dominated by isolates from pigeon faeces (6.8%) with Pretoria and Johannesburg isolates having low proportions of 1.3 and 3.1%, respectively. Future studies should target isolates from various environmental settings in which rainwater harvesting is practiced and the characterisation of the antibiotic resistance determinant genes among the isolates.

  14. Investigating of four main carbapenem-resistance mechanisms in high-level carbapenem resistant Pseudomonas aeruginosa isolated from burn patients

    Directory of Open Access Journals (Sweden)

    Soodabeh Rostami

    2018-02-01

    Conclusion: Emerging antimicrobial resistance in burn wound bacterial pathogens is a serious therapeutic challenge for clinicians. In the present study, most of the isolates were MDR. This finding indicated an alarming spread of resistant isolates and suggested that infection control strategies should be considered. Resistance to carbapenems is influenced by several factors, not all of which were evaluated in our study; however, the results showed that production of MBLs and overexpression of the mexB gene were the most frequent mechanisms in carbapenem-resistant isolates.

  15. Dynamic agglomeration patterns in a two-country new economic geography model with four regions

    International Nuclear Information System (INIS)

    Commendatore, Pasquale; Kubin, Ingrid; Mossay, Pascal; Sushko, Iryna

    2015-01-01

    We introduce a two-country New Economic Geography model with four regions. It is defined by a 2D piecewise smooth map that depends on 8 parameters. Using reductions of this map to 1D maps defined on invariant straight lines, we obtain stability conditions of the Core–Periphery fixed points, and show how such reductions can be used to describe basins of attraction of coexisting attractors. Typical bifurcation sequences obtained when varying some parameters are discussed. We find patterns that are much richer than those observed in standard NEG models: there are more types of fixed points including fixed points attracting in Milnor’s sense; their basins of attraction are quite complicated; and coexistence is pervasive.

  16. Electrical Resistivity Measurement of Petroleum Coke Powder by Means of Four-Probe Method

    Science.gov (United States)

    Rouget, G.; Majidi, B.; Picard, D.; Gauvin, G.; Ziegler, D.; Mashreghi, J.; Alamdari, H.

    2017-10-01

    Carbon anodes used in Hall-Héroult electrolysis cells are involved in both electrical and chemical processes of the cell. Electrical resistivity of anodes depends on electrical properties of its constituents, of which carbon coke aggregates are the most prevalent. Electrical resistivity of coke aggregates is usually characterized according to the ISO 10143 standardized test method, which consists of measuring the voltage drop in the bed of particles between two electrically conducing plungers through which the current is also applied. Estimation of the electrical resistivity of coke particles from the resistivity of particle bed is a challenging task and needs consideration of the contribution of the interparticle void fraction and the particle/particle contact resistances. In this work, the bed resistivity was normalized by subtracting the interparticle void fraction. Then, the contact size was obtained from discrete element method simulation and the contact resistance was calculated using Holm's theory. Finally, the resistivity of the coke particles was obtained from the bed resistivity.

  17. Observations and calculations of two-dimensional angular optical scattering (TAOS) patterns of a single levitated cluster of two and four microspheres

    International Nuclear Information System (INIS)

    Krieger, U.K.; Meier, P.

    2011-01-01

    We use single bi-sphere particles levitated in an electrodynamic balance to record two-dimensional angular scattering patterns at different angles of the coordinate system of the aggregate relative to the incident laser beam. Due to Brownian motion the particle covers the whole set of possible angles with time and allows to select patterns with high symmetry for analysis. These are qualitatively compared to numerical calculations. A small cluster of four spheres shows complex scattering patterns, comparison with computations suggest a low compactness for these clusters. An experimental procedure is proposed for studying restructuring effects occurring in mixed particles upon evaporation. - Research highlights: → Single levitated bi-sphere particle. → Two-dimensional angular scattering pattern. → Comparison experiment with computations.

  18. Online video-based resistance training improves the physical capacity of junior basketball athletes.

    Science.gov (United States)

    Klusemann, Markus J; Pyne, David B; Fay, Tristan S; Drinkwater, Eric J

    2012-10-01

    Junior basketball athletes require a well-designed resistance training program to improve their physical development. Lack of expert supervision and resistance training in junior development pathways may be overcome by implementing an online video-based program. The aim of this study was to compare the magnitude of improvement (change) in physical performance and strength and functional movement patterns of junior basketball athletes using either a fully supervised or an online video-based resistance training program. Thirty-eight junior basketball athletes (males, n = 17; age, 14 ± 1 year; height, 1.79 ± 0.10 m; mass, 67 ± 12 kg; females, n = 21; age, 15 ± 1 year; height, 1.70 ± 0.07 m; mass, 62 ± 8 kg) were randomly assigned into a supervised resistance training group (SG, n = 13), video training group (VG, n = 13) or control group (CG, n = 12) and participated in a 6-week controlled experimental trial. Pre- and posttesting included measures of physical performance (20-m sprint, step-in vertical jump, agility, sit and reach, line drill, and Yo-Yo intermittent recovery level 1), strength (15 s push-up and pull-up), and functional movement screening (FMS). Both SG and VG achieved 3-5% ± 2-4% (mean ± 90% confidence limits) greater improvements in several physical performance measures (vertical jump height, 20-m sprint time, and Yo-Yo endurance performance) and a 28 ± 21% greater improvement in push-up strength compared with the CG. The SG attained substantially larger gains in FMS scores over both the VG (12 ± 10%) and CG (13 ± 8%). Video-based training appears to be a viable option to improve physical performance and strength in junior basketball athletes. Qualified supervision is recommended to improve functional movement patterns in junior athletes.

  19. The changing pattern of antimicrobial resistance within 42,033 Escherichia coli isolates from nosocomial, community and urology patient-specific urinary tract infections, Dublin, 1999-2009.

    LENUS (Irish Health Repository)

    Cullen, Ivor M

    2012-04-01

    To investigate the changing pattern of antimicrobial resistance in Escherichia coli urinary tract infection over an eleven year period, and to determine whether E. coli antibiotic resistance rates vary depending on whether the UTI represents a nosocomial, community acquired or urology patient specific infection.

  20. Patterns of HIV-1 Drug Resistance After First-Line Antiretroviral Therapy (ART) Failure in 6 Sub-Saharan African Countries: Implications for Second-Line ART Strategies

    NARCIS (Netherlands)

    Hamers, Raph L.; Sigaloff, Kim C. E.; Wensing, Annemarie M.; Wallis, Carole L.; Kityo, Cissy; Siwale, Margaret; Mandaliya, Kishor; Ive, Prudence; Botes, Mariette E.; Wellington, Maureen; Osibogun, Akin; Stevens, Wendy S.; Rinke de Wit, Tobias F.; Schuurman, Rob; Siwale, M.; Njovu, C.; Labib, M.; Menke, J.; Botes, M. E.; Conradie, F.; Ive, P.; Sanne, I.; Wallis, C. L.; Letsoalo, E.; Stevens, W. S.; Hardman, M.; Wellington, M.; Luthy, R.; Mandaliya, K.; Abdallah, S.; Jao, I.; Dolan, M.; Namayanja, G.; Nakatudde, L.; Nankya, I.; Kiconco, M.; Abwola, M.; Mugyenyi, P.; Osibogun, A.; Akanmu, S.; Schuurman, R.; Wensing, A. M.; Straatsma, E.; Wit, F. W.; Dekker, J.; van Vugt, M.; Lange, J. M.

    2012-01-01

    Background. Human immunodeficiency virus type 1 (HIV-1) drug resistance may limit the benefits of antiretroviral therapy (ART). This cohort study examined patterns of drug-resistance mutations (DRMs) in individuals with virological failure on first-line ART at 13 clinical sites in 6 African

  1. Effectiveness of simple control measures on methicillin-resistant Staphylococcus aureus infection status and characteristics with susceptibility patterns in a teaching hospital in Peshawar.

    Science.gov (United States)

    Rafiq, Muhammad Salman; Rafiq, Muhammad Imran; Khan, Taimur; Rafiq, Maria; Khan, Mah Muneer

    2015-09-01

    To determine the effectiveness of simple control measures on the infection status and characteristics of methicillin-resistant Staphylococcus aureus including susceptibility patterns among health professionals and patients in a teaching hospital. The cross-sectional study was conducted from September 2013 to January 2014, and comprised samples collected from healthcare personnel and patients in the various units of Khyber Teaching Hospital, Peshawar. The specimens were collected before and one month after the implementation of simple control measures for outbreak prevention of methicillin-resistant Staphylococcus aureus. These were tested for culture and antimicrobial susceptibility. Data about methicillin-sensitive and methicillin-resistant Staphylococcus aureus infection, wound characteristics and susceptibility patterns was collected and effectiveness of simple control measures was determined. SPSS 20 was used for statistical analysis. Of the total 390 isolates, 180(46.2%) were Staphylococcus aureus; 77(19.7%) from healthcare personnel and 103(26.4%) from patients. Of these, 164(42.1%) were methicillin-sensitive and 16(4.1%) were methicillin-resistant. Among the patients, 38(15.1%) methicillin-sensitive and 8(3.2%) methicillin-resistant isolates were recovered from wounds or skin and soft tissues. Pus with 33(13.1%) and 4(1.6%) cases respectively was the second most common source. Among methicillin-resistant isolates, resistance to Linezolid was 0%, all were resistant to Oxacillin, Cefoxitin, Amoxicillin, Cefotaxime and Cephradine, and resistance to both Co-Amoxiclav and Ciprofloxacin was 87.5%. After one month of implementation of simple control measures, the number of methicillin-resistant cases among healthcare professionals and patients dropped from 4(2.9%) and 7(10.8%) to 1(0.7%) and 5(2.7%), respectively. Methicillin-resistant and methicillin-sensitive Staphylococcus aureus differed in their anti-microbial susceptibility profiles. Selection of antibiotics

  2. Mandibulary dental arch form differences between level four polynomial method and pentamorphic pattern for normal occlusion sample

    Directory of Open Access Journals (Sweden)

    Y. Yuliana

    2011-07-01

    Full Text Available The aim of an orthodontic treatment is to achieve aesthetic, dental health and the surrounding tissues, occlusal functional relationship, and stability. The success of an orthodontic treatment is influenced by many factors, such as diagnosis and treatment plan. In order to do a diagnosis and a treatment plan, medical record, clinical examination, radiographic examination, extra oral and intra oral photos, as well as study model analysis are needed. The purpose of this study was to evaluate the differences in dental arch form between level four polynomial and pentamorphic arch form and to determine which one is best suitable for normal occlusion sample. This analytic comparative study was conducted at Faculty of Dentistry Universitas Padjadjaran on 13 models by comparing the dental arch form using the level four polynomial method based on mathematical calculations, the pattern of the pentamorphic arch and mandibular normal occlusion as a control. The results obtained were tested using statistical analysis T student test. The results indicate a significant difference both in the form of level four polynomial method and pentamorphic arch form when compared with mandibular normal occlusion dental arch form. Level four polynomial fits better, compare to pentamorphic arch form.

  3. Investigation of the Genetic Basis of Tetracycline Resistance in ...

    African Journals Online (AJOL)

    Purpose: To determine the prevalence and genetic basis of tetracycline resistance in Staphylococcus aureus. Methods: One hundred and thirty (130) clinical isolates of S. aureus were collected from Khyber Teaching. Hospital, Peshawar, Pakistan. Susceptibility to antibiotics (doxycycline, tetracycline and minocycline) was.

  4. A four-probe thermal transport measurement method for nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Jaehyun; Ou, Eric; Sellan, Daniel P.; Shi, Li, E-mail: lishi@mail.utexas.edu [Department of Mechanical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States)

    2015-04-15

    Several experimental techniques reported in recent years have enabled the measurement of thermal transport properties of nanostructures. However, eliminating the contact thermal resistance error from the measurement results has remained a critical challenge. Here, we report a different four-probe measurement method that can separately obtain both the intrinsic thermal conductance and the contact thermal resistance of individual nanostructures. The measurement device consists of four microfabricated, suspended metal lines that act as resistive heaters and thermometers, across which the nanostructure sample is assembled. The method takes advantage of the variation in the heat flow along the suspended nanostructure and across its contacts to the four suspended heater and thermometer lines, and uses sixteen sets of temperature and heat flow measurements to obtain nine of the thermal resistances in the measurement device and the nanostructure sample, including the intrinsic thermal resistance and the two contact thermal resistances to the middle suspended segment of the nanostructure. Two single crystalline Si nanowires with different cross sections are measured in this work to demonstrate the effectiveness of the method. This four-probe thermal transport measurement method can lead to future discoveries of unique size-dependent thermal transport phenomena in nanostructures and low-dimensional materials, in addition to providing reliable experimental data for calibrating theoretical models.

  5. A four-probe thermal transport measurement method for nanostructures

    International Nuclear Information System (INIS)

    Kim, Jaehyun; Ou, Eric; Sellan, Daniel P.; Shi, Li

    2015-01-01

    Several experimental techniques reported in recent years have enabled the measurement of thermal transport properties of nanostructures. However, eliminating the contact thermal resistance error from the measurement results has remained a critical challenge. Here, we report a different four-probe measurement method that can separately obtain both the intrinsic thermal conductance and the contact thermal resistance of individual nanostructures. The measurement device consists of four microfabricated, suspended metal lines that act as resistive heaters and thermometers, across which the nanostructure sample is assembled. The method takes advantage of the variation in the heat flow along the suspended nanostructure and across its contacts to the four suspended heater and thermometer lines, and uses sixteen sets of temperature and heat flow measurements to obtain nine of the thermal resistances in the measurement device and the nanostructure sample, including the intrinsic thermal resistance and the two contact thermal resistances to the middle suspended segment of the nanostructure. Two single crystalline Si nanowires with different cross sections are measured in this work to demonstrate the effectiveness of the method. This four-probe thermal transport measurement method can lead to future discoveries of unique size-dependent thermal transport phenomena in nanostructures and low-dimensional materials, in addition to providing reliable experimental data for calibrating theoretical models

  6. Beta-lactam resistance among Enterobacteriaceae in Cambodia: The four-year itch

    Directory of Open Access Journals (Sweden)

    Yannick Caron

    2018-01-01

    Full Text Available Although antibiotics are too often used inappropriately in Cambodia, published data on antimicrobial resistance in this country are scarce. Epidemic dissemination and the transfer of resistance genes to other bacterial species put the population at risk. The aim of this study was to evaluate the frequency and characteristics of extended-spectrum beta-lactamase (ESBL-producing Enterobacteriaceae (ESBL-E isolated in consecutive samples tested at Institut Pasteur du Cambodge over a 4-year period (2012–2015. Antimicrobial susceptibility testing was performed by disk diffusion on agar technique and the results were read automatically using an OSIRIS system. The Etest was used to determine minimum inhibitory concentrations (MIC for some resistance phenotypes. The strain most commonly identified was Escherichia coli (63.9%. The proportion of ESBL-E increased gradually over the study period, from 23.8% to 38.4%. ESBL was detected in 42.7% of the E. coli strains and 33.7% of all Klebsiella pneumoniae isolated. The proportion of ESBL-producing E. coli increased significantly from 28.9% in 2012 to 48.2% in 2015, while the increase for K. pneumoniae remained non-significant. Multidrug resistance was high in this Cambodian series, with some strains displaying resistance to all antibiotics available in the country. There is currently no established system for the surveillance of antimicrobial resistance in Cambodia. Collecting samples from clinical settings throughout the country is critical to assess the impact of antimicrobial drug use in patients in Cambodia and in the Mekong Region.

  7. Beta-lactam resistance among Enterobacteriaceae in Cambodia: The four-year itch.

    Science.gov (United States)

    Caron, Yannick; Chheang, Rattanak; Puthea, Nop; Soda, Meng; Boyer, Sébastien; Tarantola, Arnaud; Kerléguer, Alexandra

    2018-01-01

    Although antibiotics are too often used inappropriately in Cambodia, published data on antimicrobial resistance in this country are scarce. Epidemic dissemination and the transfer of resistance genes to other bacterial species put the population at risk. The aim of this study was to evaluate the frequency and characteristics of extended-spectrum beta-lactamase (ESBL)-producing Enterobacteriaceae (ESBL-E) isolated in consecutive samples tested at Institut Pasteur du Cambodge over a 4-year period (2012-2015). Antimicrobial susceptibility testing was performed by disk diffusion on agar technique and the results were read automatically using an OSIRIS system. The Etest was used to determine minimum inhibitory concentrations (MIC) for some resistance phenotypes. The strain most commonly identified was Escherichia coli (63.9%). The proportion of ESBL-E increased gradually over the study period, from 23.8% to 38.4%. ESBL was detected in 42.7% of the E. coli strains and 33.7% of all Klebsiella pneumoniae isolated. The proportion of ESBL-producing E. coli increased significantly from 28.9% in 2012 to 48.2% in 2015, while the increase for K. pneumoniae remained non-significant. Multidrug resistance was high in this Cambodian series, with some strains displaying resistance to all antibiotics available in the country. There is currently no established system for the surveillance of antimicrobial resistance in Cambodia. Collecting samples from clinical settings throughout the country is critical to assess the impact of antimicrobial drug use in patients in Cambodia and in the Mekong Region. Copyright © 2017 The Authors. Published by Elsevier Ltd.. All rights reserved.

  8. Prevalence and Antibiotic susceptibility pattern of Panton-Valentine ...

    African Journals Online (AJOL)

    Staphylococcal cassette chromosome mec typing by two standard multiplex PCR assay, revealed an uncharacterized resistance element. Overall antibiotic susceptibility pattern showed relatively high degree of susceptibility,however 1 isolate demostrated multidrug resistant pattern, 37(74.0%)resistant to only penicillin, 5 to ...

  9. Obesity Resistance Promotes Mild Contractile Dysfunction Associated with Intracellular Ca2+ Handling

    International Nuclear Information System (INIS)

    Sá, Felipe Gonçalves dos Santos de; Lima-Leopoldo, Ana Paula; Jacobsen, Bruno Barcellos; Ferron, Artur Junio Togneri; Estevam, Wagner Muller; Campos, Dijon Henrique Salomé; Castardeli, Edson; Cunha, Márcia Regina Holanda da; Cicogna, Antonio Carlos; Leopoldo, André Soares

    2015-01-01

    Diet-induced obesity is frequently used to demonstrate cardiac dysfunction. However, some rats, like humans, are susceptible to developing an obesity phenotype, whereas others are resistant to that. To evaluate the association between obesity resistance and cardiac function, and the impact of obesity resistance on calcium handling. Thirty-day-old male Wistar rats were distributed into two groups, each with 54 animals: control (C; standard diet) and obese (four palatable high-fat diets) for 15 weeks. After the experimental protocol, rats consuming the high-fat diets were classified according to the adiposity index and subdivided into obesity-prone (OP) and obesity-resistant (OR). Nutritional profile, comorbidities, and cardiac remodeling were evaluated. Cardiac function was assessed by papillary muscle evaluation at baseline and after inotropic maneuvers. The high-fat diets promoted increase in body fat and adiposity index in OP rats compared with C and OR rats. Glucose, lipid, and blood pressure profiles remained unchanged in OR rats. In addition, the total heart weight and the weight of the left and right ventricles in OR rats were lower than those in OP rats, but similar to those in C rats. Baseline cardiac muscle data were similar in all rats, but myocardial responsiveness to a post-rest contraction stimulus was compromised in OP and OR rats compared with C rats. Obesity resistance promoted specific changes in the contraction phase without changes in the relaxation phase. This mild abnormality may be related to intracellular Ca2+ handling

  10. Frequency, serotyping and antimicrobial resistance pattern of Salmonella from feces and lymph nodes of pigs

    Directory of Open Access Journals (Sweden)

    João B.P. Guerra Filho

    Full Text Available ABSTRACT: Salmonellosis is a foodborne disease caused by bacteria of the genus Salmonella, being pigs and pork-products potentially important for its occurrence. In recent decades, some serovars of Salmonella have shown increase of resistance to conventional antimicrobials used in human and animal therapy, with serious risks for public health. The aim of this study was to evaluate feces (n=50, mediastinal (n=50, mesenteric (n=50 and mandibular (n=50 lymph nodes obtained from slaughter houses for Salmonella spp. Positive samples were serotyped and subjected to an in vitro antimicrobial susceptibility test, including the extended-spectrum beta-lactamase (ESBL production. Salmonella species were identified in 10% (20/200 of total samples. From these, 20% (10/50 were identified in the submandibular lymph nodes, 18% (9/50 in the mesenteric lymph nodes, 2% (1/50 in feces and 0% (0/50 in the mediastinal lymph nodes. The serotypes found were Salonella Typhimurium (55%, S. enterica subsp. enterica 4,5,12: i: - (35%, S. Brandenburg and S. Derby with 5% (5% each. All strains showed resistance to at least one antimicrobial; 90% were resistant to four or more antimicrobials, and 15% were multidrug-resistant. Resistance to ciprofloxacin, tetracycline and nalidixic acid was particularly prevalent amongst the tested serovars. Here, we highlighted the impact of pigs in the epidemiological chain of salmonellosis in domestic animals and humans, as well as the high antimicrobial resistance rates of Salmonella strains, reinforcing the necessity for responsible use of antimicrobials for animals as an emergent One Health issue, and to keep these drugs for human therapy approaches.

  11. Antibiotic Resistance of Diverse Bacteria from Aquaculture in Borneo

    Directory of Open Access Journals (Sweden)

    M. M. Kathleen

    2016-01-01

    Full Text Available The administration of antimicrobials in aquaculture provides a selective pressure creating a reservoir of multiple resistant bacteria in the cultured fish and shrimps as well as the aquaculture environment. The objective of this study was to determine the extent of antibiotic resistance in aquaculture products and aquaculture’s surrounding environment in Sarawak, Malaysian Borneo. Ninety-four identified bacterial isolates constituted of 17 genera were isolated from sediment, water, and cultured organisms (fish and shrimp in selected aquaculture farms. These isolates were tested for their antibiotic resistance against 22 antibiotics from several groups using the disk diffusion method. The results show that the highest resistance was observed towards streptomycin (85%, n=20, while the lowest resistance was towards gentamicin (1.1%, n=90. The multiple antibiotic resistant (MAR index of the isolates tested ranged between 0 and 0.63. It was suggested that isolates with MAR index > 0.2 were recovered from sources with high risk of antibiotic resistant contamination. This study revealed low level of antibiotic resistance in the aquaculture bacterial isolates except for streptomycin and ampicillin (>50% resistance, n=94 which have been used in the aquaculture industry for several decades. Antibiotic resistant patterns should be continuously monitored to predict the emergence and widespread of MAR. Effective action is needed to keep the new resistance from further developing and spreading.

  12. Isozyme patterns of powdery mildew resistant wheat mutants

    International Nuclear Information System (INIS)

    Xia Wengau; Li Zhengkui; Wang Kefeng

    1989-01-01

    Full Text: Wheat mutants induced by gamma irradiation and showing improved resistance to powdery mildew were analysed for isozymes. The peroxidase band 3A could be related to the disease reaction. The band 3A is absent in resistant mutants, the higher the activity of band 3A the greater the susceptibility. (author)

  13. A 4-year surveillance of antimicrobial resistance patterns of Acinetobacter baumanni in a university-affiliated hospital in China.

    Science.gov (United States)

    Xu, Ting; Xia, Wenying; Rong, Guodong; Pan, Shiyang; Huang, Peijun; Gu, Bing

    2013-08-01

    To investigate the changes in resistance of Acinetobacter baumanni (A. baumannii) to different antimicrobial agents and the association of resistance rates with several independent factors: specimen origin, hospital wards, patients' gender and age, from 2008 to 2011. Bacterial isolates were isolated from January 2008 to December 2011 in the First Affiliated Hospital of Nanjing Medical University. Antimicrobial susceptibility testing was determined by the Kirby-Bauer Disk Diffusion Agar method as recommended by CLSI. Data were managed by the software WHONET 5.4 and analyzed by SPSS 17.0 software. The proportion of A. baumannii in our hospital increased from 7.0% in 2008 to 18.8% in 2011, becoming the most frequent pathogen in gram-negative isolates. 79.9% of A. baumannii were cultured from respiratory tract and geriatrics showed the highest isolation rate of A. baumannii during 4 years. The resistance rates to 12 antibiotics significantly increased from 2008 to 2011, from 14.8% to 90.8% to imipenem, and from 23.3% to 91.1% to meropenem. Statistical analysis demonstrated that the specimen origin, hospital wards, patients' gender and age were associated with resistance rate in varying degrees. The 4-year surveillance of antimicrobial susceptibility demonstrated the rapid increase of drug-resistant strains of A. baumannii, and revealed several factors related with resistance rate. Knowing the antimicrobial resistance patterns will help guide the empirical therapy and strengthen interventional infection control measures in China.

  14. Predictable Phenotypes of Antibiotic Resistance Mutations.

    Science.gov (United States)

    Knopp, M; Andersson, D I

    2018-05-15

    Antibiotic-resistant bacteria represent a major threat to our ability to treat bacterial infections. Two factors that determine the evolutionary success of antibiotic resistance mutations are their impact on resistance level and the fitness cost. Recent studies suggest that resistance mutations commonly show epistatic interactions, which would complicate predictions of their stability in bacterial populations. We analyzed 13 different chromosomal resistance mutations and 10 host strains of Salmonella enterica and Escherichia coli to address two main questions. (i) Are there epistatic interactions between different chromosomal resistance mutations? (ii) How does the strain background and genetic distance influence the effect of chromosomal resistance mutations on resistance and fitness? Our results show that the effects of combined resistance mutations on resistance and fitness are largely predictable and that epistasis remains rare even when up to four mutations were combined. Furthermore, a majority of the mutations, especially target alteration mutations, demonstrate strain-independent phenotypes across different species. This study extends our understanding of epistasis among resistance mutations and shows that interactions between different resistance mutations are often predictable from the characteristics of the individual mutations. IMPORTANCE The spread of antibiotic-resistant bacteria imposes an urgent threat to public health. The ability to forecast the evolutionary success of resistant mutants would help to combat dissemination of antibiotic resistance. Previous studies have shown that the phenotypic effects (fitness and resistance level) of resistance mutations can vary substantially depending on the genetic context in which they occur. We conducted a broad screen using many different resistance mutations and host strains to identify potential epistatic interactions between various types of resistance mutations and to determine the effect of strain

  15. Memory resistive switching in CeO2-based film microstructures patterned by a focused ion beam

    DEFF Research Database (Denmark)

    Velichko, A.; Boriskov, P.; Grishin, A.

    2014-01-01

    ) with insulating properties and a semiconducting ormetallic lowresistance state (ON) with resistance ratios up to 104. The influence of micro-scaling and defects formed at the cell boundaries during etching on its electrical characteristics has been analyzed. The appearance of a switching channel at the moment......Heteroepitaxial CeO2 (80 nm)/La0.5Sr0.5CoO3 (500 nm) film structure has been pulsed laser deposited on a sapphire substrate. The Ag/CeO2 microjunctions patterned by a focused ion beam on a La0.5Sr0.5CoO3 film exhibit reproducible reversible switching between a high resistance state (OFF...... of the electrical forming, responsible for the memory effect, has been proved, along with a mechanism of a self-healing electrical breakdown. © 2014 Elsevier B.V. All rights reserved....

  16. TOLERANCE SENSITIVITY ANALYSIS: THIRTY YEARS LATER

    Directory of Open Access Journals (Sweden)

    Richard E. Wendell

    2010-12-01

    Full Text Available Tolerance sensitivity analysis was conceived in 1980 as a pragmatic approach to effectively characterize a parametric region over which objective function coefficients and right-hand-side terms in linear programming could vary simultaneously and independently while maintaining the same optimal basis. As originally proposed, the tolerance region corresponds to the maximum percentage by which coefficients or terms could vary from their estimated values. Over the last thirty years the original results have been extended in a number of ways and applied in a variety of applications. This paper is a critical review of tolerance sensitivity analysis, including extensions and applications.

  17. The emergence of multidrug-resistant Pseudomonas aeruginosa in cystic fibrosis patients on inhaled antibiotics

    Directory of Open Access Journals (Sweden)

    Atqah AbdulWahab

    2017-01-01

    Full Text Available Introduction: Multidrug-resistant Pseudomonas aeruginosa (MDR-PA is an important and growing issue in the care of patients with cystic fibrosis (CF, and a major cause of morbidity and mortality. Objective: The objective of the study was to describe the frequency of MDR-PA recovered from the lower respiratory samples of pediatric and adult CF patients, and its antibiotic resistance pattern to commonly used antimicrobial agents including β-lactams, aminoglycosides, and fluoroquinolones. Materials and Methods: The lower respiratory isolates of P. aeruginosa were obtained from inpatients and outpatients CF clinics from a tertiary care teaching hospital for the period from October 2014 to September 2015. The identification and antimicrobial susceptibility for all the isolates were performed by using the BD Phoenix™ and E-test in compliance with Clinical and Laboratory Standards Institute (CLSI guidelines. Results: A total of 61 P. aeruginosa samples were isolated from thirty CF patients from twenty families. Twelve sputum samples were positive for MDR-PA (seven nonmucoid and five mucoid isolates from five CF patients (five families with moderate-to-very severe lung disease given MDR-PA frequency of 19.7%. The median age of the study group was 20 (range 10–30 years. Three CF patients were on chronic inhaled tobramycin and two on nebulized colistin. The antimicrobial patterns of isolates MDR-PA showed the highest rate of resistance toward each gentamycin, amikacin, and cefepime (100%, followed by 91.7% to ciprofloxacin, 75% to tobramycin, 58.3% to meropenem, and 50% to piperacillin-tazobactam. None of the isolates were resistant to colistin during the study period. Conclusion: The study results emphasize that the emergence of a significant problem in the clinical isolates of P. aeruginosa in CF patients that dictate appropriate attention to the antibiotic management after proper surveillance.

  18. Sectoral Patterns of Eco-innovation

    DEFF Research Database (Denmark)

    Faria, Lourenco

    There goes almost thirty years since the World Commission on Environment and Development released the Our Common Future report, more than four decades since the Jay W. Forrester’s Institute at MIT launched Limits to Growth, and more than two centuries since Thomas Malthus first published An Essay...

  19. Nosocomial infections and antibiotic resistance pattern in open-heart surgery patients at Imam Ali Hospital in Kermanshah, Iran.

    Science.gov (United States)

    Heydarpour, Fatemeh; Rahmani, Youssef; Heydarpour, Behzad; Asadmobini, Atefeh

    2017-01-01

    Background: Patients undergoing open heart surgery have a relatively high risk of acquiring nosocomial infections. The development of antibiotic-resistant infections is associated with prolonged hospital stays and mortalities. Objectives: The present study was conducted to investigate nosocomial infections and the antibiotic resistance pattern in bacteria causing these infections in open heart surgery patients at Imam Ali Hospital in Kermanshah in the west of Iran over a 4-year period from March 2011 to March 2014. Materials and methods: The present cross-sectional study was conducted on 135 cases of nosocomial infection among open heart surgery patients. The demographic characteristics and the risk factors of each case of infection were recorded. The antibiotic susceptibility test was carried out using the Minimum Inhibitory Concentration (MIC) method based on the Clinical and Laboratory Standards Institute (CLSI) protocol. The data collected were then analyzed in SPSS-16. Results: Out of the 6,000 patients who underwent open heart surgery during this 4-year period at the selected hospital, nosocomial infections developed in 135 patients (2.25%), 59.3% of whom were female and 40.7% male. Surgery site infection (SSI), pneumonia (PNEU), urinary tract infection (UTI) and blood stream infection (BSI) affected 52.6%, 37%, 9.6% and 0.8% of the cases, respectively. E.coli , Klebsiella spp. and S. aureus were the most common bacteria causing the nosocomial infections. E. coli was most frequently resistant to imipenem (23.3%) Klebsiella spp. to gentamicin (38.5%) S. aureus to co-trimoxazole (54.2%). Conclusion: SSI had a high prevalence in this study. Further studies should therefore be conducted to examine the risk factors associated with SSI in open heart surgery. Various studies have shown that antibiotic resistance patterns are different in different regions. Finding a definitive treatment therefore requires an antibiogram.

  20. Antibiotic Resistance Profile in Relation to Phylogenetic Background in Escherichia coli Isolated From Fecal Samples of Healthy Ostrich

    Directory of Open Access Journals (Sweden)

    Elham Mohamadi

    2015-05-01

    Full Text Available Background: E. coli is regarded as a reservoir for antibiotic resistance in foods of animal origin. E. coli can be categories into four mainphylogenetic groups (A, B1, B2 and D. The commensal E. coli strains mostly are assigned to the phylo-groups A and B1. Objectives: The purposes of this study were to determine the phylogenetic group/subgroups and antibiotic resistance patterns of ostrich E. coli isolates in Iran. Materials and Methods: A total of 126 E. coli isolates were obtained from cloacae swabs of the healthy ostrich in Kerman, Iran. The E. coliisolates were confirmed using biochemical API 20E identification system. The confirmed isolates were studied to determine phylogeneticbackground by PCR. The isolates were tested for antibiotic resistance against 12 different antibiotic disk by disk diffusion method. Results: Phylotyping of E. coli isolates indicated that 74 isolates belonged to A, 27 isolates to B1, 7 isolates to B2, and 18 isolates to D groups.Also the isolates fell into six phylogenetic subgroups, including 34 isolates in A0, 40 isolates in A1, one isolate in B22, 6 isolates in B23, 11isolates in D1 and 7 isolates in subgroup D2. In the examined E. coli isolates, the maximum rate of resistance was against tetracycline, andthe minimum rate of resistance was against amoxicillin. Twenty three antibiotic resistance patterns were detected among the isolates. Thecefoxitin and tetracycline resistance pattern was the most prevalent in the isolates that belonged to phylo-group A. Conclusions: In conclusion, the result of the present study revealed a low frequency of antibiotic resistance in ostrich E. coli isolates.The antibiotic resistance patterns were in relation to A and D phylogenetic groups. Further studies are needed to better understand thedistribution of phylogenetic groups in poultry isolates.

  1. Evolution of extensively drug-resistant tuberculosis over four decades revealed by whole genome sequencing of Mycobacterium tuberculosis from KwaZulu-Natal, South Africa

    Directory of Open Access Journals (Sweden)

    Keira A Cohen

    2015-01-01

    Full Text Available The largest global outbreak of extensively drug-resistant (XDR tuberculosis (TB was identified in Tugela Ferry, KwaZulu-Natal (KZN, South Africa in 2005. The antecedents and timing of the emergence of drug resistance in this fatal epidemic XDR outbreak are unknown, and it is unclear whether drug resistance in this region continues to be driven by clonal spread or by the development of de novo resistance. A whole genome sequencing and drug susceptibility testing (DST was performed on 337 clinical isolates of Mycobacterium tuberculosis (M.tb collected in KZN from 2008 to 2013, in addition to three historical isolates, one of which was isolated during the Tugela Ferry outbreak. Using a variety of whole genome comparative approaches, 11 drug-resistant clones of M.tb circulating from 2008 to 2013 were identified, including a 50-member clone of XDR M.tb that was highly related to the Tugela Ferry XDR outbreak strain. It was calculated that the evolutionary trajectory from first-line drug resistance to XDR in this clone spanned more than four decades and began at the start of the antibiotic era. It was also observed that frequent de novo evolution of MDR and XDR was present, with 56 and 9 independent evolutions, respectively. Thus, ongoing amplification of drug-resistance in KwaZulu-Natal is driven by both clonal spread and de novo acquisition of resistance. In drug-resistant TB, isoniazid resistance was overwhelmingly the initial resistance mutation to be acquired, which would not be detected by current rapid molecular diagnostics that assess only rifampicin resistance.

  2. Emerging antimicrobial resistance pattern of Helicobacter pylori in central Gujarat

    Directory of Open Access Journals (Sweden)

    H B Pandya

    2014-01-01

    Full Text Available Background: Antimicrobial resistance is a growing problem in H. pylori treatment. The study was intended to evaluate the prevalence of resistance amongst 80 H.pylori isolates cultured from biopsy taken during routine endoscopies in 2008-2011. Materials and Methods: 855 gastro duodenal biopsies were collected and cultured on H.pylori selective medium (containing Brucella agar and Columbia agar (Hi media, with Skirrow′s supplement (antibiotic supplement and 7% human blood cells. H.pylori was isolated from 80 specimens. The antimicrobial susceptibility of H.pylori isolates was carried out by the Kirby Bauer technique against metronidazole (5 µg, clarithromycin (15 µg, ciprofloxacin (5 µg, amoxicillin (10 µg, tetracycline (30 µg, erythromycin (15 µg, levofloxacin (5 µg, and furazolidone (50 µg (Sigma- Aldrich, MO. Results: 83.8% isolates were resistant to metronidazole, 58.8% were resistant to Clarithromycin 72.5% were resistant to Amoxicillin, 50% to Ciprofloxacin and 53.8% to tetracycline. furazolidone, erythromycin and Levofloxacin showed only 13.8% resistance to H.pylori. Multi drug resistance with metronidazole+ clarithromycin+ tetracycline was 85%. For all the drugs Antimicrobial resistance rate was found higher in males compare to females. Metronidazole and amoxicillin resistance was found noteworthy in patients with duodenal ulcer (p = 0.018, gastritis (P = 0.00, and in reflux esophagitis (P = 0.00. clarithromycin and tetracycline resistance was suggestively linked with duodenitis (P = 0.018, while furazolidone, erythromycin and levofloxacin showed excellent sensitivity in patients with duodenitis (P value- 0.018, gastritis (P= 0.00 and reflux esophagitis (P = 0.00. Resistance with metronidazole (P = 0.481, clarithromycin (P= 0.261, amoxicillin (P = 0.276, tetracycline (P = 0.356, ciprofloxacin (P = 0.164 was not correlated well with Age-group and Gender of the patients. Conclusion: A very high percentage of patients were infected

  3. Occurrence of Salmonella in ruminants and camel meat in Maiduguri, Nigeria and their antibiotic resistant pattern

    Directory of Open Access Journals (Sweden)

    Zakaria Musa

    2017-09-01

    Full Text Available Objective: This study was conducted to determine the occurrence of Salmonella in various meat products (beef from cattle, chevon from goats, mutton from sheep and jaziir from camel, by screening the various selling points which includes; meat retailers in abattoir, markets and shops in Maiduguri and its environs. Materials and methods: A total of 120 samples of fresh meat from cattle, sheep, goats and camels sampled from ten meat retailers in abattoir, markets and shops in the Maiduguri metropolis, using simple random sampling technique. All samples were processed and examined according to standard bacteriological protocols. Results: Percentage occurrence of Salmonella species had the highest value of 15 (50.1% from the market, found in sheep, while the lowest occurrence of Salmonella species was associated with 3(10.0% in goats sampled from shop meat.. Antibiotic susceptibility pattern of Salmonella species from cattle meat revealed high resistant to Erythromycin (52%. In sheep, the higher percentage of resistance occurred against Ampicillin (33.3% and less resistant to Amoxicillin (4% was obtained. The isolates from camel meat recorded 25% resistant against Ampicillin, Gentamycin and 12.5% to Streptomycin. A total of 28.4% of the isolates were resistant to Ampicillin, Gentamycin and 23.1% to Ofloxacin. Conclusion: The study has shown that Salmonella species are present in fresh meat sold in abattoir, retail markets and shops. We recommend strict hygienic measures in places where fresh meat are sold in Maiduguri metropolis, Nigeria to ensure consumers right to have safe food. [J Adv Vet Anim Res 2017; 4(3.000: 227-233

  4. Obesity Resistance Promotes Mild Contractile Dysfunction Associated with Intracellular Ca{sup 2+} Handling

    Energy Technology Data Exchange (ETDEWEB)

    Sá, Felipe Gonçalves dos Santos de; Lima-Leopoldo, Ana Paula; Jacobsen, Bruno Barcellos; Ferron, Artur Junio Togneri; Estevam, Wagner Muller [Centro de Educação Física e Desportos - Departamento de Desportos - Universidade Federal do Espírito Santo, Vitória, ES (Brazil); Campos, Dijon Henrique Salomé [Departamento de Clínica Médica - Faculdade de Medicina - Universidade Estadual Paulista, Botucatu, São Paulo (Brazil); Castardeli, Edson; Cunha, Márcia Regina Holanda da [Centro de Educação Física e Desportos - Departamento de Desportos - Universidade Federal do Espírito Santo, Vitória, ES (Brazil); Cicogna, Antonio Carlos [Departamento de Clínica Médica - Faculdade de Medicina - Universidade Estadual Paulista, Botucatu, São Paulo (Brazil); Leopoldo, André Soares, E-mail: andresoaresleopoldo@gmail.com [Centro de Educação Física e Desportos - Departamento de Desportos - Universidade Federal do Espírito Santo, Vitória, ES (Brazil)

    2015-12-15

    Diet-induced obesity is frequently used to demonstrate cardiac dysfunction. However, some rats, like humans, are susceptible to developing an obesity phenotype, whereas others are resistant to that. To evaluate the association between obesity resistance and cardiac function, and the impact of obesity resistance on calcium handling. Thirty-day-old male Wistar rats were distributed into two groups, each with 54 animals: control (C; standard diet) and obese (four palatable high-fat diets) for 15 weeks. After the experimental protocol, rats consuming the high-fat diets were classified according to the adiposity index and subdivided into obesity-prone (OP) and obesity-resistant (OR). Nutritional profile, comorbidities, and cardiac remodeling were evaluated. Cardiac function was assessed by papillary muscle evaluation at baseline and after inotropic maneuvers. The high-fat diets promoted increase in body fat and adiposity index in OP rats compared with C and OR rats. Glucose, lipid, and blood pressure profiles remained unchanged in OR rats. In addition, the total heart weight and the weight of the left and right ventricles in OR rats were lower than those in OP rats, but similar to those in C rats. Baseline cardiac muscle data were similar in all rats, but myocardial responsiveness to a post-rest contraction stimulus was compromised in OP and OR rats compared with C rats. Obesity resistance promoted specific changes in the contraction phase without changes in the relaxation phase. This mild abnormality may be related to intracellular Ca2+ handling.

  5. Current Trends of Drug Resistance Patterns of Acinetobacter baumannii Infection in Blood Transfusion-dependent Thalassemia Patients.

    Science.gov (United States)

    Almani, Suhail Ahmed; Naseer, Ali; Maheshwari, Sanjay Kumar; Maroof, Pir; Naseer, Raza; Khoharo, Haji Khan

    2017-01-01

    The present study aimed to evaluate the current trends of drug resistance patterns of Acinetobacter baumannii infection in blood transfusion-dependent thalassemia patients. This study was a cross sectional study, conducted at the Liaquat University of Medical and Health Sciences, Jamshoro/Hyderabad, Sindh, Pakistan from October 2014 to January 2016. Of 921 blood samples, A. baumannii strains were isolated from 100 blood samples. Blood samples were processed for the isolation, identification, and drugs sensitivity as per the Clinical and Laboratory Standards Institute. A. baumannii strains were identified by microbiological methods and Gram's staining. API 20 E kit (Biomeriuex, USA) was also used for identification. Data were analyzed on Statisti × 8.1 (USA). Mean ± standard deviation age was 11.5 ± 2.8 years. Nearly 70% were male and 30% were female ( P = 0.0001). Of 921 blood transfusion-dependent thalassemia patients, 100 (10.8%) patients showed growth of A. baumannii . Drug resistance was observed against the ceftazidime, cefixime, cefepime, imipenem, meropenem, amikacin, minocycline, tigecycline, and tazocin except for the colistin. The present study reports drug-resistant A. baumannii in blood transfusion-dependent thalassemia patients. National multicenter studies are recommended to estimate the size of the problem.

  6. Treatment patterns, resource utilization, and outcomes among hospitalized patients with methicillin-resistant Staphylococcus aureus complicated skin and soft tissue infections in Lebanon and Saudi Arabia

    Directory of Open Access Journals (Sweden)

    Matar MJ

    2017-02-01

    -line regimens containing older beta-lactams. The mean total length of stay was 26.3 days, with the majority (19.1 days spent in general wards. Surgical procedures included incision and drainage (22% of patients, debridement (14%, and amputation (5%. Mechanical ventilation was required by 9% of patients, with a mean duration of 18 days per patient. Hemodialysis was required by four patients (5%, two of whom were reported to have moderate to severe renal disease on admission, for a mean of 5.5 days. Inpatient mortality was 8%. Thirty-nine percent were prescribed at least one antibiotic at discharge, with the most commonly prescribed discharge antibiotics being clindamycin (44%, ciprofloxacin (18%, trimethoprim/sulfamethoxazole (12%, and linezolid (9%. Conclusion: This Middle Eastern real-world study of resource use and treatment patterns in MRSA cSSTI indicates that management of this condition could be further optimized in terms of drug selection and resource utilization. Keywords: antibiotics, length of stay, Saudi Arabia, Lebanon, skin, skin structure infections

  7. DNA microarray genotyping and virulence and antimicrobial resistance gene profiling of methicillin-resistant Staphylococcus aureus bloodstream isolates from renal patients.

    LENUS (Irish Health Repository)

    McNicholas, Sinead

    2012-02-01

    Thirty-six methicillin-resistant Staphylococcus aureus (MRSA) bloodstream isolates from renal patients were genetically characterized by DNA microarray analysis and spa typing. The isolates were highly clonal, belonging mainly to ST22-MRSA-IV. The immune evasion and enterotoxin gene clusters were found in 29\\/36 (80%) and 33\\/36 (92%) isolates, respectively.

  8. DNA microarray genotyping and virulence and antimicrobial resistance gene profiling of methicillin-resistant Staphylococcus aureus bloodstream isolates from renal patients.

    LENUS (Irish Health Repository)

    McNicholas, Sinead

    2011-12-01

    Thirty-six methicillin-resistant Staphylococcus aureus (MRSA) bloodstream isolates from renal patients were genetically characterized by DNA microarray analysis and spa typing. The isolates were highly clonal, belonging mainly to ST22-MRSA-IV. The immune evasion and enterotoxin gene clusters were found in 29\\/36 (80%) and 33\\/36 (92%) isolates, respectively.

  9. Low overlap between carbapenem resistant Pseudomonas aeruginosa genotypes isolated from hospitalized patients and wastewater treatment plants.

    Directory of Open Access Journals (Sweden)

    Andrej Golle

    Full Text Available The variability of carbapenem-resistant Pseudomonas aeruginosa strains (CRPA isolated from urine and respiratory samples in a large microbiological laboratory, serving several health care settings, and from effluents of two wastewater treatment plants (WWTP from the same region was assessed by PFGE typing and by resistance to 10 antibiotics. During the 12-month period altogether 213 carbapenem-resistant P. aeruginosa isolates were cultured and distributed into 65 pulsotypes and ten resistance profiles. For representatives of all 65 pulsotypes 49 different MLSTs were determined. Variability of clinical and environmental strains was comparable, 130 carbapenem-resistant P. aeruginosa obtained from 109 patients were distributed into 38 pulsotypes, while 83 isolates from WWTPs were classified into 31 pulsotypes. Only 9 pulsotypes were shared between two or more settings (hospital or WWTP. Ten MLST were determined for those prevalent pulsotypes, two of them (ST111 and ST235 are among most successful CRPA types worldwide. Clinical and environmental carbapenem-resistant P. aeruginosa strains differed in antibiotic resistance. The highest proportion of clinical isolates was resistant to piperacillin/tazobactam (52.3% and ceftazidime (42.3%. The highest proportion of environmental isolates was resistant to ceftazidime (37.1% and ciprofloxacin (35.5%. The majority of isolates was resistant only to imipenem and/or meropenem. Strains with additional resistances were distributed into nine different patterns. All of them included clinically relevant strains, while environmental strains showed only four additional different patterns.

  10. Resistant starch in cassava products

    Directory of Open Access Journals (Sweden)

    Bruna Letícia Buzati Pereira

    2014-06-01

    Full Text Available Found in different foods, starch is the most important source of carbohydrates in the diet. Some factors present in starchy foods influence the rate at which the starch is hydrolyzed and absorbed in vivo. Due the importance of cassava products in Brazilian diet, the objective of this study was to analyze total starch, resistant starch, and digestible starch contents in commercial cassava products. Thirty three commercial cassava products from different brands, classifications, and origin were analyzed. The method used for determination of resistant starch consisted of an enzymatic process to calculate the final content of resistant starch considering the concentration of glucose released and analyzed. The results showed significant differences between the products. Among the flours and seasoned flours analyzed, the highest levels of resistant starch were observed in the flour from Bahia state (2.21% and the seasoned flour from Paraná state (1.93%. Starch, tapioca, and sago showed levels of resistant starch ranging from 0.56 to 1.1%. The cassava products analyzed can be considered good sources of resistant starch; which make them beneficial products to the gastrointestinal tract.

  11. Antimicrobial susceptibility pattern of Neisseria gonorrhoeae in western Austria.

    Science.gov (United States)

    Allerberger, F; Kofler, H; Brezinka, C; Guggenbichler, J P; Dierich, M P

    1993-01-01

    From January to October 1992 24 Neisseria gonorrhoeae isolates from clinical specimens were collected at the Federal Public Health Laboratory in Innsbruck (Austria) and screened for resistance to penicillin G, erythromycin, tetracycline, spectinomycin, ceftriaxone, cefuroxime, ciprofloxacine, and silver nitrate. Patients originated from the Austrian provinces Salzburg, Tirol, and Vorarlberg, and presented with manifest gonorrhoea. Two of 24 isolates were penicillinase-producing N. gonorrhoeae. Both strains were isolated from men who had just returned from Thailand or Kenya. The isolate from Africa was also resistant to tetracycline. Five of 24 infections were acquired abroad, sex tourism being involved in four cases. The antimicrobial resistance pattern found in gonococci in western Austria revealed that topical silver nitrate and erythromycin are equally acceptable for use in prophylaxis of neonatal ophthalmia. Penicillin is still the drug of choice in the treatment of endemic infections. If gonorrhoea has been acquired abroad, especially in Asia or Africa, ceftriaxone, spectinomycin or ciprofloxazine are recommended for therapy.

  12. The Talar Body Prosthesis: Results at Ten to Thirty-six Years of Follow-up.

    Science.gov (United States)

    Harnroongroj, Thos; Harnroongroj, Thossart

    2014-07-16

    Satisfactory results of implantation of the talar body prosthesis were reported in 1997, although some complications associated with the initial design were noted. The present study evaluated outcomes of treatment with a modified talar body prosthesis. Of the thirty-six talar body prostheses implanted with use of a transmalleolar surgical approach from 1974 to 2011, thirty-three were available for follow-up at ten to thirty-six years or had failed prior to that time. The indication for implantation had been osteonecrosis in twenty-three patients, a comminuted talar fracture in eight, and a talar body tumor in two. Twenty-eight of the thirty-three prostheses were still in place at the time of final follow-up and five had failed prior to five years. The duration of follow-up was ten to twenty years in eight patients, twenty to thirty years in eleven, and thirty to thirty-six years in nine. The AOFAS (American Orthopaedic Foot & Ankle Society) ankle-hindfoot score did not differ significantly among these three groups. Patients over sixty-five years of age with underlying disease that impeded walking ability had lower AOFAS scores. Early prosthesis failure occurred as a result of size mismatch in two patients, tumor recurrence in one, infection in one, and osteonecrosis of the talar head and neck in one. These failures, which occurred at eight to fifty-seven months, were treated with tibiotalar arthrodesis in three patients, prosthesis revision in one, and below-the-knee amputation in one. Although early prosthesis failure may occur, survival of the talar body prosthesis can provide satisfactory ankle and foot function. Therapeutic Level IV. See Instructions for Authors for a complete description of levels of evidence. Copyright © 2014 by The Journal of Bone and Joint Surgery, Incorporated.

  13. Antibiotic susceptibility pattern of staphylococcus aureus and methicillin-resistant staphylococcus aureus in a tertiary care hospital

    Directory of Open Access Journals (Sweden)

    CP Bhatt

    2014-04-01

    Full Text Available Background: Methicillin resistant Staphylococcus aureushas emerged as one of the most important nosocomial pathogens. It invokes a tremendous financial burden and enhanced morbidity and mortality due to difficult to treat systemic infections.Aim of this study was to determine antibiotic susceptibility pattern of Staphylococcus aureus and Methicillin resistant Staphylococcus aureus. Materials and Methods: Different clinical specimens were collected and processed for routine culture and antibiotic sensitivity test by standard microbiology techniques. Results: Out of 1173 samples received for microbiological examination, 100 were found to be S. aureus with 19% cases were Methicillin resistant Staphylococcus aureus (MRSA. Fourteen MRSA were found from inpatient and 5 were from outpatient. MRSA was found higher in female than male and maximum number (31.5% was found in age group 0-10 years. Staphylococcus aureus was 100% sensitive to Vancomycin followed by Amikacin (90%, Gentamycin (83%, and tetracycline (81%. On urine isolates Nitrofurantoin(91.6% was drug of choice. All the isolates were resistant to Penicillin G. In case of Methicillin resistant Staphylococcus aureus showed 100% sensitive to Vancomycin followed by Amikacin (84.2%, Tetracycline (63.1%, Ciprofloxacin (42% and Gentamycin (36.8%. Among urine isolates Nitrofutantoin showed 87.5% sensitive followed by Norfloxacin (75%. Conclusion: Methicillin resistant Staphylococcus aureus was found 19% of Staphylococcus aureus isolates. It was most common in females, hospitalized patients and young age group. Vancomycin seems to be drug of choice followed by Amikacin. It would be helpful to formulating and monitoring the antibiotic policy and ensure proper empiric treatment. DOI: http://dx.doi.org/10.3126/jpn.v4i7.10297 Journal of Pathology of Nepal (2014 Vol. 4, 548-551   

  14. Multi drug resistance tuberculosis: pattern seen in last 13 years

    International Nuclear Information System (INIS)

    Iqbal, R.; Shabbir, I.; Munir, K.; Tabassum, M.N.; Khan, S.U.; Khan, M.Z.U.

    2011-01-01

    Background: Drug resistance in tuberculosis is a serious problem throughout the world especially, after the emergence of multi drug resistant TB strains. Objectives: To estimate drug resistance in TB patients and compare it with previous studies to see the changing trends. Materials and Methods: The PMRC Research Centre receives sputum samples from all the leading hospitals of Lahore. This retrospective analysis was done from 1996 to 2008 on the multi drug resistant TB strains that were seen during these years. Five first lines anti tuberculosis drugs were tested on Lowenstein Jensen medium using standard proportion method. Results: A total of 2661 confirmed isolates of Mycobacterium tuberculosis were seen over the past 13 years. Of the total, 2182 were pulmonary and 479 were extra pulmonary specimens. The patients comprised of those with and without history of previous treatment. These specimens were subjected to drug susceptibility testing. Almost half of the patient had some resistance; multiple drug resistance was seen in 12.3% and 23.0% cases without and with history of previous treatment respectively. Overall resistance to rifampicin was 26.4%, isoniazid 24.1% streptomycin 21.6% ethambutol 13.4% and pyrazinamide 28.4% respectively. Statistically significant difference was seen between primary and acquired resistance. When compared with the reports from previous studies from the same area, there was a trend of gradual increase of drug resistance. Conclusions Resistance to anti tuberculosis drugs is high. Policy message. TB Control Program should start 'DOTS Plus' schemes for which drug susceptibility testing facilities should be available for correctly managing the patients. (author)

  15. Multi drug resistance tuberculosis: pattern seen in last 13 years

    Energy Technology Data Exchange (ETDEWEB)

    Iqbal, R; Shabbir, I; Munir, K [King Edward Medical University Hospital, Lahore (Pakistan). Dept. of Research Centre; Tabassum, M N; Khan, S U; Khan, M Z.U. [King Edward Medical University Hospital, Lahore (Pakistan). Dept. of Chest Medicine

    2011-01-15

    Background: Drug resistance in tuberculosis is a serious problem throughout the world especially, after the emergence of multi drug resistant TB strains. Objectives: To estimate drug resistance in TB patients and compare it with previous studies to see the changing trends. Materials and Methods: The PMRC Research Centre receives sputum samples from all the leading hospitals of Lahore. This retrospective analysis was done from 1996 to 2008 on the multi drug resistant TB strains that were seen during these years. Five first lines anti tuberculosis drugs were tested on Lowenstein Jensen medium using standard proportion method. Results: A total of 2661 confirmed isolates of Mycobacterium tuberculosis were seen over the past 13 years. Of the total, 2182 were pulmonary and 479 were extra pulmonary specimens. The patients comprised of those with and without history of previous treatment. These specimens were subjected to drug susceptibility testing. Almost half of the patient had some resistance; multiple drug resistance was seen in 12.3% and 23.0% cases without and with history of previous treatment respectively. Overall resistance to rifampicin was 26.4%, isoniazid 24.1% streptomycin 21.6% ethambutol 13.4% and pyrazinamide 28.4% respectively. Statistically significant difference was seen between primary and acquired resistance. When compared with the reports from previous studies from the same area, there was a trend of gradual increase of drug resistance. Conclusions Resistance to anti tuberculosis drugs is high. Policy message. TB Control Program should start 'DOTS Plus' schemes for which drug susceptibility testing facilities should be available for correctly managing the patients. (author)

  16. Patterns of infections, aetiological agents and antimicrobial resistance at a tertiary care hospital in northern Tanzania.

    Science.gov (United States)

    Kumburu, Happiness Houka; Sonda, Tolbert; Mmbaga, Blandina Theophil; Alifrangis, Michael; Lund, Ole; Kibiki, Gibson; Aarestrup, Frank M

    2017-04-01

    To determine the causative agents of infections and their antimicrobial susceptibility at a tertiary care hospital in Moshi, Tanzania, to guide optimal treatment. A total of 590 specimens (stool (56), sputum (122), blood (126) and wound swabs (286)) were collected from 575 patients admitted in the medical and surgical departments. The bacterial species were determined by conventional methods, and disc diffusion was used to determine the antimicrobial susceptibility pattern of the bacterial isolates. A total of 249 (42.2%) specimens were culture-positive yielding a total of 377 isolates. A wide range of bacteria was isolated, the most predominant being Gram-negative bacteria: Proteus spp. (n = 48, 12.7%), Escherichia coli (n = 44, 11.7%), Pseudomonas spp. (n = 40, 10.6%) and Klebsiella spp (n = 38, 10.1%). Wound infections were characterised by multiple isolates (n = 293, 77.7%), with the most frequent being Proteus spp. (n = 44, 15%), Pseudomonas (n = 37, 12.6%), Staphylococcus (n = 29, 9.9%) and Klebsiella spp. (n = 28, 9.6%). All Staphylococcus aureus tested were resistant to penicillin (n = 22, 100%) and susceptible to vancomycin. Significant resistance to cephalosporins such as cefazolin (n = 62, 72.9%), ceftriaxone (n = 44, 51.8%) and ceftazidime (n = 40, 37.4%) was observed in Gram-negative bacteria, as well as resistance to cefoxitin (n = 6, 27.3%) in S. aureus. The study has revealed a wide range of causative agents, with an alarming rate of resistance to the commonly used antimicrobial agents. Furthermore, the bacterial spectrum differs from those often observed in high-income countries. This highlights the imperative of regular generation of data on aetiological agents and their antimicrobial susceptibility patterns especially in infectious disease endemic settings. The key steps would be to ensure the diagnostic capacity at a sufficient number of sites and implement structures to routinely exchange, compare, analyse and report data. Sentinel sites

  17. Antibiotic resistance

    Directory of Open Access Journals (Sweden)

    Marianne Frieri

    2017-07-01

    Full Text Available Summary: Antimicrobial resistance in bacterial pathogens is a challenge that is associated with high morbidity and mortality. Multidrug resistance patterns in Gram-positive and -negative bacteria are difficult to treat and may even be untreatable with conventional antibiotics. There is currently a shortage of effective therapies, lack of successful prevention measures, and only a few new antibiotics, which require development of novel treatment options and alternative antimicrobial therapies. Biofilms are involved in multidrug resistance and can present challenges for infection control. Virulence, Staphylococcus aureus, Clostridium difficile infection, vancomycin-resistant enterococci, and control in the Emergency Department are also discussed. Keywords: Antibiotic resistance, Biofilms, Infections, Public health, Emergency Department

  18. Global resistance and resilience of primary production following extreme drought are predicted by mean annual precipitation

    Science.gov (United States)

    Stuart-Haëntjens, E. J.; De Boeck, H. J.; Lemoine, N. P.; Gough, C. M.; Kröel-Dulay, G.; Mänd, P.; Jentsch, A.; Schmidt, I. K.; Bahn, M.; Lloret, F.; Kreyling, J.; Wohlgemuth, T.; Stampfli, A.; Anderegg, W.; Classen, A. T.; Smith, M. D.

    2017-12-01

    Extreme drought is increasing globally in frequency and intensity, with uncertain consequences for the resistance and resilience of key ecosystem functions, including primary production. Primary production resistance, the capacity of an ecosystem to withstand change in primary production following extreme climate, and resilience, the degree to which primary production recovers, vary among and within ecosystem types, obscuring global patterns of resistance and resilience to extreme drought. Past syntheses on resistance have focused climatic gradients or individual ecosystem types, without assessing interactions between the two. Theory and many empirical studies suggest that forest production is more resistant but less resilient than grassland production to extreme drought, though some empirical studies reveal that these trends are not universal. Here, we conducted a global meta-analysis of sixty-four grassland and forest sites, finding that primary production resistance to extreme drought is predicted by a common continuum of mean annual precipitation (MAP). However, grasslands and forests exhibit divergent production resilience relationships with MAP. We discuss the likely mechanisms underlying the mixed production resistance and resilience patterns of forests and grasslands, including different plant species turnover times and drought adaptive strategies. These findings demonstrate the primary production responses of forests and grasslands to extreme drought are mixed, with far-reaching implications for Earth System Models, ecosystem management, and future studies of extreme drought resistance and resilience.

  19. Lipoprotein subclass patterns in women with polycystic ovary syndrome (PCOS) compared with equally insulin-resistant women without PCOS.

    LENUS (Irish Health Repository)

    Phelan, N

    2012-02-01

    OBJECTIVES: Women with polycystic ovary syndrome (PCOS) are more insulin resistant and display an atherogenic lipid profile compared with normal women of similar body mass index (BMI). Insulin resistance (IR) at least partially underlies the dyslipidemia of PCOS, but it is unclear whether PCOS status per se confers additional risk. RESEARCH DESIGN AND METHODS: Using a case-control design, we compared plasma lipids and lipoprotein subclasses (using polyacrylamide gel tube electrophoresis) in 70 women with PCOS (National Institutes of Health criteria) and 70 normal women pair matched for age, BMI, and IR (homeostasis model assessment-IR, quantitative insulin sensitivity check index, and the Avignon Index). Subjects were identified as having a (less atherogenic) type A pattern consisting predominantly of large low-density lipoprotein (LDL) subfractions or a (more atherogenic) non-A pattern consisting predominantly of small-dense LDL subfractions. RESULTS: Total, high-density lipoprotein, or LDL cholesterol, or triacylglycerol did not differ between the groups, but very low-density lipoprotein levels (P<0.05) were greater in women with PCOS, whereas a non-A LDL profile was seen in 12.9% compared with 2.9% of controls (P<0.05, chi2). Multiple regression analysis revealed homeostasis model assessment-IR and waist circumference to be independent predictors of very low-density lipoprotein together explaining 40.2% of the overall variance. Logistic regression revealed PCOS status to be the only independent determinant of a non-A LDL pattern (odds ratio 5.48 (95% confidence interval 1.082-27.77; P<0.05). CONCLUSIONS: Compared with women matched for BMI and IR, women with PCOS have potentially important differences in lipid profile with greater very low-density lipoprotein levels and increased rates of a more atherogenic non-A LDL pattern.

  20. The use of antibiotics and implications for antimicrobial resistance development

    NARCIS (Netherlands)

    Loon, Harald-Jan van

    2004-01-01

    Antibiotic resistance has reached pandemic proportions and the increasing incidences have alarmed medical healthcare associations world wide. Some thirty years ago it was almost all infectious diseases were conquered, but over the last decades we have witnessed the re-emergence of known contagious

  1. Prevalence and patterns of antimicrobial resistance of fecal Escherichia coil among pigs on 47 farrow-to-finish farms with different in-feed medication policies in Ontario and British Columbia.

    Science.gov (United States)

    Akwar, Holy T; Poppe, Cornelis; Wilson, Jeff; Reid-Smith, Richard J; Dyck, Monica; Waddington, Josh; Shang, Dayue; McEwen, Scott A

    2008-01-01

    The main objectives of this study were to determine the prevalence and patterns of antimicrobial resistance in pigs on farms that medicated swine ration and those that did not. A total of 940 isolates of Escherichia coli from 188 pooled fecal samples obtained from weaner and finisher pigs on 47 farrow-to-finish swine farms (34 farms used in-feed medication and 13 did not) were tested for susceptibility to 21 antimicrobials using a breakpoint concentration method. The prevalence of resistance varied widely (0.0% to 81.3%) among the antimicrobials tested. Ninety percent of all the isolates tested were resistant to one or more antimicrobials. The most common multi-drug resistance patterns were to 2 to 6 antimicrobials. Resistance was significantly more frequent (P pigs compared to finisher pigs. These findings indicate that resistance to a broad range of antimicrobials was prevalent among fecal E. coli isolates of pigs on study farms, and that this constitutes a potential reservoir for resistance genes that could spread to pathogens. The findings also provide further evidence that use of medication in swine rations provides selective pressure for antimicrobial resistance in E. coli in pigs.

  2. Organisms cultured and resistance patterns seen in a secondary ...

    African Journals Online (AJOL)

    21% for piperacillin-tazobactam, 2% for ertapenem and. 19% for meropenem). When looking at individual species, it was noted that K. pneumoniae had high resistance to ampicillin (97%), moderate resistance to co-amoxiclav and ciprofloxacin ...

  3. An unusual scintigraphic pattern in sickle cell patients

    International Nuclear Information System (INIS)

    Glaser, A.M.; Chen, D.C.P.; Siegel, M.E.; Norris, S.L.; Haywood, L.J.

    1989-01-01

    We reviewed the nuclear medicine files of all patients enrolled in the sickle cell disease clinic who had had scans performed within the previous 5 years. We specifically looked for patterns of tracer uptake in these scans that would correlate with the severe anemia and consequent bone marrow hyperactivity of sickle cell patients. Thirty-three patients were included (21 men and 12 women) with a mean age of 26.8 years (range 17-48 years). The appearance of each of these patients' most recent scans was examined in the areas of the distal femurs, the proximal tibias and the distal tibias; a distinct triangular shaped pattern of increased activity was identified in these areas in a majority of patients. Thirty-three patients without sickle cell disease served as age-matched controls. This pattern was seen in 65.1% (95 out of 146 images) of the sickle cell patients' delayed images and 80.4% (82 out of 102 images) of their blood pool images. In contrast, the control patients demonstrated the triangular pattern in none of their blood pool studies (0%) and only 10.9% of their delayed bone images (P<0.001). The mean age of sickle cell patients with this pattern is 25.6 years which was significantly lower than that of those without this pattern (mean=37.5 years, P<0.05). Given the high prevalence of this unique scintigraphic pattern in a group of patients with known accelerated bone marrow function, these findings may be scintigraphic evidence of bone marrow expansion. The patient's age appears to be an important factor in visualization of this pattern. (orig.)

  4. Rapid report acetamiprid resistance and cross-resistance in the diamondback moth, Plutella xylostella.

    Science.gov (United States)

    Ninsin, Kodwo D

    2004-09-01

    A 110-fold acetamiprid-resistant Plutella xylostella (L) strain was established after four selection experiments (in five generations) on a 9.5-fold resistant colony in the laboratory. The resistant strain did not show cross-resistance to chlorfluazuron or Bacillus thuringiensis subsp kurstaki Berliner, but displayed low resistance to cartap and phenthoate.

  5. Bacterial profile and their antimicrobial resistance pattern in an intensive care unit of a tertiary care hospital in Dhaka

    Directory of Open Access Journals (Sweden)

    Lovely Barai

    2010-07-01

    Full Text Available Critically ill patients admitted in intensive care units (ICU are always at a higher risk of developing infections with various antibiotic resistant organisms. The objective of this study was to know the antibiotic resistance pattern of the common isolates from blood, urine, respiratory secretions and pus/wound swab of patients admitted in ICU at BIRDEM (Bangladesh Institute of Research and Rehabilitation in Diabetes, Endocrine and Metabolic Disorder hospital, during a one year period from March 2006 to February 2007. A total of 1660 samples were analyzed. Growth was obtained in 34% of the samples yielding 632 organisms. The major organism isolated were Pseudomonas sp. (29.1%, Acinetobacter sp. (27.5%, Candida sp. (12.8%, Escherichia coli (10.3% and Klebsiella sp. (9.7%. Staphylococcus aureus, Enterobacter sp, Citrobacter sp, Enterococcus sp, Providencia sp and Serratia sp accounted for 10.6% of the isolates. All the isolates were highly resistant (>80% to cephalosporins and fluoroquinolones. The frequency of third generation cephalosporin resistant E. coli, Klebsiella and imipenem resistant Pseudomonas and Acinetobacter were >50%. Acinetobacter was remarkably resistant to most antibiotics including imipenem (>70% resistant, but most of the members of the Enterobacteriacae group showed maximum sensitivity to imipenem (50%-94%. The findings of this study might help clinicians to formulate their first line empirical antibiotic treatment regimens for the patients admitted in ICUs. Ibrahim Med. Coll. J. 2010; 4(2: 66-69

  6. the current susceptibility pattern of methicillin resistant ...

    African Journals Online (AJOL)

    DJFLEX

    RESISTANT STAPHYLOCOCCUS AUREUS TO ANTIBIOTICS IN. PORT HARCOURT ... pneumonia, urinary tract infections, wound sepsis, septic arthritis, osteomyelitis and .... in a neonatal and pediatric intensive care unit. Peditric-Med. Clin.

  7. International migration patterns of Red-throated Loons (Gavia stellata) from four breeding populations in Alaska

    Science.gov (United States)

    McCloskey, Sarah E.; Uher-Koch, Brian D.; Schmutz, Joel A.; Fondell, Thomas F.

    2018-01-01

    Identifying post-breeding migration and wintering distributions of migratory birds is important for understanding factors that may drive population dynamics. Red-throated Loons (Gavia stellata) are widely distributed across Alaska and currently have varying population trends, including some populations with recent periods of decline. To investigate population differentiation and the location of migration pathways and wintering areas, which may inform population trend patterns, we used satellite transmitters (n = 32) to describe migration patterns of four geographically separate breeding populations of Red-throated Loons in Alaska. On average (± SD) Red-throated Loons underwent long (6,288 ± 1,825 km) fall and spring migrations predominantly along coastlines. The most northern population (Arctic Coastal Plain) migrated westward to East Asia and traveled approximately 2,000 km farther to wintering sites than the three more southerly populations (Seward Peninsula, Yukon-Kuskokwim Delta, and Copper River Delta) which migrated south along the Pacific coast of North America. These migration paths are consistent with the hypothesis that Red-throated Loons from the Arctic Coastal Plain are exposed to contaminants in East Asia. The three more southerly breeding populations demonstrated a chain migration pattern in which the more northerly breeding populations generally wintered in more northerly latitudes. Collectively, the migration paths observed in this study demonstrate that some geographically distinct breeding populations overlap in wintering distribution while others use highly different wintering areas. Red-throated Loon population trends in Alaska may therefore be driven by a wide range of effects throughout the annual cycle.

  8. Assessing the nosocomial infections' rate and the antibiotic resistance pattern among the patient hospitalized in beheshti hospital during 2013

    Directory of Open Access Journals (Sweden)

    Manijeh Kadkhodaei

    2018-01-01

    Full Text Available Aims: Nosocomial infection is associated with increased mortality, morbidity, and length of stay. Detection of infection, identify the etiology of bacterial antibiotic resistance pattern, is necessary given the widespread use of antibiotics and antibiotic-resistant organisms. Materials and Methods: This cross-sectional study was done on 288 patients admitted to the Beheshti Hospitals in Kashan based on NNIS definitions according to the state of Health and Medical education. In this study infections and antibiotic resistance symptoms were found. Data analyses were performed with Chi-square test. Results: Among the 288 patients studied, with mean out of hospital infection was 0.80%. Most cases of infection associated were pneumonia. The highest rates of infection were in the Intensive Care Unit (ICU with 51.7%. Nosocomial infection in ICU wards was associated with increased mortality and morbidity. The most common types were ventilator-associated pneumonia. Among the microorganisms, negative Gram was seen more. The common pathogens were including Acinetobacter, Escherichia coli, and Klebsiella. Antimicrobial resistance was generally increasing and had emerged from selective pressure from antibiotic use and transmission through health staff. Conclusion: This study showed a correlation between antibiotic use and resistance of microorganisms is significant. Hence, it seems that reducing aggressive acts and conduct hygiene education and monitoring act of antibiotics is necessary to prevent antibiotic resistance.

  9. Antituberculosis drug resistance patterns in adults with tuberculous meningitis

    DEFF Research Database (Denmark)

    Senbayrak, Seniha; Ozkutuk, Nuri; Erdem, Hakan

    2015-01-01

    BACKGROUND: Tuberculous meningitis (TBM) caused by Mycobacterium tuberculosis resistant to antituberculosis drugs is an increasingly common clinical problem. This study aimed to evaluate drug resistance profiles of TBM isolates in adult patients in nine European countries involving 32 centers...

  10. Properties and Printability of Inkjet and Screen-Printed Silver Patterns for RFID Antennas

    Science.gov (United States)

    Salmerón, José F.; Molina-Lopez, Francisco; Briand, Danick; Ruan, Jason J.; Rivadeneyra, Almudena; Carvajal, Miguel A.; Capitán-Vallvey, L. F.; de Rooij, Nico F.; Palma, Alberto J.

    2014-02-01

    We report the modeling, and geometrical and electrical characterization, of inkjet and screen-printed patterns on different polymeric substrates for use as antennas in radio-frequency identification (RFID) applications. We compared the physical and electrical characteristics of two silver nanoparticle-based commercial inkjet-printable inks and one screen-printable silver paste, when deposited on polyimide (PI), polyethylene terephthalate (PET), and polyetherimide (PEI) substrates. First, the thickness of the inkjet-printed patterns was predicted by use of an analytical model based on printing conditions and ink composition. The predicted thickness was confirmed experimentally, and geometrical characterization of the lines was completed by measuring the root-mean-square roughness of the patterns. Second, direct-current electrical characterization was performed to identify the printing conditions yielding the lowest resistivity and sheet resistance. The minimum resistivity for the inkjet-printing method was 8.6 ± 0.8 μΩ cm, obtained by printing four stacked layers of one of the commercial inks on PEI, whereas minimum resistivity of 44 ± 7 μΩ cm and 39 ± 4 μΩ cm were obtained for a single layer of screen-printed ink on polyimide (PI) with 140 threads/cm mesh and 90 threads/cm mesh, respectively. In every case, these minimum values of resistivity were obtained for the largest tested thickness. Coplanar waveguide transmission lines were then designed and characterized to analyze the radio-frequency (RF) performance of the printed patterns; minimum transmission losses of 0.0022 ± 0.0012 dB/mm and 0.0016 ± 0.0012 dB/mm measured at 13.56 MHz, in the high-frequency (HF) band, were achieved by inkjet printing on PEI and screen printing on PI, respectively. At 868 MHz, in the ultra-high-frequency band, the minimum values of transmission loss were 0.0130 ± 0.0014 dB/mm for inkjet printing on PEI and 0.0100 ± 0.0014 dB/mm for screen printing on PI. Although the

  11. Subjective Perception of Sports Performance, Training, Sleep and Dietary Patterns of Malaysian Junior Muslim Athletes during Ramadan Intermittent Fasting.

    Science.gov (United States)

    Singh, Rabindarjeet; Hwa, Ooi Cheong; Roy, Jolly; Jin, Chai Wen; Ismail, Siti Musyrifah; Lan, Mohamad Faizal; Hiong, Loo Lean; Aziz, Abdul-Rashid

    2011-09-01

    To examine the subjective perception of daily acute fasting on sports performance, training, sleep and dietary patterns of Muslim athletes during the Ramadan month. Seven hundred and thirty-four (411 male and 323 female) Malaysian Junior-level Muslim athletes (mean age 16.3 ± 2.6 y) participated in the survey which was designed to establish the personal perception of their sport performance, sleep pattern, food and fluid intake during Ramadan fasting. The survey was conducted during and immediately after the month of Ramadan in 2009. Twenty-four percent of the athletes perceived that there was an adverse effect of the Ramadan fast on their sporting performance and 29.3% reported that quality of training during Ramadan was also negatively influenced. Majority (48.2%) of the athletes stated that Ramadan fasting did not affect their normal sleep pattern but 66.6% of them complained of sleepiness during the daytime. Half of the athletes (41.4%) maintained the caloric intake during Ramadan as they normally would with the majority of them (76.2%) reporting that they consumed more fluids during Ramadan. Overall, Malaysian Junior-level Muslim athletes showed diverse views in their perception of changes in their training, sleep and dietary patterns during Ramadan fast. These individual differences probably indicate differences in the athletes' adaptability and coping strategies during fasting and training in Ramadan.

  12. Subjective Perception of Sports Performance, Training, Sleep and Dietary Patterns of Malaysian Junior Muslim Athletes during Ramadan Intermittent Fasting

    Science.gov (United States)

    Singh, Rabindarjeet; Hwa, Ooi Cheong; Roy, Jolly; Jin, Chai Wen; Ismail, Siti Musyrifah; Lan, Mohamad Faizal; Hiong, Loo Lean; Aziz, Abdul-Rashid

    2011-01-01

    Purpose To examine the subjective perception of daily acute fasting on sports performance, training, sleep and dietary patterns of Muslim athletes during the Ramadan month. Methods Seven hundred and thirty-four (411 male and 323 female) Malaysian Junior-level Muslim athletes (mean age 16.3 ± 2.6 y) participated in the survey which was designed to establish the personal perception of their sport performance, sleep pattern, food and fluid intake during Ramadan fasting. The survey was conducted during and immediately after the month of Ramadan in 2009. Results Twenty-four percent of the athletes perceived that there was an adverse effect of the Ramadan fast on their sporting performance and 29.3% reported that quality of training during Ramadan was also negatively influenced. Majority (48.2%) of the athletes stated that Ramadan fasting did not affect their normal sleep pattern but 66.6% of them complained of sleepiness during the daytime. Half of the athletes (41.4%) maintained the caloric intake during Ramadan as they normally would with the majority of them (76.2%) reporting that they consumed more fluids during Ramadan. Conclusions Overall, Malaysian Junior-level Muslim athletes showed diverse views in their perception of changes in their training, sleep and dietary patterns during Ramadan fast. These individual differences probably indicate differences in the athletes’ adaptability and coping strategies during fasting and training in Ramadan. PMID:22375236

  13. Identification of Group G Streptococcal Isolates from Companion Animals in Japan and Their Antimicrobial Resistance Patterns.

    Science.gov (United States)

    Tsuyuki, Yuzo; Kurita, Goro; Murata, Yoshiteru; Goto, Mieko; Takahashi, Takashi

    2017-07-24

    In this study, we conducted a species-level identification of group G streptococcal (GGS) isolates from companion animals in Japan and analyzed antimicrobial resistance (AMR) patterns. Strains were isolated from sterile and non-sterile specimens collected from 72 animals with clinical signs or symptoms in April-May, 2015. We identified the strain by 16S rRNA sequencing, mass spectrometry (MS), and an automated method based on their biochemical properties. Antimicrobial susceptibility was determined using the broth microdilution method and E-test. AMR determinants (erm(A), erm(B), mef(A), tet(M), tet(O), tet(K), tet(L), and tet(S)) in corresponding resistant isolates were amplified by PCR. The 16S rRNA sequencing identified the GGS species as Streptococcus canis (n = 68), Streptococcus dysgalactiae subsp. equisimilis (n = 3), and S. dysgalactiae subsp. dysgalactiae (n = 1). However, there were discrepancies between the sequencing data and both the MS and automated identification data. MS and the automated biochemical technique identified 18 and 37 of the 68 sequencing-identified S. canis strains, respectively. The AMR rates were 20.8% for tetracycline and 5.6% for clarithromycin, with minimum inhibitory concentrations (MIC) 50 -MIC 90 of 2-64 and ≤ 0.12-0.25μg/mL, respectively. AMR genotyping showed single or combined genotypes: erm(B) or tet(M)-tet(O)-tet(S). Our findings show the unique characteristics of GGS isolates from companion animals in Japan in terms of species-level identification and AMR patterns.

  14. Prevalence of multiple drug resistant Streptococcus suis in and around Guwahati, India

    Directory of Open Access Journals (Sweden)

    Mrinalee Devi

    2017-05-01

    , both the isolates were resistant to cefalexin, tetracycline, doxycycline HCL, and kanamycin. Altogether five different resistance patterns (multi-drug resistance were observed. Of the seven S. suis isolates, two isolates were susceptible to all the 17 antimicrobial agents, one isolate was resistant to four antimicrobial agents, two isolates to seven agents, one isolate to nine agents, and one isolate exhibited resistance to 14 antimicrobial agents. Conclusion: This study was conducted to determine the prevalence of S. suis in clinically healthy and diseased pigs and their antimicrobial susceptibility patterns. All the isolates were susceptible to gentamicin, amikacin and erythromycin, and most of them were resistant to cefalexin, tetracycline and streptomycin. Five different patterns of antimicrobial resistance (multi-drug resistance were observed.

  15. MIMO Four-Way Relaying

    DEFF Research Database (Denmark)

    Liu, Huaping; Sun, Fan; De Carvalho, Elisabeth

    2013-01-01

    Two-way relaying in wireless systems has initiated a large research effort during the past few years. Nevertheless, it represents only a specific traffic pattern and it is of interest to investigate other traffic patterns where such a simultaneous processing of information flows can bring...... performance advantage. In this paper we consider a \\emph{four-way relaying} multiple-input multiple-output (MIMO) scenario, where each of the two Mobile Stations (MSs) has a two-way connection to the same Base Station (BS), while each connection is through a dedicated Relay Station (RS). The RSs are placed...... the sum-rate of the new scheme for Decode-and-Forward (DF) operational model for the RS. We compare the performance with state-of-the-art reference schemes, based on two-way relaying with DF. The results indicate that the sum-rate of the two-phase four-way relaying scheme largely outperforms the four...

  16. Thirty-four years' experience with biliary atresia in Denmark

    DEFF Research Database (Denmark)

    Kvist, N; Davenport, M

    2011-01-01

    Biliary atresia (BA) is a rare disease in Denmark (population ∼ 5.5 million) and there has been some controversy on how smaller countries should manage such conditions to obtain the best possible outcomes. The aim of this study was to evaluate the efficacy of primary surgery (i. e., Kasai portoen...

  17. The role of active efflux in antibiotic - resistance of clinical isolates of Helicobacter pylori

    Directory of Open Access Journals (Sweden)

    Falsafi T

    2009-01-01

    Full Text Available Purpose: In gram-negative bacteria, active efflux pumps that excrete drugs can confer resistance to antibiotics however, in Helicobacter pylori this role is not well established. The purpose of this study is to evaluate the role of active efflux in resistance of H. pylori isolates to antibiotics. Materials and Methods: Twelve multiple antibiotic resistant (MAR isolates resistant to at least four antibiotics, including β-lactams, metronidazole, tetracycline, erythromycin, and ciprofloxacin; three resistant to only β-lactams, and two hyper-susceptible isolates, were obtained from screening of 96 clinical isolates of H. pylori . Their minimal inhibitory concentrations (MICs for antibiotics and ethidium-bromide (EtBr were compared in the presence- and absence of a proton-conductor, carbonyl cyanide-m chlorophenyl-hydrazone (CCCP using agar-dilution and disc diffusion. Drug accumulation studies for EtBr and antibiotics were assessed in the presence and absence of CCCP using spectrofluorometry. Results: MIC of EtBr for eight MAR-isolates was decreased two- to four-folds in the presence of CCCP, of which five showed reduced MICs for β-lactam, metronidazole, tetracycline, and ciprofloxacin with CCCP. Accumulation of EtBr by the MAR-isolates was rapid and not dependant on the pattern of multiple resistance. Antibiotic accumulation assay confirmed the presence of energy-dependant efflux of β-lactam, metronidazole, tetracycline, and ciprofloxacin, but no erythromycin in five MAR isolates. Energy-dependant efflux of EtBr or antibiotics was not observed for four MAR-isolates, and three isolates were resistant only to β-lactams. Conclusion: Energy-dependant efflux plays a role in the resistance of H. pylori clinical isolates to structurally unrelated antibiotics in a broadly specific multidrug efflux manner. Difference in the efflux potential of MAR isolates may be related to the presence or absence of functional efflux-pumps in diverse H. pylori

  18. A cross-sectional study of tuberculosis drug resistance among previously treated patients in a tertiary hospital in Accra, Ghana: public health implications of standardized regimens.

    Science.gov (United States)

    Forson, Audrey; Kwara, Awewura; Kudzawu, Samuel; Omari, Michael; Otu, Jacob; Gehre, Florian; de Jong, Bouke; Antonio, Martin

    2018-04-02

    Mycobacterium tuberculosis drug resistance is a major challenge to the use of standardized regimens for tuberculosis (TB) therapy, especially among previously treated patients. We aimed to investigate the frequency and pattern of drug resistance among previously treated patients with smear-positive pulmonary tuberculosis at the Korle-Bu Teaching Hospital Chest Clinic, Accra. This was a cross-sectional survey of mycobacterial isolates from previously treated patients referred to the Chest Clinic Laboratory between October 2010 and October 2013. The Bactec MGIT 960 system for mycobactrerial culture and drug sensitivity testing (DST) was used for sputum culture of AFB smear-positive patients with relapse, treatment failure, failure of smear conversion, or default. Descriptive statistics were used to summarize patient characteristics, and frequency and patterns of drug resistance. A total of 112 isolates were studied out of 155 from previously treated patients. Twenty contaminated (12.9%) and 23 non-viable isolates (14.8%) were excluded. Of the 112 studied isolates, 53 (47.3%) were pan-sensitive to all first-line drugs tested Any resistance (mono and poly resistance) to isoniazid was found in 44 isolates (39.3%) and any resistance to streptomycin in 43 (38.4%). Thirty-one (27.7%) were MDR-TB. Eleven (35.5%) out of 31 MDR-TB isolates were pre-XDR. MDR-TB isolates were more likely than non-MDR isolates to have streptomycin and ethambutol resistance. The main findings of this study were the high prevalence of MDR-TB and streptomycin resistance among previously treated TB patients, as well as a high prevalence of pre-XDR-TB among the MDR-TB patients, which suggest that first-line and second-line DST is essential to aid the design of effective regimens for these groups of patients in Ghana.

  19. Soft lithography of ceramic patterns

    NARCIS (Netherlands)

    Göbel, Ole; Nedelcu, M.; Steiner, U.

    2007-01-01

    Polymer-based precursor solutions are patterned using a soft-lithographic patterning technique to yield sub-micrometer-sized ceramic patterns. By using a polymer-metal-nitrate solution as a lithographic resist, we demonstrate a micromolding procedure using a simple rubber stamp that yields a

  20. Transcription patterns of genes encoding four metallothionein homologs in Daphnia pulex exposed to copper and cadmium are time- and homolog-dependent

    International Nuclear Information System (INIS)

    Asselman, Jana; Shaw, Joseph R.; Glaholt, Stephen P.; Colbourne, John K.; De Schamphelaere, Karel A.C.

    2013-01-01

    Highlights: •Transcription patterns of 4 metallothionein isoforms in Daphnia pulex. •Under cadmium and copper stress these patterns are time-dependent. •Under cadmium and copper stress these patterns are homolog-dependent. •The results stress the complex regulation of metallothioneins. -- Abstract: Metallothioneins are proteins that play an essential role in metal homeostasis and detoxification in nearly all organisms studied to date. Yet discrepancies between outcomes of chronic and acute exposure experiments hamper the understanding of the regulatory mechanisms of their isoforms following metal exposure. Here, we investigated transcriptional differences among four identified homologs (mt1–mt4) in Daphnia pulex exposed across time to copper and cadmium relative to a control. Transcriptional upregulation of mt1 and mt3 was detected on day four following exposure to cadmium, whereas that of mt2 and mt4 was detected on day two and day eight following exposure to copper. These results confirm temporal and metal-specific differences in the transcriptional induction of genes encoding metallothionein homologs upon metal exposure which should be considered in ecotoxicological monitoring programs of metal-contaminated water bodies. Indeed, the mRNA expression patterns observed here illustrate the complex regulatory system associated with metallothioneins, as these patterns are not only dependent on the metal, but also on exposure time and the homolog studied. Further phylogenetic analysis and analysis of regulatory elements in upstream promoter regions revealed a high degree of similarity between metallothionein genes of Daphnia pulex and Daphnia magna, a species belonging to the same genus. These findings, combined with a limited amount of available expression data for D. magna metallothionein genes, tentatively suggest a potential generalization of the metallothionein response system between these Daphnia species

  1. Transcription patterns of genes encoding four metallothionein homologs in Daphnia pulex exposed to copper and cadmium are time- and homolog-dependent

    Energy Technology Data Exchange (ETDEWEB)

    Asselman, Jana, E-mail: jana.asselman@ugent.be [Laboratory of Environmental Toxicology and Aquatic Ecology, Ghent University, Ghent (Belgium); Shaw, Joseph R.; Glaholt, Stephen P. [The School of Public and Environmental Affairs, Indiana University, Bloomington, IN (United States); Colbourne, John K. [School of Biosciences, The University of Birmingham, Birmingham (United Kingdom); De Schamphelaere, Karel A.C. [Laboratory of Environmental Toxicology and Aquatic Ecology, Ghent University, Ghent (Belgium)

    2013-10-15

    Highlights: •Transcription patterns of 4 metallothionein isoforms in Daphnia pulex. •Under cadmium and copper stress these patterns are time-dependent. •Under cadmium and copper stress these patterns are homolog-dependent. •The results stress the complex regulation of metallothioneins. -- Abstract: Metallothioneins are proteins that play an essential role in metal homeostasis and detoxification in nearly all organisms studied to date. Yet discrepancies between outcomes of chronic and acute exposure experiments hamper the understanding of the regulatory mechanisms of their isoforms following metal exposure. Here, we investigated transcriptional differences among four identified homologs (mt1–mt4) in Daphnia pulex exposed across time to copper and cadmium relative to a control. Transcriptional upregulation of mt1 and mt3 was detected on day four following exposure to cadmium, whereas that of mt2 and mt4 was detected on day two and day eight following exposure to copper. These results confirm temporal and metal-specific differences in the transcriptional induction of genes encoding metallothionein homologs upon metal exposure which should be considered in ecotoxicological monitoring programs of metal-contaminated water bodies. Indeed, the mRNA expression patterns observed here illustrate the complex regulatory system associated with metallothioneins, as these patterns are not only dependent on the metal, but also on exposure time and the homolog studied. Further phylogenetic analysis and analysis of regulatory elements in upstream promoter regions revealed a high degree of similarity between metallothionein genes of Daphnia pulex and Daphnia magna, a species belonging to the same genus. These findings, combined with a limited amount of available expression data for D. magna metallothionein genes, tentatively suggest a potential generalization of the metallothionein response system between these Daphnia species.

  2. Characterization of Antimicrobial Resistance Patterns and Detection of Virulence Genes in Campylobacter Isolates in Italy

    Science.gov (United States)

    Di Giannatale, Elisabetta; Di Serafino, Gabriella; Zilli, Katiuscia; Alessiani, Alessandra; Sacchini, Lorena; Garofolo, Giuliano; Aprea, Giuseppe; Marotta, Francesca

    2014-01-01

    Campylobacter has developed resistance to several antimicrobial agents over the years, including macrolides, quinolones and fluoroquinolones, becoming a significant public health hazard. A total of 145 strains derived from raw milk, chicken faeces, chicken carcasses, cattle faeces and human faeces collected from various Italian regions, were screened for antimicrobial susceptibility, molecular characterization (SmaI pulsed-field gel electrophoresis) and detection of virulence genes (sequencing and DNA microarray analysis). The prevalence of C. jejuni and C. coli was 62.75% and 37.24% respectively. Antimicrobial susceptibility revealed a high level of resistance for ciprofloxacin (62.76%), tetracycline (55.86%) and nalidixic acid (55.17%). Genotyping of Campylobacter isolates using PFGE revealed a total of 86 unique SmaI patterns. Virulence gene profiles were determined using a new microbial diagnostic microarray composed of 70-mer oligonucleotide probes targeting genes implicated in Campylobacter pathogenicity. Correspondence between PFGE and microarray clusters was observed. Comparisons of PFGE and virulence profiles reflected the high genetic diversity of the strains examined, leading us to speculate different degrees of pathogenicity inside Campylobacter populations. PMID:24556669

  3. A Stakeholder Analysis of the Navy's Thirty-Year Shipbuilding Plan

    National Research Council Canada - National Science Library

    O'Loughlin, Patrick R

    2007-01-01

    Using a stakeholder management approach, this thesis helps the U.S. Navy understand who the external stakeholders are with respect to the Thirty-Year Shipbuilding Plan, the priority of differing stakeholder claims, and the nature...

  4. Status of insecticide resistance in high-risk malaria provinces in Afghanistan.

    Science.gov (United States)

    Ahmad, Mushtaq; Buhler, Cyril; Pignatelli, Patricia; Ranson, Hilary; Nahzat, Sami Mohammad; Naseem, Mohammad; Sabawoon, Muhammad Farooq; Siddiqi, Abdul Majeed; Vink, Martijn

    2016-02-18

    Insecticide resistance seriously threatens the efficacy of vector control interventions in malaria endemic countries. In Afghanistan, the status of insecticide resistance is largely unknown while distribution of long-lasting insecticidal nets has intensified in recent years. The main objective of this study was thus to measure the level of resistance to four classes of insecticides in provinces with medium to high risk of malaria transmission. Adult female mosquitoes were reared from larvae successively collected in the provinces of Nangarhar, Kunar, Badakhshan, Ghazni and Laghman from August to October 2014. WHO insecticide susceptibility tests were performed with DDT (4 %), malathion (5 %), bendiocarb (0.1 %), permethrin (0.75 %) and deltamethrin (0.05 %). In addition, the presence of kdr mutations was investigated in deltamethrin resistant and susceptible Anopheles stephensi mosquitoes collected in the eastern provinces of Nangarhar and Kunar. Analyses of mortality rates revealed emerging resistance against all four classes of insecticides in the provinces located east and south of the Hindu Kush mountain range. Resistance is observed in both An. stephensi and Anopheles culicifacies, the two dominant malaria vectors in these provinces. Anopheles superpictus in the northern province of Badakhshan shows a different pattern of susceptibility with suspected resistance observed only for deltamethrin and bendiocarb. Genotype analysis of knock down resistance (kdr) mutations at the voltage-gated channel gene from An. stephensi mosquitoes shows the presence of the known resistant alleles L1014S and L1014F. However, a significant fraction of deltamethrin-resistant mosquitoes were homozygous for the 1014L wild type allele indicating that other mechanisms must be considered to account for the observed pyrethroid resistance. This study confirms the importance of monitoring insecticide resistance for the development of an integrated vector management in Afghanistan. The

  5. Trends of anti-tuberculosis drug resistance pattern in new cases and previously treated cases of extrapulmonary tuberculosis cases in referral hospitals in northern India

    Directory of Open Access Journals (Sweden)

    A K Maurya

    2012-01-01

    Full Text Available Background: Drug-resistant tuberculosis is one of major current challenges to global public health. The transmission of resistant strains is increasing as a burden of multidrug-resistant tuberculosis (MDR-TB patients in extra pulmonary tuberculosis (EPTB cases in India. Aim and Objectives: The aim was to study trends of anti-tuberculosis drug resistance pattern in new cases and previously treated cases of EPTB in referral hospitals in northern India. Study Design and Setting: A prospectively observational study and referral medical institutions in northern India. Materials and Methods: All EPTB specimens were processed for Ziehl Neelsen staining, BACTEC culture and BACTEC NAP test for Mycobacterium tuberculosis complex. All M. tuberculosis complex isolates were performed for radiometric-based drug susceptibility pattern against streptomycin, isoniazid, rifampicin and ethambutol using the 1% proportion method. Results: We found that 165/756 (20.5% isolates were identified as M. tuberculosis complex by the NAP test. We observed that 39.9% were resistant to first-line antitubercular drugs. The resistance rate was higher in previously treated patients: H (30.3%, R (16.3%, E (15.7% and S (16.3%. MDR-TB was observed in 13.4%, but, in new cases, this was 11.4% and 19.1% of the previously treated patients (P<0.05. Conclusion: MDR-TB is gradually increased in EPTB cases and predominant resistance to previous treated cases of EPTB. The molecular drug sensitivity test (DST method can be an early decision for chemotherapy in MDR-TB patients. The International Standards of TB Care need to be used by the RNTCP and professional medical associations as a tool to improve TB care in the country.

  6. Detection of rifampin resistance patterns in Mycobacterium tuberculosis strains isolated in Iran by polymerase chain reaction-single-strand conformation polymorphism and direct sequencing methods

    Directory of Open Access Journals (Sweden)

    Bahram Nasr Isfahani

    2006-09-01

    Full Text Available Mutations in the rpoB locus confer conformational changes leading to defective binding of rifampin (RIF to rpoB and consequently resistance in Mycobacterium tuberculosis. Polymerase chain reaction-single-strand conformation polymorphism (PCR-SSCP was established as a rapid screening test for the detection of mutations in the rpoB gene, and direct sequencing has been unambiguously applied to characterize mutations. A total of 37 of Iranian isolates of M. tuberculosis, 16 sensitive and 21 resistant to RIF, were used in this study. A 193-bp region of the rpoB gene was amplified and PCR-SSCP patterns were determined by electrophoresis in 10% acrylamide gel and silver staining. Also, 21 samples of 193-bp rpoB amplicons with different PCR-SSCP patterns from RIFr and 10 from RIFs were sequenced. Seven distinguishable PCR-SSCP patterns were recognized in the 21 Iranian RIFr strains, while 15 out of 16 RIFs isolates demonstrated PCR-SSCP banding patterns similar to that of sensitive standard strain H37Rv. However one of the sensitive isolates demonstrated a different pattern. There were seen six different mutations in the amplified region of rpoB gene: codon 516(GAC/GTC, 523(GGG/GGT, 526(CAC/TAC, 531(TCG/TTG, 511(CTG/TTG, and 512(AGC/TCG. This study demonstrated the high specificity (93.8% and sensitivity (95.2% of PCR-SSCP method for detection of mutation in rpoB gene; 85.7% of RIFr strains showed a single mutation and 14.3% had no mutations. Three strains showed mutations caused polymorphism. Our data support the common notion that rifampin resistance genotypes are generally present mutations in codons 531 and 526, most frequently found in M. tuberculosis populations regardless of geographic origin.

  7. Induced resistance to the antimicrobial peptide lactoferricin B in Staphylococcus aureus.

    Science.gov (United States)

    Samuelsen, Orjan; Haukland, Hanne H; Jenssen, Håvard; Krämer, Manuela; Sandvik, Kjersti; Ulvatne, Hilde; Vorland, Lars H

    2005-06-20

    This study was designed to investigate inducible intrinsic resistance against lactoferricin B in Staphylococcus aureus. Serial passage of seven S. aureus strains in medium with increasing concentrations of peptide resulted in an induced resistance at various levels in all strains. The induced resistance was unstable and decreased relatively rapidly during passages in peptide free medium but the minimum inhibitory concentration remained elevated after thirty passages. Cross-resistance to penicillin G and low-level cross-resistance to the antimicrobial peptides indolicidin and Ala(8,13,18)-magainin-II amide [corrected] was observed. No cross-resistance was observed to the human cathelicidin LL-37. In conclusion, this study shows that S. aureus has intrinsic resistance mechanisms against antimicrobial peptides that can be induced upon exposure, and that this may confer low-level cross-resistance to other antimicrobial peptides.

  8. Comparative Transcriptomics Among Four White Pine Species

    Directory of Open Access Journals (Sweden)

    Ethan A. G. Baker

    2018-05-01

    Full Text Available Conifers are the dominant plant species throughout the high latitude boreal forests as well as some lower latitude temperate forests of North America, Europe, and Asia. As such, they play an integral economic and ecological role across much of the world. This study focused on the characterization of needle transcriptomes from four ecologically important and understudied North American white pines within the Pinus subgenus Strobus. The populations of many Strobus species are challenged by native and introduced pathogens, native insects, and abiotic factors. RNA from the needles of western white pine (Pinus monticola, limber pine (Pinus flexilis, whitebark pine (Pinus albicaulis, and sugar pine (Pinus lambertiana was sampled, Illumina short read sequenced, and de novo assembled. The assembled transcripts and their subsequent structural and functional annotations were processed through custom pipelines to contend with the challenges of non-model organism transcriptome validation. Orthologous gene family analysis of over 58,000 translated transcripts, implemented through Tribe-MCL, estimated the shared and unique gene space among the four species. This revealed 2025 conserved gene families, of which 408 were aligned to estimate levels of divergence and reveal patterns of selection. Specific candidate genes previously associated with drought tolerance and white pine blister rust resistance in conifers were investigated.

  9. Tunnel barrier design in donor nanostructures defined by hydrogen-resist lithography

    Science.gov (United States)

    Pascher, Nikola; Hennel, Szymon; Mueller, Susanne; Fuhrer, Andreas

    2016-08-01

    A four-terminal donor quantum dot (QD) is used to characterize potential barriers between degenerately doped nanoscale contacts. The QD is fabricated by hydrogen-resist lithography on Si(001) in combination with n-type doping by phosphine. The four contacts have different separations (d = 9, 12, 16 and 29 nm) to the central 6 nm × 6 nm QD island, leading to different tunnel and capacitive coupling. Cryogenic transport measurements in the Coulomb-blockade (CB) regime are used to characterize these tunnel barriers. We find that field enhancement near the apex of narrow dopant leads is an important effect that influences both barrier breakdown and the magnitude of the tunnel current in the CB transport regime. From CB-spectroscopy measurements, we extract the mutual capacitances between the QD and the four contacts, which scale inversely with the contact separation d. The capacitances are in excellent agreement with numerical values calculated from the pattern geometry in the hydrogen resist. Furthermore, we show that by engineering the source-drain tunnel barriers to be asymmetric, we obtain a much simpler excited-state spectrum of the QD, which can be directly linked to the orbital single-particle spectrum.

  10. Inheritance Patterns, Dominance and Cross-Resistance of Cry1Ab- and Cry1Ac-Selected Ostrinia furnacalis (Guenée

    Directory of Open Access Journals (Sweden)

    Tiantao Zhang

    2014-09-01

    Full Text Available Two colonies of Asian corn borer, Ostrinia furnacalis (Guenée, artificially selected from a Bt-susceptible colony (ACB-BtS for resistance to Cry1Ab (ACB-AbR and Cry1Ac (ACB-AcR toxins, were used to analyze inheritance patterns of resistance to Cry1 toxins. ACB-AbR and ACB-AcR evolved significant levels of resistance, with resistance ratios (RR of 39-fold and 78.8-fold to Cry1Ab and Cry1Ac, respectively. The susceptibility of ACB-AbR larvae to Cry1Ac and Cry1F toxins, which had not previously been exposed, were significantly reduced, being >113-fold and 48-fold, respectively. Similarly, susceptibility of ACB-AcR larvae to Cry1Ab and Cry1F were also significantly reduced (RR > nine-fold, RR > 18-fold, respectively, indicating cross-resistance among Cry1Ab, Cry1Ac, and Cry1F toxins. However, ACB-AbR and ACB-AcR larvae were equally susceptible to Cry1Ie as were ACB-BtS larvae, indicating no cross-resistance between Cry1Ie and Cry1Ab or Cry1Ac toxins; this may provide considerable benefits in preventing or delaying the evolution of resistance in ACB to Cry1Ab and Cry1Ac toxins. Backcrossing studies indicated that resistance to Cry1Ab toxin was polygenic in ACB-AbR, but monogenic in ACB-AcR, whilst resistance to Cry1Ac toxin was primarily monogenic in both ACB-AbR and ACB-AcR, but polygenic as resistance increased.

  11. Similar personality patterns are associated with empathy in four different countries

    Directory of Open Access Journals (Sweden)

    Martin Christoph Melchers

    2016-03-01

    Full Text Available Empathy is an important human ability associated with successful social interaction. It is currently unclear how to optimally measure individual differences in empathic processing. Although the Big Five model of personality is an effective model to explain individual differences in human experience and behavior, its relation to measures of empathy is currently not well understood. Therefore, the present study was designed to investigate the relationship between the Big Five personality concept and two commonly used measures for empathy (Empathy Quotient (EQ, Interpersonal Reactivity Index (IRI in four samples from China, Germany, Spain and the United States of America. This approach was designed to advance the way the Big Five personality model can be used to measure empathy. We found evidence of medium effect sizes for associations between personality and empathy, with agreeableness and conscientiousness as the most important predictors of affective and cognitive empathy (measured by the respective IRI subscales as well as a for a one-dimensional empathy score (measured by the EQ. Empathy in a fictional context was most closely related to openness to experience while personal distress was first of all related to neuroticism. In terms of culture, we did not observe any distinct pattern concerning cultural differences. These results support the cross-cultural applicability of the Empathy Quotient and the Interpersonal Reactivity Index and indicate structurally similar associations between personality and empathy across cultures.

  12. Drug resistance detection and mutation patterns of multidrug resistant tuberculosis strains from children in Delhi

    Directory of Open Access Journals (Sweden)

    Jyoti Arora

    2017-06-01

    Full Text Available A total of 312 sputum samples from pediatric patients presumptive of multidrug resistant tuberculosis were tested for the detection of drug resistance using the GenoTypeMTBDRplus assay. A total of 193 (61.8% patients were smear positive and 119 (38.1% were smear negative by Ziehl–Neelsen staining. Line probe assay (LPA was performed for 208 samples/cultures (193 smear positive samples and 15 cultures from smear negative samples. Valid results were obtained from 198 tests. Of these, 125/198 (63.1% were sensitive to both rifampicin (RIF and isoniazid (INH. 73/198 (36.9% were resistant to at least INH/RIF, out of which 49 (24.7% were resistant to both INH and RIF (multidrug resistant. Children with tuberculosis are often infected by someone close to them, so strengthening of contact tracing in the program may help in early diagnosis to identify additional cases within the household. There is a need to evaluate newer diagnostic assays which have a high sensitivity in the case of smear negative samples, additional samples other than sputum among young children not able to expectorate, and also to fill the gap between estimated and reported cases under the program.

  13. Junction leakage measurements with micro four-point probes

    DEFF Research Database (Denmark)

    Lin, Rong; Petersen, Dirch Hjorth; Wang, Fei

    2012-01-01

    We present a new, preparation-free method for measuring the leakage current density on ultra-shallow junctions. The junction leakage is found by making a series of four-point sheet resistance measurements on blanket wafers with variable electrode spacings. The leakage current density is calculated...... using a fit of the measured four-point resistances to an analytical two-sheet model. The validity of the approximation involved in the two-sheet model is verified by a comparison to finite element model calculations....

  14. [Sleep patterns and fatigue of nursing students who work].

    Science.gov (United States)

    Ferreira, Luciane Ruiz Carmona; de Martino, Milva Maria Figueiredo

    2012-10-01

    It has been observed there is currently a growing interest in developing research regarding the sleep patterns of workers who must wake up very early or who work nights. Therefore, the objective of this study was to identify the levels of fatigue and the sleep patterns of nursing students who study during the day and work at night. Participants were thirty students who completed the Epworth Sleepiness Scale and Sleep Journal for thirty days. It was found that sleep duration was longer among men compared to women on days off work, and when on vacation from school compared to the regular school period. Participants showed high levels of fatigue and sleepiness, characterized by the incidence of excessive daytime sleepiness. In conclusion, night workers who endure sleep deprivation have additional wake hours due to studying, thus causing high levels of fatigue, which may harm their performance at school and at work.

  15. Characterization of Phenacoccus solenopsis (Tinsley) (Homoptera: Pseudococcidae) Resistance to Emamectin Benzoate: Cross-Resistance Patterns and Fitness Cost Analysis.

    Science.gov (United States)

    Afzal, M B S; Shad, S A

    2016-06-01

    Cotton mealybug Phenacoccus solenopsis (Tinsley) (Homoptera: Pseudococcidae) is a sucking pest of worldwide importance causing huge losses by feeding upon cotton in various parts of the world. Because of the importance of this pest, this research was carried out to select emamectin resistance in P. solenopsis in the laboratory to study cross-resistance, stability, realized heritability, and fitness cost of emamectin resistance. After selection from third generation (G3) to G6, P. solenopsis developed very high emamectin resistance (159.24-fold) when compared to a susceptible unselected population (Unsel pop). Population selected to emamectin benzoate conferred moderate (45.81-fold), low (14.06-fold), and no cross-resistance with abamectin, cypermethrin, and profenofos, respectively compared to the Unsel pop. A significant decline in emamectin resistance was observed in the resistant population when not exposed to emamectin from G7 to G13. The estimated realized heritability (h (2)) for emamectin resistance was 0.84. A high fitness cost was associated with emamectin resistance in P. solenopsis. Results of this study may be helpful in devising insecticide resistance management strategies for P. solenopsis.

  16. Evaluation of different models to segregate Pelibuey and Katahdin ewes into resistant or susceptible to gastrointestinal nematodes.

    Science.gov (United States)

    Palomo-Couoh, Jovanny Gaspar; Aguilar-Caballero, Armando Jacinto; Torres-Acosta, Juan Felipe de Jesús; Magaña-Monforte, Juan Gabriel

    2016-12-01

    This study evaluated four models based on the number of eggs per gram of faeces (EPG) to segregate Pelibuey or Katahdin ewes during the lactation period into resistant or susceptible to gastrointestinal nematodes (GIN) in tropical Mexico. Nine hundred and thirty EPG counts of Pelibuey ewes and 710 of Katahdin ewes were obtained during 10 weeks of lactation. Ewes were segregated into resistant, intermediate and susceptible, using their individual EPG every week. Then, data of every ewe was used to provide a reference classification, which included all the EPG values of each animal. Then, four models were evaluated against such reference. Model 1 was based on the 10-week mean EPG count ± 2 SE. Models 2, 3 and 4 were based on the mean EPG count of 10, 5 and 2 weeks of lactation. The cutoff points for the segregation of ewe in those three models were the quartiles ≤Q1 (low elimination) and ≥Q3 (high elimination). In all the models evaluated, the ewes classified as resistant had lower EPG than intermediates and susceptible (P ewes classified as susceptible had higher EPG than intermediate and resistant (P 70 %). Model 3 tended to show higher sensitivity and specificity with the reference data, but no difference was found with other models. The present study showed that the phenotypic marker EPG might serve to identify and segregate populations of adult ewes during the lactation period. All models used served to segregate Pelibuey and Katahdin ewes into resistant, intermediate and susceptible. The model 3 (mean of 5 weeks) could be used because it required less sampling effort without losing sensitivity or specificity in the segregation of animals. However, model 2 (mean of 2 weeks) was less labour-intensive.

  17. Evaluation of four colourimetric susceptibility tests for the rapid detection of multidrug-resistant Mycobacterium tuberculosisisolates

    Directory of Open Access Journals (Sweden)

    Ahmet Yilmaz Coban

    2015-08-01

    Full Text Available The purpose of this study is to evaluate four rapid colourimetric methods, including the resazurin microtitre assay (REMA, malachite green decolourisation assay (MGDA, microplate nitrate reductase assay (MNRA and crystal violet decolourisation assay (CVDA, for the rapid detection of multidrug-resistant (MDR tuberculosis. Fifty Mycobacterium tuberculosisisolates were used in this study. Eighteen isolates were MDR, two isolates were only resistant to isoniazid (INH and the remaining isolates were susceptible to both INH and rifampicin (RIF. INH and RIF were tested in 0.25 µg/mL and 0.5 µg/mL, respectively. The agar proportion method was used as a reference method. MNRA and REMA were performed with some modifications. MGDA and CVDA were performed as defined in the literature. The agreements of the MNRA for INH and RIF were 96% and 94%, respectively, while the agreement of the other assays for INH and RIF were 98%. In this study, while the specificities of the REMA, MGDA and CVDA were 100%, the specificity of the MNRA was lower than the others (93.3% for INH and 90.9% for RIF. In addition, while the sensitivity of the MNRA was 100%, the sensitivities of the others were lower than that of the MNRA (from 94.1-95%. The results were reported on the seventh-10th day of the incubation. All methods are reliable, easy to perform, inexpensive and easy to evaluate and do not require special equipment.

  18. Evaluating Hypotheses of Plant Species Invasions on Mediterranean Islands: Inverse Patterns between Alien and Endemic Species

    Directory of Open Access Journals (Sweden)

    Alexander Bjarnason

    2017-08-01

    Full Text Available Invasive alien species cause major changes to ecosystem functioning and patterns of biodiversity, and the main factors involved in invasion success remain contested. Using the Mediterranean island of Crete, Greece as a case study, we suggest a framework for analyzing spatial data of alien species distributions, based on environmental predictors, aiming to gain an understanding of their spatial patterns and spread. Mediterranean islands are under strong ecological pressure from invading species due to their restricted size and increased human impact. Four hypotheses of invasibility, the “propagule pressure hypothesis” (H1, “biotic resistance hypothesis vs. acceptance hypothesis” (H2, “disturbance-mediated hypothesis” (H3, and “environmental heterogeneity hypothesis” (H4 were tested. Using data from alien, native, and endemic vascular plant species, the propagule pressure, biotic resistance vs. acceptance, disturbance-mediated, and environmental heterogeneity hypotheses were tested with Generalized Additive Modeling (GAM of 39 models. Based on model selection, the optimal model includes the positive covariates of native species richness, the negative covariates of endemic species richness, and land area. Variance partitioning between the four hypotheses indicated that the biotic resistance vs. acceptance hypothesis explained the vast majority of the total variance. These results show that areas of high species richness have greater invasibility and support the acceptance hypothesis and “rich-get-richer” distribution of alien species. The negative correlation between alien and endemic species appears to be predominantly driven by altitude, with fewer alien and more endemic species at greater altitudes, and habitat richness. The negative relationship between alien and endemic species richness provides potential for understanding patterns of endemic and alien species on islands, contributing to more effective conservation

  19. Spatial patterns of antimicrobial resistance genes in a cross-sectional sample of pig farms with indoor non-organic production of finishers

    DEFF Research Database (Denmark)

    Birkegård, Anna Camilla; Ersbøll, Annette Kjær; Hisham Beshara Halasa, Tariq

    2017-01-01

    Antimicrobial resistance (AMR) in pig populations is a public health concern. There is a lack of information of spatial distributions of AMR genes in pig populations at large scales. The objective of the study was to describe the spatial pattern of AMR genes in faecal samples from pig farms...... spatial clusters were identified for ermB, ermF, sulII and tet(W). The broad spatial trends in AMR resistance evident in the risk maps were in agreement with the results of the cluster analysis. However, they also showed that there were only small scale spatial differences in the gene levels. We conclude...

  20. Three dysconnectivity patterns in treatment-resistant schizophrenia patients and their unaffected siblings.

    Science.gov (United States)

    Wang, Jicai; Cao, Hongbao; Liao, Yanhui; Liu, Weiqing; Tan, Liwen; Tang, Yanqing; Chen, Jindong; Xu, Xiufeng; Li, Haijun; Luo, Chunrong; Liu, Chunyu; Ries Merikangas, Kathleen; Calhoun, Vince; Tang, Jinsong; Shugart, Yin Yao; Chen, Xiaogang

    2015-01-01

    Among individuals diagnosed with schizophrenia, approximately 20%-33% are recognized as treatment-resistant schizophrenia (TRS) patients. These TRS patients suffer more severely from the disease but struggle to benefit from existing antipsychotic treatments. A few recent studies suggested that schizophrenia may be caused by impaired synaptic plasticity that manifests as functional dysconnectivity in the brain, however, few of those studies focused on the functional connectivity changes in the brains of TRS groups. In this study, we compared the whole brain connectivity variations in TRS patients, their unaffected siblings, and healthy controls. Connectivity network features between and within the 116 automated anatomical labeling (AAL) brain regions were calculated and compared using maps created with three contrasts: patient vs. control, patient vs. sibling, and sibling vs. To evaluate the predictive power of the selected features, we performed a multivariate classification approach. We also evaluated the influence of six important clinical measures (e.g. age, education level) on the connectivity features. This study identified abnormal significant connectivity changes of three patterns in TRS patients and their unaffected siblings: 1) 69 patient-specific connectivity (PCN); 2) 102 shared connectivity (SCN); and 3) 457 unshared connectivity (UCN). While the first two patterns were widely reported by previous non-TRS specific studies, we were among the first to report widespread significant connectivity differences between TRS patient groups and their healthy sibling groups. Observations of this study may provide new insights for the understanding of the neurophysiological mechanisms of TRS.

  1. Preclinical screening for drugs effective against 5-fluorouracil-resistant cells with a murine L5178Y cell line in vitro

    International Nuclear Information System (INIS)

    Hill, B.T.

    1983-01-01

    A subline of L5178Y cells has been established in vitro that exhibits a fiftyfold order of resistance to 5-fluorouracil (FUra) as compared to that of the parent line. The cytotoxic effects of 24-hour exposures to 23 antitumor drugs and to radiation were compared in the two cell lines. Four patterns of response were identified: 1) Only two drugs, mitomycin C and adriamycin, proved significantly more cytotoxic to FUra-resistant cells. 2) Four other drugs--anguidine, 4'-(9-acridinylamino)-methanesulfon-m-anisidide, melphalan, and quelamycin--showed marginal superiority against resistant cells. 3) X-radiation and the majority of drugs tested--including 5-azacytidine, 1,3-bis(2-chloroethyl)-1-nitrosourea, cisplatin, bleomycin, dibromodulcitol, razoxane, hydroxyurea, methotrexate, teniposide, etoposide, and three experimental agents, metoprine, spirogermanium HCl, and ellipticinum--proved equally cytotoxic to both cell lines. 4) Cross-resistance with FUra was exhibited with vincristine, vindesine, pyrazofurin, and indicine-N-oxide. This experimental system provides a simple method of testing agents for activity against FUra-resistant cells before phase 1 clinical studies

  2. Compromised Photosynthetic Electron Flow And H2O2 Generation Correlate with Genotype-Specific Stomatal Dysfunctions During Resistance Against Powdery Mildew In Oats.

    Directory of Open Access Journals (Sweden)

    Javier Sánchez-Martín

    2016-11-01

    Full Text Available Stomatal dysfunction known as locking has been linked to the elicitation of a hypersensitive response (HR following attack of fungal pathogens in cereals. We here assess how spatial and temporal patterns of different resistance mechanisms, such as HR and penetration resistance influence stomatal and photosynthetic parameters in oat (Avena sativa and the possible involvement of hydrogen peroxide (H2O2 in the dysfunctions observed. Four oat cultivars with differential resistance responses (i.e. penetration resistance, early and late HR to powdery mildew (Blumeria graminis f. sp. avenae, Bga were used. Results demonstrated that stomatal dysfunctions were genotype but not response-type dependent since genotypes with similar resistance responses when assessed histologically showed very different locking patterns. Maximum quantum yield (Fv/Fm of photosystem II were compromised in most Bga–oat interactions and photoinhibition increased. However, the extent of the photosynthetic alterations was not directly related to the extent of HR. H2O2 generation is triggered during the execution of resistance responses and can influence stomatal function. Artificially increasing H2O2 by exposing plants to increased light intensity further reduced Fv/Fm ratios and augmented the patterns of stomatal dysfunctions previously observed. The latter results suggest that the observed dysfunctions and hence a cost of resistance may be linked with oxidative stress occurring during defence induced photosynthetic disruption.

  3. Analysis of 2014's Thirty Best Undergraduate Accounting Programs

    Science.gov (United States)

    Adkins, Darlene A.; Geddie, Mary F.; Moore, Paula Hearn; Griffin, Richard B.

    2016-01-01

    This paper examines primarily the courses in the accounting major and a portion of the business core of the best thirty accounting programs in the United States as identified by the Accounting Degree Review. A thorough examination of each school's web site has been conducted to gather current (2014) information concerning the requirements for a…

  4. Protein-protein association and cellular localization of four essential gene products encoded by tellurite resistance-conferring cluster "ter" from pathogenic Escherichia coli.

    Science.gov (United States)

    Valkovicova, Lenka; Vavrova, Silvia Minarikova; Mravec, Jozef; Grones, Jozef; Turna, Jan

    2013-12-01

    Gene cluster "ter" conferring high tellurite resistance has been identified in various pathogenic bacteria including Escherichia coli O157:H7. However, the precise mechanism as well as the molecular function of the respective gene products is unclear. Here we describe protein-protein association and localization analyses of four essential Ter proteins encoded by minimal resistance-conferring fragment (terBCDE) by means of recombinant expression. By using a two-plasmid complementation system we show that the overproduced single Ter proteins are not able to mediate tellurite resistance, but all Ter members play an irreplaceable role within the cluster. We identified several types of homotypic and heterotypic protein-protein associations among the Ter proteins by in vitro and in vivo pull-down assays and determined their cellular localization by cytosol/membrane fractionation. Our results strongly suggest that Ter proteins function involves their mutual association, which probably happens at the interface of the inner plasma membrane and the cytosol.

  5. Growth Patterns and E-Moderating Supports in Asynchronous Online Discussions in an Undergraduate Blended Course

    Science.gov (United States)

    Ghadirian, Hajar; Ayub, Ahmad Fauzi Mohd; Bakar, Kamariah Binti Abu; Hassanzadeh, Maryam

    2016-01-01

    This study presents a case study of asynchronous online discussions' (AOD) growth patterns in an undergraduate blended course to address the gap in our current understanding of how threads are developed in peer-moderated AODs. Building on a taxonomy of thread pattern proposed by Chan, Hew and Cheung (2009), growth patterns of thirty-six forums…

  6. The Instrumentation Program for the Thirty Meter Telescope

    OpenAIRE

    Simard, Luc; Crampton, David; Ellerbroek, Brent; Boyer, Corinne

    2012-01-01

    An overview of the current status of the Thirty Meter Telescope (TMT) instrumentation program is presented. Science cases and operational concepts as well as their links to the instruments are continually revisited and updated through a series of workshops and conferences. Work on the three first-light instruments (WFOS IRIS, and IRMS) has made significant progress, and many groups in TMT partner communities are developing future instrument concepts. Other instrument-related subsystems are al...

  7. High-resolution electrical resistivity tomography applied to patterned ground, Wedel Jarlsberg Land, south-west Spitsbergen

    Directory of Open Access Journals (Sweden)

    Marek Kasprzak

    2015-06-01

    Full Text Available This article presents results of two-dimensional electrical resistivity tomography (ERT applied to three types of patterned ground in Wedel-Jarlsberg Land (Svalbard, carried out in late July 2012. The structures investigated include sorted circles, non-sorted polygons and a net with sorted coarser material. ERT was used to recognize the internal ground structure, the shape of permafrost table below the active layer and the geometric relationships between permafrost, ground layering and surface patterns. Results of inversion modelling indicate that the permafrost table occurs at a depth of 0.5–1 m in a mountain valley and 1–2.5 m on raised marine terraces. The permafrost table was nearly planar beneath non-sorted deposits and wavy beneath sorted materials. The mutual relationships between the permafrost table and the shape of a stone circle are different from those typically presented in literature. Ground structure beneath the net with sorted coarser materials is complex as implied in convective models. In non-sorted polygons, the imaging failed to reveal vertical structures between them.

  8. Circadian pattern of blood pressure in normal pregnancy and preeclampsia.

    Science.gov (United States)

    Gupta, Hem Prabha; Singh, R K; Singh, Urmila; Mehrotra, Seema; Verma, N S; Baranwal, Neelam

    2011-08-01

    AIMS #ENTITYSTARTX00026; To find out the circadian pattern of blood pressure in normotensive pregnant women and in women with preeclampsia. A cross-sectional prospective observational case control study. Blood pressure was sampled in thirty-five normotensive pregnant women (control) and thirty five preeclamptic women (study group) by using non-invasive automatic ambulatory blood pressure monitoring machine for 72 h. Blood pressure (BP) was not constant over 24 h period and it oscillated from time to time in control group. BP was maximum during early part of afternoon. However, in preeclampsia besides quantitative increase in BP, circadian BP oscillations were less pronounced and in around 50% subjects BP was maximum during evening and night hours. Both systolic and diastolic BP showed definite reproducible circadian pattern in both preeclamptic and normotensive pregnant women. This pattern both quantitatively and qualitatively was different in preeclamptic women. Standardized 24 h BP monitoring allows quantitative and qualitative evaluation of hypertensive status and is important for timing and dosing of antihypertensive medications.

  9. Stopping potential and ion beamlet control for micro-resistive patterning through sub-Debye length plasma apertures

    Directory of Open Access Journals (Sweden)

    Abhishek Chowdhury

    2014-12-01

    Full Text Available Focused multiple ion beamlets from a microwave plasma source is investigated for localized micron-scale modification of substrates in a patterned manner. Plasma electrodes (PE with an array of through apertures having aperture diameters of the order of plasma Debye length are investigated for generating the beamlets. Extraction through sub-Debye length apertures becomes possible when the PE is kept at floating potential. It is found that the current – voltage characteristics of the extracted beamlets exhibits interesting features such as a space-charge-limited region that has a different behaviour than the conventional Child-Langmuir’s law and an extraction-voltage-limited region that does not undergo saturation but exhibits a Schottky-like behaviour similar to that of a vacuum diode. A switching technique to control the motion of individual beamlets is developed and the stopping potential determined. The beamlets are thereafter used to create localized micro-resistive patterns. The experimental results are compared with simulations and reasonably good agreement is obtained.

  10. Divergent Expression Patterns and Function Implications of Four nanos Genes in a Hermaphroditic Fish, Epinephelus coioides.

    Science.gov (United States)

    Sun, Zhi-Hui; Wang, Yang; Lu, Wei-Jia; Li, Zhi; Liu, Xiao-Chun; Li, Shui-Sheng; Zhou, Li; Gui, Jian-Fang

    2017-03-23

    Multiple nanos genes have been characterized in several fishes, but the functional implications of their various expression patterns remain unclear. In this study, we identified and characterized four nanos genes from a hermaphroditic fish orange-spotted grouper, Epinephelus coioides . Ecnanos1a and Ecnanos1b show divergent expression patterns, and the dynamic expression change of Ecnanos1a in pituitaries during sex change is associated with testis differentiation and spermatogenesis. Ecnanos2 and Ecnanos3 might be germline stem cells (GSCs) and primordial germ cells (PGCs)-specific markers, respectively. Significantly, Ecnanos3 3'-untranslated region (UTR) is necessary for PGC specific expression, where a non-canonical "GCACGTTT" sequence is required for miR-430-mediated repression of Ecnanos3 RNA. Furthermore, grouper Dead end (Dnd) can relieve miR-430 repression in PGCs by associating with a 23 bp U-rich region (URR) in Ecnanos3 3'-UTR. The current study revealed the functional association of multiple nanos genes with PGC formation and germ cell development in orange-spotted grouper, and opened up new possibilities for developing biotechnologies through utilizing the associations between Ecnanos3 and PGCs or between Ecnanos2 and GSCs in the hermaphroditic fish.

  11. Effects of native biodiversity on grape loss of four castes: testing the biotic resistance hypothesis

    Directory of Open Access Journals (Sweden)

    M. Nereu

    2018-02-01

    Full Text Available Management of agricultural landscapes can influence the biodiversity and the ecological services provided by these ecosystems, such as natural biological pest control. Viticulture is a very important economic activity in most countries with Mediterranean climate, often shaping their landscapes and culture. Grape production is affected by a number of pests and diseases, and farmers use prophylactic and response-driven pesticides to control these pests. Here we quantified the main biotic causes of crop losses in four grape castes, two red (Touriga Nacional and Baga and two white (Arinto and Chardonnay, and evaluated the potential effect of native biodiversity to provide biotic resistance to pest outbreaks and grape losses. Specifically, the diversity and abundance of bird and insect communities in these vineyards were quantified and divided into functional guilds (pest, neutral or auxiliary, to test whether these natural communities hold the potential to naturally control grape pests (biotic resistance hypothesis under normal vineyard management (including pesticide application regimes. A potential association between distance to the vineyard edge and grape losses was also evaluated. We recorded a very small proportion of grape losses (mean  =  0.6 %; max  =  7.5 %, with insect pests showing a preference for the castes Baga (red and Chardonnay (white, while bird pests avoided the caste Arinto (white. Grape color did not influence losses caused by insect pests, but birds showed a preference for red castes. The caste Baga was also more vulnerable to losses caused by fungi. Despite their low impact on grape production, most insects and birds detected in the six vineyards were pests, which entails a potentially low level of biotic resistance in this highly managed agricultural ecosystem. Further research is necessary to fully evaluate the role of functional biodiversity in vineyards, particularly if alternative production processes

  12. Overview of glyphosate-resistant weeds worldwide.

    Science.gov (United States)

    Heap, Ian; Duke, Stephen O

    2018-05-01

    Glyphosate is the most widely used and successful herbicide discovered to date, but its utility is now threatened by the occurrence of several glyphosate-resistant weed species. Glyphosate resistance first appeared in Lolium rigidum in an apple orchard in Australia in 1996, ironically the year that the first glyphosate-resistant crop (soybean) was introduced in the USA. Thirty-eight weed species have now evolved resistance to glyphosate, distributed across 37 countries and in 34 different crops and six non-crop situations. Although glyphosate-resistant weeds have been identified in orchards, vineyards, plantations, cereals, fallow and non-crop situations, it is the glyphosate-resistant weeds in glyphosate-resistant crop systems that dominate the area infested and growing economic impact. Glyphosate-resistant weeds present the greatest threat to sustained weed control in major agronomic crops because this herbicide is used to control weeds with resistance to herbicides with other sites of action, and no new herbicide sites of action have been introduced for over 30 years. Industry has responded by developing herbicide resistance traits in major crops that allow existing herbicides to be used in a new way. However, over reliance on these traits will result in multiple-resistance in weeds. Weed control in major crops is at a precarious point, where we must maintain the utility of the herbicides we have until we can transition to new weed management technologies. © 2017 Society of Chemical Industry. © 2017 Society of Chemical Industry.

  13. Thirty years of nuclear fission in Yugoslavia

    Energy Technology Data Exchange (ETDEWEB)

    Pesic, M; Stefanovic, D [Boris Kidric Institute of Nuclear Sciences VINCA, Belgrade (Yugoslavia)

    1989-07-01

    Experimental nuclear reactor 'RB' in Boris Kidric Institute in Vinca is the first nuclear facility built in Yugoslavia in which the first Yugoslav controlled nuclear fission was achieved thirty years ago on April 26, 1958. Designed by Yugoslav scientist as a bare, natural uranium-heavy water critical assembly, the 'RB' reactor has survived a series of modifications trying to follow directions of contemporary nuclear research. The actual 'RB' reactor technical characteristics and experimental possibilities are described. The modifications are underlined, the experience gained and plans for future are presented. A brief review of reactor operation and experiments performed is shown. (author)

  14. Multiple antibiotic resistance patterns of rhizospheric bacteria isolated from Phragmites australis growing in constructed wetland for distillery effluent treatment.

    Science.gov (United States)

    Chaturvedi, Sonal; Chandra, Ram; Rai, Vibhuti

    2008-01-01

    Susceptibility patterns of 12 different antibiotics were investigated against rhizospheric bacteria isolated from Phragmites australis from three different zones i.e. upper (0-5 cm), middle (5-10 cm), lower (10-15 cm) in constructed wetland system with and without distillery effluent. The major pollutants of distillery effluent were phenols, sulphide, heavy metals, and higher levels of biological oxygen demand (BOD), chemical oxygen demand (COD) etc. The antibiotic resistance properties of bacteria were correlated with the heavy metal tolerance (one of distillery pollutant). Twenty-two species from contaminated and seventeen species from non-contaminated site were tested by agar disc-diffusion method. The results revealed that more than 63% of total isolates were resistance towards one or more antibiotics tested from all the three different zones of contaminated sites. The multiple-drug resistance property was shown by total 8 isolates from effluent contaminated region out of which 3 isolates were from upper zone, 3 isolates from middle zone and 2 isolates were from lower zone. Results indicated that isolates from contaminated rhizosphere were found more resistant to antibiotics than isolates from non-contaminated rhizosphere. Further this study produces evidence suggesting that tolerance to antibiotics was acquired by isolates for the adaptation and detoxification of all the pollutants present in the effluent at contaminated site. This consequently facilitated the phytoremediation of effluent, which emerges the tolerance and increases resistance to antibiotics.

  15. Prevalence and antimicrobial susceptibility pattern of methicillin resistant Staphylococcus aureus isolates from Trinidad & Tobago

    Directory of Open Access Journals (Sweden)

    Monteil Michele

    2006-07-01

    Full Text Available Abstract Background Methicillin-resistant Staphylococcus aureus (MRSA has become increasingly prevalent worldwide since it was first reported in a British hospital. The prevalence however, varies markedly in hospitals in the same country, and from one country to another. We therefore sought to document comprehensively the prevalence and antimicrobial susceptibility pattern of MRSA isolates in Trinidad and Tobago. Methods All Staphylococcus aureus isolates encountered in routine clinical specimens received at major hospitals in the country between 2000 and 2001 were identified morphologically and biochemically by standard laboratory procedures including latex agglutination test (Staphaurex Plus; Murex Diagnostics Ltd; Dartford, England; tube coagulase test with rabbit plasma (Becton, Dickinson & Co; Sparks, MD, USA, and DNase test using DNase agar (Oxoid Ltd; Basingstoke, Hampshire, England. MRSA screening was performed using Mueller-Hinton agar containing 6 μg oxacillin and 4% NaCl, latex agglutination test (Denka Seiken Co. Ltd, Tokyo, Japan and E-test system (AB Biodisk, Solna, Sweden. Susceptibility to antimicrobial agents was determined by the modified Kirby Bauer disc diffusion method while methicillin MICs were determined with E-test system. Results Of 1,912 S. aureus isolates received, 12.8% were methicillin (oxacillin resistant. Majority of the isolates were recovered from wound swabs (86.9% and the least in urine (0.4% specimens. Highest number of isolates was encountered in the surgical (62.3% and the least from obstetrics and gynaecology (1.6% facilities respectively. Large proportions of methicillin sensitive isolates are >85% sensitive to commonly used and available antimicrobials in the country. All MRSA isolates were resistant to ceftriaxone, erythromycin, gentamicin and penicillin but were 100% sensitive to vancomycin, rifampin and chloramphenicol. Conclusion There is a progressive increase in MRSA prevalence in the country but

  16. Multidrug resistance in pediatric urinary tract infections.

    Science.gov (United States)

    Gaspari, Romolo J; Dickson, Eric; Karlowsky, James; Doern, Gary

    2006-01-01

    Urinary tract infections (UTIs) represent a common infection in the pediatric population. Escherichia coli is the most common uropathogen in children, and antimicrobial resistance in this species complicates the treatment of pediatric UTIs. Despite the impact of resistance on empiric antibiotic choice, there is little data on multidrug resistance in pediatric patients. In this paper, we describe characteristics of multidrug-resistant E. coli in pediatric patients using a large national database of uropathogens antimicrobial sensitivities. Antimicrobial susceptibility patterns to commonly prescribed antibiotics were performed on uropathogens isolated from children presenting to participating hospitals between 1999 and 2001. Data were analyzed separately for four pediatric age groups. Single and multidrug resistance to ampicillin, amoxicillin-clavulanate, cefazolin, ciprofloxacin, nitrofurantoin, and trimethoprim-sulfamethoxazole (TMP-SMX) were performed on all specimens. There were a total of 11,341 E. coli urine cultures from 343 infants (0-4 weeks), 1,801 toddlers (5 weeks-24 months), 6,742 preteens (2-12 years), and 2,455 teens (13-17 years). E. coli resistance to ampicillin peaked in toddlers (52.8%) but was high in preteens (52.1%), infants (50.4%), and teens (40.6%). Resistance to two or more antibiotics varied across age groups, with toddlers (27%) leading preteens (23.1%), infants (21%), and teens (15.9%). Resistance to three or more antibiotics was low in all age groups (range 3.1-5.2%). The most common co-resistance in all age groups was ampicillin/TMP-SMZ. In conclusion, less than half of all pediatric UTIs are susceptible to all commonly used antibiotics. In some age groups, there is a significant percentage of co-resistance between the two most commonly used antibiotics (ampicillin and TMP-SMZ).

  17. Antimicrobial resistance determinant microarray for analysis of multi-drug resistant isolates

    Science.gov (United States)

    Taitt, Chris Rowe; Leski, Tomasz; Stenger, David; Vora, Gary J.; House, Brent; Nicklasson, Matilda; Pimentel, Guillermo; Zurawski, Daniel V.; Kirkup, Benjamin C.; Craft, David; Waterman, Paige E.; Lesho, Emil P.; Bangurae, Umaru; Ansumana, Rashid

    2012-06-01

    The prevalence of multidrug-resistant infections in personnel wounded in Iraq and Afghanistan has made it challenging for physicians to choose effective therapeutics in a timely fashion. To address the challenge of identifying the potential for drug resistance, we have developed the Antimicrobial Resistance Determinant Microarray (ARDM) to provide DNAbased analysis for over 250 resistance genes covering 12 classes of antibiotics. Over 70 drug-resistant bacteria from different geographic regions have been analyzed on ARDM, with significant differences in patterns of resistance identified: genes for resistance to sulfonamides, trimethoprim, chloramphenicol, rifampin, and macrolide-lincosamidesulfonamide drugs were more frequently identified in isolates from sources in Iraq/Afghanistan. Of particular concern was the presence of genes responsible for resistance to many of the last-resort antibiotics used to treat war traumaassociated infections.

  18. Early Diet and Later Cancer Risk: Prospective Associations of Dietary Patterns During Critical Periods of Childhood with the GH-IGF Axis, Insulin Resistance and Body Fatness in Younger Adulthood.

    Science.gov (United States)

    Günther, Anke L B; Schulze, Matthias B; Kroke, Anja; Diethelm, Katharina; Joslowski, Gesa; Krupp, Danika; Wudy, Stefan; Buyken, Anette E

    2015-01-01

    Early life, adiposity rebound, and puberty represent critical growth periods when food choices could have long-term relevance for cancer risk. We aimed to relate dietary patterns during these periods to the growth hormone-insulin-like-growth-factor (GH-IGF) axis, insulin resistance, and body fatness in adulthood. Data from the Dortmund Nutritional and Anthropometric Longitudinally Designed (DONALD) Study participants with outcome data at 18-37 years, and ≥2 dietary records during early life (1-2 yr; n = 128), adiposity rebound (4-6 years, n = 179), or puberty (girls 9-14, boys 10-15 yr; n = 213) were used. Dietary patterns at these ages were derived by 1) reduced rank regression (RRR) to explain variation in adult IGF-I, IGF-binding protein-3 (IGFBP-3), homoeostasis model assessment for insulin resistance (HOMA-IR) and fat-mass index; 2) principal component analysis (PCA). Regarding RRR, the patterns "cake/canned fruit/cheese & eggs" (early life), "sweets & dairy" (adiposity rebound) and "high-fat foods" (pubertal boys) were independently associated with higher adult HOMA-IR. Furthermore, the patterns "favorable carbohydrate sources" (early life), "snack & convenience foods" (adiposity rebound), and "traditional & convenience carbohydrates" (pubertal boys) were related to adult IGFBP-3 (P trend trend > 0.1). In conclusion, dietary patterns during sensitive growth periods may be of long-term relevance for adult insulin resistance and IGFBP-3.

  19. Blood-gene expression reveals reduced circadian rhythmicity in individuals resistant to sleep deprivation.

    Science.gov (United States)

    Arnardottir, Erna S; Nikonova, Elena V; Shockley, Keith R; Podtelezhnikov, Alexei A; Anafi, Ron C; Tanis, Keith Q; Maislin, Greg; Stone, David J; Renger, John J; Winrow, Christopher J; Pack, Allan I

    2014-10-01

    To address whether changes in gene expression in blood cells with sleep loss are different in individuals resistant and sensitive to sleep deprivation. Blood draws every 4 h during a 3-day study: 24-h normal baseline, 38 h of continuous wakefulness and subsequent recovery sleep, for a total of 19 time-points per subject, with every 2-h psychomotor vigilance task (PVT) assessment when awake. Sleep laboratory. Fourteen subjects who were previously identified as behaviorally resistant (n = 7) or sensitive (n = 7) to sleep deprivation by PVT. Thirty-eight hours of continuous wakefulness. We found 4,481 unique genes with a significant 24-h diurnal rhythm during a normal sleep-wake cycle in blood (false discovery rate [FDR] sleep. After accounting for circadian effects, two genes (SREBF1 and CPT1A, both involved in lipid metabolism) exhibited small, but significant, linear changes in expression with the duration of sleep deprivation (FDR sleep deprivation was a reduction in the amplitude of the diurnal rhythm of expression of normally cycling probe sets. This reduction was noticeably higher in behaviorally resistant subjects than sensitive subjects, at any given P value. Furthermore, blood cell type enrichment analysis showed that the expression pattern difference between sensitive and resistant subjects is mainly found in cells of myeloid origin, such as monocytes. Individual differences in behavioral effects of sleep deprivation are associated with differences in diurnal amplitude of gene expression for genes that show circadian rhythmicity. © 2014 Associated Professional Sleep Societies, LLC.

  20. Thirty years of transport package development for spent fuels

    International Nuclear Information System (INIS)

    Cory, A.R.

    2005-01-01

    By June 2005, when shipments of spent fuel for reprocessing from Germany are concluded, BNFL flask types will have been responsible for transporting more than 2000 tonnes of heavy metal in Europe in the form of spent fuel. Several thousand more tonnes of spent fuel have been transported by sea from Japan over the last thirty years. The design of spent fuel packages has not stood still for that time. In order to anticipate the changing needs of the nuclear power generation industry, advances have been made both in package design and analysis. Thirty years ago spent fuel burnup and initial enrichment were considerably lower, which was reflected in the different demands placed on the shielding design of packages, and in the design of the internal basket to separate the fuel assemblies. Technical development of both 'wet' (water-filled cavity) and 'dry' packages has progressed in parallel, and the relative merits and peculiarities of each type is explored. BNFL has considerable experience in the operation of both types, and is well placed to comment on practical and functional issues associated with both types. While there have been certain evolutionary changes affecting package design, there have also been more significant changes in the Design Safety Case. These have sometimes been necessary to meet changes in IAEA Regulations, or the challenges posed by the regulators themselves. In other cases advantage has been taken of improvements in analytical techniques to demonstrate increased margins of operational safety. Where possible these margins have also been increased by other means, such as taking advantage of commercial trends to reduce package thermal loads. A key factor over the last thirty years has been the increasing influence of the Regulating Authorities and the development of the IAEA Regulations. The various Competent Authorities now tend to have a higher proportion of technical experts, often recruited from the nuclear industry, and are thus more able to

  1. Identification and reproducibility of dietary patterns in a Danish cohort: the Inter99 study.

    Science.gov (United States)

    Lau, Cathrine; Glümer, Charlotte; Toft, Ulla; Tetens, Inge; Carstensen, Bendix; Jørgensen, Torben; Borch-Johnsen, Knut

    2008-05-01

    We aimed to identify dietary patterns in a Danish adult population and assess the reproducibility of the dietary patterns identified. Baseline data of 3,372 women and 3,191 men (30-60 years old) from the population-based survey Inter99 was used. Food intake, assessed by a FFQ, was aggregated into thirty-four separate food groups. Dietary patterns were identified by principal component analysis. Confirmatory factor analysis and Bland Altman plots were used to assess the reproducibility of the dietary patterns identified. The Bland Altman plots were used as an alternative and new method. Two factors were retained for both women and men, which accounted for 15.1-17.4 % of the total variation. The 'Traditional' pattern was characterised by high loadings ( > or = 0.40) on paté or high-fat meat for sandwiches, mayonnaise salads, red meat, potatoes, butter and lard, low-fat fish, low-fat meat for sandwiches, and sauces. The 'Modern' pattern was characterised by high loadings on vegetables, fruit, mixed vegetable dishes, vegetable oil and vinegar dressing, poultry, and pasta, rice and wheat kernels. Small differences were observed between patterns identified for women and men. The root mean square error approximation from the confirmatory factor analysis was 0.08. The variation observed from the Bland Altman plots of factors from explorative v. confirmative analyses and explorative analyses from two sub-samples was between 18.8 and 47.7 %. Pearson's correlation was >0.89 (P < 0.0001). The reproducibility was better for women than for men. We conclude that the 'Traditional' and 'Modern' dietary patterns identified were reproducible.

  2. Resolution pattern of jaundice among children presenting with severe malaria in rural South-West Nigeria.

    Science.gov (United States)

    Osonuga, O A; Osonuga, A; Osonuga, A A; Osonuga, I O

    2012-07-01

    To compare the pattern of jaundice resolution among children with severe malaria treated with quinine and artemether. Thirty two children who fulfilled the inclusion criteria were recruited for the study from two hospitals with intensive care facilities. They were divided into two groups; 'Q' and 'A', receiving quinine and artemether, respectively. Jaundice was assessed by clinical examination. Sixteen out of 32 children recruited (representing 50%) presented with jaundice on the day of recruitment. The mean age was (7.00°C2.56) years. On day 3, four patients in 'A' and six patients in 'Q' had jaundice. By day 7, no child had jaundice. The study has shown that both drugs resolve jaundice although artemether relatively resolves it faster by the third day.

  3. Prevalence and Pattern of Methicillin Resistant Staphylococcus ...

    African Journals Online (AJOL)

    This trend is on the increase consequently there is prolong hospital stay, increased hospital bills, and increased morbidity and mortality. The widespread use of antimicrobial agents such as the â- lactam antibiotics has contributed to the emergence of Methicillin Resistant Staphylococcus aureus(MRSA); which has become ...

  4. Isolation and partial characterization of soils actinomycetes with antimicrobial activity against multidrug-resistant bacteria

    Directory of Open Access Journals (Sweden)

    Romina Belén Parada

    2017-07-01

    Full Text Available Two hundred and thirty four actinobacteria strains were isolated from Argentinian and Peruvian soil in order to evaluate the antimicrobial activity against multidrug resistant bacteria On the basis of their antagonist activity against methicillin-resistant Staphylococcus aureus (MRSA and two vancomycin-resistant Enterococcus (EVR-Van A and  EVR Van B,13 strains were selected. The presence of NRPS, PKS-I and PKS-II genes were also investigated by PCR techniques. Among the 13 selected actinobacteria, strain AC69C displayed the higher activity in diffusion tests in solid medium and was further evaluated for the production of antagonist metabolites in liquid media. The best results were obtained using fermentation broth with carbohydrates, when starch and glucose were used in combination. Antimicrobial activities of 640 arbitrary units (AU, 320 AU, 320 AU and 80 AU were obtained against EVR-Van A, EVR-Van B, Listeria monocytogenes ATCC7644 and MRSA, respectively. PCR amplification of 16S rRNA gene and subsequent phylogenetic analysis of AC69C strain displayed a 100 % homology with Streptomyces antibioticus NRRL B-1701. It was not possible to establish a correlation between the amplified genes and antimicrobial activity of the 13 selected strains. The results of this work show the wide distribution of actinobacteria in soil and the importance of the isolation of strain to screen novel active metabolites against multidrug resistant bacteria of clinical origin.

  5. Sensitivity Pattern of Second Line Anti-Tuberculosis Drugs against Clinical Isolates of Multidrug Resistant Mycobacterium Tuberculosis

    International Nuclear Information System (INIS)

    Ghafoor, T.; Ikram, A.; Abbasi, S. A.; Zaman, G.; Ayyub, M.; Palomino, J. C.; Vandamme, P.; Martin, A.

    2015-01-01

    Objective:To determine the current sensitivity pattern of second line anti-tuberculosis drugs against clinical isolates of Multidrug Resistant Mycobacterium tuberculosis (MDR-TB). Study Design: A cross-sectional study. Place and Duration of Study: Department of Microbiology, Armed Forces Institute of Pathology (AFIP), Rawalpindi, from November 2011 to April 2013. Methodology: Samples received during the study period were processed on BACTEC MGIT 960 system for Mycobacterium tuberculosis (MTB) culture followed by first line drugs susceptibility testing of culture proven MTB isolates. On the basis of resistance to rifampicin and isoniazid, 100 clinical isolates of MDR-TB were further subjected to susceptibility testing against amikacin (AMK), capreomycin (CAP), ofloxacin (OFL) and ethionamide (ETH) as per standard BACTEC MGIT 960 instructions. Results: Out of 100 MDR-TB isolates, 62% were from male patients and 38% from female patients. 97% were sensitive to AMK, 53% to OFL, 87% to CAP; and 87% were sensitive to ETH. Conclusion: The majority of the MDR-TB isolates showed excellent sensitivity against AMK, CAP and ETH. However, sensitivity of MDR-TB isolates against fluoroquinolones like OFL was not encouraging. (author)

  6. Understanding deviations in lithographic patterns near interfaces: Characterization of bottom anti-reflective coatings (BARC) and the BARC resist interface

    Science.gov (United States)

    Lenhart, Joseph L.; Fischer, Daniel; Sambasivan, Sharadha; Lin, Eric K.; Wu, Wen-Li; Guerrero, Douglas J.; Wang, Yubao; Puligadda, Rama

    2007-02-01

    Interactions between a bottom anti-reflective coating (BARC) and a photoresist can critically impact lithographic patterns. For example, a lithographic pattern can shrink or spread near a BARC interface, a process called undercutting or footing respectively, due to incompatibility between the two materials. Experiments were conducted on two industrial BARC coatings in an effort to determine the impact of BARC surface chemistry on the footing and undercutting phenomena. The BARC coatings were characterized by near edge X-ray absorption fine structure (NEXAFS), contact angle measurements, and neutron and X-ray reflectivity. Contact angle measurement using a variety of fluids showed that the fluid contact angles were independent of the type of BARC coating or the BARC processing temperature. NEXAFS measurements showed that the surface chemistry of each BARC was also independent of the processing temperature. These results suggest that acid-base interactions at the BARC-resist interface are not the cause of the footing-undercutting phenomena encountered in lithographic patterns.

  7. Resistance Patterns Associated with HCV NS5A Inhibitors Provide Limited Insight into Drug Binding

    Directory of Open Access Journals (Sweden)

    Moheshwarnath Issur

    2014-11-01

    Full Text Available Direct-acting antivirals (DAAs have significantly improved the treatment of infection with the hepatitis C virus. A promising class of novel antiviral agents targets the HCV NS5A protein. The high potency and broad genotypic coverage are favorable properties. NS5A inhibitors are currently assessed in advanced clinical trials in combination with viral polymerase inhibitors and/or viral protease inhibitors. However, the clinical use of NS5A inhibitors is also associated with new challenges. HCV variants with decreased susceptibility to these drugs can emerge and compromise therapy. In this review, we discuss resistance patterns in NS5A with focus prevalence and implications for inhibitor binding.

  8. CUE: counterfeit-resistant usable eye movement-based authentication via oculomotor plant characteristics and complex eye movement patterns

    Science.gov (United States)

    Komogortsev, Oleg V.; Karpov, Alexey; Holland, Corey D.

    2012-06-01

    The widespread use of computers throughout modern society introduces the necessity for usable and counterfeit-resistant authentication methods to ensure secure access to personal resources such as bank accounts, e-mail, and social media. Current authentication methods require tedious memorization of lengthy pass phrases, are often prone to shouldersurfing, and may be easily replicated (either by counterfeiting parts of the human body or by guessing an authentication token based on readily available information). This paper describes preliminary work toward a counterfeit-resistant usable eye movement-based (CUE) authentication method. CUE does not require any passwords (improving the memorability aspect of the authentication system), and aims to provide high resistance to spoofing and shoulder-surfing by employing the combined biometric capabilities of two behavioral biometric traits: 1) oculomotor plant characteristics (OPC) which represent the internal, non-visible, anatomical structure of the eye; 2) complex eye movement patterns (CEM) which represent the strategies employed by the brain to guide visual attention. Both OPC and CEM are extracted from the eye movement signal provided by an eye tracking system. Preliminary results indicate that the fusion of OPC and CEM traits is capable of providing a 30% reduction in authentication error when compared to the authentication accuracy of individual traits.

  9. Would you Find Thirty online? Website use in a Western Australian physical activity campaign.

    Science.gov (United States)

    Leavy, J E; Rosenberg, M; Barnes, R; Bauman, A; Bull, F C

    2013-08-01

    Mass media campaigns have used a range of traditional media (television, radio and print) to communicate health messages. In the past decade the Internet has added to these traditional methods with Web 2.0, smart phone technology and interactive media. 'Find Thirty every day(®)', a Western Australia population-wide mass media campaign delivered over 2 years, used a combination of traditional mass media, a website, online resources and banner advertising. The aim of the present study is to describe the use of the Find Thirty every day(®) website during the campaign media activities of May 2008-June 2010. Cross-sectional self-reported survey data were collected from a random sample of adults using a computer-assisted telephone interview over the period February-March 2010. Objective online analytical measures of unique visits to the Find Thirty every day(®) website were collected between June 2008 and June 2010. Monthly visitors to the Find Thirty every day(®) website increased from 3193 in 2009 to 4374 in 2010. During the last two media waves (October 2009 and February 2010), site visits were 5388 and 5272 per month, respectively. The impact of the Find Thirty every day(®) website was a positive outcome, considering the minimal online presence. SO WHAT? Health communication campaign planners should maximise the potential synergy of traditional mass media and new social media in future campaigns. Accordingly, a multidisciplinary approach that includes communication researchers, experts in information systems and a creative team experienced in online environments will need to be the way forward.

  10. Resistance patterns of Staphylococcus aureus and Pseudomonas ...

    African Journals Online (AJOL)

    Two hundred (200) strains of S. aureus and P. aeruginosa were isolated from clinical samples collected from patients in Murtala Muhammad Specialist Hospital and Infectious Diseases Hospital, Kano. The confirmed isolates were tested for resistance to quinolones by the agar disk diffusion susceptibility test and the agar ...

  11. Paramecium swimming and ciliary beating patterns: a study on four RNA interference mutations.

    Science.gov (United States)

    Funfak, Anette; Fisch, Cathy; Abdel Motaal, Hatem T; Diener, Julien; Combettes, Laurent; Baroud, Charles N; Dupuis-Williams, Pascale

    2015-01-01

    Paramecium cells swim and feed by beating their thousands of cilia in coordinated patterns. The organization of these patterns and its relationship with cell motility has been the subject of a large body of work, particularly as a model for ciliary beating in human organs where similar organization is seen. However the rapid motion of the cells makes quantitative measurements very challenging. Here we provide detailed measurements of the swimming of Paramecium cells from high-speed video at high magnification, as they move in microfluidic channels. An image analysis protocol allows us to decouple the cell movement from the motion of the cilia, thus allowing us to measure the ciliary beat frequency (CBF) and the spatio-temporal organization into metachronal waves along the cell periphery. Two distinct values of the CBF appear at different regions of the cell: most of the cilia beat in the range of 15 to 45 Hz, while the cilia in the peristomal region beat at almost double the frequency. The body and peristomal CBF display a nearly linear relation with the swimming velocity. Moreover the measurements do not display a measurable correlation between the swimming velocity and the metachronal wave velocity on the cell periphery. These measurements are repeated for four RNAi silenced mutants, where proteins specific to the cilia or to their connection to the cell base are depleted. We find that the mutants whose ciliary structure is affected display similar swimming to the control cells albeit with a reduced efficiency, while the mutations that affect the cilia's anchoring to the cell lead to strongly reduced ability to swim. This reduction in motility can be related to a loss of coordination between the ciliary beating in different parts of the cell.

  12. Fundamentals of EUV resist-inorganic hardmask interactions

    Science.gov (United States)

    Goldfarb, Dario L.; Glodde, Martin; De Silva, Anuja; Sheshadri, Indira; Felix, Nelson M.; Lionti, Krystelle; Magbitang, Teddie

    2017-03-01

    High resolution Extreme Ultraviolet (EUV) patterning is currently limited by EUV resist thickness and pattern collapse, thus impacting the faithful image transfer into the underlying stack. Such limitation requires the investigation of improved hardmasks (HMs) as etch transfer layers for EUV patterning. Ultrathin (<5nm) inorganic HMs can provide higher etch selectivity, lower post-etch LWR, decreased defectivity and wet strippability compared to spin-on hybrid HMs (e.g., SiARC), however such novel layers can induce resist adhesion failure and resist residue. Therefore, a fundamental understanding of EUV resist-inorganic HM interactions is needed in order to optimize the EUV resist interfacial behavior. In this paper, novel materials and processing techniques are introduced to characterize and improve the EUV resist-inorganic HM interface. HM surface interactions with specific EUV resist components are evaluated for open-source experimental resist formulations dissected into its individual additives using EUV contrast curves as an effective characterization method to determine post-development residue formation. Separately, an alternative adhesion promoter platform specifically tailored for a selected ultrathin inorganic HM based on amorphous silicon (aSi) is presented and the mitigation of resist delamination is exemplified for the cases of positive-tone and negative-tone development (PTD, NTD). Additionally, original wafer priming hardware for the deposition of such novel adhesion promoters is unveiled. The lessons learned in this work can be directly applied to the engineering of EUV resist materials and processes specifically designed to work on such novel HMs.

  13. Micro-four-point Probe Hall effect Measurement method

    DEFF Research Database (Denmark)

    Petersen, Dirch Hjorth; Hansen, Ole; Lin, Rong

    2008-01-01

    barriers and with a magnetic field applied normal to the plane of the sheet. Based on this potential, analytical expressions for the measured four-point resistance in presence of a magnetic field are derived for several simple sample geometries. We show how the sheet resistance and Hall effect...

  14. Influence of standing-wave electric field pattern on the laser damage resistance of HfO sub 2 thin films

    CERN Document Server

    Protopapa, M L; De Tomasi, F; Di Giulio, M; Perrone, M R; Scaglione, S

    2002-01-01

    The standing-wave electric field pattern that forms inside an optical coating as a consequence of laser irradiation is one of the factors influencing the coating laser-induced damage threshold. The influence of the standing-wave electric field profile on the damage resistance to ultraviolet radiation of hafnium dioxide (HfO sub 2) thin films was investigated in this work. To this end, HfO sub 2 thin films of different thicknesses deposited by the electron beam evaporation technique at the same deposition conditions were analyzed. Laser damage thresholds of the samples were measured at 308 nm (XeCl laser) by the photoacoustic beam deflection technique and microscopic inspections. The dependence of the laser damage threshold on the standing-wave electric field pattern was analyzed.

  15. Guideline recommendations and antimicrobial resistance: the need for a change.

    Science.gov (United States)

    Elias, Christelle; Moja, Lorenzo; Mertz, Dominik; Loeb, Mark; Forte, Gilles; Magrini, Nicola

    2017-07-26

    Antimicrobial resistance has become a global burden for which inappropriate antimicrobial use is an important contributing factor. Any decisions on the selection of antibiotics use should consider their effects on antimicrobial resistance. The objective of this study was to assess the extent to which antibiotic prescribing guidelines have considered resistance patterns when making recommendations for five highly prevalent infectious syndromes. We used Medline searches complemented with extensive use of Web engine to identify guidelines on empirical treatment of community-acquired pneumonia, urinary tract infections, acute otitis media, rhinosinusitis and pharyngitis. We collected data on microbiology and resistance patterns and identified discrete pattern categories. We assessed the extent to which recommendations considered resistance, in addition to efficacy and safety, when recommending antibiotics. We identified 135 guidelines, which reported a total of 251 recommendations. Most (103/135, 79%) were from developed countries. Community-acquired pneumonia was the syndrome mostly represented (51, 39%). In only 16 (6.4%) recommendations, selection of empirical antibiotic was discussed in relation to resistance and specific microbiological data. In a further 69 (27.5%) recommendations, references were made in relation to resistance, but the attempt was inconsistent. Across syndromes, 12 patterns of resistance with implications on recommendations were observed. 50% to 75% of recommendations did not attempt to set recommendation in the context of these patterns. There is consistent evidence that guidelines on empirical antibiotic use did not routinely consider resistance in their recommendations. Decision-makers should analyse and report the extent of local resistance patterns to allow better decision-making. © Article author(s) (or their employer(s) unless otherwise stated in the text of the article) 2017. All rights reserved. No commercial use is permitted unless

  16. Neonatal bacteriemia isolates and their antibiotic resistance pattern in neonatal insensitive care unit (NICU at Beasat Hospital, Sanandaj, Iran.

    Directory of Open Access Journals (Sweden)

    Parvin Mohammadi

    2014-05-01

    Full Text Available Bacteremia continues to result in significant morbidity and mortality, particularly among neonates. There is scarce data on neonatal bacteremia in among Iranian neonates. In this study, we determined neonatal bacteremia isolates and their antibiotic resistance pattern in neonatal insensitive care unit at Beasat hospital, Sanandaj, Iran. During one year, all neonates admitted to the NICU were evaluated. Staphylococcal isolates were subjected to determine the prevalence of MRS and mecA gene. A total of 355 blood cultures from suspected cases of sepsis were processed, of which 27 (7.6% were positive for bacterial growth. Of the 27 isolates, 20 (74% were Staphylococcus spp as the leading cause of bacteremia. The incidence of Gram negative bacteria was 04 (14.8%. The isolated bacteria were resistant to commonly used antibiotics. Maximum resistance among Staphylococcus spp was against Penicillin, and Ampicillin. In our study, the isolated bacteria were 7.5 % Vancomycin and Ciprofloxacin sensitive. Oxacillin disk diffusion and PCR screened 35% and 30% mec a positive Staphylococcus spp. The spectrum of neonatal bacteremia as seen in NICU at Beasat hospital confirmed the importance of pathogens such as Staphylococcus spp. Penicillin, Ampicillin and Cotrimoxazol resistance was high in theses isolates with high mecA gene carriage, probably due to antibiotic selection.

  17. Three dysconnectivity patterns in treatment-resistant schizophrenia patients and their unaffected siblings

    Directory of Open Access Journals (Sweden)

    Jicai Wang

    2015-01-01

    Full Text Available Among individuals diagnosed with schizophrenia, approximately 20%–33% are recognized as treatment-resistant schizophrenia (TRS patients. These TRS patients suffer more severely from the disease but struggle to benefit from existing antipsychotic treatments. A few recent studies suggested that schizophrenia may be caused by impaired synaptic plasticity that manifests as functional dysconnectivity in the brain, however, few of those studies focused on the functional connectivity changes in the brains of TRS groups. In this study, we compared the whole brain connectivity variations in TRS patients, their unaffected siblings, and healthy controls. Connectivity network features between and within the 116 automated anatomical labeling (AAL brain regions were calculated and compared using maps created with three contrasts: patient vs. control, patient vs. sibling, and sibling vs. control. To evaluate the predictive power of the selected features, we performed a multivariate classification approach. We also evaluated the influence of six important clinical measures (e.g. age, education level on the connectivity features. This study identified abnormal significant connectivity changes of three patterns in TRS patients and their unaffected siblings: 1 69 patient-specific connectivity (PCN; 2 102 shared connectivity (SCN; and 3 457 unshared connectivity (UCN. While the first two patterns were widely reported by previous non-TRS specific studies, we were among the first to report widespread significant connectivity differences between TRS patient groups and their healthy sibling groups. Observations of this study may provide new insights for the understanding of the neurophysiological mechanisms of TRS.

  18. Detection and Characterizations of Genes Resistant to Tetracycline and Sulfa among the Bacteria in Mariculture Water

    Science.gov (United States)

    Qu, L.; Li, Y.; Zhu, P.

    2013-12-01

    One hundred and thirty-five bacteria from maricultural environments were tested for sensitivity to tetracycline and sulfa. Result show that 72% of the bacteria were sulfa-resistant, 36% of the bacteria were tetracycline-resistant, and 16.5% of bacteria showed resistance to both tetracyclines and sulfa ,indicating that the proportion of sulfa and tetracycline resistance bacteria isvery large in the maricultural environments. PCR methods were used to detect if these resistant bacteria carry tetracycline and sulfa resistance genes. Out of the 33 tetracycline-resistant bacteria screened, 3 were positive for tetA, 6 were positive for tetB and no isolate wasboth positive for tetA and tetB. Of the 97 sulfa-resistant bacteria screened, 9 were positive for sul2, 6 were positive for sul1, 1 isolate was positive for bothsul1 and sul2. The minimum inhibitory concentration (MIC) of tetracycline for tetA-carrying isolates were higher than those tetB-carrying isolates.while The MIC of sulfa for sul2-carrying isolates were higher than those sul1-carrying isolates. Indicating that tetA and sul2 gene may play ubknown roles in resisting tetracycline and sulfa than tetB and sul1 genes. The results showed the 4 kinds of genes (tetA,tetB,sul1,sul2) has no host specificity. All these 16S sequence are from the isolates which are positive for the above genes, it indicated the above antibiotic resistance genes are widespread in the environment regardless of the host. While the DNA sequence of these four genes showed tetA, sul1, sul2 genes are conservative in different bacteria , etB gene conserved poorly. The research aim is to get a preliminary understanding of resistance mechanism related to the resistant bacteria and the resistance genes in marine aquaculture environment through the analysis of resistant genes, providing research base for the prevention and treatment of drug-resistant bacteria so as to reduce the threat to the ecological environment, aquaculture and human health.

  19. Patterns of infestation by the trombiculid mite Eutrombicula alfreddugesi in four sympatric lizard species (genus Tropidurus) in northeastern Brazil

    OpenAIRE

    Rocha C.F.D.; Cunha-Barros M.; Menezes V.A.; Fontes A.F.; Vrcibradic D.; Van Sluys M.

    2008-01-01

    We studied the parasitism by the chigger mite Eutrombicula alfreddugesi on four sympatric lizard species of the genus Tropidurus in Morro do Chapéu, Bahia state, Brazil: T. hispidus, T. cocorobensis, T. semitaeniatus and T. erythrocephalus. For each species, we investigated the patterns of infestation and analyzed to which extent they varied among the hosts. We calculated the spatial niche breadth of the chigger mite on the body of each host species and the distribution of mites along the hos...

  20. Daily activity patterns of visits by males of four species of Eulaema (Apidae: Euglossina to odor baits in a tropical forest fragment in Bahia, Brasil

    Directory of Open Access Journals (Sweden)

    Pedro N. Melo

    2009-06-01

    Full Text Available Several studies have been conducted with bees of the subtribe Euglossina using odor baits as attractants. The objective of this study was to analyze the daily activity pattern of visits by males of four species of Eulaema - Eulaema nigrita Lepeletier, 1841, Eulaema flavescens (Friese, 1899, Eulaema cingulata (Fabricius, 1804 and Eulaema bombiformis (Packard, 1869 - to vanillin and benzyl acetate baits, and their relationship with climatic and environmental factors throughout the day in different months of the year in a tropical forest fragment in Bahia. Eulaema nigrita was the most frequent species on vanillin baits and E. flavescens was the most frequent species on benzyl acetate baits. The highest frequency of visits was observed in February and December. Activities started between 5:00 and 9:00 h. In February and November, visits of E. nigrita to the bait were observed daily, following a bimodal pattern. The same activity pattern was observed for E. bombiformis in December. Males of four species of Eulaema occurred in all remaining months in a unimodal daily activity pattern, with a higher frequency before 9:30 h. The correlation between the visiting activity to odor baits and climatic factors was low. This result can be due to bee flight activity occurring within a range of adequate climatic variation, particularly temperature, which in our study ranged from 23 to 32ºC. Daily activity patterns of Euglossina males on odor baits can represent patterns of flower fragrance collection under natural conditions, with visits usually at the time of highest production.

  1. Patterns of infestation by the trombiculid mite Eutrombicula alfreddugesi in four sympatric lizard species (genus Tropidurus) in northeastern Brazil.

    Science.gov (United States)

    Rocha, C F D; Cunha-Barros, M; Menezes, V A; Fontes, A f; Vrcibradic, D; Van Sluys, M

    2008-06-01

    We studied the parasitism by the chigger mite Eutrombicula alfreddugesi on four sympatric lizard species of the genus Tropidurus in Morro do Chapéu, Bahia state, Brazil: T. hispidus, T. cocorobensis, T. semitaeniatus and T. erythrocephalus. For each species, we investigated the patterns of infestation and analyzed to which extent they varied among the hosts. We calculated the spatial niche breadth of the chigger mite on the body of each host species and the distribution of mites along the hosts' bodies for each Tropidurus species. All four species of Tropidurus at Morro do Chapéu were parasited by the chigger mite, with high (97-100%) prevalences. Host body size significantly explained the intensity of mite infestation for all species, except T. erythrocephalus. The body regions with highest intensity of infestation in the four lizard species were the mite pockets. The spacial niche width of the chigger varied consistently among the four lizards species studied being highest for T. erytrocephalus and lowest for T. cocorobensis. We conclude that the distribution and intensity with which lizards of the genus Tropidurus are infested by Eutrombicula alfreddugesi larvae results from the interaction between aspects of host morphology (such as body size and the occurrence and distribution of mite pockets) and ecology (especially microhabitat use).

  2. Patterns of infestation by the trombiculid mite Eutrombicula alfreddugesi in four sympatric lizard species (genus Tropidurus in northeastern Brazil

    Directory of Open Access Journals (Sweden)

    Rocha C.F.D.

    2008-06-01

    Full Text Available We studied the parasitism by the chigger mite Eutrombicula alfreddugesi on four sympatric lizard species of the genus Tropidurus in Morro do Chapéu, Bahia state, Brazil: T. hispidus, T. cocorobensis, T. semitaeniatus and T. erythrocephalus. For each species, we investigated the patterns of infestation and analyzed to which extent they varied among the hosts. We calculated the spatial niche breadth of the chigger mite on the body of each host species and the distribution of mites along the hosts’ bodies for each Tropidurus species. All four species of Tropidurus at Morro do Chapéu were parasited by the chigger mite, with high (97-100% prevalences. Host body size significantly explained the intensity of mite infestation for all species, except T. erythrocephalus. The body regions with highest intensity of infestation in the four lizard species were the mite pockets. The spacial niche width of the chigger varied consistently among the four lizards species studied being highest for T. erytrocephalus and lowest for T. cocorobensis. We conclude that the distribution and intensity with which lizards of the genus Tropidurus are infested by Eutrombicula alfreddugesi larvae results from the interaction between aspects of host morphology (such as body size and the occurrence and distribution of mite pockets and ecology (especially microhabitat use.

  3. Nicholas Kaldor after Thirty Years

    Directory of Open Access Journals (Sweden)

    John Edward King

    2016-06-01

    Full Text Available The article analyses Kaldor’s ideas on economic policy, his interest in policy issues, and his contribution on specific policies. It underlines Kaldor’s strong and cogent views on three main topics: monetary and fiscal policy, the control of cost inflation, and the stabilisation of commodity prices. The author suggests how Kaldor might have reacted to the most important economic policy questions that still face Britain and the European Union, thirty years after his death. Kaldor was a prominent opponent of Britain’s entry into the then Common Market in the 1970s: not on the basis of any emotive English nationalism, but rather because he believed that the British economy would be damaged by an exposure to unlimited competition from more successful European industries. Perhaps he would have argued that, by 2016, the damage has already been done, and that Britain should now remain in the Union to continue the fight for more sensible macroeconomic policies. He would certainly have been pleased that his adoptive country had refused to join the Eurozone. JEL: B31; E61; E52

  4. CASE REPORT Thirty years old lady with nephrotic syndrome: a ...

    African Journals Online (AJOL)

    CASE REPORT. Thirty years old lady with nephrotic syndrome: a case of biopsy proven lupus nephritis in Tanzania. FRANCIS FREDRICK1,2*, PASCHAL J. RUGGAJO2,3,GYAVIIRA MAKANGA3, CHARLES K. SHIJA3, MIKAEL. AMDEMARIAM3, BELSON RUGWIZAGONGA4 and JAMES N. KITINYA4. 1Department of ...

  5. Virulence factors and mechanisms of antimicrobial resistance in Shigella strains from periurban areas of Lima (Peru).

    Science.gov (United States)

    Lluque, Angela; Mosquito, Susan; Gomes, Cláudia; Riveros, Maribel; Durand, David; Tilley, Drake H; Bernal, María; Prada, Ana; Ochoa, Theresa J; Ruiz, Joaquim

    2015-01-01

    The study was aimed to describe the serotype, mechanisms of antimicrobial resistance, and virulence determinants in Shigella spp. isolated from Peruvian children. Eighty three Shigella spp. were serogrouped and serotyped being established the antibiotic susceptibility. The presence of 12 virulence factors (VF) and integrase 1 and 2, along with commonly found antibiotic resistance genes was established by PCR. S. flexneri was the most relevant serogroup (55 isolates, 66%), with serotype 2a most frequently detected (27 of 55, 49%), followed by S. boydii and S. sonnei at 12 isolates each (14%) and S. dysenteriae (four isolates, 5%). Fifty isolates (60%) were multi-drug resistant (MDR) including 100% of S. sonnei and 64% of S. flexneri. Resistance levels were high to trimethoprim-sulfamethoxazole (86%), tetracycline (74%), ampicillin (67%), and chloramphenicol (65%). Six isolates showed decreased azithromycin susceptibility. No isolate was resistant to nalidixic acid, ciprofloxacin, nitrofurantoin, or ceftriaxone. The most frequent resistance genes were sul2 (95%), tet(B) (92%), cat (80%), dfrA1 (47%), blaOXA-1like (40%), with intl1 and intl2 detected in 51 and 52% of the isolates, respectively. Thirty-one different VF profiles were observed, being the ipaH (100%), sen (77%), virA and icsA (75%) genes the most frequently found. Differences in the prevalence of VF were observed between species with S. flexneri isolates, particularly serotype 2a, possessing high numbers of VF. In conclusion, this study highlights the high heterogeneity of Shigella VF and resistance genes, and prevalence of MDR organisms within this geographic region. Copyright © 2015 Elsevier GmbH. All rights reserved.

  6. Multiple drug resistance patterns in various phylogenetic groups of uropathogenic E.coli isolated from Faisalabad region of Pakistan

    Directory of Open Access Journals (Sweden)

    Saira Bashir

    2011-12-01

    Full Text Available The objective of this work was the phylogenetic characterization of local clinical isolates of uropathogenic E. coli with respect to drug resistance. A total of 59 uropathogenic E. coli responsible for community acquired urinary tract infections were included in this study. A triplex PCR was employed to segregate each isolate into four different phylogenetic groups (A, B1, B2 and D. Drug resistance was evaluated by disc diffusion method. The drugs used were ampicillin, aztreonam, cefixime, cefoperazone, ceftriaxone, cephradine among β-lactam group; amikacin, gentamicin, and streptomycin among aminoglycosides; nalidixic acid and ciprofloxacin from quinolones; trimethoprim-sulfomethoxazole, and tetracycline. Among 59 uropathogenic E. coli isolates majority belonged to phylogenetic group B2 (50% where as 19% each belonged to groups A and B1, and 12% to group D. All the isolates were multiple drug resistant (MDR. Most effective drugs against Group A, B1, and B2 were gentamicin, amikacin and cefixime; ceftriaxone and quinolones; and ceftriaxone and amikacin, respectively. Group D isolates were found to be highly resistant to all drugs. Our results have shown emergence of MDR isolates among uropathogenic E. coli with dominance of phylogenetic group B2. However, it was found that group D isolates were though less frequent, more drug resistant as compared with group B2. Groups A and B1 were relatively uncommon. Amikacin, ceftriaxone and gentamicin were the most effective drugs in general.

  7. Patterning and predicting aquatic insect richness in four West-African coastal rivers using artificial neural networks

    Directory of Open Access Journals (Sweden)

    Edia E.O.

    2010-10-01

    Full Text Available Despite their importance in stream management, the aquatic insect assemblages are still little known in West Africa. This is particularly true in South-Eastern Ivory Coast, where aquatic insect assemblages were hardly studied. We therefore aimed at characterising aquatic insect assemblages on four coastal rivers in South-Eastern Ivory Coast. Patterning aquatic insect assemblages was achieved using a Self-Organizing Map (SOM, an unsupervised Artificial Neural Networks (ANN method. This method was applied to pattern the samples based on the richness of five major orders of aquatic insects (Diptera, Ephemeroptera, Coleoptera, Trichoptera and Odonata. This permitted to identify three clusters that were mainly related to the local environmental status of sampling sites. Then, we used the environmental characteristics of the sites to predict, using a multilayer perceptron neural network (MLP, trained by BackPropagation algorithm (BP, a supervised ANN, the richness of the five insect orders. The BP showed high predictability (0.90 for both Diptera and Trichoptera, 0.84 for both Coleoptera and Odonata, 0.69 for Ephemeroptera. The most contributing variables in predicting the five insect order richness were pH, conductivity, total dissolved solids, water temperature, percentage of rock and the canopy. This underlines the crucial influence of both instream characteristics and riparian context.

  8. Thirty ways to temporize on waste

    International Nuclear Information System (INIS)

    Marshall, E.

    1987-01-01

    Congress must decide in the coming weeks whether it should try to revive the civil nuclear waste program or yield to politics and apply an anesthetic for a couple of years. The program is in such poor shape that Congress may have to do something. Thirty proposals have been introduced; most would derail or postpone the present plan for disposing of nuclear waste. About 50 members of Congress would like to call a moratorium on field research at potential waste repository sites. They see this as the best way out of the present impasse-if a moratorium is a way out. But this decision would come as a blow to the nuclear utilities, already hard-pressed on several fronts. The last thing they want is a general review of the waste program. The options available for dispersing of nuclear wastes are discussed

  9. Corticosteroid injections, eccentric decline squat training and heavy slow resistance training in patellar tendinopathy

    DEFF Research Database (Denmark)

    Kongsgaard, M.; Kovanen, V.; Aagaard, P.

    2009-01-01

    A randomized-controlled single-blind trial was conducted to investigate the clinical, structural and functional effects of peritendinous corticosteroid injections (CORT), eccentric decline squat training (ECC) and heavy slow resistance training (HSR) in patellar tendinopathy. Thirty-nine male...

  10. Influence of regular reporting on local Pseudomonas aeruginosa and Acinetobacter spp. sensitivity to antibiotics on consumption of antibiotics and resistance patterns.

    Science.gov (United States)

    Djordjevic, Z M; Folic, M M; Jankovic, S M

    2017-10-01

    Regular surveillance of antimicrobial resistance is an important component of multifaceted interventions directed at the problem with resistance of bacteria causing healthcare-associated infections (HAIs) in intensive care units (ICUs). Our aim was to analyse antimicrobial consumption and resistance among isolates of Pseudomonas aeruginosa and Acinetobacter spp. causing HAIs, before and after the introduction of mandatory reporting of resistance patterns to prescribers. A retrospective observational study was conducted between January 2011 and December 2015, at an interdisciplinary ICU of the Clinical Centre Kragujevac, Serbia. The intervention consisted of continuous resistance monitoring of all bacterial isolates from ICU patients and biannual reporting of results per isolate to prescribers across the hospital. Both utilization of antibiotics and density of resistant isolates of P. aeruginosa and Acinetobacter spp. were followed within the ICU. Resistance densities of P. aeruginosa to all tested antimicrobials were lower in 2015, in comparison with 2011. Although isolates of Acinetobacter spp. had lower resistance density in 2015 than in 2011 to the majority of investigated antibiotics, a statistically significant decrease was noted only for piperacillin/tazobactam. Statistically significant decreasing trends of consumption were recorded for third-generation cephalosporins, aminoglycosides and fluoroquinolones, whereas for the piperacillin/tazobactam, ampicillin/sulbactam and carbapenems, utilization trends were decreasing, but without statistical significance. In the same period, increasing trends of consumption were observed for tigecycline and colistin. Regular monitoring of resistance of bacterial isolates in ICUs and reporting of summary results to prescribers may lead to a significant decrease in utilization of some antibiotics and slow restoration of P. aeruginosa and Acinetobacter spp. susceptibility. © 2017 John Wiley & Sons Ltd.

  11. The Thirty Years War as a prototype of hybrid wars

    OpenAIRE

    A. V. Bagaeva

    2015-01-01

    The idea of the article is to show that the phenomenon of hybrid war, which confidently entered the scientific and official discourse, has a long history. In author’s opinion, the Thirty Years’ War in Central Europe can be characterized as one of the first historical examples of hybrid war.

  12. Urinary Tract Infections Due to Catheterization and Drug Resistance Patterns of Isolated Bacteria

    Directory of Open Access Journals (Sweden)

    M. Mosavian

    2004-07-01

    Full Text Available UTI is the most common infection in all ages and urinary catheters especially long-term catheterization are important predisposing factors of UTI. Urinary catheters are used in different hospital wards as a complementary curative method for the patients who are undergone various surgical procedures, such as : cesarean, hysterectomy , laparotomy, etc and they who are unable to control their voided urine . 226 urine specimens were collected from 119 catheterized patients which had been hospitalized in seven wards of Razi and Golestan hospitals in Ahwas city . At least two urine specimens were collected from each patient , before and after the insertion of the catheter . All of the specimens were inoculated to suitable Media, after transportation to the Microbiology Lab . Isolated colonies were identified and their resistance patterns were determined by the standard disk diffusion method (Kirby –Bauer procedure to 8 different antibiotics. 38 cases (43.6% out of 87 patients showed Bacteriuria in the end of catheterization . They had no bacteriuria symptoms or sign before the catheterization. The most cases(28.9% of bacteriuria occured in 30-39 years group and the lowest cases (2.6 % of them occured in 60-69 years group. Out of 50 bacterial strains isolated from urine cultures , E.coil (with 17 cases was the highest (34% and Staphylococcus aureus , Klebsiella pneumoniae , Edwardsiella tarda , Enterobacter sakazakii (with 2% for each were the lowest cases. E.coli, Enterobacter and Kl. rhinoscleromatis , showed the most resistance to Ampicillin, Penicillin , Cephalexin , and the lowest rate to Nalidixic acid, Gentamicin and Nitrofurantoin . Staphylococcus epidermidis isolates ,also,showed the most resistance (100% to Penicillin and Ampicillin , and the lowest rate to Gentamicin (with 66.7%, Cotrimoxazol and Nitrofurantoin (with 50% .The results of this study suggested that catheterization , especially long- term catheterization causes the rise of

  13. [Sequential prescriptions: Arguments for a change of therapeutic patterns in treatment resistant depressions].

    Science.gov (United States)

    Allouche, G

    2016-02-01

    Among the therapeutic strategies in treatment of resistant depression, the use of sequential prescriptions is discussed here. A number of observations, initially quite isolated and few controlled studies, some large-scale, have been reported, which showed a definite therapeutic effect of certain requirements in sequential treatment of depression. The Sequenced Treatment Alternatives to Relieve Depression Study (STAR*D) is up to now the largest clinical trial exploring treatment strategies in non psychotic resistant depression in real-life conditions with an algorithm of sequential decision. The main conclusions of this study are the following: after two unsuccessful attempts, the chance of remission decreases considerably. A 12-months follow-up showed that the higher the use of the processing steps were high, the more common the relapses were during this period. The pharmacological differences between psychotropic did not cause clinically significant difference. The positive effect of lithium in combination with antidepressants has been known since the work of De Montigny. Antidepressants allow readjustment of physiological sequence involving different monoaminergic systems together. Studies with tricyclic antidepressant-thyroid hormone T3: in depression, decreased norepinephrine at the synaptic receptors believed to cause hypersensitivity of these receptors. Thyroid hormones modulate the activity of adrenergic receptors. There would be a balance of activity between alpha and beta-adrenergic receptors, depending on the bioavailability of thyroid hormones. ECT may in some cases promote pharmacological response in case of previous resistance, or be effective in preventing relapse. Cognitive therapy and antidepressant medications likely have an effect on different types of depression. We can consider the interest of cognitive therapy in a sequential pattern after effective treatment with an antidepressant effect for treatment of residual symptoms, preventing relapses

  14. Antimicrobial resistance patterns of Staphylococcus species isolated from cats presented at a veterinary academic hospital in South Africa.

    Science.gov (United States)

    Qekwana, Daniel Nenene; Sebola, Dikeledi; Oguttu, James Wabwire; Odoi, Agricola

    2017-09-15

    Antimicrobial resistance is becoming increasingly important in both human and veterinary medicine. This study investigated the proportion of antimicrobial resistant samples and resistance patterns of Staphylococcus isolates from cats presented at a veterinary teaching hospital in South Africa. Records of 216 samples from cats that were submitted to the bacteriology laboratory of the University of Pretoria academic veterinary hospital between 2007 and 2012 were evaluated. Isolates were subjected to antimicrobial susceptibility testing against a panel of 15 drugs using the disc diffusion method. Chi square and Fisher's exact tests were used to assess simple associations between antimicrobial resistance and age group, sex, breed and specimen type. Additionally, associations between Staphylococcus infection and age group, breed, sex and specimen type were assessed using logistic regression. Staphylococcus spp. isolates were identified in 17.6% (38/216) of the samples submitted and 4.6% (10/216) of these were unspeciated. The majority (61.1%,11/18) of the isolates were from skin samples, followed by otitis media (34.5%, 10/29). Coagulase Positive Staphylococcus (CoPS) comprised 11.1% (24/216) of the samples of which 7.9% (17/216) were S. intermedius group and 3.2% (7/216) were S. aureus. Among the Coagulase Negative Staphylococcus (CoNS) (1.9%, 4/216), S. felis and S. simulans each constituted 0.9% (2/216). There was a significant association between Staphylococcus spp. infection and specimen type with odds of infection being higher for ear canal and skin compared to urine specimens. There were higher proportions of samples resistant to clindamycin 34.2% (13/25), ampicillin 32.4% (2/26), lincospectin 31.6% (12/26) and penicillin-G 29.0% (11/27). Sixty three percent (24/38) of Staphylococcus spp. were resistant to one antimicrobial agent and 15.8% were multidrug resistant (MDR). MDR was more common among S. aureus 28.6% (2/7) than S. intermedius group isolates 11.8% (2

  15. Activation and regulation of the pattern recognition receptors in obesity-induced adipose tissue inflammation and insulin resistance.

    Science.gov (United States)

    Watanabe, Yasuharu; Nagai, Yoshinori; Takatsu, Kiyoshi

    2013-09-23

    Obesity-associated chronic tissue inflammation is a key contributing factor to type 2 diabetes mellitus, and a number of studies have clearly demonstrated that the immune system and metabolism are highly integrated. Recent advances in deciphering the various immune cells and signaling networks that link the immune and metabolic systems have contributed to our understanding of the pathogenesis of obesity-associated inflammation. Other recent studies have suggested that pattern recognition receptors in the innate immune system recognize various kinds of endogenous and exogenous ligands, and have a crucial role in initiating or promoting obesity-associated chronic inflammation. Importantly, these mediators act on insulin target cells or on insulin-producing cells impairing insulin sensitivity and its secretion. Here, we discuss how various pattern recognition receptors in the immune system underlie the etiology of obesity-associated inflammation and insulin resistance, with a particular focus on the TLR (Toll-like receptor) family protein Radioprotective 105 (RP105)/myeloid differentiation protein-1 (MD-1).

  16. Activation and Regulation of the Pattern Recognition Receptors in Obesity-Induced Adipose Tissue Inflammation and Insulin Resistance

    Directory of Open Access Journals (Sweden)

    Kiyoshi Takatsu

    2013-09-01

    Full Text Available Obesity-associated chronic tissue inflammation is a key contributing factor to type 2 diabetes mellitus, and a number of studies have clearly demonstrated that the immune system and metabolism are highly integrated. Recent advances in deciphering the various immune cells and signaling networks that link the immune and metabolic systems have contributed to our understanding of the pathogenesis of obesity-associated inflammation. Other recent studies have suggested that pattern recognition receptors in the innate immune system recognize various kinds of endogenous and exogenous ligands, and have a crucial role in initiating or promoting obesity-associated chronic inflammation. Importantly, these mediators act on insulin target cells or on insulin-producing cells impairing insulin sensitivity and its secretion. Here, we discuss how various pattern recognition receptors in the immune system underlie the etiology of obesity-associated inflammation and insulin resistance, with a particular focus on the TLR (Toll-like receptor family protein Radioprotective 105 (RP105/myeloid differentiation protein-1 (MD-1.

  17. Patterns of chloroquine use and resistance in sub-Saharan Africa: a systematic review of household survey and molecular data

    Science.gov (United States)

    2011-01-01

    Background As a result of widespread chloroquine and sulphadoxine-pyrimethamine (SP) resistance, 90% of sub-Saharan African countries had adopted policies of artemisinin-based combination therapy (ACT) for treatment of uncomplicated malaria by 2007. In Malawi, cessation of chloroquine use was followed by the re-emergence of chloroquine-susceptible malaria. It was expected that introduction of ACT would lead to a return in chloroquine susceptibility throughout Africa, but this has not yet widely occurred. This observation suggests that there is continuing use of ineffective anti-malarials in Africa and that persistent chloroquine-resistant malaria is due to ongoing drug pressure despite national policy changes. Methods To estimate drug use on a national level, 2006-2007 Demographic Health Survey and Multiple Indicator Cluster Survey data from 21 African countries were analysed. Resistance data were compiled by systematic review of the published literature on the prevalence of the Plasmodium falciparum chloroquine resistance transporter polymorphism at codon 76, which causes chloroquine resistance. Results Chloroquine was the most common anti-malarial used according to surveys from 14 of 21 countries analysed, predominantly in West Africa. SP was most commonly reported in two of 21 countries. Among eight countries with longitudinal molecular resistance data, the four countries where the highest proportion of children treated for fever received chloroquine (Uganda, Burkina Faso, Guinea Bissau, and Mali) also showed no significant declines in the prevalence of chloroquine-resistant infections. The three countries with low or decreasing chloroquine use among children who reported fever treatment (Malawi, Kenya, and Tanzania) had statistically significant declines in the prevalence of chloroquine resistance. Conclusions This study demonstrates that in 2006-2007, chloroquine and SP continued to be used at high rates in many African countries. In countries reporting

  18. Conjunctival bacterial flora and antibiotic resistance pattern in patients undergoing cataract surgery

    International Nuclear Information System (INIS)

    Ansari, M.R.; Modani, H.

    2008-01-01

    The purpose of this study was to evaluate the conjunctival bacterial flora and its antibiotic susceptibility pattern in eyes of patients undergoing cataract surgery. Conjunctival soap was obtained on the day of surgery before the application of topical anesthetic, antibiotic or povidone-iodine. Culture and antibiotic susceptibility tests were performed. The data was analysed with X/sup 2/ and T tests. Of the 170 patients 89 cases (52.4%) had positive cultures in the eyes. In 79 eyes (88.8%) found coagulase-negative Staphylococcus (CoNS). Eighty two cases (95.3%) of isolated Staphylococcus were susceptible to Amikacin, 86 (100%) sensitive to Ciprofloxacin and 42 (48.8%) sensitive to Ceftazidime. Average susceptibility and resistancy to antibiotics was 2.6 (+-1.8) antibiotics in women and 1.6(+-1.4) in men (P= 0.009). This study showed that the bacterium most frequently found in the conjunctival flora of the patients undergoing cataract surgery was CoNS. Isolates of this bacterium had low CoNS susceptibility rates to Caftazidime and Vancomycin and high susceptibility to Ciprofloxacin and Amikacin. (author)

  19. Emergence of multidrug-resistant Proteus mirabilis in a long-term care facility in Croatia.

    Science.gov (United States)

    Bedenić, Branka; Firis, Nataša; Elveđi-Gašparović, Vesna; Krilanović, Marija; Matanović, Krešimir; Štimac, Iva; Luxner, Josefa; Vraneš, Jasmina; Meštrović, Tomislav; Zarfel, Gernot; Grisold, Andrea

    2016-06-01

    An increased frequency of Proteus mirabilis isolates resistant to expanded-spectrum cephalosporins was observed recently in a long-term care facility in Zagreb (Godan). The aim of this study was the molecular characterization of resistance mechanisms to new cephalosporins in P. mirabilis isolates from this nursing home. Thirty-eight isolates collected from 2013-2015 showing reduced susceptibility to ceftazidime were investigated. Antibiotic susceptibilities were determined by broth microdilution method. Inhibitor-based tests were performed to detect extended-spectrum (ESBLs) and AmpC β-lactamases. AmpC β-lactamases were characterized by polymerase chain reaction (PCR) followed by sequencing of bla ampC genes. Quinolone resistance determinants (qnr genes) were characterized by PCR. Genotyping of the isolates was performed by repetitive element sequence (rep)-PCR and pulsed-field gel electrophoresis (PFGE). Presence of an AmpC β-lactamase was confirmed in all isolates by combined-disk test with phenylboronic acid. All isolates were resistant to amoxicillin alone and combined with clavulanate, cefotaxime, ceftriaxone, cefoxitin, and ciprofloxacin; but susceptible to cefepime, imipenem, and meropenem. PCR followed by sequencing using primers targeting bla ampc genes revealed CMY-16 β-lactamase in all but one strain. Bla cmy-16 was carried by a non-conjugative plasmid which did not belong to any known plasmid-based replicon typing (PBRT) group. Rep-PCR identified one large clone consisting of 15 isolates, three pairs or related isolates, one triplet, and four singletons. PFGE confirmed the clonality of the isolates. This is the first report of multidrug resistant P. mirabilis in a nursing home in Croatia. Cephalosporin resistance was due to plasmid-mediated AmpC β-lactamase CMY-16.

  20. A new multiplex PCR for easy screening of methicillin-resistant Staphylococcus aureus SCCmec types I-V

    DEFF Research Database (Denmark)

    Boye, Kit; Bartels, Mette Damkjær; Andersen, Ina S

    2007-01-01

    A multiplex PCR with four primer-pairs was designed to identify the five main known SCCmec types. A clear and easily discriminated band pattern was obtained for all five types. The SCCmec type was identified for 98% of 312 clinical isolates of methicillin-resistant Staphylococcus aureus (MRSA......). SCCmec type IV was by far the most common SCCmec type among both hospital- and community-acquired MRSA isolates in Denmark....

  1. Patterns and predictors of antimicrobial resistance among Staphylococcus spp. from canine clinical cases presented at a veterinary academic hospital in South Africa.

    Science.gov (United States)

    Qekwana, Daniel N; Oguttu, James W; Sithole, Fortune; Odoi, Agricola

    2017-04-28

    Antimicrobial resistance in staphylococci, often associated with treatment failure, is increasingly reported in veterinary medicine. The aim of this study was to investigate patterns and predictors of antimicrobial resistance among Staphylococcus spp. isolates from canine samples submitted to the bacteriology laboratory at the University of Pretoria academic veterinary hospital between 2007 and 2012. Retrospective data of 334 Staphylococcus isolates were used to calculate the proportion of samples resistant to 15 antimicrobial agents. The Cochran-Armitage trend test was used to investigate temporal trends and logistic regression models were used to investigate predictors of antimicrobial resistance in Staphylococcus aureus and Staphylococcus pseudintermedius. Results show that 98.2% (55/56) of the S. aureus isolates were resistant to at least one drug while 42.9% were multidrug resistant. Seventy-seven percent (214/278) of the S. pseudintermedius isolates were resistant to at least one drug and 25.9% (72/278) were multidrug resistant. Resistance to lincospectin was more common among S. aureus (64.3%) than S. pseudintermedius (38.9%). Similarly, resistance to clindamycin was higher in S. aureus (51.8%) than S. pseudintermedius (31.7%) isolates. There was a significant (p = 0.005) increase in S. aureus resistance to enrofloxacin over the study period. Similarly, S. pseudintermedius exhibited significant increasing temporal trend in resistance to trimethoprim-sulphamethoxazole (p = 0.004), clindamycin (p = 0.022) and orbifloxacin (p = 0.042). However, there was a significant decreasing temporal trend in the proportion of isolates resistant to doxycycline (p = 0.041), tylosin (p = 0.008), kanamycin (p = 0.017) and amoxicillin/clavulanic acid (p = 0.032). High levels of multidrug resistance and the increasing levels of resistance to sulphonamides, lincosamides and fluoroquinolones among Staphylococcus spp. isolates in this study are concerning. Future

  2. Polystyrene negative resist for high-resolution electron beam lithography

    Directory of Open Access Journals (Sweden)

    Ma Siqi

    2011-01-01

    Full Text Available Abstract We studied the exposure behavior of low molecular weight polystyrene as a negative tone electron beam lithography (EBL resist, with the goal of finding the ultimate achievable resolution. It demonstrated fairly well-defined patterning of a 20-nm period line array and a 15-nm period dot array, which are the densest patterns ever achieved using organic EBL resists. Such dense patterns can be achieved both at 20 and 5 keV beam energies using different developers. In addition to its ultra-high resolution capability, polystyrene is a simple and low-cost resist with easy process control and practically unlimited shelf life. It is also considerably more resistant to dry etching than PMMA. With a low sensitivity, it would find applications where negative resist is desired and throughput is not a major concern.

  3. The Thirty Years War as a prototype of hybrid wars

    Directory of Open Access Journals (Sweden)

    A. V. Bagaeva

    2015-01-01

    Full Text Available The idea of the article is to show that the phenomenon of hybrid war, which confidently entered the scientific and official discourse, has a long history. In author’s opinion, the Thirty Years’ War in Central Europe can be characterized as one of the first historical examples of hybrid war.

  4. Dietary patterns and cognitive ability among 12- to 13 year-old adolescents in Selangor, Malaysia.

    Science.gov (United States)

    Nurliyana, Abdul Razak; Mohd Nasir, Mohd Taib; Zalilah, Mohd Shariff; Rohani, Abdullah

    2015-02-01

    The present study aimed to identify dietary patterns and determine the relationship between dietary patterns and cognitive ability among 12- to 13 year-old Malay adolescents in the urban areas of Gombak district in Selangor, Malaysia. Data on sociodemographic background were obtained from parents. Height and weight were measured and BMI-for-age was determined. Adolescents were interviewed on their habitual dietary intakes using a semi-quantitative FFQ. Cognitive ability was assessed using the Wechsler Nonverbal Scale of Ability in a one-to-one manner. Dietary patterns were constructed using principal component analysis based on thirty-eight food groups of the semi-quantitative FFQ. Urban secondary public schools in the district of Gombak in Selangor, Malaysia. Malay adolescents aged 12 to 13 years (n 416). The mean general cognitive ability score was 101·8 (sd 12·4). Four major dietary patterns were identified and labelled as 'refined-grain pattern', 'snack-food pattern', 'plant-based food pattern' and 'high-energy food pattern'. These dietary patterns explained 39·1 % of the variance in the habitual dietary intakes of the adolescents. The refined-grain pattern was negatively associated with processing speed, which is a construct of general cognitive ability. The high-energy food pattern was negatively associated with general cognitive ability, perceptual reasoning and processing speed. Monthly household income and parents' educational attainment were positively associated with all of the cognitive measures. In multivariate analysis, only the high-energy food pattern was found to contribute significantly towards general cognitive ability after controlling for socio-economic status. Consumption of foods in the high-energy food pattern contributed towards general cognitive ability after controlling for socio-economic status. However, the contribution was small.

  5. Detection of Macrolide, Lincosamide and Streptogramin Resistance among Methicillin Resistant Staphylococcus aureus (MRSA in Mumbai

    Directory of Open Access Journals (Sweden)

    Arunagiri Subramanian

    2015-01-01

    Full Text Available Background: The increase in incidence of Methicillin Resistant Staphyloccocus aureus (MRSA and its extraordinary potential to develop antimicrobial resistance has highlighted the need for better agents to treat such infections. This has led to a renewed interest in use of new drugs for treatment with clindamycin and quinuprsitin-dalfopristin being the preferred choice for treatment. Aim & Objectives: This study was undertaken to detect the prevalence of MacrolideLincosamide-Streptogramin (MLS resistance among clinical isolates of MRSA.Material and Methods:Two hundred and thirty clinical isolates of S. aureus were subjected to routine antibiotic susceptibility testing including cefoxitin, erythromycin and quinupristindalfopristin. Inducible resistance to clindamycin was tested by 'D' test as per Clinical and Laboratory Standards Institute (CLSI guidelines. Results: Out of all S. aureus isolates, 93.91% were identified as MRSA. In the disc diffusion testing, 81.5% of isolates showed erythromycin resistance. Among these, the prevalence of constitutive (cMLS , inducible (iMLS b b and MS-phenotype were 35.80%, 31.82% and 32.39% respectively by the D-test method. 77.8% of isolates were resistant to quinupristin-dalfopristin and the Minimum Inhibitory Concentration (MIC ranged from 4–32 µg/ml. 89.20% of isolates were resistant to both quinupristin-dalfopristin and erythromycin of which 35.03%, 35.67% and 29.30% belonged to iMLS , cMLS and MS phenotype respectively. Conclusion: The emergence of quinupristindalfopristin resistance and MLS phenotypes brings b about the need for the simple and reliable D-test in routine diagnosis and further susceptibility testing for proper antimicrobial therapy.

  6. Performance of μ-RWELL detector vs resistivity of the resistive stage

    Science.gov (United States)

    Bencivenni, G.; De Oliveira, R.; Felici, G.; Gatta, M.; Morello, G.; Ochi, A.; Lener, M. Poli; Tskhadadze, E.

    2018-04-01

    The μ-RWELL is a compact spark-protected single amplification stage Micro-Pattern-Gaseous-Detector (MPGD). The detector amplification stage is realized with a polyimide structure, micro-patterned with a dense matrix of blind-holes, integrated into the readout structure. The anode is formed by a thin Diamond Like Carbon (DLC) resistive layer separated by an insulating glue layer from the readout strips. The introduction of the resistive layer strongly suppressing the transition from streamer to spark gives the possibility to achieve large gains (> 104), without significantly affecting the capability to be efficiently operated in high particle fluxes. In this work we present the results of a systematic study of the μ-RWELL performance as a function of the DLC resistivity. The tests have been performed either with collimated 5.9 keV X-rays or with pion and muon beams at the SPS Secondary Beamline H4 and H8 at CERN.

  7. Comparative study of four interleukin 17 cytokines of tongue sole Cynoglossus semilaevis: Genomic structure, expression pattern, and promoter activity.

    Science.gov (United States)

    Chi, Heng; Sun, Li

    2015-11-01

    The interleukin (IL)-17 cytokine family participates in the regulation of many cellular functions. In the present study, we analyzed the genomic structure, expression, and promoter activity of four IL-17 members from the teleost fish tongue sole (Cynoglossus semilaevis), i.e. CsIL-17C CsIL-17D, CsIL-17F, and IL-17F like (IL-17Fl). We found that CsIL-17C, CsIL-17D, CsIL-17F, and CsIL-17Fl share 21.2%-28.6% overall sequence identities among themselves and 31.5%-71.2% overall sequence identities with their counterparts in other teleost. All four CsIL-17 members possess an IL-17 domain and four conserved cysteine residues. Phylogenetic analysis classified the four CsIL-17 members into three clusters. Under normal physiological conditions, the four CsIL-17 expressed in multiple tissues, especially non-immune tissues. Bacterial infection upregulated the expression of all four CsIL-17, while viral infection upregulated the expression of CsIL-17D and CsIL-17Fl but downregulated the expression of CsIL-17C and CsIL-17F. The 1.2 kb 5'-flanking regions of the four CsIL-17 exhibited apparent promoter activity and contain a number of putative transcription factor-binding sites. Furthermore, the promoter activities of CsIL-17C, CsIL-17D, and CsIL-17F, but not CsIL-17Fl, were modulated to significant extents by lipopolysaccharide, PolyI:C, and PMA. This study provides the first evidence that in teleost, different IL-17 members differ in expression pattern and promoter activity. Copyright © 2015 Elsevier Ltd. All rights reserved.

  8. Resistance patterns of Pseudomonas aeruginosa isolated from HIV ...

    African Journals Online (AJOL)

    negative bacilli in patients with impaired host defences emphasizes the need for information on the antibiotic susceptibility of the organisms that infects such patients. Pseudomonas aeruginosa are becoming increasingly resistant to ...

  9. Stem nematode counteracts plant resistance of aphids in alfalfa, Medicago sativa.

    Science.gov (United States)

    Ramirez, Ricardo A; Spears, Lori R

    2014-10-01

    Plants are exploited by a diverse community of insect herbivores and phytopathogens that interact indirectly through plant-mediated interactions. Generally, plants are thought to respond to insects and pathogens through different defensive signaling pathways. As plants are selected for resistance to one phytophagous organism type (insect vs. pathogen) in managed systems, it is not clear how this selection may affect community interactions. This study examined the effect of nematode-resistant varieties on aphid (Acyrthosiphon pisum) suppression, and then determined how infection by the stem nematode, Ditylenchus dipsaci, mediated ecological effects on aphids and on plant defense proteins. Four alfalfa (Medicago sativa) varieties were selected with resistance to nematodes only (+,-), aphids only (-,+), nematodes and aphids (+,+), and susceptibility to nematodes and aphids (-,-). Field and greenhouse experiments were conducted to isolate the effect of nematode infection and aphid abundance on each variety. We found that varieties resistant to nematode, regardless of aphid resistance, had the lowest aphid counts, suggesting possible cross-resistance. Aphid abundance, however, increased when plants were exposed to nematodes. Resistant varieties were associated with elevated saponins but these compounds were not affected by insect or pathogen feeding. Concentrations of peroxidases and trypsin inhibitors, however, were increased in nematode resistant varieties when exposed to nematodes and aphids, respectively. The patterns of plant defense were variable, and a combination of resistance traits and changes in nutrient availability may drive positive interactions between nematodes and aphids aboveground.

  10. No significant role for beta tubulin mutations and mismatch repair defects in ovarian cancer resistance to paclitaxel/cisplatin

    International Nuclear Information System (INIS)

    Mesquita, Bárbara; Veiga, Isabel; Pereira, Deolinda; Tavares, Ana; Pinto, Isabel M; Pinto, Carla; Teixeira, Manuel R; Castedo, Sérgio

    2005-01-01

    The mechanisms of chemoresistance in ovarian cancer patients remain largely to be elucidated. Paclitaxel/cisplatin combination is the standard chemotherapeutic treatment for this disease, although some patients do not respond to therapy. Our goals were to investigate whether TUBB mutations and mismatch repair defects underlie paclitaxel and cisplatin resistance. Thirty-four patients with primary ovarian carcinomas (26 serous and eight clear cell carcinomas) treated with paclitaxel/cisplatin were analysed. TUBB exon 4 was analysed by nested PCR after a first round PCR using intronic primers. Microsatellite analysis was performed with the quasimonomorphic markers BAT 26 and BAT 34. Twenty-two of the 34 ovarian cancers (64.7%) presented residual tumour after surgery, seven of which (7/22; 31.8%) were shown to be chemoresistant (five serous and two clear cell tumours). Sequence analysis did not find any mutation in TUBB exon 4. Microsatellite instability was not detected in any of the ovarian carcinomas. We conclude that TUBB exon 4 mutations and mismatch repair defects do not play a significant role in paclitaxel/cisplatin resistance

  11. prevalence and antibiotic resistance patterns of escherichia coli

    African Journals Online (AJOL)

    2014-06-01

    Jun 1, 2014 ... The emergence of resistance to antimicrobial agents is a global public health problem ... tract infections, neonatal meningitis, diarrhoea and septicaemia (1). ..... uncomplicated urinary tract infections in children in developing ...

  12. The fibre orientation influence in cementitious composite against extreme load resistance

    Science.gov (United States)

    Lovichova, R.; Fornusek, J.; Mara, M.; Kocova, M.; Rihova, Z.

    2018-02-01

    This paper is focused on resistance of steel fibre-reinforced cement composite against impact of the deformable projectile shot from the 7.62 × 39 caliber. Different values of resistance against impact of the projectile are caused by different orientation of the fibres. The influence of formwork position, which is the main cause of the different orientation of the fibres, is investigated. The resistance was examined on thirty slabs made of ultra-high performance fibre-reinforced concrete (UHPFRC). Fifteen specimens with vertical orientation of formwork and fifteen with horizontal orientation of formwork was made. The resistance is classified according to the visual evaluation and local damage measurement on the front side and the rear side of the examined specimens. The experiment shown positive influence of vertically oriented formwork on the slabs according to their resistance against impact of the projectile.

  13. Pattern of Serum Electrolytes Changes among Non Psychotic ...

    African Journals Online (AJOL)

    Method: Serum electrolytes, Na+, K+, Ca2+, Mg2+, were measured in 30 depressed patients at the Neuropsychiatric Hospital Rumuigbo, Port Harcourt before therapy commenced and after four (4) weeks of amitriptyline. Thirty (30) known non psychotic disordered subjects matched for age and sex were used as control.

  14. Thirty years of the new astronomy

    International Nuclear Information System (INIS)

    Rowan-Robinson, M.

    1990-01-01

    In an overview of advances in astrophysics over the last thirty years, the author expounds some of the important discoveries and how they have contributed to our understanding of star formation and evolution. The starting point for these new ideas has been exploring the non-visible radiations of the universe. Telescopes and observatories have been tuned to receive infrared, ultraviolet, x-ray, gamma ray and radiowave emissions. Radio astronomy revealed the existence of interstellar molecular clouds where stars are born. The discovery of the uniform microwave background radiation has suggested that the Universe started as a hot big bang. The inflationary model of cosmology provides answers to some problems of the big bang theory and predicts the existence of dark, nonluminous, matter which some infrared observations have confirmed. The author ends by providing a modern, astrophysical, description of the constellations so familiar to mediaeval astrologers. (UK)

  15. Cross-resistance to herbicides in annual ryegrass (lolium rigidum)

    International Nuclear Information System (INIS)

    Christopher, J.T.; Powles, S.B.; Liljegren, D.R.; Holtum, J.A.M.

    1991-01-01

    Lolium rigidum Gaud. biotype SLR31 is resistant to the herbicide diclofop-methyl and cross-resistant to several sulfonylurea herbicides. Wheat and the cross-resistant ryegrass exhibit similar patterns of resistance to sulfonylurea herbicides, suggesting that the mechanism of resistance may be similar. Cross-resistant ryegrass is also resistant to the wheat-selective imidazolinone herbicide imazamethabenz. The cross-resistant biotype SLR31 metabolized [phenyl-U- 14 C]chlorsulfuron at a faster rate than a biotype which is susceptible to both diclofop-methyl and chlorsulfuron. A third biotype which is resistant to diclofop-methyl but not to chlorsulfuron metabolized chlorsulfuron at the same rate as the susceptible biotype. The increased metabolism of chlorsulfuron observed in the cross-resistant biotype is, therefore, correlated with the patterns of resistance observed in these L. rigidum biotypes. During high performance liquid chromatography analysis the major metabolite of chlorsulfuron in both susceptible and cross-resistant ryegrass coeluted with the major metabolite produced in wheat. The major product is clearly different from the major product in the tolerant dicot species, flax (Linium usitatissimum). The elution pattern of metabolites of chlorsulfuron was the same for both the susceptible and cross-resistant ryegrass but the cross-resistant ryegrass metabolized chlorsulfuron more rapidly. The investigation of the dose response to sulfonylurea herbicides at the whole plant level and the study of the metabolism of chlorsulfuron provide two independent sets of data which both suggest that the resistance to chlorsulfuron in cross-resistant ryegrass biotype SLR31 involves a wheat-like detoxification system

  16. Comparative analysis of antibiotic resistance and phylogenetic group patterns in human and porcine urinary tract infectious Escherichia coli

    DEFF Research Database (Denmark)

    Hancock, Viktoria; Nielsen, E.M.; Krag, L.

    2009-01-01

    Urinary tract infections (UTIs) are one of the most common infectious diseases in humans and domestic animals such as pigs. The most frequent infectious agent in such infections is Escherichia coli. Virulence characteristics of E. coli UTI strains range from highly virulent pyelonephritis strains...... to relatively benign asymptomatic bacteriuria strains. Here we analyse a spectrum of porcine and human UTI E. coli strains with respect to their antibiotic resistance patterns and their phylogenetic groups, determined by multiplex PCR. The clonal profiles of the strains differed profoundly; whereas human...

  17. Smoking, inflammatory patterns, and postprandial hypertriglyceridemia

    Science.gov (United States)

    Background: Smoking is associated with increased postprandial hypertriglyceridemia (PPT). Inflammation and insulin resistance are potential "drivers" for this phenomenon. We tested whether inflammatory patterns and/or insulin resistance explain the effect of smoking on PPT. Methods: Men and women i...

  18. pattern and outcome of spinal injury at kenyatta national hospital

    African Journals Online (AJOL)

    Thirty eight percent of the patients who survived to 3 months had American Spinal. Injury Association (ASIA) Impairment Scale (AIS) A – complete injury. Forty four point eight ... of injury were industrial accidents and animal attacks. Figure 1.

  19. Antibiotic resistance patterns and beta-lactamase identification in ...

    African Journals Online (AJOL)

    Children acquire bacteria from their mother during birth,[3,4] and ... Our results revealed high resistance rates to co-trimoxazole (54.0%), penicillin .... the inclusion of a beta-lactamase inhibitor, clavulanic acid. .... Folate pathway inhibitor/.

  20. Performance of Molecular Approaches for Aspergillus Detection and Azole Resistance Surveillance in Cystic Fibrosis

    Directory of Open Access Journals (Sweden)

    Hélène Guegan

    2018-03-01

    Full Text Available Aspergillus fumigatus triazole resistance is an emerging concern for treating chronically infected/colonized patients. This study sought to evaluate the performance of PCR assays to detect Aspergillus fungi together with azole resistance in sputum samples from cystic fibrosis (CF patients. In total, 119 sputum samples from 87 CF patients were prospectively processed for Aspergillus detection by means of mycological culture and four qPCR assays, 2 in-house methods and two commercial multiplex real-time PCR assays simultaneously detecting Aspergillus and the most relevant cyp51A gene mutations (MycoGENIE® and AsperGenius®. Azole susceptibility of A. fumigatus isolates was assessed using Etest® method and cyp51A gene mutation were characterized by sequencing. The overall rate of Aspergillus detection with the four qPCR assays ranged from 47.9 to 57.1%, contrasting with 42/119 (35.3% positive cultures with A. fumigatus. The high sensitivity of PCR on sputum could then contribute to more effective grading of Aspergillus disease in CF patients. Five out of 41 isolated strains (12.2% exhibited azole-resistant MIC patterns, three of which harbored cyp51A mutations and only 1/3 with the sequence TR34/L98H. Combined with culture, PCR assay achieved high sensitivity Aspergillus screening in CF samples. However, cyp51A targeting was only moderately effective for azole resistance monitoring, while Aspergillus resistance remains of great concern.

  1. Wythenshawe boiler rig. Thirty years of support to the UK nuclear power industry

    International Nuclear Information System (INIS)

    Rudge, Andy; Woolsey, Ian S.; Moore, Andrew

    2010-01-01

    The Wythenshawe Boiler Rig in Manchester, UK, recently celebrated thirty years of operation in support of the UK nuclear power industry. The Boiler Rig, owned by EDF Energy and operated on EDF Energy's behalf by Serco plc, is a full scale once-through boiler test facility for the investigation of chemistry and corrosion related topics. This paper presents an overview of the design and operation of the Boiler Rig together with some of the technical highlights from its thirty years of operation, many of which have relevance to power plant operations beyond those plants for which the work was performed. (orig.)

  2. Genetic sexing strains for four species of insects

    International Nuclear Information System (INIS)

    Seawright, J.A.

    1990-01-01

    Genetic sexing strains were assembled by using classical genetic and cytogenetic techniques for four medically important species of insects. Male linked reciprocal translocations were used to impose pseudolinkage of sex and selectable genes (shown in parentheses) for Anopheles albimanus (propoxur resistance), Anopheles quadrimaculatus sp. A (malathion resistance), Stomoxys calcitrans (dieldrin resistance; malathion resistance, and black pupa), and Musca domestica (black pupa). These strains would be of great value in implementation of the sterile insect technique for control of these species because the females can either be killed in the egg stage (in the case of insecticide resistance as the selectable gene) or they can be separated from the males and thus excluded from releases. (author). 13 refs

  3. Patterns of antimicrobial resistance in Streptococcus suis isolates from pigs with or without streptococcal disease in England between 2009 and 2014.

    Science.gov (United States)

    Hernandez-Garcia, Juan; Wang, Jinhong; Restif, Olivier; Holmes, Mark A; Mather, Alison E; Weinert, Lucy A; Wileman, Thomas M; Thomson, Jill R; Langford, Paul R; Wren, Brendan W; Rycroft, Andrew; Maskell, Duncan J; Tucker, Alexander W

    2017-08-01

    Antimicrobial resistance in Streptococcus suis, a global zoonotic pathogen of pigs, has been mostly studied only in diseased animals using surveys that have not evaluated changes over time. We compared patterns of resistance between S. suis isolates from clinical cases of disease (CC) and non-clinical case (NCC) pigs in England, collected over two discrete periods, 2009-2011 and 2013-2014. Minimum inhibitory concentrations (MIC) of 17 antimicrobials (nine classes) were determined on 405 S. suis isolates categorised by sampling period and disease association to assess changes in resistance over time and association with disease. First, isolates were characterized as resistant or susceptible using published clinical breakpoints. Second, epidemiological cut-offs (ECOFF) were derived from MIC values, and isolates classified as wild type (WT) below the ECOFF and non-wild type (NWT) above the ECOFF. Finally, isolate subsets were analysed for shifts in MIC distribution. NCC isolates were more resistant than CC isolates to cephalosporins, penams, pleuromutilins, potentiated sulphonamides and tetracyclines in both study periods. Resistance levels among CC isolates increased in 2013-2014 relative to 2009-2011 for antimicrobials including aminoglycosides, cephalosporins, fluoroquinolones, pleuromutilins, potentiated sulphonamides and tetracyclines. The prevalence of isolates categorised as NWT for five or more classes of antimicrobials was greater among NCC than CC isolates for both time periods, and increased with time. This study used standardised methods to identify significant shifts in antimicrobial resistance phenotypes of S. suis isolated from pigs in England, not only over time but also between isolates from known clinical cases or disease-free pigs. Copyright © 2017. Published by Elsevier B.V.

  4. Anti-Inflammatory Effects of a Bout of Circuit Resistance Exercise With Moderateintensity in Inactive Obese Males

    Directory of Open Access Journals (Sweden)

    Asghari Jafarabadi

    2011-11-01

    Full Text Available Introduction: Obesity is a state characterized by a low-grade inflammation that leads to insulin resistance. The aim of the present study was to assess serum interleukin-18 (IL-18, interleukin-6 (IL-6, C-reactive protein (CRP and Homeostasis Model Assessment of Insulin Resistance (HOMA-IR in response to circuit resistance exercise in obese and normal-weight subjects with different levels of physical activity. Methods: Thirty-two healthy male students participated in the present study. Subjects were divided into 4 groups according to their BMI and level of physical activity: active obese (n=8, active non-obese (n=8, inactive obese (n=8, and inactive non-obese (n=8. To determine serum IL-6, IL-18, CRP, glucose and insulin concentrations, fasting and post-exercise blood samples were obtained. Subjects performed a bout of circuit resistance exercise in 2 sets with 10 repetitions at 60% of 1RM. Results: Obese subjects comparing non-obese ones showed significant increase in IL-6 and significant decrease in IL-18 concentrations in response to exercise (p<0.05. There was no significant difference between active normal and inactive normal subjects in response to exercise. Also, there were not significant differences in four groups in response to exercise. Discussion: The significant decrease in IL-18 concentration in the obese group comparing normal group in response to exercise was probably due to anti-inflammatory effects of exercise. Also, recommending this kind of exercise for obese persons with low level of physical activity can improve insulin resistance.

  5. A Status Report on the Thirty Meter Telescope Adaptive Optics

    Indian Academy of Sciences (India)

    2016-01-27

    Jan 27, 2016 ... We provide an update on the recent development of the adaptive optics (AO) systems for the Thirty Meter Telescope (TMT) since mid-2011. The first light AO facility for TMT consists of the Narrow Field Infra-Red AO System (NFIRAOS) and the associated Laser Guide Star Facility (LGSF). This order 60 × 60 ...

  6. The effect of resistance level and stability demands on recruitment patterns and internal loading of spine in dynamic flexion and extension using a simple trunk model.

    Science.gov (United States)

    Zeinali-Davarani, Shahrokh; Shirazi-Adl, Aboulfazl; Dariush, Behzad; Hemami, Hooshang; Parnianpour, Mohamad

    2011-07-01

    The effects of external resistance on the recruitment of trunk muscles in sagittal movements and the coactivation mechanism to maintain spinal stability were investigated using a simple computational model of iso-resistive spine sagittal movements. Neural excitation of muscles was attained based on inverse dynamics approach along with a stability-based optimisation. The trunk flexion and extension movements between 60° flexion and the upright posture against various resistance levels were simulated. Incorporation of the stability constraint in the optimisation algorithm required higher antagonistic activities for all resistance levels mostly close to the upright position. Extension movements showed higher coactivation with higher resistance, whereas flexion movements demonstrated lower coactivation indicating a greater stability demand in backward extension movements against higher resistance at the neighbourhood of the upright posture. Optimal extension profiles based on minimum jerk, work and power had distinct kinematics profiles which led to recruitment patterns with different timing and amplitude of activation.

  7. Analysis of Ti valence states in resistive switching regions of a rutile TiO2‑ x four-terminal memristive device

    Science.gov (United States)

    Yamaguchi, Kengo; Takeuchi, Shotaro; Tohei, Tetsuya; Ikarashi, Nobuyuki; Sakai, Akira

    2018-06-01

    We have performed Ti valence state analysis of our four-terminal rutile TiO2‑ x single-crystal memristors using scanning transmission electron microscopy–electron energy loss spectroscopy (STEM–EELS). Analysis of Ti-L2,3 edge EELS spectra revealed that the electrocolored region formed by the application of voltage includes a valence state reflecting highly reduced TiO2‑ x due to the accumulation of oxygen vacancies. Such a valence state mainly exists within ∼50 nm from the crystal surface and extends along specific crystal directions. These electrically reduced surface layers are considered to directly contribute to the resistive switching (RS) in the four-terminal device. The present results add new insights into the microscopic mechanisms of the RS phenomena and should contribute to further development and improvements of TiO2‑ x based memristive devices.

  8. Resistance exercise induces region-specific adaptations in anterior pituitary gland structure and function in rats.

    Science.gov (United States)

    Kraemer, William J; Flanagan, Shawn D; Volek, Jeff S; Nindl, Bradley C; Vingren, Jakob L; Dunn-Lewis, Courtenay; Comstock, Brett A; Hooper, David R; Szivak, Tunde K; Looney, David P; Maresh, Carl M; Hymer, Wesley C

    2013-12-01

    The anterior pituitary gland (AP) increases growth hormone (GH) secretion in response to resistance exercise (RE), but the nature of AP adaptations to RE is unknown. To that end, we examined the effects of RE on regional AP somatotroph GH release, structure, and relative quantity. Thirty-six Sprague-Dawley rats were assigned to one of four groups: 1) no training or acute exercise (NT-NEX); 2) no training with acute exercise (NT-EX); 3) resistance training without acute exercise (RT-NEX); 4) resistance training with acute exercise (RT-EX). RE incorporated 10, 1 m-weighted ladder climbs at an 85° angle. RT groups trained 3 days/wk for 7 wk, progressively. After death, trunk blood was collected, and each AP was divided into quadrants (ventral-dorsal and left-right). We measured: 1) trunk plasma GH; 2) somatotroph GH release; 3) somatotroph size; 4) somatotroph secretory content; and 5) percent of AP cells identified as somatotrophs. Trunk GH differed by group (NT-NEX, 8.9 ± 2.4 μg/l; RT-NEX, 9.2 ± 3.5 μg/l; NT-EX, 15.6 ± 3.4 μg/l; RT-EX, 23.4 ± 4.6 μg/l). RT-EX demonstrated greater somatotroph GH release than all other groups, predominantly in ventral regions (P pituitary gland. RE training appears to induce dynamic adaptations in somatotroph structure and function.

  9. Evaluating four-dimensional time-lapse electrical resistivity tomography for monitoring DNAPL source zone remediation.

    Science.gov (United States)

    Power, Christopher; Gerhard, Jason I; Karaoulis, Marios; Tsourlos, Panagiotis; Giannopoulos, Antonios

    2014-07-01

    Practical, non-invasive tools do not currently exist for mapping the remediation of dense non-aqueous phase liquids (DNAPLs). Electrical resistivity tomography (ERT) exhibits significant potential but has not yet become a practitioner's tool due to challenges in interpreting the survey results at real sites. This study explores the effectiveness of recently developed four-dimensional (4D, i.e., 3D space plus time) time-lapse surface ERT to monitor DNAPL source zone remediation. A laboratory experiment demonstrated the approach for mapping a changing NAPL distribution over time. A recently developed DNAPL-ERT numerical model was then employed to independently simulate the experiment, providing confidence that the DNAPL-ERT model is a reliable tool for simulating real systems. The numerical model was then used to evaluate the potential for this approach at the field scale. Four DNAPL source zones, exhibiting a range of complexity, were initially simulated, followed by modeled time-lapse ERT monitoring of complete DNAPL remediation by enhanced dissolution. 4D ERT inversion provided estimates of the regions of the source zone experiencing mass reduction with time. Results show that 4D time-lapse ERT has significant potential to map both the outline and the center of mass of the evolving treated portion of the source zone to within a few meters in each direction. In addition, the technique can provide a reasonable, albeit conservative, estimate of the DNAPL volume remediated with time: 25% underestimation in the upper 2m and up to 50% underestimation at late time between 2 and 4m depth. The technique is less reliable for identifying cleanup of DNAPL stringers outside the main DNAPL body. Overall, this study demonstrates that 4D time-lapse ERT has potential for mapping where and how quickly DNAPL mass changes in real time during site remediation. Copyright © 2014 Elsevier B.V. All rights reserved.

  10. Antibiotic resistance as a global threat: Evidence from China, Kuwait and the United States

    Directory of Open Access Journals (Sweden)

    Rotimi Vincent

    2006-04-01

    Full Text Available Abstract Background Antimicrobial resistance is an under-appreciated threat to public health in nations around the globe. With globalization booming, it is important to understand international patterns of resistance. If countries already experience similar patterns of resistance, it may be too late to worry about international spread. If large countries or groups of countries that are likely to leap ahead in their integration with the rest of the world – China being the standout case – have high and distinctive patterns of resistance, then a coordinated response could substantially help to control the spread of resistance. The literature to date provides only limited evidence on these issues. Methods We study the recent patterns of antibiotic resistance in three geographically separated, and culturally and economically distinct countries – China, Kuwait and the United States – to gauge the range and depth of this global health threat, and its potential for growth as globalization expands. Our primary measures are the prevalence of resistance of specific bacteria to specific antibiotics. We also propose and illustrate methods for aggregating specific "bug-drug" data. We use these aggregate measures to summarize the resistance pattern for each country and to study the extent of correlation between countries' patterns of drug resistance. Results We find that China has the highest level of antibiotic resistance, followed by Kuwait and the U.S. In a study of resistance patterns of several most common bacteria in China in 1999 and 2001, the mean prevalence of resistance among hospital-acquired infections was as high as 41% (with a range from 23% to 77% and that among community- acquired infections was 26% (with a range from 15% to 39%. China also has the most rapid growth rate of resistance (22% average growth in a study spanning 1994 to 2000. Kuwait is second (17% average growth in a period from 1999 to 2003, and the U.S. the lowest (6% from

  11. Resistência bacteriana e ação das bacteriocinas de Lactobacillus spp em Staphylococcus aureus isolados de mastite bovina

    Directory of Open Access Journals (Sweden)

    B.R. Pribul

    2011-06-01

    Full Text Available Staphylococcus aureus is the major pathogen causing intramammary infections in dairy cattle worldwide. Among the factors that contribute to its spread and infectious potential is the ability to overcome the mechanisms of antimicrobials activity. The present work investigated the antimicrobial resistance pattern and sensibility to bacteriocins produced by strains of Lactobacillus spp of 30 isolates of S. aureus from mastitis. From this, 29 are beta-lactamase producers. Eight isolates (26.6% showed resistance to at least four antibiotics being considered multiresistent. All of them were mecA-positive. Otherwise, all isolates tested showed sensibility to at least one of the four bacteriocin producer strains. Due to the significant depletion of the efficacy of antimicrobials, pathogen growth inhibition by bacteriocins seems an alternative of biological control in infectious processes.

  12. Interplay of normative beliefs and behavior in developmental patterns of physical and relational aggression in adolescence: A four-wave longitudinal study

    Directory of Open Access Journals (Sweden)

    Barbara eKrahé

    2014-10-01

    Full Text Available A longitudinal study with N = 1,854 adolescents from Germany investigated patterns of change and gender differences in physical and relational aggression in relation to normative beliefs about aggression. Participants, whose mean age was 13 years at T1, completed self-report measures of physically and relationally aggressive behavior and indicated their normative approval about both forms of aggression at four data waves separated by 12-month intervals. Boys scored higher than did girls on both forms of aggression, but the gender difference was more pronounced for physical aggression. Physical aggression decreased and relational aggression increased over the four data waves in both gender groups. The normative acceptance of both forms of aggression decreased over time, with a greater decrease for the approval of physical aggression. In both gender groups, normative approval of relational aggression prospectively predicted relational aggression across all data waves, and the normative approval of physical aggression predicted physically aggressive behavior at the second and third data waves. A reciprocal reinforcement of aggressive norms and behavior was found for both forms of aggression. The findings are discussed as supporting a social information processing perspective on developmental patterns of change in physical and relational aggression in adolescence.

  13. Interplay of normative beliefs and behavior in developmental patterns of physical and relational aggression in adolescence: a four-wave longitudinal study.

    Science.gov (United States)

    Krahé, Barbara; Busching, Robert

    2014-01-01

    In a longitudinal study with N = 1,854 adolescents from Germany, we investigated patterns of change and gender differences in physical and relational aggression in relation to normative beliefs about these two forms of aggression. Participants, whose mean age was 13 years at T1, completed self-report measures of physically and relationally aggressive behavior and indicated their normative approval of both forms of aggression at four data waves separated by 12-month intervals. Boys scored higher than did girls on both forms of aggression, but the gender difference was more pronounced for physical aggression. Physical aggression decreased and relational aggression increased over the four data waves in both gender groups. The normative acceptance of both forms of aggression decreased over time, with a greater decrease for the approval of physical aggression. In both gender groups, normative approval of relational aggression prospectively predicted relational aggression across all data waves, and the normative approval of physical aggression predicted physically aggressive behavior at the second and third data waves. A reciprocal reinforcement of aggressive norms and behavior was found for both forms of aggression. The findings are discussed as supporting a social information processing perspective on developmental patterns of change in physical and relational aggression in adolescence.

  14. Isolation and identification of Staphylococcus aureus from milk and milk products and their drug resistance patterns in Anand, Gujarat

    Directory of Open Access Journals (Sweden)

    M. N. Brahmbhatt

    2013-02-01

    Full Text Available Aim: The study was carried out with aim to isolate Staphylococcus aureus from milk and milk products (pedha and curd and determine antibiogram pattern of S. aureus isolates. Materials and Methods: During 9 months duration of study a total of 160 milk and milk product samples (pedha and curd were collected from different places in and around Anand city such as milk collection centre of Co-operative milk dairies, cattle farms, individual household, milk vendors and sweet shops. The samples were collected under aseptic precautions and were enriched in Peptone Water (PW followed by direct plating on selective media viz. Baird-Parker Agar. The presumptive S. aureus isolates were identified by biochemical tests. Antibiogram pattern of S. aureus to antimicrobial agents were evaluated by disk diffusion method. Results: Analysis of result revealed that out of total 160 samples of milk (100 and milk products i.e. curd (30 and pedha (30 resulted in the isolation of 10 isolates (6.25 % of S. aureus. In the present study S. aureus isolates were found variably resistant to the antibiotics tested. The S. aureus isolates showed highest sensitivity towards cephalothin (100.00 %, co-trimoxazole (100.00 %, cephalexin (100.00 % and methicillin (100.00 % followed by gentamicin (90.00 %, ciprofloxacin (80.00 %, oxacillin (70.00 %, streptomycin (60.00 % and ampicillin (60.00 %. The pattern clearly indicated that the overall high percent of S. aureus isolates were resistant to Penicillin-G (100.00 % followed by ampicillin (40.00 %, oxytetracycline and oxacillin (20.00 % and streptomycin and gentamicin (10.00 % Conclusions: Results clearly suggested a possibility of potential public health threat of S. aureus resulting from contamination of milk and milk products with pathogenic bacteria is mainly due to unhygienic processing, handling and unhygienic environment. [Vet World 2013; 6(1.000: 10-13

  15. Padrão de resistência de genótipos de aveia à ferrugem-da-folha na definição de hibridações Resistance pattern of white oat genotypes to crown rust in the definition of crosses

    Directory of Open Access Journals (Sweden)

    Eduardo Alano Vieira

    2006-04-01

    Full Text Available Os objetivos deste trabalho foram: determinar o padrão de resistência/suscetibilidade de 20 genótipos de aveia a 40 isolados de Puccinia coronata f. sp. avenae, coletados em três municípios do Rio Grande do Sul; o padrão de virulência/avirulência desses isolados contra os genótipos de aveia; e indicar genitores para a geração de populações com elevada resistência à ferrugem-da-folha. Os padrões de resistência de Puccinia coronata f. sp. avenae e o de virulência/avirulência dos isolados foram determinados pela avaliação da reação desencadeada pela aspersão dos isolados deste fungo em plântulas de genótipos de aveia. A seleção de genitores foi baseada no índice de complementação de cultivares, proposto neste trabalho. Os genótipos que expressaram resistência ao maior número de isolados foram FAPA6, URS20, UPFA20, CFT1 e FAPA5, ao passo que os genótipos UFRGS15, UPF15, UPF18, UPF19 e UPF16 evidenciaram suscetibilidade ao maior número de isolados. Os cruzamentos mais indicados entre os genótipos estudados são: FAPA6 x Albasul, URS22 x FAPA6, CFT1 x URPEL15 e CFT1 x UFRGS19.The objectives of this work were: to determine the resistance/susceptibility pattern of 20 elite oat genotypes to 40 isolates of Puccinia coronata f. sp. avenae; to determine the pattern of virulence/avirulence of isolates collected in three counties of Rio Grande do Sul to the oat genotypes studied; and to indicate potential parents for the generation of populations with high crown rust resistance. The resistance pattern of oat genotypes and the virulence/avirulence of the fungi were determined by the analysis of the reaction incited by the inoculation of Puccinia coronata f. sp. avenae isolates into seedlings of oat genotypes. The selection of genitors was based on the cultivar complementation index proposed in this work. Genotypes expressing resistance to the larger number of isolates were FAPA6, URS20, UPFA20, CFT1 and FAPA5, while UFRGS15

  16. High prevalence of multidrug resistant tuberculosis in Djibouti: a retrospective study.

    Science.gov (United States)

    Boyer-Cazajous, Géraldine; Martinaud, Christophe; Déhan, Céline; Hassan, Mohammed Osman; Gaas, Yassin; Chenilleau-Vidal, Marie-Caroline; Soler, Charles

    2014-02-13

    The Republic of Djibouti is an African country that exhibits one of the highest incidence rate of tuberculosis in the world. The aim of this study was to evaluate the prevalence of multidrug-resistant tuberculosis among new cases. We studied retrospectively every tuberculosis case diagnosed over a 12-month period in patients hospitalized at the French Military Hospital of Bouffard. During this period, 1,274 samples from 675 patients were tested. We isolated 266 mycobacteria corresponding to 180 cases of tuberculosis. Thirty-three were fully susceptible and 57% met the tuberculosis criteria, with 46% primary resistance. No extensively-drug-resistant tuberculosis was found. Our results highlight a major concern about the situation in this part of the world.

  17. Genotyping of rifampin-resistant Mycobacterium tuberculosis isolates from Western Turkey

    International Nuclear Information System (INIS)

    Cavasoglu, Cengiz; Bilgic, Altinay; Durmaz, Riza; Gunal, Selami

    2004-01-01

    Although the rate of multiple drug resistance is high there is no published data on the transmission rate of drug-resistant strains of Mycobacterium tuberculosis in the Aegean region of Western Turkey that are based on molecular methods. IS6110 and pTBN12 restriction fragment lengthpolymorphism (RFLP) methods were used for typing Mycobacterium tuberculosis isolated from 26 sputum samples from 26 patients. 19 of rifampin-resistant isolates (73.1%) contained 6 to 11 copies of 156110. Eighteen different IS6110 DNA fingerprint patterns were observed in the 26 rifampin resistant isolates. 23 of the 26 rifampin-resistant isolates were also resistant to isoniazid. When evaluated together, both methods yielded 21 (80.9%) different banding patterns and the level of clustering was 34.6%. The average number per pattern was 1.23 (26/21). IS6110 fingerprinting suggests that the rifampin-resistant isolates obtained from the Aegean region had a relatively high clustering rate and were clonally related. These findings showed that the rifampin-resistant isolates are actively transmitted between patients. Urgent measures should be taken to prevent the spread of these resistant strains. (author)

  18. Value of gadoxetic acid-enhanced and diffusion-weighted MR imaging in evaluation of hepatocellular carcinomas with atypical enhancement pattern on contrast-enhanced multiphasic MDCT in patients with chronic liver disease

    International Nuclear Information System (INIS)

    Kim, Hyun Su; Kim, Seong Hyun; Kang, Tae Wook; Song, Kyoung Doo; Choi, Dongil; Park, Cheol Keun

    2015-01-01

    Highlights: •We investigated imaging findings on gadoxetic acid-enhanced MRI of HCCs without the typical enhancement pattern on multiphasic MDCT. •Most HCCs showed ancillary MR findings of typical HCC. •Considerable number of HCCs showed MR enhancement pattern of typical HCC. -- Abstract: Objective: The purpose of this study was to investigate the value of enhancement kinetics and ancillary imaging findings on gadoxetic acid-enhanced and diffusion-weighted (DW) MR imaging for diagnosing hepatocellular carcinomas (HCCs) without the typical enhancement pattern on contrast-enhanced multiphasic MDCT in patients with chronic liver disease. Materials and methods: Eighty-two surgically confirmed HCCs without the typical enhancement pattern (hypervascular in the arterial phase, followed by washout on the portal or equilibrium phases) on triple-phase MDCT were enrolled in this study. The patients were classified into four categories based on the CT density pattern of arterial and equilibrium phases (isodense–isodense, hypodense–hypodense, isodense–hypodense, and hyperdense–isodense) compared to liver parenchyma. Signal intensity of HCCs on T2-weighted images (T2WI), arterial phase, 3 min late-phase, hepatobiliary phase (HBP) and DW images with a b value of 800 s/mm 2 were qualitatively evaluated, and ADC values were measured. Fisher's exact test and Chi-square test were used to compare the frequency and trend of hyperintensity on T2WI, hypointensity on HBP images, hyperintensity on DW images, and histopathologic grades between groups with different CT density patterns. Kruskal–Wallis test was used to compare the ADC value between groups. Results: Thirty and 52 HCCs were categorized as hypervascular (hyperdense–isodense) and non-hypervascular HCCs (3, isodense–isodense; 37, hypodense–hypodense; 12, isodense–hypodense), respectively. Most HCCs showed hyperintensity on T2WI (77/82, 93.9%) and DW images (81/82, 98.8%) and hypointensity on HBP images

  19. Value of gadoxetic acid-enhanced and diffusion-weighted MR imaging in evaluation of hepatocellular carcinomas with atypical enhancement pattern on contrast-enhanced multiphasic MDCT in patients with chronic liver disease

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hyun Su [Department of Radiology and Center for Imaging Science, Samsung Medical Center, Sungkyunkwan University School of Medicine, 50 Ilwon-dong, Gangnam-gu, Seoul (Korea, Republic of); Kim, Seong Hyun, E-mail: kshyun@skku.edu [Department of Radiology and Center for Imaging Science, Samsung Medical Center, Sungkyunkwan University School of Medicine, 50 Ilwon-dong, Gangnam-gu, Seoul (Korea, Republic of); Kang, Tae Wook; Song, Kyoung Doo; Choi, Dongil [Department of Radiology and Center for Imaging Science, Samsung Medical Center, Sungkyunkwan University School of Medicine, 50 Ilwon-dong, Gangnam-gu, Seoul (Korea, Republic of); Park, Cheol Keun [Department of Pathology, Samsung Medical Center, Sungkyunkwan University School of Medicine, 50 Ilwon-dong, Gangnam-gu, Seoul 135-710 (Korea, Republic of)

    2015-04-15

    Highlights: •We investigated imaging findings on gadoxetic acid-enhanced MRI of HCCs without the typical enhancement pattern on multiphasic MDCT. •Most HCCs showed ancillary MR findings of typical HCC. •Considerable number of HCCs showed MR enhancement pattern of typical HCC. -- Abstract: Objective: The purpose of this study was to investigate the value of enhancement kinetics and ancillary imaging findings on gadoxetic acid-enhanced and diffusion-weighted (DW) MR imaging for diagnosing hepatocellular carcinomas (HCCs) without the typical enhancement pattern on contrast-enhanced multiphasic MDCT in patients with chronic liver disease. Materials and methods: Eighty-two surgically confirmed HCCs without the typical enhancement pattern (hypervascular in the arterial phase, followed by washout on the portal or equilibrium phases) on triple-phase MDCT were enrolled in this study. The patients were classified into four categories based on the CT density pattern of arterial and equilibrium phases (isodense–isodense, hypodense–hypodense, isodense–hypodense, and hyperdense–isodense) compared to liver parenchyma. Signal intensity of HCCs on T2-weighted images (T2WI), arterial phase, 3 min late-phase, hepatobiliary phase (HBP) and DW images with a b value of 800 s/mm{sup 2} were qualitatively evaluated, and ADC values were measured. Fisher's exact test and Chi-square test were used to compare the frequency and trend of hyperintensity on T2WI, hypointensity on HBP images, hyperintensity on DW images, and histopathologic grades between groups with different CT density patterns. Kruskal–Wallis test was used to compare the ADC value between groups. Results: Thirty and 52 HCCs were categorized as hypervascular (hyperdense–isodense) and non-hypervascular HCCs (3, isodense–isodense; 37, hypodense–hypodense; 12, isodense–hypodense), respectively. Most HCCs showed hyperintensity on T2WI (77/82, 93.9%) and DW images (81/82, 98.8%) and hypointensity on HBP

  20. Eating out in four Nordic countries: National patterns and social stratification.

    Science.gov (United States)

    Lund, T B; Kjærnes, U; Holm, L

    2017-12-01

    Using a survey conducted in four Nordic countries (Denmark, Finland, Norway and Sweden) in 2012, we investigated whether eating out in cafés and restaurants in these countries is best characterized as a workday pattern activity or a leisure activity; and, whether frequent eating at cafés and restaurants is related to socio-demographic factors and factors relevant to the organization of daily life. We found that eating out is not a fundamental part of everyday eating. It is something which takes place occasionally. This may be taken to suggest that eating out in the Nordic countries is primarily a leisure activity. However, while this is an accurate portrayal of Denmark and Norway, eating out in Finland and Sweden is somewhat more common and linked to work-life. This difference probably reflects contrasting historical-institutional paths: in Finland and Sweden a food culture emphasizing cooked lunches and dedicated public policies supporting the provisioning of lunches outside the home may have promoted eating out. Multivariate analysis revealed that eating out declines with age. An urbanization effect exists, as residence in a capital city increases the propensity to eat out. There were socio-economic differences in all countries. We hypothesized that education and status would not significantly explain eating out activity after people's gastronomic interest had been controlled for. However, education was statistically significant in Sweden and Norway, and surprisingly status was negatively associated with eating out in Sweden. As expected, eating out was positively associated with income, and it was more frequent among the higher salariat and the self-employed (although not in Norway). Copyright © 2017 Elsevier Ltd. All rights reserved.