WorldWideScience

Sample records for resistance pattern associations

  1. Artemisinin resistance in Plasmodium falciparum is associated with an altered temporal pattern of transcription

    Directory of Open Access Journals (Sweden)

    Newton Paul N

    2011-08-01

    Full Text Available Abstract Background Artemisinin resistance in Plasmodium falciparum malaria has emerged in Western Cambodia. This is a major threat to global plans to control and eliminate malaria as the artemisinins are a key component of antimalarial treatment throughout the world. To identify key features associated with the delayed parasite clearance phenotype, we employed DNA microarrays to profile the physiological gene expression pattern of the resistant isolates. Results In the ring and trophozoite stages, we observed reduced expression of many basic metabolic and cellular pathways which suggests a slower growth and maturation of these parasites during the first half of the asexual intraerythrocytic developmental cycle (IDC. In the schizont stage, there is an increased expression of essentially all functionalities associated with protein metabolism which indicates the prolonged and thus increased capacity of protein synthesis during the second half of the resistant parasite IDC. This modulation of the P. falciparum intraerythrocytic transcriptome may result from differential expression of regulatory proteins such as transcription factors or chromatin remodeling associated proteins. In addition, there is a unique and uniform copy number variation pattern in the Cambodian parasites which may represent an underlying genetic background that contributes to the resistance phenotype. Conclusions The decreased metabolic activities in the ring stages are consistent with previous suggestions of higher resilience of the early developmental stages to artemisinin. Moreover, the increased capacity of protein synthesis and protein turnover in the schizont stage may contribute to artemisinin resistance by counteracting the protein damage caused by the oxidative stress and/or protein alkylation effect of this drug. This study reports the first global transcriptional survey of artemisinin resistant parasites and provides insight to the complexities of the molecular basis

  2. Multidrug-resistant pattern of food borne illness associated bacteria ...

    African Journals Online (AJOL)

    This study aimed at determining anti-microbial resistance pattern of food borne illness ... bial drugs in the pharmaceutical pipeline.2 The effective- ness of ... Materials and methods ... selected based on local availability, clinical efficiency, liter-.

  3. Associations of erythrocyte fatty acid patterns with insulin resistance

    Science.gov (United States)

    Background: Synergistic and/or additive effects on cardiometabolic risk may be missed by examining individual fatty acids (FA). A pattern analysis may be a more useful approach. As well, it remains unclear whether erythrocyte fatty acid composition relates to insulin resistance among Hispanic/Latino...

  4. Patterns of Force, Sequences of Resistance

    DEFF Research Database (Denmark)

    Lindegaard, Marie Rosenkrantz; Daniël De Vries, Thomas; Bernasco, Wim

    2018-01-01

    Robberies are improvised encounters involving offender threat, sometimes force, and often victim resistance. While the association between threat, force, and resistance in robberies is well-established, sequential patterns are disputed due to biases of retrospective studies. To overcome these bia...... the likelihood of victim resistance despite having no effect on offender vio- lence. By providing more reliable and detailed accounts of real-life behavior during robberies, our analysis illustrates the potential of a newly emergent field of studies of crimes caught on camera....

  5. Prevalence of community-associated methicillin–resistant ...

    African Journals Online (AJOL)

    Background: Community-associated methicillin-resistant Staphylococcus aureus is increasingly reported. This study investigated its prevalence in urine of healthy women and resistance pattern to other antibiotics. Methods: Urine samples collected from healthy women volunteers in Federal Capital Territory were cultured ...

  6. A "healthy diet-optimal sleep" lifestyle pattern is inversely associated with liver stiffness and insulin resistance in patients with nonalcoholic fatty liver disease.

    Science.gov (United States)

    Katsagoni, Christina N; Papatheodoridis, George V; Papageorgiou, Maria-Vasiliki; Ioannidou, Panagiota; Deutsch, Melanie; Alexopoulou, Alexandra; Papadopoulos, Nikolaos; Fragopoulou, Elisabeth; Kontogianni, Meropi D

    2017-03-01

    Several lifestyle habits have been described as risk factors for nonalcoholic fatty liver disease (NAFLD). Given that both healthy and unhealthy habits tend to cluster, the aim of this study was to identify lifestyle patterns and explore their potential associations with clinical characteristics of individuals with NAFLD. One hundred and thirty-six consecutive patients with ultrasound-proven NAFLD were included. Diet and physical activity level were assessed through appropriate questionnaires. Habitual night sleep hours and duration of midday naps were recorded. Optimal sleep duration was defined as sleep hours ≥ 7 and ≤ 9 h/day. Lifestyle patterns were identified using principal component analysis. Eight components were derived explaining 67% of total variation of lifestyle characteristics. Lifestyle pattern 3, namely high consumption of low-fat dairy products, vegetables, fish, and optimal sleep duration was negatively associated with insulin resistance (β = -1.66, P = 0.008) and liver stiffness (β = -1.62, P = 0.05) after controlling for age, sex, body mass index, energy intake, smoking habits, adiponectin, and tumor necrosis factor-α. Lifestyle pattern 1, namely high consumption of full-fat dairy products, refined cereals, potatoes, red meat, and high television viewing time was positively associated with insulin resistance (β = 1.66, P = 0.005), although this association was weakened after adjusting for adiponectin and tumor necrosis factor-α. A "healthy diet-optimal sleep" lifestyle pattern was beneficially associated with insulin resistance and liver stiffness in NAFLD patients independent of body weight status and energy intake.

  7. Rootstock-regulated gene expression patterns associated with fire blight resistance in apple

    Directory of Open Access Journals (Sweden)

    Jensen Philip J

    2012-01-01

    Full Text Available Abstract Background Desirable apple varieties are clonally propagated by grafting vegetative scions onto rootstocks. Rootstocks influence many phenotypic traits of the scion, including resistance to pathogens such as Erwinia amylovora, which causes fire blight, the most serious bacterial disease of apple. The purpose of the present study was to quantify rootstock-mediated differences in scion fire blight susceptibility and to identify transcripts in the scion whose expression levels correlated with this response. Results Rootstock influence on scion fire blight resistance was quantified by inoculating three-year old, orchard-grown apple trees, consisting of 'Gala' scions grafted to a range of rootstocks, with E. amylovora. Disease severity was measured by the extent of shoot necrosis over time. 'Gala' scions grafted to G.30 or MM.111 rootstocks showed the lowest rates of necrosis, while 'Gala' on M.27 and B.9 showed the highest rates of necrosis. 'Gala' scions on M.7, S.4 or M.9F56 had intermediate necrosis rates. Using an apple DNA microarray representing 55,230 unique transcripts, gene expression patterns were compared in healthy, un-inoculated, greenhouse-grown 'Gala' scions on the same series of rootstocks. We identified 690 transcripts whose steady-state expression levels correlated with the degree of fire blight susceptibility of the scion/rootstock combinations. Transcripts known to be differentially expressed during E. amylovora infection were disproportionately represented among these transcripts. A second-generation apple microarray representing 26,000 transcripts was developed and was used to test these correlations in an orchard-grown population of trees segregating for fire blight resistance. Of the 690 transcripts originally identified using the first-generation array, 39 had expression levels that correlated with fire blight resistance in the breeding population. Conclusions Rootstocks had significant effects on the fire blight

  8. Dietary Patterns, Insulin Resistance, and Incidence of Type 2 Diabetes in the Whitehall II Study

    OpenAIRE

    McNaughton, Sarah A.; Mishra, Gita D.; Brunner, Eric J.

    2008-01-01

    OBJECTIVE?The aim of this study was to identify a dietary pattern associated with insulin resistance and investigate whether this pattern was prospectively associated with type 2 diabetes. RESEARCH DESIGN AND METHODS?Analysis was based on 7,339 participants of the Whitehall II study. Dietary intake was measured using a 127-item food frequency questionnaire. We used the reduced rank regression method to determine dietary patterns using the homeostasis model assessment of insulin resistance as ...

  9. Drug-resistance patterns of Mycobacterium tuberculosis strains and associated risk factors among multi drug-resistant tuberculosis suspected patients from Ethiopia.

    Science.gov (United States)

    Mesfin, Eyob Abera; Beyene, Dereje; Tesfaye, Abreham; Admasu, Addisu; Addise, Desalegn; Amare, Miskir; Dagne, Biniyam; Yaregal, Zelalem; Tesfaye, Ephrem; Tessema, Belay

    2018-01-01

    Multidrug drug-resistant tuberculosis (MDR-TB) is a major health problem and seriously threatens TB control and prevention efforts globally. Ethiopia is among the 30th highest TB burden countries for MDR-TB with 14% prevalence among previously treated cases. The focus of this study was on determining drug resistance patterns of Mycobacterium tuberculosis among MDR-TB suspected cases and associated risk factors. A cross-sectional study was conducted in Addis Ababa from June 2015 to December 2016. Sputum samples and socio-demographic data were collected from 358 MDR-TB suspected cases. Samples were analyzed using Ziehl-Neelsen technique, GeneXpert MTB/RIF assay, and culture using Lowenstein-Jensen and Mycobacterial growth indicator tube. Data were analyzed using SPSS version 23. A total of 226 the study participants were culture positive for Mycobacterium tuberculosis, among them, 133 (58.8%) participants were males. Moreover, 162 (71.7%) had been previously treated for tuberculosis, while 128 (56.6%) were TB/HIV co-infected. A majority [122 (54%)] of the isolates were resistant to any first-line anti-TB drugs. Among the resistant isolates, 110 (48.7%) were determined to be resistant to isoniazid, 94 (41.6%) to streptomycin, 89 (39.4%) to rifampicin, 72 (31.9%) to ethambutol, and 70 (30.9%) to pyrazinamide. The prevalence of MDR-TB was 89 (39.4%), of which 52/89 (58.4%) isolates were resistance to all five first-line drugs. Risk factors such as TB/HIV co-infection (AOR = 5.59, p = 0.00), cigarette smoking (AOR = 3.52, p = 0.045), alcohol drinking (AOR = 5.14, p = 0.001) hospital admission (AOR = 3.49, p = 0.005) and visiting (AOR = 3.34, p = 0.044) were significantly associated with MDR-TB. The prevalence of MDR-TB in the study population was of a significantly high level among previously treated patients and age group of 25-34. TB/HIV coinfection, smoking of cigarette, alcohol drinking, hospital admission and health facility visiting were identified as risk factors

  10. Diversity and antibiotic resistance patterns of Sphingomonadaceae isolates from drinking water.

    Science.gov (United States)

    Vaz-Moreira, Ivone; Nunes, Olga C; Manaia, Célia M

    2011-08-15

    Sphingomonadaceae (n = 86) were isolated from a drinking water treatment plant (n = 6), tap water (n = 55), cup fillers for dental chairs (n = 21), and a water demineralization filter (n = 4). The bacterial isolates were identified based on analysis of the 16S rRNA gene sequence, and intraspecies variation was assessed on the basis of atpD gene sequence analysis. The isolates were identified as members of the genera Sphingomonas (n = 27), Sphingobium (n = 28), Novosphingobium (n = 12), Sphingopyxis (n = 7), and Blastomonas (n = 12). The patterns of susceptibility to five classes of antibiotics were analyzed and compared for the different sites of isolation and taxonomic groups. Colistin resistance was observed to be intrinsic (92%). The highest antibiotic resistance prevalence values were observed in members of the genera Sphingomonas and Sphingobium and for beta-lactams, ciprofloxacin, and cotrimoxazole. In tap water and in water from dental chairs, antibiotic resistance was more prevalent than in the other samples, mainly due to the predominance of isolates of the genera Sphingomonas and Sphingobium. These two genera presented distinct patterns of association with antibiotic resistance, suggesting different paths of resistance development. Antibiotic resistance patterns were often related to the species rather than to the site or strain, suggesting the importance of vertical resistance transmission in these bacteria. This is the first study demonstrating that members of the family Sphingomonadaceae are potential reservoirs of antibiotic resistance in drinking water.

  11. Diversity and Antibiotic Resistance Patterns of Sphingomonadaceae Isolates from Drinking Water▿

    Science.gov (United States)

    Vaz-Moreira, Ivone; Nunes, Olga C.; Manaia, Célia M.

    2011-01-01

    Sphingomonadaceae (n = 86) were isolated from a drinking water treatment plant (n = 6), tap water (n = 55), cup fillers for dental chairs (n = 21), and a water demineralization filter (n = 4). The bacterial isolates were identified based on analysis of the 16S rRNA gene sequence, and intraspecies variation was assessed on the basis of atpD gene sequence analysis. The isolates were identified as members of the genera Sphingomonas (n = 27), Sphingobium (n = 28), Novosphingobium (n = 12), Sphingopyxis (n = 7), and Blastomonas (n = 12). The patterns of susceptibility to five classes of antibiotics were analyzed and compared for the different sites of isolation and taxonomic groups. Colistin resistance was observed to be intrinsic (92%). The highest antibiotic resistance prevalence values were observed in members of the genera Sphingomonas and Sphingobium and for beta-lactams, ciprofloxacin, and cotrimoxazole. In tap water and in water from dental chairs, antibiotic resistance was more prevalent than in the other samples, mainly due to the predominance of isolates of the genera Sphingomonas and Sphingobium. These two genera presented distinct patterns of association with antibiotic resistance, suggesting different paths of resistance development. Antibiotic resistance patterns were often related to the species rather than to the site or strain, suggesting the importance of vertical resistance transmission in these bacteria. This is the first study demonstrating that members of the family Sphingomonadaceae are potential reservoirs of antibiotic resistance in drinking water. PMID:21705522

  12. Resistance Patterns Associated with HCV NS5A Inhibitors Provide Limited Insight into Drug Binding

    Directory of Open Access Journals (Sweden)

    Moheshwarnath Issur

    2014-11-01

    Full Text Available Direct-acting antivirals (DAAs have significantly improved the treatment of infection with the hepatitis C virus. A promising class of novel antiviral agents targets the HCV NS5A protein. The high potency and broad genotypic coverage are favorable properties. NS5A inhibitors are currently assessed in advanced clinical trials in combination with viral polymerase inhibitors and/or viral protease inhibitors. However, the clinical use of NS5A inhibitors is also associated with new challenges. HCV variants with decreased susceptibility to these drugs can emerge and compromise therapy. In this review, we discuss resistance patterns in NS5A with focus prevalence and implications for inhibitor binding.

  13. Comparison of antibiotic resistance patterns in collections of Escherichia coli and Proteus mirabilis uropathogenic strains.

    Science.gov (United States)

    Adamus-Bialek, Wioletta; Zajac, Elzbieta; Parniewski, Pawel; Kaca, Wieslaw

    2013-04-01

    Escherichia coli and Proteus mirabilis are important urinary tract pathogens. The constant increase in the antibiotic resistance of clinical bacterial strains has become an important clinical problem. The aim of this study was to compare the antibiotic resistance of 141 clinical (Sweden and Poland) and 42 laboratory (Czech Republic) P. mirabilis strains and 129 clinical (Poland) uropathogenic E. coli strains. The proportion of unique versus diverse patterns in Swedish clinical and laboratory P. mirabilis strain collections was comparable. Notably, a similar proportion of unique versus diverse patterns was observed in Polish clinical P. mirabilis and E. coli strain collections. Mathematical models of the antibiotic resistance of E. coli and P. mirabilis strains based on Kohonen networks and association analysis are presented. In contrast to the three clinical strain collections, which revealed complex associations with the antibiotics tested, laboratory P. mirabilis strains provided simple antibiotic association diagrams. The monitoring of antibiotic resistance patterns of clinical E. coli and P. mirabilis strains plays an important role in the treatment procedures for urinary tract infections and is important in the context of the spreading drug resistance in uropathogenic strain populations. The adaptability and flexibility of the genomes of E. coli and P. mirabilis strains are discussed.

  14. Antibiotic Resistance Patterns of Common Gram-negative ...

    African Journals Online (AJOL)

    Background: The resistance of bacteria causing urinary tract infection (UTI) to commonly prescribed antibiotics is increasing both in developing and developed countries. Resistance has emerged even to more potent antimicrobial agents. This study was undertaken to determine the current antibiotic resistance pattern ...

  15. Finding Relational Associations in HIV Resistance Mutation Data

    Science.gov (United States)

    Richter, Lothar; Augustin, Regina; Kramer, Stefan

    HIV therapy optimization is a hard task due to rapidly evolving mutations leading to drug resistance. Over the past five years, several machine learning approaches have been developed for decision support, mostly to predict therapy failure from the genotypic sequence of viral proteins and additional factors. In this paper, we define a relational representation for an important part of the data, namely the sequences of a viral protein (reverse transcriptase), their mutations, and the drug resistance(s) associated with those mutations. The data were retrieved from the Los Alamos National Laboratories' (LANL) HIV databases. In contrast to existing work in this area, we do not aim directly for predictive modeling, but take one step back and apply descriptive mining methods to develop a better understanding of the correlations and associations between mutations and resistances. In our particular application, we use the Warmr algorithm to detect non-trivial patterns connecting mutations and resistances. Our findings suggest that well-known facts can be rediscovered, but also hint at the potential of discovering yet unknown associations.

  16. Community-associated urinary infections requiring hospitalization: risk factors, microbiological characteristics and patterns of antibiotic resistance.

    Science.gov (United States)

    Medina-Polo, J; Guerrero-Ramos, F; Pérez-Cadavid, S; Arrébola-Pajares, A; Sopeña-Sutil, R; Benítez-Sala, R; Jiménez-Alcaide, E; García-González, L; Alonso-Isa, M; Lara-Isla, A; Passas-Martínez, J B; Tejido-Sánchez, Á

    2015-03-01

    Although patients with urinary tract infections (UTIs) are usually managed as outpatients, a percentage of them requires hospitalization. To review risk factors and microbiological characteristics of community-associated UTIs (CAUTIs) requiring hospitalization has been our objective. A prospective observational study was carried out from November 2011 to December 2013. Incidence, microbiological characteristics and antibiotic resistance patterns in patients with CAUTIs that required hospitalization were analyzed. Risk factors (including diabetes mellitus, urolithiasis, urinary catheterization) and resistance rates of each pathogen were also analyzed. Four hundred and fifty seven patients were hospitalized in our department with CAUTI. The mean age was 56.2±19.85 years. Of them, 52.1% patients were women, 19.7% had urinary indwelling catheter and 11.4% have had a previous UTI. The most frequently isolated pathogens were Escherichia coli (60.6%), followed by Klebsiella (9.2%), Enterococcus (8.4%) and Pseudomonas (7.2%). Enterobacteriaceae other than E.coli were more prevalent in male and older patients. On the other side the most frequently isolated pathogen in patients with a previous UTI and a urinary catheter was Entercoccus. The resistance rates E. coli against ampicillin/amoxicillin + β lactamase inhibitor was 23.5%, against third-generation cephalosporins 16.6%, against fluoroquinolones 31.3% and 16.7% against aminoglycosides. 11.4% E. coli strains were producers of extended-spectrum Beta-lactamases (ESBL). Finally, the resistance rates of Enterococcus and Pseudomonas against quinolones were of 50.0% and 61.5%, respectively. CAUTIs that require hospitalization are most frequent in older age, male gender, and presence of urinary catheter, with urolithiasis and with previous episodes of UTI. These factors are also related to isolation of pathogens other than E. coli and higher resistance rates. Copyright © 2014 AEU. Publicado por Elsevier España, S.L.U. All

  17. An association of genotypes and antimicrobial resistance patterns among Salmonella isolates from pigs and humans in Taiwan.

    Directory of Open Access Journals (Sweden)

    Hung-Chih Kuo

    Full Text Available We collected 110 Salmonella enterica isolates from sick pigs and determined their serotypes, genotypes using pulsed-field gel electrophoresis (PFGE, and antimicrobial susceptibility to 12 antimicrobials and compared the data with a collection of 18,280 isolates obtained from humans. The pig isolates fell into 12 common serovars for human salmonellosis in Taiwan; S. Typhimurium, S. Choleraesuis, S. Derby, S. Livingstone, and S. Schwarzengrund were the 5 most common serovars and accounted for a total of 84% of the collection. Of the 110 isolates, 106 (96% were multidrug resistant (MDR and 48 (44% had PFGE patterns found in human isolates. S. Typhimurium, S. Choleraesuis, and S. Schwarzengrund were among the most highly resistant serovars. The majority of the 3 serovars were resistant to 8-11 of the tested antimicrobials. The isolates from pigs and humans sharing a common PFGE pattern displayed identical or very similar resistance patterns and Salmonella strains that caused severe infection in pigs were also capable of causing infections in humans. The results indicate that pigs are one of the major reservoirs to human salmonellosis in Taiwan. Almost all of the pig isolates were MDR, which highlights the necessity of strictly regulating the use of antimicrobials in the agriculture sector in Taiwan.

  18. An association of genotypes and antimicrobial resistance patterns among Salmonella isolates from pigs and humans in Taiwan.

    Science.gov (United States)

    Kuo, Hung-Chih; Lauderdale, Tsai-Ling; Lo, Dan-Yuan; Chen, Chiou-Lin; Chen, Pei-Chen; Liang, Shiu-Yun; Kuo, Jung-Che; Liao, Ying-Shu; Liao, Chun-Hsing; Tsao, Chi-Sen; Chiou, Chien-Shun

    2014-01-01

    We collected 110 Salmonella enterica isolates from sick pigs and determined their serotypes, genotypes using pulsed-field gel electrophoresis (PFGE), and antimicrobial susceptibility to 12 antimicrobials and compared the data with a collection of 18,280 isolates obtained from humans. The pig isolates fell into 12 common serovars for human salmonellosis in Taiwan; S. Typhimurium, S. Choleraesuis, S. Derby, S. Livingstone, and S. Schwarzengrund were the 5 most common serovars and accounted for a total of 84% of the collection. Of the 110 isolates, 106 (96%) were multidrug resistant (MDR) and 48 (44%) had PFGE patterns found in human isolates. S. Typhimurium, S. Choleraesuis, and S. Schwarzengrund were among the most highly resistant serovars. The majority of the 3 serovars were resistant to 8-11 of the tested antimicrobials. The isolates from pigs and humans sharing a common PFGE pattern displayed identical or very similar resistance patterns and Salmonella strains that caused severe infection in pigs were also capable of causing infections in humans. The results indicate that pigs are one of the major reservoirs to human salmonellosis in Taiwan. Almost all of the pig isolates were MDR, which highlights the necessity of strictly regulating the use of antimicrobials in the agriculture sector in Taiwan.

  19. Antibiotic-Impregnated Central Venous Catheters Do Not Change Antibiotic Resistance Patterns.

    Science.gov (United States)

    Turnbull, Isaiah R; Buckman, Sara A; Horn, Christopher B; Bochicchio, Grant V; Mazuski, John E

    2018-01-01

    Antibiotic-impregnated central venous catheters (CVCs) decrease the incidence of infection in high-risk patients. However, use of these catheters carries the hypothetical risk of inducing antibiotic resistance. We hypothesized that routine use of minocycline and rifampin-impregnated catheters (MR-CVC) in a single intensive care unit (ICU) would change the resistance profile for Staphylococcus aureus. We reviewed antibiotic susceptibilities of S. aureus isolates obtained from blood cultures in a large urban teaching hospital from 2002-2015. Resistance patterns were compared before and after implementation of MR-CVC use in the surgical ICU (SICU) in August 2006. We also compared resistance patterns of S. aureus obtained in other ICUs and in non-ICU patients, in whom MR-CVCs were not used. Data for rifampin, oxacillin, and clindamycin were available for 9,703 cultures; tetracycline resistance data were available for 4,627 cultures. After implementation of MR-CVC use in the SICU, rifampin resistance remained unchanged, with rates the same as in other ICU and non-ICU populations (3%). After six years of use of MR-CVCs in the SICU, the rate of tetracycline resistance was unchanged in all facilities (1%-3%). The use of MR-CVCs was not associated with any change in S. aureus oxacillin-resistance rates in the SICU (66% vs. 60%). However, there was a significant decrease in S. aureus clindamycin resistance (59% vs. 34%; p resistance of S. aureus isolates to rifampin or tetracyclines.

  20. Antibiotic resistance patterns of outpatient pediatric urinary tract infections.

    Science.gov (United States)

    Edlin, Rachel S; Shapiro, Daniel J; Hersh, Adam L; Copp, Hillary L

    2013-07-01

    We characterize the current national patterns of antibiotic resistance of outpatient pediatric urinary tract infection. We examined outpatient urinary isolates from patients younger than 18 years in 2009 using The Surveillance Network®, a database with antibiotic susceptibility results and patient demographic data from 195 United States hospitals. We determined the prevalence and antibiotic resistance patterns for the 6 most common uropathogens, ie Escherichia coli, Proteus mirabilis, Klebsiella, Enterobacter, Pseudomonas aeruginosa and Enterococcus. We compared differences in uropathogen prevalence between males and females using chi-square analysis. We identified 25,418 outpatient urinary isolates. E. coli was the most common uropathogen overall but the prevalence of E. coli was higher among females (83%) than males (50%, p Resistance among E. coli was highest for trimethoprim-sulfamethoxazole (24%) but lower for nitrofurantoin (less than 1%) and cephalothin (15%). Compared to 2002 Surveillance Network data, E. coli resistance rates increased for trimethoprim-sulfamethoxazole (from 23% to 31% in males and from 20% to 23% in females) and ciprofloxacin (from 1% to 10% and from 0.6% to 4%, respectively). E. coli remains the most common pediatric uropathogen. Although widely used, trimethoprim-sulfamethoxazole is a poor empirical choice for pediatric urinary tract infections in many areas due to high resistance rates. First-generation cephalosporins and nitrofurantoin are appropriate narrow-spectrum alternatives given their low resistance rates. Local antibiograms should be used to assist with empirical urinary tract infection treatment. Copyright © 2013 American Urological Association Education and Research, Inc. Published by Elsevier Inc. All rights reserved.

  1. Systematic drug screening reveals specific vulnerabilities and co-resistance patterns in endocrine-resistant breast cancer.

    Science.gov (United States)

    Kangaspeska, Sara; Hultsch, Susanne; Jaiswal, Alok; Edgren, Henrik; Mpindi, John-Patrick; Eldfors, Samuli; Brück, Oscar; Aittokallio, Tero; Kallioniemi, Olli

    2016-07-04

    The estrogen receptor (ER) inhibitor tamoxifen reduces breast cancer mortality by 31 % and has served as the standard treatment for ER-positive breast cancers for decades. However, 50 % of advanced ER-positive cancers display de novo resistance to tamoxifen, and acquired resistance evolves in 40 % of patients who initially respond. Mechanisms underlying resistance development remain poorly understood and new therapeutic opportunities are urgently needed. Here, we report the generation and characterization of seven tamoxifen-resistant breast cancer cell lines from four parental strains. Using high throughput drug sensitivity and resistance testing (DSRT) with 279 approved and investigational oncology drugs, exome-sequencing and network analysis, we for the first time, systematically determine the drug response profiles specific to tamoxifen resistance. We discovered emerging vulnerabilities towards specific drugs, such as ERK1/2-, proteasome- and BCL-family inhibitors as the cells became tamoxifen-resistant. Co-resistance to other drugs such as the survivin inhibitor YM155 and the chemotherapeutic agent paclitaxel also occurred. This study indicates that multiple molecular mechanisms dictate endocrine resistance, resulting in unexpected vulnerabilities to initially ineffective drugs, as well as in emerging co-resistances. Thus, combatting drug-resistant tumors will require patient-tailored strategies in order to identify new drug vulnerabilities, and to understand the associated co-resistance patterns.

  2. Risk factors associated with multidrug resistant tuberculosis among ...

    African Journals Online (AJOL)

    Background: Multidrug resistant tuberculosis (MDR-TB) remains is an important public health problem in developing world. We conducted this study to determine risk factors associated with MDR-TB and drug susceptibility pattern to second line drug among MDR TB patients in Tanzania. Methods: Unmatched case control ...

  3. Extreme ultraviolet resist materials for sub-7 nm patterning.

    Science.gov (United States)

    Li, Li; Liu, Xuan; Pal, Shyam; Wang, Shulan; Ober, Christopher K; Giannelis, Emmanuel P

    2017-08-14

    Continuous ongoing development of dense integrated circuits requires significant advancements in nanoscale patterning technology. As a key process in semiconductor high volume manufacturing (HVM), high resolution lithography is crucial in keeping with Moore's law. Currently, lithography technology for the sub-7 nm node and beyond has been actively investigated approaching atomic level patterning. EUV technology is now considered to be a potential alternative to HVM for replacing in some cases ArF immersion technology combined with multi-patterning. Development of innovative resist materials will be required to improve advanced fabrication strategies. In this article, advancements in novel resist materials are reviewed to identify design criteria for establishment of a next generation resist platform. Development strategies and the challenges in next generation resist materials are summarized and discussed.

  4. Extreme ultraviolet resist materials for sub-7 nm patterning

    KAUST Repository

    Li, Li; Liu, Xuan; Pal, Shyam; Wang, Shulan; Ober, Christopher K.; Giannelis, Emmanuel P.

    2017-01-01

    Continuous ongoing development of dense integrated circuits requires significant advancements in nanoscale patterning technology. As a key process in semiconductor high volume manufacturing (HVM), high resolution lithography is crucial in keeping with Moore's law. Currently, lithography technology for the sub-7 nm node and beyond has been actively investigated approaching atomic level patterning. EUV technology is now considered to be a potential alternative to HVM for replacing in some cases ArF immersion technology combined with multi-patterning. Development of innovative resist materials will be required to improve advanced fabrication strategies. In this article, advancements in novel resist materials are reviewed to identify design criteria for establishment of a next generation resist platform. Development strategies and the challenges in next generation resist materials are summarized and discussed.

  5. Characterization of pig-associated methicillin-resistant Staphylococcus aureus.

    Science.gov (United States)

    Li, Jun; Jiang, Nansong; Ke, Yuebin; Feßler, Andrea T; Wang, Yang; Schwarz, Stefan; Wu, Congming

    2017-03-01

    Livestock-associated methicillin-resistant Staphylococcus aureus (LA-MRSA) have been reported in various countries worldwide. However, although China is one of the biggest pig and pork producers, large-scale studies on pig-associated LA-MRSA from China are scarce. The aims of this study were to analyze 2420 non-duplicate samples collected from pigs at swine farms and slaughterhouses in different regions in China during 2014 for the prevalence of pig-associated MRSA and to determine the antimicrobial resistance pheno- and genotypes of the respective isolates. MRSA isolates were identified in 270 (11.2%) samples. The isolates were characterized by antimicrobial susceptibility testing, multilocus sequence typing (MLST), spa typing, pulsed-field gel electrophoresis (PFGE) and screening for resistance genes. All MRSA isolates belonged to the clonal complex 9 and spa type t899, but showed variable PFGE patterns. All isolates were non-susceptible to oxacillin, cefoxitin, clindamycin, chloramphenicol, florfenicol, ciprofloxacin, and valnemulin. High rates of resistance were also observed for tetracycline (99.6%), erythromycin (97.0%), quinupristin-dalfopristin (97.0%), and gentamicin (80.4%). Three linezolid-non-susceptible isolates containing the multi-resistance gene cfr and nine rifampicin-non-susceptible isolates with mutations in rpoB were detected. Resistance to β-lactams was exclusively associated with mecA, while phenicol resistance was mainly attributable to fexA, except in the three cfr-positive isolates. The pleuromutilin-lincosamide-streptogramin A resistance gene lsa(E) was identified in all MRSA isolates, and no other pleuromutilin resistance genes, except cfr in three isolates, were detected. Pigs are the most important hosts of LA-MRSA in China. Screening for pig-associated MRSA is necessary to monitor changes in epidemiology and characteristics of these important pathogens. Copyright © 2017 Elsevier B.V. All rights reserved.

  6. Extreme ultraviolet resist materials for sub-7 nm patterning

    KAUST Repository

    Li, Li

    2017-06-26

    Continuous ongoing development of dense integrated circuits requires significant advancements in nanoscale patterning technology. As a key process in semiconductor high volume manufacturing (HVM), high resolution lithography is crucial in keeping with Moore\\'s law. Currently, lithography technology for the sub-7 nm node and beyond has been actively investigated approaching atomic level patterning. EUV technology is now considered to be a potential alternative to HVM for replacing in some cases ArF immersion technology combined with multi-patterning. Development of innovative resist materials will be required to improve advanced fabrication strategies. In this article, advancements in novel resist materials are reviewed to identify design criteria for establishment of a next generation resist platform. Development strategies and the challenges in next generation resist materials are summarized and discussed.

  7. A comparison of inpatient versus outpatient resistance patterns of pediatric urinary tract infection.

    Science.gov (United States)

    Saperston, Kara N; Shapiro, Daniel J; Hersh, Adam L; Copp, Hillary L

    2014-05-01

    Prior single center studies showed that antibiotic resistance patterns differ between outpatients and inpatients. We compared antibiotic resistance patterns for urinary tract infection between outpatients and inpatients on a national level. We examined outpatient and inpatient urinary isolates from children younger than 18 years using The Surveillance Network (Eurofins Scientific, Luxembourg, Luxembourg), a database of antibiotic susceptibility results, as well as patient demographic data from 195 American hospitals. We determined the prevalence and antibiotic resistance patterns of the 6 most common uropathogens, including Escherichia coli, Proteus mirabilis, Klebsiella, Enterobacter, Pseudomonas aeruginosa and Enterococcus. We compared differences in uropathogen prevalence and resistance patterns for outpatient and inpatient isolates using chi-square analysis. We identified 25,418 outpatient (86% female) and 5,560 inpatient (63% female) urinary isolates. Escherichia coli was the most common uropathogen overall but its prevalence varied by gender and visit setting, that is 79% of uropathogens overall for outpatient isolates, including 83% of females and 50% of males, compared to 54% for overall inpatient isolates, including 64% of females and 37% of males (p resistance to many antibiotics was lower in the outpatient vs inpatient setting, including trimethoprim/sulfamethoxazole 24% vs 30% and cephalothin 16% vs 22% for E. coli (each p resistance rates of several antibiotics are higher for urinary specimens obtained from inpatients vs outpatients. Separate outpatient vs inpatient based antibiograms can aid in empirical prescribing for pediatric urinary tract infections. Copyright © 2014 American Urological Association Education and Research, Inc. Published by Elsevier Inc. All rights reserved.

  8. Early childhood growth patterns and school-age respiratory resistance, fractional exhaled nitric oxide and asthma.

    Science.gov (United States)

    Casas, Maribel; den Dekker, Herman T; Kruithof, Claudia J; Reiss, Irwin K; Vrijheid, Martine; de Jongste, Johan C; Jaddoe, Vincent W V; Duijts, Liesbeth

    2016-12-01

    Greater infant weight gain is associated with lower lung function and increased risk of childhood asthma. The role of early childhood peak growth patterns is unclear. We assessed the associations of individually derived early childhood peak growth patterns with respiratory resistance, fractional exhaled nitric oxide, wheezing patterns, and asthma until school-age. We performed a population-based prospective cohort study among 5364 children. Repeated growth measurements between 0 and 3 years of age were used to derive standard deviation scores (s.d.s) of peak height and weight velocities (PHV and PWV, respectively), and body mass index (BMI) and age at adiposity peak. Respiratory resistance and fractional exhaled nitric oxide were measured at 6 years of age. Wheezing patterns and asthma were prospectively assessed by annual questionnaires. We also assessed whether any association was explained by childhood weight status. Greater PHV was associated with lower respiratory resistance [Z-score (95% CI): -0.03 (-0.04, -0.01) per s.d.s increase] (n = 3382). Greater PWV and BMI at adiposity peak were associated with increased risks of early wheezing [relative risk ratio (95% CI): 1.11 (1.06, 1.16), 1.26 (1.11, 1.43), respectively] and persistent wheezing [relative risk ratio (95% CI): 1.09 (1.03, 1.16), 1.37 (1.17, 1.60), respectively] (n = 3189 and n = 3005, respectively). Childhood weight status partly explained these associations. No other associations were observed. PWV and BMI at adiposity peak are critical for lung developmental and risk of school-age wheezing. Follow-up studies at older ages are needed to elucidate whether these effects persist at later ages. © 2016 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  9. Systematic drug screening reveals specific vulnerabilities and co-resistance patterns in endocrine-resistant breast cancer

    International Nuclear Information System (INIS)

    Kangaspeska, Sara; Hultsch, Susanne; Jaiswal, Alok; Edgren, Henrik; Mpindi, John-Patrick; Eldfors, Samuli; Brück, Oscar; Aittokallio, Tero; Kallioniemi, Olli

    2016-01-01

    The estrogen receptor (ER) inhibitor tamoxifen reduces breast cancer mortality by 31 % and has served as the standard treatment for ER-positive breast cancers for decades. However, 50 % of advanced ER-positive cancers display de novo resistance to tamoxifen, and acquired resistance evolves in 40 % of patients who initially respond. Mechanisms underlying resistance development remain poorly understood and new therapeutic opportunities are urgently needed. Here, we report the generation and characterization of seven tamoxifen-resistant breast cancer cell lines from four parental strains. Using high throughput drug sensitivity and resistance testing (DSRT) with 279 approved and investigational oncology drugs, exome-sequencing and network analysis, we for the first time, systematically determine the drug response profiles specific to tamoxifen resistance. We discovered emerging vulnerabilities towards specific drugs, such as ERK1/2-, proteasome- and BCL-family inhibitors as the cells became tamoxifen-resistant. Co-resistance to other drugs such as the survivin inhibitor YM155 and the chemotherapeutic agent paclitaxel also occurred. This study indicates that multiple molecular mechanisms dictate endocrine resistance, resulting in unexpected vulnerabilities to initially ineffective drugs, as well as in emerging co-resistances. Thus, combatting drug-resistant tumors will require patient-tailored strategies in order to identify new drug vulnerabilities, and to understand the associated co-resistance patterns. The online version of this article (doi:10.1186/s12885-016-2452-5) contains supplementary material, which is available to authorized users

  10. "DRUG RESISTANCE PATTERN IN ISOLATED BACTERIA FROM BLOOD CULTURES"

    Directory of Open Access Journals (Sweden)

    A. Sobhani

    2004-05-01

    Full Text Available Bacteremia is an important infectious disease which may lead to death. Common bacteria and pattern of antibiotic resistance in different communities are different and understanding these differences is important. In the present study, relative frequency and pattern of drug resistance have been examined in bacteria isolated from blood cultures in Razi Hospital laboratory. The method of the study was descriptive. Data collection was carried out retrospectively. Total sample consisted of 311 positive blood cultures from 1999 to 2001. Variables under study were bacterial strains, antibiotics examined in antibiogram, microbial resistance, and patients' age and sex. The most common isolated bacteria were Salmonella typhi (22.2% and the least common ones were Citrobacter (1.6%. The highest antibiotic resistance was seen against amoxicillin (88.4%. The proportion of males to females was1: 1/1 and the most common age group was 15-44 (47.3%. Common bacteria and pattern of antibiotic resistance were different in some areas and this subject requires further studies in the future.

  11. Comparison of antimicrobial resistance patterns in enterococci from intensive and free range chickens in Australia.

    Science.gov (United States)

    Obeng, Akua Serwaah; Rickard, Heather; Ndi, Olasumbo; Sexton, Margaret; Barton, Mary

    2013-02-01

    Resistance to antimicrobials in enterococci from poultry has been found throughout the world and is generally recognized as associated with antimicrobial use. This study was conducted to evaluate the phenotypic and genotypic profile of enterococcal isolates of intensive (indoor) and free range chickens from 2008/09 and 2000 in order to determine the patterns of antimicrobial resistance associated with different management systems. The minimum inhibitory concentrations in faecal enterococci isolates were determined by agar dilution. Resistance to bacitracin, ceftiofur, erythromycin, lincomycin, tylosin and tetracycline was more common among meat chickens (free range and intensive) than free range egg layers (Pfree range meat chickens.

  12. Multiple Antibiotic Resistance Patterns of Escherichia coli Isolates from Swine Farms

    OpenAIRE

    Mathew, A. G.; Saxton, A. M.; Upchurch, W. G.; Chattin, S. E.

    1999-01-01

    Antibiotic resistance of Escherichia coli from sows and pigs was determined to compare patterns between pigs of various ages and degrees of antibiotic use. Resistance patterns differed between farm types and pigs of differing ages, indicating that pig age and degree of antibiotic use affect resistance of fecal E. coli.

  13. The Effect of Surface Patterning on Corrosion Resistance of Biomedical Devices

    Science.gov (United States)

    Guo, Mengnan; Toloei, Alisina; Rotermund, Harm H.

    2016-10-01

    In this study, two styles of surface topographies have been created on stainless steel wires to test their corrosion resistance as simulated implanted biomedical devices. Grade 316 LVM stainless steel wire was initially polished to G1500 surface finish before treatment to produce the two different topographies: 1. Unidirectional roughness was created using SiC papers and 2. Various patterns were created with specific hole diameter and inter-hole spacing using focused ion beam (FIB). In order to simulate the environment of implanted biomedical devices, a three-electrode electrochemical cell with 0.9% (by mass) NaCl solution has been used to test the corrosion resistance of the samples by potentiodynamic polarization test method. SEM and EDS analyzed the appearance and chemical composition of different elements including oxygen on the surface. The potential of stable pitting, time related to the initiation of the stable pitting, and the highest corrosion current associated with stable pitting have been compared for samples with the two styles of topography. It was found that surfaces with patterns have a relatively higher pitting potential and it takes longer time to initiate stable pitting than the surface without any patterns.

  14. Resistance patterns and trends of extensively drug-resistant tuberculosis: 5-year experience

    Directory of Open Access Journals (Sweden)

    Amresh Kumar Singh

    2013-12-01

    Full Text Available Objective:Extensively drug-resistant tuberculosis (XDR-TB strains were emerged when multidrug-resistant TB (MDR- TB was inadequately treated. Inadequate treatment of MDR-TB cases may result in additional resistance especially non-XDR-TB and then XDR-TB. The aim of this study was to know the prevalence, resistance patterns and trends of the XDR-TB strains among the MDR-TB at a tertiary care hospital in Lucknow, India Methods: A total of 430 Mycobacterium isolates were underwent NAP test and TB MPT64 Ag test for the identification of Mycobacterium tuberculosis complex (MTBC. Drug-susceptibility test (DST was performed over MTBC for the first line drugs by 1% proportion method (Bactec and for the second-line drugs by 1% proportion method (Lowenstein- Jensen media. The XDR-TB status was further confirmed by line probe assay (GenoType® MTBDRsl assay. Results: Among the 430 isolates of mycobacterium, 365 (84.9% were MTBC and 139 (38.1% were MDR-TB respectively. Further 97 MDR-TB from “highly suspected drug resistant-TB (DR-TB” cases among MDR-TB were tested with second line drugs in which 15 (15.5% XDR-TB and 82 (84.5% were non-XDR-TB. Regarding XDR-TB status, using the 1% proportion method a 100% agreement was seen with the GenoType® MTBDRsl assay. Resistance patterns of XDR-TB were as; 10/15 (66.7% as isoniazid + rifampicin + ciprofloxacin + amikacin resistance and 5/15 (33.3% as isoniazid + rifampicin + ciprofloxacin + amikacin + kanamycin resistance. Conclusion:The prevalence of XDR-TB was 15.5% among MDR-TB. Hence laboratory testing of “highly suspected drug resistant-TB” isolates should be done for both first and second line drugs simultaneously especially in developing countries.J Microbiol Infect Dis 2013;3(4: 169-175

  15. Antibiotic Resistance Pattern and Biofilm Formation Ability of Clinically Isolates of Salmonella enterica Serotype typhimurium

    Directory of Open Access Journals (Sweden)

    Hadi Ghasemmahdi

    2015-05-01

    Full Text Available Background: The emergence of antimicrobial-resistant bacteria with biofilm formation ability may be a major threat to public health and food safety and sanitation. Objectives: The aim of this study was to determine antibiotic resistance patterns and biofilm production characteristics of Salmonella typhimurium isolated from different species of birds. Materials and Methods: The antibiotic resistance patterns of 38 pre-identified isolates were screened by standard Kirby-Bauer disc-diffusion method performed on Mueller–Hinton agar to a panel of 17 antibiotics. The extent of biofilm formation was measured by Microtiter plate (MTP-based systems. Results: The highest antimicrobial resistance was detected against nalidixic acid (97%, followed by doxycycline (86%, colistin (84%, streptomycin (84% and tetracycline (84%. All isolates were sensitive to amikacin (100% and 97% and 95% of the isolates were sensitive to ceftazidime and ceftriaxone, respectively. Twenty one different antibiotic resistance patterns were observed among S. typhimurium isolates. According to the results of the microtitre plate biofilm assay, there was a wide variation in biofilm forming ability among S. typhimurium isolates. Most of the isolates (60.52% were not capable of producing biofilm, while 26.31%, 7.89%, and 5.26% isolates were weak, strong and moderate biofilm producers, respectively. Conclusions: It was concluded that nearly all S. typhimurium isolates revealed a high multiple antibiotic resistant with low biofilm forming capabilities which proposed low association between biofilm formation and antibiotic resistance of a major food important pathogen.

  16. Prevalence of etravirine-associated mutations in clinical samples with resistance to nevirapine and efavirenz.

    Science.gov (United States)

    Llibre, J M; Santos, J R; Puig, T; Moltó, J; Ruiz, L; Paredes, R; Clotet, B

    2008-11-01

    To evaluate the expected activity of etravirine in clinical samples, according to mutational patterns associated with decreased virological response (VR). We identified 1586 routine clinical samples with resistance-associated mutations (RAMs) to nevirapine and efavirenz (K103N 60%, Y181C 37%, G190A 27%, V108I 13%). Concerning in vitro identified etravirine mutations, samples with F227C, Y181I, M230L or L100I plus K103N plus Y181C were considered highly resistant. Samples with two RAMs plus Y181C or V179D or K101E or Y188L were considered intermediate. The prevalence of 13 RAMs recently associated with decreased VR to etravirine in the DUET clinical trials was also investigated. Most samples (69%) harboured more than one IAS-USA RAM to first-generation non-nucleoside reverse transcriptase inhibitors (NNRTIs): 42% harboured two RAMs, 21% three RAMs and 6% four or more RAMs. The prevalence of 13 specific etravirine RAMs was V179F 0.12%, G190S 3.9%, Y181V 0.1%, V106I 2.6%, V179D 1.6%, K101P 2.0%, K101E 10.1%, Y181C 36.9%, A98G 5.9%, V90I 6.9%, Y181I 3.6%, G190A 27% and L100I 9.1%. The five RAMs with the most impact on VR (V179F/D, G190S, Y181V and V106I) occurred less often. Overall, 8.2% of the samples had three or more etravirine RAMs and only 1.1% had four or more. In addition, patterns of RAMs previously associated with intermediate etravirine resistance were present in 26.2% of the samples, whereas 4.85% displayed patterns of high-degree resistance. For RAMs associated with decreased VR, etravirine resistance in routine clinical samples was lower than previously reported. High-degree resistance was uncommon, even in patients with resistance to first-generation NNRTIs, whereas low-to-intermediate etravirine resistance was more common.

  17. Antibiotic resistance rates and physician antibiotic prescription patterns of uncomplicated urinary tract infections in southern Chinese primary care

    OpenAIRE

    Wong, Carmen Ka Man; Kung, Kenny; Au-Doung, Philip Lung Wai; Ip, Margaret; Lee, Nelson; Fung, Alice; Wong, Samuel Yeung Shan

    2017-01-01

    Uncomplicated urinary tract infections (UTI) are common in primary care. Whilst primary care physicians are called to be antimicrobial stewards, there is limited primary care antibiotic resistance surveillance and physician antibiotic prescription data available in southern Chinese primary care. The study aimed to investigate the antibiotic resistance rate and antibiotic prescription patterns in female patients with uncomplicated UTI. Factors associated with antibiotic resistance and prescrip...

  18. Patterning characteristics of a chemically-amplified negative resist in synchrotron radiation lithography

    International Nuclear Information System (INIS)

    Deguchi, Kimiyoshi; Miyoshi, Kazunori; Ishii, Tetsuyoshi; Matsuda, Tadahito

    1992-01-01

    To explore the applicability of synchrotron radiation X-ray lithography for fabricating sub-quartermicron devices, we investigate the patterning characteristics of the chemically-amplified negative resist SAL601-ER7. Since these characteristics depend strongly on the conditions of the chemical amplification process, the effects of post-exposure baking and developing conditions on sensitivity and resolution are examined. The resolution-limiting factors are investigated, revealing that pattern collapse during the development process and fog caused by Fresnel diffraction, photo-electron scattering, and acid diffusion in the resist determine the resolution and the maximum aspect ratio of the lines and spaces pattern. Using the model of a swaying beam supported at one end, it is shown that pattern collapse depends on the resist pattern's flexural stiffness. Patterning stability, which depends on the delay time between exposure and baking, is also discussed. (author)

  19. Early Diet and Later Cancer Risk: Prospective Associations of Dietary Patterns During Critical Periods of Childhood with the GH-IGF Axis, Insulin Resistance and Body Fatness in Younger Adulthood.

    Science.gov (United States)

    Günther, Anke L B; Schulze, Matthias B; Kroke, Anja; Diethelm, Katharina; Joslowski, Gesa; Krupp, Danika; Wudy, Stefan; Buyken, Anette E

    2015-01-01

    Early life, adiposity rebound, and puberty represent critical growth periods when food choices could have long-term relevance for cancer risk. We aimed to relate dietary patterns during these periods to the growth hormone-insulin-like-growth-factor (GH-IGF) axis, insulin resistance, and body fatness in adulthood. Data from the Dortmund Nutritional and Anthropometric Longitudinally Designed (DONALD) Study participants with outcome data at 18-37 years, and ≥2 dietary records during early life (1-2 yr; n = 128), adiposity rebound (4-6 years, n = 179), or puberty (girls 9-14, boys 10-15 yr; n = 213) were used. Dietary patterns at these ages were derived by 1) reduced rank regression (RRR) to explain variation in adult IGF-I, IGF-binding protein-3 (IGFBP-3), homoeostasis model assessment for insulin resistance (HOMA-IR) and fat-mass index; 2) principal component analysis (PCA). Regarding RRR, the patterns "cake/canned fruit/cheese & eggs" (early life), "sweets & dairy" (adiposity rebound) and "high-fat foods" (pubertal boys) were independently associated with higher adult HOMA-IR. Furthermore, the patterns "favorable carbohydrate sources" (early life), "snack & convenience foods" (adiposity rebound), and "traditional & convenience carbohydrates" (pubertal boys) were related to adult IGFBP-3 (P trend trend > 0.1). In conclusion, dietary patterns during sensitive growth periods may be of long-term relevance for adult insulin resistance and IGFBP-3.

  20. Association between Sleep Patterns and Health in Families with Exceptional Longevity

    Directory of Open Access Journals (Sweden)

    Lavy Klein

    2017-12-01

    Full Text Available BackgroundSleep patterns such as longer sleep duration or napping are associated with poor health outcomes. Although centenarians and their offspring demonstrate a delayed onset of age-related diseases, it is not known whether they have healthier sleep patterns or are protected against the negative effects of sleep disturbances.MethodsData on sleep patterns and health history were collected from Ashkenazi Jewish subjects of the Longevity Genes Project using standardized questionnaires. Participants included individuals with exceptional longevity (centenarians with preserved cognition (n = 348, median age 97 years, their offspring (n = 513, median age 69 years, and controls (n = 199 age-matched to the offspring. Centenarians reported on their sleep patterns at age 70, while the offspring and controls on their current sleep patterns. Biochemical parameters were measured at baseline. Models were adjusted for age, sex, BMI, and use of sleep medication.ResultsThe offspring and controls reported similar sleep patterns, with 33% sleeping ≥8 h and 17% napping in each group. At age 70, centenarians were more likely to have slept ≥8 h (55% and to have napped (28% compared with offspring and controls, p < 0.01. Among centenarians, no association was noted between sleep patterns and health outcomes. Sleeping for ≥8 h was associated with lower high-density lipoprotein cholesterol levels in the offspring and controls, and with insulin resistance in the offspring, but not with diabetes. Napping was associated with insulin resistance among the controls (p < 0.01, but not the offspring. Controls, but not offspring, who napped were 2.79 times more likely to have one or more of the following diseases: hypertension, myocardial infarction, stroke, or diabetes (OR 2.79, 95% CI 1.08–7.21, p = 0.04.ConclusionDespite being more likely to exhibit risky sleep patterns at age 70 compared with the offspring and controls, the

  1. Antibacterial resistance patterns of pediatric community-acquired urinary infection: Overview.

    Science.gov (United States)

    Konca, Capan; Tekin, Mehmet; Uckardes, Fatih; Akgun, Sadik; Almis, Habip; Bucak, Ibrahim Hakan; Genc, Yeliz; Turgut, Mehmet

    2017-03-01

    Urinary tract infection (UTI) is common in children. The aim of this study was therefor to construct a guide for the empirical antibiotic treatment of community-acquired UTI by investigating the etiology and antimicrobial resistance patterns of uropathogens and analyzing the epidemiological and clinical patient characteristics. A total of 158 children with positive urine culture were included in the study. Antibiotic susceptibility testing was performed with Vitek 2 Compact for 28 commonly used antimicrobials. Mean age was 3.36 ± 3.38 years (range, 45 days-15 years). Escherichia coli (60.1%), and Klebsiella spp. (16.5%) were the most common uropathogens. For all Gram-negative isolates, a high level of resistance was found against ampicillin/sulbactam (60.1%), trimethoprim/sulfamethoxazole (44.2%), cefazolin (36.2%), cefuroxime sodium (33.5%), and amoxicillin/clavulanate (31.5%). A low level of resistance was noted against cefepime (8.7%), ertapenem (4.6%), norfloxacin (1.3%), and meropenem (0.7%). There was no resistance against amikacin. There is high antibiotic resistance in children with UTI. The patterns of uropathogen antimicrobial resistance vary in susceptibility to antimicrobials depending on region and time. Thus, the trends of antibiotic susceptibility patterns should be analyzed periodically to select the appropriate regimen for UTI treatment. © 2016 Japan Pediatric Society.

  2. Antibiotic resistant pattern of methicillin resistant and sensitive Staphylococcus aureus isolated from patients durining 2009-2010, Ahvaz, Iran.

    Directory of Open Access Journals (Sweden)

    N Parhizgari

    2013-12-01

    Full Text Available Abstract Background & aim: Staphylococcus aureus is one of the most important nosocomial infecting agents resistant to commonly used antibiotics. Nowadays, methicillin-resistant S. aureus (MRSA is considered one of the main causes of nosocomial infections. The aim of this study was to identify the antibiotic resistance pattern of methicicllin- resistant and susceptible strains in Ahwaz, Iran. Methods: In the present cross - sectional study, a number of 255 clinically suspected cases of Staphylococcus aureus were collected during a 19 month period. The bacteria were investigated using standard biochemical tests such as catalase, mannitol fermentation, coagulase and Dnase. Sensitive strains were confirmed by disk diffusion method compared to commonly used antibiotics. The collected data were analyzed using descriptive statistical tests. Results: of 255 suspected cases, 180 were confirmed as S.aureus, a total of 59 strains of S. aureus (2/37 percent were resistant to methicillin. Resistance to S. aureus strains resistant to methicillin included: chloramphenicol (3.38%, rifampin (45.76%, norfloxacin (89.83%, gentamicin (89.83%, ciprofloxacin, (91.52%, azithromycin, (88.13%, cotrimoxazole (86.44% and all isolates strains were sensitive to vancomycin and nitrofurantoin. A total of 10 different patterns of antibiotic resistance in methicillin-resistant Staphylococcus aureus strains were identified. Conclusion: Expression of new resistance factor in nosocomial infection is one of the major challenges in treating these infections. This study showed a high prevalence of resistance against some class of antibiotics in MRSA isolated from Imam Khomeini and Golestan hospital of Ahwaz, Iran. Key words: Nosocomial infection, Methicillin Resistant Staphylococcus aureus (MRSA, Antibiotic Resistant Pattern

  3. ORIGINAL ARTICLE: Multidrug Resistance and Phage Pattern of Staphylococcus aureus in Pyoderma Cases

    Directory of Open Access Journals (Sweden)

    Sanjay M. Wavare

    2012-01-01

    Full Text Available Background: Pyoderma is common in India and other tropical countries. Staphylococcus aureus is the commonest causative agent ofpyoderma. Aims and Objectives: To know the antibiotic susceptibility and bacteriophage pattern of Staphylococcus aureus isolated from pyoderma infection. Materials and Methods: One hundred clinically diagnosed pyoderma cases were investigated bacteriologically. A total of 59 isolates of S. aureus were subjected to antibioticsusceptibility testing by Kirby Bauer’s disk diffusion method and phage typing by routine test dilution X 100 bacteriophages. Results: Most of the strains were resistant to penicillin, ampicillin and were susceptible to gentamicin, streptomycin and erythromycin. Multidrug resistance was also high among these strains. Regarding the phage types, Phage type 52 (15 strains, 96 (8 strains and 71(16strains were predominant among the typed strains (55.95% of S. aureus. The most common group was mixed phage group (17% followed by phage group I (13.55%. Conclusion: Knowledge of antibioticsusceptibility pattern is essential to give proper antibiotic therapy and avoid unnecessary medication with non-effective drugs, which may increase resistance. Gentamicin, streptomycin and erythromycin are the drugs of choice in that order. Association of phage typing and antibiotic sensitivity of S. aureus showed the predominance of phage group III with greater frequency of penicillin resistance.

  4. Prevalence and antimicrobial resistance pattern of coagulase ...

    African Journals Online (AJOL)

    Prevalence and antimicrobial resistance pattern of coagulase negative Staphylococci isolated from pigs and in-contact humans in Jos Metropolis, Nigeria. ... (53/401) of the isolates were CoNS species based on confirmatory test with Microgen biochemical kit and were further subjected to antibiotic susceptibility testing.

  5. Prevalence of current patterns and predictive trends of multidrug-resistant Salmonella Typhi in Sudan.

    Science.gov (United States)

    Elshayeb, Ayman A; Ahmed, Abdelazim A; El Siddig, Marmar A; El Hussien, Adil A

    2017-11-14

    Enteric fever has persistence of great impact in Sudanese public health especially during rainy season when the causative agent Salmonella enterica serovar Typhi possesses pan endemic patterns in most regions of Sudan - Khartoum. The present study aims to assess the recent state of antibiotics susceptibility of Salmonella Typhi with special concern to multidrug resistance strains and predict the emergence of new resistant patterns and outbreaks. Salmonella Typhi strains were isolated and identified according to the guidelines of the International Standardization Organization and the World Health Organization. The antibiotics susceptibilities were tested using the recommendations of the Clinical Laboratories Standards Institute. Predictions of emerging resistant bacteria patterns and outbreaks in Sudan were done using logistic regression, forecasting linear equations and in silico simulations models. A total of 124 antibiotics resistant Salmonella Typhi strains categorized in 12 average groups were isolated, different patterns of resistance statistically calculated by (y = ax - b). Minimum bactericidal concentration's predication of resistance was given the exponential trend (y = n e x ) and the predictive coefficient R 2  > 0 current antimicrobial drug resistance patterns of community-acquired agents causing outbreaks.

  6. Drug Resistance Patterns of Escherichia coli in Ethiopia: A Meta-Analysis.

    Science.gov (United States)

    Tuem, Kald Beshir; Gebre, Abadi Kahsu; Atey, Tesfay Mehari; Bitew, Helen; Yimer, Ebrahim M; Berhe, Derbew Fikadu

    2018-01-01

    Antimicrobial drug resistance is a global threat for treatment of infectious diseases and costs life and money and threatens health delivery system's effectiveness. The resistance of E. coli to frequently utilized antimicrobial drugs is becoming a major challenge in Ethiopia. However, there is no inclusive countrywide study. Therefore, this study intended to assess the prevalence of E. coli resistance and antimicrobial-specific resistance pattern among E. coli clinical isolates in Ethiopia. Articles were retrieved from PubMed, Embase, and grey literature from 2007 to 2017. The main outcome measures were overall E. coli and drug-specific resistance patterns. A random-effects model was used to determine pooled prevalence with 95% confidence interval (CI), using DerSimonian and Laird method. In addition, subgroup analysis was conducted to improve the outcome. The study bias was assessed by Begg's funnel plot. This study was registered in PROSPERO as follows: PROSPERO 2017: CRD42017070106. Of 164 articles retrieved, 35 articles were included. A total of 19,235 study samples participated in the studies and 2,635 E. coli strains were isolated. Overall, E. coli antibacterial resistance was 45.38% (95% confidence interval (CI): 33.50 to 57.27). The resistance pattern ranges from 62.55% in Addis Ababa to 27.51% in Tigray region. The highest resistance of E. coli reported was to ampicillin (83.81%) and amoxicillin (75.79%), whereas only 13.55% of E. coli isolates showed resistance to nitrofurantoin. E. coli antimicrobial resistance remains high with disparities observed among regions. The bacterium was found to be highly resistant to aminopenicillins. The finding implies the need for effective prevention strategies for the E. coli drug resistance and calls for multifaceted approaches with full involvement of all stakeholders.

  7. Resistance patterns among multidrug-resistant tuberculosis patients in greater metropolitan Mumbai: trends over time.

    Science.gov (United States)

    Dalal, Alpa; Pawaskar, Akshay; Das, Mrinalini; Desai, Ranjan; Prabhudesai, Pralhad; Chhajed, Prashant; Rajan, Sujeet; Reddy, Deepesh; Babu, Sajit; Jayalakshmi, T K; Saranchuk, Peter; Rodrigues, Camilla; Isaakidis, Petros

    2015-01-01

    While the high burden of multidrug-resistant tuberculosis (MDR-TB) itself is a matter of great concern, the emergence and rise of advanced forms of drug-resistance such as extensively drug-resistant TB (XDR-TB) and extremely drug-resistant TB (XXDR-TB) is more troubling. The aim of this study was to investigate the trends over time of patterns of drug resistance in a sample of MDR-TB patients in greater metropolitan Mumbai, India. This was a retrospective, observational study of drug susceptibility testing (DST) results among MDR-TB patients from eight health care facilities in greater Mumbai between 2005 and 2013. We classified resistance patterns into four categories: MDR-TB, pre-XDR-TB, XDR-TB and XXDR-TB. A total of 340 MDR-TB patients were included in the study. Pre-XDR-TB was the most common form of drug-resistant TB observed overall in this Mumbai population at 56.8% compared to 29.4% for MDR-TB. The proportion of patients with MDR-TB was 39.4% in the period 2005-2007 and 27.8% in 2011-2013, while the proportion of those with XDR-TB and XXDR-TB was changed from 6.1% and 0% respectively to 10.6% and 5.6% during the same time period. During the same periods, the proportions of patients with ofloxacin, moxifloxacin and ethionamide resistance significantly increased from 57.6% to 75.3%, from 60.0% to 69.5% and from 24.2% to 52.5% respectively (pMumbai highlight the need for individualized drug regimens, designed on the basis of DST results involving first- and second-line anti-TB drugs and treatment history of the patient. A drug-resistant TB case-finding strategy based on molecular techniques that identify only rifampicin resistance will lead to initiation of suboptimal treatment regimens for a significant number of patients, which may in turn contribute to amplification of resistance and transmission of strains with increasingly advanced resistance within the community.

  8. Virulence-associated gene pattern of porcine and human Yersinia enterocolitica biotype 4 isolates.

    Science.gov (United States)

    Schneeberger, M; Brodard, I; Overesch, G

    2015-04-02

    Yersinia enterocolitica 4/O:3 is the most important human pathogenic bioserotype in Europe and the predominant pathogenic bioserotype in slaughter pigs. Although many studies on the virulence of Y. enterocolitica strains have showed a broad spectrum of detectable factors in pigs and humans, an analysis based on a strict comparative approach and serving to verify the virulence capability of porcine Y. enterocolitica as a source for human yersiniosis is lacking. Therefore, in the present study, strains of biotype (BT) 4 isolated from Swiss slaughter pig tonsils and feces and isolates from human clinical cases were compared in terms of their spectrum of virulence-associated genes (yadA, virF, ail, inv, rovA, ymoA, ystA, ystB and myfA). An analysis of the associated antimicrobial susceptibility pattern completed the characterization. All analyzed BT 4 strains showed a nearly similar pattern, comprising the known fundamental virulence-associated genes yadA, virF, ail, inv, rovA, ymoA, ystA and myfA. Only ystB was not detectable among all analyzed isolates. Importantly, neither the source of the isolates (porcine tonsils and feces, humans) nor the serotype (ST) had any influence on the gene pattern. From these findings, it can be concluded that the presence of the full complement of virulence genes necessary for human infection is common among porcine BT 4 strains. Swiss porcine BT 4 strains not only showed antimicrobial susceptibility to chloramphenicol, cefotaxime, ceftazidime, ciprofloxacin, colistin, florfenicol, gentamicin, kanamycin, nalidixic acid, sulfamethoxazole, streptomycin, tetracycline and trimethoprim but also showed 100% antibiotic resistance to ampicillin. The human BT 4 strains revealed comparable results. However, in addition to 100% antibiotic resistance to ampicillin, 2 strains were resistant to chloramphenicol and nalidixic acid. Additionally, 1 of these strains was resistant to sulfamethoxazole. The results demonstrated that Y. enterocolitica BT 4

  9. Antibiotic resistance pattern in uropathogens

    Directory of Open Access Journals (Sweden)

    Gupta V

    2002-01-01

    Full Text Available Uropathogenic strains from inpatient and outpatient departments were studied from April 1997 to March 1999 for their susceptibility profiles. The various isolates were Escherichia coli, Klebsiella pneumoniae, Pseudomonas aeruginosa, Proteus mirabilis, Acinetobacter baumanii and Enterococcus faecalis. Antibiotic susceptibility pattern of these isolates revealed that for outpatients, first generation cephalosporins, nitrofurantoin, norfloxacin/ciprofloxacin were effective for treatment of urinary tract infection but for inpatients, parenteral therapy with newer aminoglycosides and third generation cephalosporins need to be advocated as the organisms for nosocomial UTI exhibit a high degree of drug resistance. Trimethoprim and sulphamethoxazole combination was not found to be effective for the treatment of urinary tract infections as all the uropathogens from inpatients and outpatients showed high degree of resistance to co-trimoxazole. Culture and sensitivity of the isolates from urine samples should be done as a routine before advocating the therapy.

  10. ArF photo resist pattern sample preparation method using FIB without protective coating

    Science.gov (United States)

    Okushima, Hirohisa; Onozuka, Toshihiko; Kuroda, Yasushi; Yaguchi, Toshie; Umemura, Kaoru; Tamochi, Ryuichiro; Watanabe, Kenji; Hasegawa, Norio; Kawata, Isao; Rijpers, Bart

    2006-03-01

    This paper presents a novel method of FIB (FIB: focused ion beam) sample preparation to accurately evaluate critical dimensions and profiles of ArF photo resist patterns without the use of a protective coating on the photo resist. In order to accomplish this, the FIB micro-sampling method that is one of effective FIB milling and fabrication method was employed. First a Si cap is picked up from a silicon wafer and fixed to ArF photo resist patterns to protect against ion beam irradiation. Then, a micro-sample, a piece of Si-capped ArF photo resist, was extracted from the bulk ArF photo resist. In this procedure, this silicon cap always protects ArF photo resist patterns against ion beam irradiation. For the next step, the micro-sample is fixed to a needle stub of the FIB-STEM (STEM: scanning transmission electron microscopy) compatible rotation holder. This sample on the needle stub was rotated 180 degrees and milled from the side of Si substrate. Lastly, the sample is milled to the thickness of 2μm. In this process, the ion beam is irradiating from the silicon substrate side to minimize the ion beam irradiation damages on the ArF photo resist patterns. EDX (EDX: Energy dispersive X-ray spectroscopy) analysis proved that no gallium ions were detected on the surface of the ArF photo resist patterns. The feasibility of high accelerating voltage observation of STEM to observe line edge roughness of a thick sample like 2μm without shrinkage has been demonstrated.

  11. Associational resistance protects mangrove leaves from crab herbivory

    Science.gov (United States)

    Erickson, Amy A.; Bell, Susan S.; Dawes, Clinton J.

    2012-05-01

    While associational defenses have been well documented in many plant and algal ecosystems, this study is the first to document associational resistance in mangroves. Mangrove tree crab (Aratus pisonii) density and herbivory on three life-stages of the red mangrove (Rhizophora mangle) were documented in pure red versus mixed-species and predominantly non-red mangrove stands containing black (Avicennia germinans) and white (Laguncularia racemosa) mangroves in 1999-2000 in Tampa Bay, Florida. This study first established that R. mangle is the focal species in the context of associational resistance because it is damaged more than either of the other mangrove species. Next, it was hypothesized that crab density and leaf damage on R. mangle would be lower when in mixed-species and predominantly non-red versus red mangrove stands. A non-significant trend suggested that crab density varies among stands, and crab damage on R. mangle leaves was significantly lower in mixed-species and non-red stands. Mechanisms to explain associational resistance were examined. Positive Pearson correlations between the percent of adult R. mangle in a stand and both crab density and R. mangle leaf damage provided support for the resource concentration hypothesis. Limited support was found for the attractant-decoy hypothesis because the total amount of damaged leaves of all mangrove species combined typically differed among stands, suggesting that crabs were not shifting to alternative mangrove species to offset reduced availability of R. mangle leaves. Finally, while R. mangle seedlings were shorter in non-red stands compared to others, intra-specific differences in R. mangle leaf chemistry and sclerophylly among stands failed to explain associational patterns. These combined results argue for the need for additional experiments to elucidate mechanisms responsible for defensive plant associations in mangrove ecosystems and to determine whether such associations could be of use in mangrove

  12. Association of metal tolerance with multiple antibiotic resistance of bacteria isolated from drinking water.

    Science.gov (United States)

    Calomiris, J J; Armstrong, J L; Seidler, R J

    1984-06-01

    Bacterial isolates from the drinking water system of an Oregon coastal community were examined to assess the association of metal tolerance with multiple antibiotic resistance. Positive correlations between tolerance to high levels of Cu2+, Pb2+, and Zn2+ and multiple antibiotic resistance were noted among bacteria from distribution waters but not among bacteria from raw waters. Tolerances to higher levels of Al3+ and Sn2+ were demonstrated more often by raw water isolates which were not typically multiple antibiotic resistant. A similar incidence of tolerance to Cd2+ was demonstrated by isolates of both water types and was not associated with multiple antibiotic resistance. These results suggest that simultaneous selection phenomena occurred in distribution water for bacteria which exhibited unique patterns of tolerance to Cu2+, Pb2+, and Zn2+ and antibiotic resistance.

  13. Prevalence of community-associated multi-resistant Staphylococcus ...

    African Journals Online (AJOL)

    Antimicrobial resistance has become a great public health problem worldwide and multi-drugvresistance Staphylococcus aureus has been widely reported. This study determined the pattern of resistance to ten commonly used antibiotics. Urine samples collected from healthy women volunteers in the Abuja were cultured ...

  14. Antimicrobial resistance patterns in outpatient urinary tract infections ...

    African Journals Online (AJOL)

    Background. There is a global emergence of resistance against commonly prescribed antibiotics. Empirical antibiotic prescribing should be guided by local antimicrobial susceptibility patterns. Aim. To identify organisms and determine antibiotic susceptibility in urinary tract infections (UTIs) at 3 Military Hospital, Bloemfontein ...

  15. Resist Parameter Extraction from Line-and-Space Patterns of Chemically Amplified Resist for Extreme Ultraviolet Lithography

    Science.gov (United States)

    Kozawa, Takahiro; Oizumi, Hiroaki; Itani, Toshiro; Tagawa, Seiichi

    2010-11-01

    The development of extreme ultraviolet (EUV) lithography has progressed owing to worldwide effort. As the development status of EUV lithography approaches the requirements for the high-volume production of semiconductor devices with a minimum line width of 22 nm, the extraction of resist parameters becomes increasingly important from the viewpoints of the accurate evaluation of resist materials for resist screening and the accurate process simulation for process and mask designs. In this study, we demonstrated that resist parameters (namely, quencher concentration, acid diffusion constant, proportionality constant of line edge roughness, and dissolution point) can be extracted from the scanning electron microscopy (SEM) images of patterned resists without the knowledge on the details of resist contents using two types of latest EUV resist.

  16. Prevalence of current patterns and predictive trends of multidrug-resistant Salmonella Typhi in Sudan

    Directory of Open Access Journals (Sweden)

    Ayman A. Elshayeb

    2017-11-01

    Full Text Available Abstract Background Enteric fever has persistence of great impact in Sudanese public health especially during rainy season when the causative agent Salmonella enterica serovar Typhi possesses pan endemic patterns in most regions of Sudan - Khartoum. Objectives The present study aims to assess the recent state of antibiotics susceptibility of Salmonella Typhi with special concern to multidrug resistance strains and predict the emergence of new resistant patterns and outbreaks. Methods Salmonella Typhi strains were isolated and identified according to the guidelines of the International Standardization Organization and the World Health Organization. The antibiotics susceptibilities were tested using the recommendations of the Clinical Laboratories Standards Institute. Predictions of emerging resistant bacteria patterns and outbreaks in Sudan were done using logistic regression, forecasting linear equations and in silico simulations models. Results A total of 124 antibiotics resistant Salmonella Typhi strains categorized in 12 average groups were isolated, different patterns of resistance statistically calculated by (y = ax − b. Minimum bactericidal concentration’s predication of resistance was given the exponential trend (y = n ex and the predictive coefficient R2 > 0 < 1 are approximately alike. It was assumed that resistant bacteria occurred with a constant rate of antibiotic doses during the whole experimental period. Thus, the number of sensitive bacteria decreases at the same rate as resistant occur following term to the modified predictive model which solved computationally. Conclusion This study assesses the prediction of multi-drug resistance among S. Typhi isolates by applying low cost materials and simple statistical methods suitable for the most frequently used antibiotics as typhoid empirical therapy. Therefore, bacterial surveillance systems should be implemented to present data on the aetiology and current

  17. Dietary patterns and the insulin resistance phenotype among non-diabetic adults

    Science.gov (United States)

    Background: Information on the relation between dietary patterns derived by cluster analysis and insulin resistance is scarce. Objective: To compare insulin resistance phenotypes, including waist circumference, body mass index, fasting and 2-hour post-challenge insulin, insulin sensitivity index (I...

  18. Survival of Listeria monocytogenes with different antibiotic resistance patterns to food-associated stresses.

    Science.gov (United States)

    Komora, Norton; Bruschi, Carolina; Magalhães, Rui; Ferreira, Vânia; Teixeira, Paula

    2017-03-20

    The ongoing rise of antibiotic resistant microbial pathogens has become one of the major public health threats worldwide. Despite all the effort and actions taken so far, a proliferation of antibiotic resistant (AR) and multi-antibiotic resistant (MAR) strains is still observed, including in foodborne pathogens. This trend has been also noted recently for isolates of Listeria monocytogenes, a species that, although remaining largely sensitive to clinically relevant antimicrobials, has been reported to develop increased tolerance to antibiotics, particularly in isolates recovered from the food-chain. In this study we compared the ability of MAR (n=8), AR (n=18) and antibiotic susceptible (AS, n=11) L. monocytogenes strains from food and clinical origin to survive to different environmental stress conditions, including temperature (58°C), acidic stress (1% v/v lactic acid, pH3.5), and osmotic stress (37% w/v NaCl). The presence of antibiotic active efflux among MAR and AR strains, and its role on L. monocytogenes tolerance to different antimicrobial compounds was also investigated, namely; hydrogen peroxide; organic acids (acetic, citric and lactic); nisin; benzalkonium chloride (BC); and, sodium nitrite. While no significant differences were observed in the survival of the 37 strains exposed to high temperature (58°C), overall the mean logarithmic reduction of clinical strains was statistically lower after acid and salt exposure than that observed for strains of food origin; but both food and clinical strains resistant to two or three antibiotics were significantly less susceptible to acid (lactic acid 1% v/v) and osmotic stresses (37% w/v NaCl) when compared to AS strains. Using the EtBr-agar Cartwheel method, it was possible to detect efflux pumps in three of the 26 MAR and AR isolates, including one control strain; the active efflux in theses isolates was proven to be associated with fluoroquinolone resistance, and possible extrusion of BC and hydrogen peroxide

  19. Transfer patterns of integron-associated and antibiotic resistance genes in S. flexneri during different time intervals in Tianjin, China

    Directory of Open Access Journals (Sweden)

    J Wang

    2014-01-01

    Full Text Available Background: Shigella is one of the common genera of pathogens responsible for bacterial diarrhoea in humans. According to World Health Organisation (WHO, 800,000-1,700,000 patients in China were infected with Shigella spp. in 2000, and Shigella flexneri is the most common serotype (86%. Objectives: We investigated the transfer patterns of integron-associated and antibiotic resistance genes in S. flexneri during different time intervals in the city of Tianjin in the People′s Republic of China. Materials and Methods: The integrase-encoding and variable regions of the integrons of the bacterial strains were amplified by polymerase chain reaction (PCR, followed by gene sequencing. Fifty-six S. flexneri strains, 32 of which were stored in our laboratory and the other 24 were isolated from tertiary hospitals in Tianjin during different time intervals, were tested for their sensitivity to 12 antibiotics by using the Kirby-Bauer antibiotic testing method (K-B method. Results and Conclusion: Of the 32 strains of S. flexneri isolated from 1981 to 1983 and stored in our laboratory, class 1 integron was detected in 28 strains (87.50%, while 27 strains (84.37% harboured an aminoglycoside resistance gene, aadA, in the variable region of their integrons. Class 1 integron was identified in 22 (91.67% of the 24 S. flexneri strains isolated from 2009 to 2010, whereas the variable region and 3′-end amplification were not present in any of the strains. Class 2 integron was not found in the 1981-1983 group (group A of strains; although 19 (79.17% of the 24 strains in the 2009-2010 group (group B possessed class 2 integron, and the variable region of the integron harboured dfrA1 + sat1 + aadA1 genes, which, respectively, mediate antibiotic resistance to trimethoprim, streptothricin and streptomycin. Seventeen strains of the total 56 possessed both class 1 and 2 integrons. Strains belonging to group A were highly resistant to tetracycline, chloramphenicol and a

  20. Antibiotic resistance patterns of pediatric community-acquired urinary infections

    OpenAIRE

    Guidoni, Eliana Biondi Medeiros; Berezin, Eitan N.; Nigro, Stanley; Santiago, Nataly A; Benini, Vanda; Toporovski, Julio

    2008-01-01

    Knowledge about antimicrobial resistance patterns of the etiological agents of urinary tract infections (UTIs) is essential for appropriate therapy. Urinary isolates from symptomatic UTI cases attended at Santa Casa University Hospital of São Paulo from August 1986 to December 1989 and August 2004 to December 2005 were identified by conventional methods. Antimicrobial resistance testing was performed by Kirby Bauer's disc diffusion method. Among the 257 children, E. coli was found in 77%. A h...

  1. Patterns in Soil Electrical Resistivity Across Land Uses in the Calhoun Critical Zone Observatory Landscape

    Science.gov (United States)

    Markewitz, D.; Sutter, L.; Richter, D. D., Jr.

    2017-12-01

    Soil Electrical Resistivity Tomography (ERT) was measured across the Calhoun Critical Zone Observatory in relation to land use cover. ERT can help identify patterns in soil and saprolite physical attributes and moisture content through multiple meters. ERT data were generated with an AGI Supersting R8 with a 28 probe dipole-dipole array on a 1.5 meter spacing providing information through the upper 9 m. In Nov/Dec 2016 ten soil pits were dug to 3m depth in agricultural fields, pine forests, and hardwood forests across the CCZO and ERT measures were taken centered on these pits. ERT values ranged from 200 to 2500 Ohm-m. ERT patterns in the agricultural field demonstrated a limited resistivity gradient (200-700 Ohm-m) appearing moist throughout. In contrast, research areas under pine and hardwood forest had stronger resistivity gradients reflecting both moisture and physical attributes (i.e., texture or rock content). For example, research area 2 under pine had an area of higher resistivity that correlated with a band of saprolite that was readily visible in the exposed profile. In research area 7 and 8 that included both pine and hardwood forest resistivity gradients had contradictory patterns of high to low resistivity from top to bottom. In research area 7 resistivity was highest at the surface and decreased with depth, a common pattern when water table is at depth. In research area 8 the inverse was observed with low resistivity above and resistivity increasing with depth, a pattern observed in upper landscape positions on ridges with moist clay above dry saprolite. ERT patterns did reflect a large difference in the measured agricultural fields compared to forest while other difference appeared to reflect landscape position.

  2. Associations between depressive symptoms and insulin resistance

    DEFF Research Database (Denmark)

    Adriaanse, M C; Dekker, J M; Nijpels, G

    2006-01-01

    AIMS/HYPOTHESIS: The association between depression and insulin resistance has been investigated in only a few studies, with contradictory results reported. The aim of this study was to determine whether the association between symptoms of depression and insulin resistance varies across glucose...... established type 2 diabetes mellitus. Main outcome measures were insulin resistance defined by the homeostasis model assessment for insulin resistance (HOMA-IR) and symptoms of depression using the Centre for Epidemiologic Studies Depression Scale (CES-D). RESULTS: In the total sample, we found a weak.......942). The association between depressive symptoms and insulin resistance was similar for men and women. CONCLUSIONS/INTERPRETATION: We found only weak associations between depressive symptoms and insulin resistance, which did not differ among different glucose metabolism subgroups or between men and women....

  3. Molecular mechanisms associated with nosocomial carbapenem-resistant Acinetobacter baumannii in Mexico.

    Science.gov (United States)

    Alcántar-Curiel, María Dolores; García-Torres, Luis Francisco; González-Chávez, María Inés; Morfín-Otero, Rayo; Gayosso-Vázquez, Catalina; Jarillo-Quijada, Ma Dolores; Fernández-Vázquez, José Luis; Giono-Cerezo, Silvia; Rodríguez-Noriega, Eduardo; Santos-Preciado, José Ignacio

    2014-10-01

    Acinetobacter baumannii is an emerging pathogen worldwide that is most commonly associated with nosocomial infections and multi-drug resistance. In the present study we determined the mechanisms of carbapenem resistance and clonal diversity of A. baumannii nosocomial isolates in Hospital Civil de Guadalajara, Mexico. A total of 303 clinical isolates of A. baumannii identified during a period expanding from 2004-2011 were analyzed for carbapenem resistance using several microbiological and molecular methods. Clonal relatedness of these isolates was determined using pulsed-field gel electrophoresis. Of the 303 isolates, 84% were resistant to meropenem, 71.3% to imipenem and 78.3% the resistant isolates were positive for metallo-β-lactamases as determined by the phenotypic assay. In addition, 49.6% of carbapenem-intermediate or -resistant isolates carried the blaOXA-72 gene and 1.2% carried the blaVIM-1 gene. Efflux pump phenotype was responsible for reduced susceptibility to meropenem in 14.5% and to imipenem in 31.6% of the resistant isolates, respectively in the presence of the efflux pump inhibitor, carbonyl cyanide 3-chlorophenylhydrazone. Strains representing different carbapenem-resistant patterns exhibited reduced expression of 22, 29, 33, and 43 kDa OMPs. Among the bacterial collection studied, 48 different clones were identified, two of which were predominant and persistently transmitted. Carbapenemase production in combination with efflux pump expression, reduction in OMPs expression and the cross-transmission of clones appear to be major contributors to the high frequency of carbapenem-resistance observed in A. baumannii. To our knowledge, this is the first study to define the molecular mechanisms associated with carbapenem-resistance in A. baumannii in Mexico. Copyright © 2014 IMSS. Published by Elsevier Inc. All rights reserved.

  4. Association Between Tetracycline Consumption and Tetracycline Resistance in Escherichia coli from Healthy Danish Slaughter Pigs

    DEFF Research Database (Denmark)

    Vieira, Antonio; Houe, Hans; Wegener, Henrik Caspar

    2009-01-01

    It has been recognized that exposure to antimicrobial agents can exert a selective pressure for the emergence of antimicrobial resistance. The objective of this study was to investigate an association between the probability of isolating a tetracycline-resistant Escherichia coli isolate from the ...... this study, we can infer that tetracycline usage, the time span between last treatment and sampling date, together with herd size and the proportion of animals being treated in a herd, increase the probability of obtaining a resistant isolate.......It has been recognized that exposure to antimicrobial agents can exert a selective pressure for the emergence of antimicrobial resistance. The objective of this study was to investigate an association between the probability of isolating a tetracycline-resistant Escherichia coli isolate from...... the intestinal tract of healthy pigs and patterns of tetracycline Consumption in the herds of origin, together with other risk factors. Data oil antimicrobial resistance, antimicrobial consumption, and pig herd demographics were obtained from different Danish surveillance programs. Descriptive statistics were...

  5. Nitroglycerin-mediated, but not flow-mediated vasodilation, is associated with blunted nocturnal blood pressure fall in patients with resistant hypertension.

    Science.gov (United States)

    Fontes-Guerra, Priscila C A; Cardoso, Claudia R L; Muxfeldt, Elizabeth S; Salles, Gil F

    2015-08-01

    Endothelial function by flow-mediated (FMD) and nitroglycerin-mediated vasodilations (NMD) was scarcely investigated in resistant hypertension. We aimed to assess the independent correlates of FMD and NMD in resistant hypertensive patients, particularly their associations with ambulatory blood pressures (BP) and nocturnal BP fall patterns. In a cross-sectional study, 280 resistant hypertensive patients performed 24-h ambulatory BP monitoring, carotid-femoral pulse wave velocity, polysomnography, and brachial artery FMD and NMD by high-resolution ultrasonography. Independent correlates of FMD, NMD, and brachial artery diameter (BAD) were assessed by multiple linear and logistic regressions. Median (interquartile range) FMD was 0.75% (-0.6 to +4.4%) and NMD was 11.8% (7.1-18.4%). Baseline BAD and diabetes were independently associated with both FMD and NMD. Older age and prior cardiovascular diseases were associated with altered FMD, whereas higher night-time SBP and lower nocturnal SBP fall were associated with impaired NMD. Moreover, there was a significant gradient of impaired NMD according to blunted nocturnal BP decline patterns. BAD was independently associated with age, sex, BMI, albuminuria, and nocturnal SBP fall. Further adjustments to blood flow velocity, aortic stiffness, plasma aldosterone concentration, and sleep apnea did not change these relationships. NMD, but not FMD, is independently associated with unfavorable night-time BP levels and nondipping patterns, and may be a better cardiovascular risk marker in patients with resistant hypertension. BAD also may provide additional prognostic information.

  6. Antibiotic resistant pattern of environmental isolates of Listeria ...

    African Journals Online (AJOL)

    Incidence of Listeria monocytogenes in cow manure, agricultural soil, and common vegetables sold in major markets in Ado-Ekiti, Nigeria was determined. Antibiotic resistant pattern of the isolates was examined by paper disk assay. A total of 196 environmental samples were cultured on a selective medium out of which ...

  7. Antibiotic resistance patterns of pediatric community-acquired urinary infections

    Directory of Open Access Journals (Sweden)

    Eliana Biondi Medeiros Guidoni

    Full Text Available Knowledge about antimicrobial resistance patterns of the etiological agents of urinary tract infections (UTIs is essential for appropriate therapy. Urinary isolates from symptomatic UTI cases attended at Santa Casa University Hospital of São Paulo from August 1986 to December 1989 and August 2004 to December 2005 were identified by conventional methods. Antimicrobial resistance testing was performed by Kirby Bauer's disc diffusion method. Among the 257 children, E. coli was found in 77%. A high prevalence of resistance was observed against ampicillin and TMP/SMX (55% and 51%. The antibiotic resistance rates for E. coli were: nitrofurantoin (6%, nalidixic acid (14%, 1st generation cephalosporin (13%, 3rd generation cephalosporins (5%, aminoglycosides (2%, norfloxacin (9% and ciprofloxacin (4%. We found that E. coli was the predominant bacterial pathogen of community-acquired UTIs. We also detected increasing resistance to TMP/SMX among UTI pathogens in this population.

  8. Antimicrobial resistance in Danish pigs: A cross sectional study of the association between antimicrobial resistance and geography, exposure to antimicrobials, and trade

    DEFF Research Database (Denmark)

    Birkegård, Anna Camilla

    Antimicrobial resistance is a worldwide problem of paramount importance for both humans and animals. To combat the emergence of antimicrobial resistance, the problem must be targeted in all major reservoirs as it is assumed that a high level of AMR genes in environmental reservoirs can increase...... the risk of human pathogens becoming resistant. Pigs might constitute an important reservoir. Therefore, it is important to manage antimicrobial resistance in pigs. Before effectiveactions can be initiated, it is crucial to know which factors are associated with the levels of antimicrobial resistance...... the collection of information on relevant factors. The aim of this PhD project was to study the relationship between the levels of antimicrobial resistance genes and three factors in Danish pig farms: the geographical location of the farm, the exposure to antimicrobials, and the trade patterns. Data collection...

  9. A Livestock-Associated, Multidrug-Resistant, Methicillin-Resistant Staphylococcus aureus Clonal Complex 97 Lineage Spreading in Dairy Cattle and Pigs in Italy

    DEFF Research Database (Denmark)

    Feltrin, Fabiola; Alba, Patricia; Kraushaar, Britta

    2016-01-01

    by macrorestriction pulsed-field gel electrophoresis (PFGE) analysis, multilocus sequence typing (MLST), spa typing, staphylococcal cassette chromosome mec (SCCmec) typing, and antimicrobial resistance pattern analysis. Virulence and resistance genes were investigated by PCR and microarray analysis. Most...... resistance, fluoroquinolone resistance (n = 33), tet(K) in 32/37 tet(M)-positive isolates, and blaZ in almost all MRSA isolates. Few host-associated differences were detected among CC97 MRSA isolates: their extensive MDR nature in both pigs and dairy cattle may be a consequence of a spillback from pigs......Pandemic methicillin-resistant Staphylococcus aureus (MRSA) clonal complex 97 (CC97) lineages originated from livestock-to-human host jumps. In recent years, CC97 has become one of the major MRSA lineages detected in Italian farmed animals. The aim of this study was to characterize and analyze...

  10. Sub-30 nm patterning of molecular resists based on crosslinking through tip based oxidation

    Science.gov (United States)

    Lorenzoni, Matteo; Wagner, Daniel; Neuber, Christian; Schmidt, Hans-Werner; Perez-Murano, Francesc

    2018-06-01

    Oxidation Scanning Probe Lithography (o-SPL) is an established method employed for device patterning at the nanometer scale. It represents a feasible and inexpensive alternative to standard lithographic techniques such as electron beam lithography (EBL) and nanoimprint lithography (NIL). In this work we applied non-contact o-SPL to an engineered class of molecular resists in order to obtain crosslinking by electrochemical driven oxidation. By patterning and developing various resist formulas we were able to obtain a reliable negative tone resist behavior based on local oxidation. Under optimal conditions, directly written patterns can routinely reach sub-30 nm lateral resolution, while the final developed features result wider, approaching 50 nm width.

  11. Drug resistance patterns in pulmonary tuberculosis

    International Nuclear Information System (INIS)

    Khoharo, H.K.; Shaikh, I.A.

    2011-01-01

    Objective: To determine the resistance patterns of mycobacterium tuberculosis (MTB) isolates among category I and II patients of pulmonary tuberculosis. Methods: This cross sectional study was conducted at the Department of Medicine, Liaquat University of Medical and Health Sciences Jamshoro, from November 2008 to September 2009. Patients were divided into category I and II. The sputa were collected, stained with Ziehl-Nielsen (Z-N) staining and ultimately inoculated on Lowenstein-Jensen (L-J) media for six weeks. Out of 890 pulmonary tuberculosis (PTB) patients, the growth was obtained in 285 cases. The Drug sensitivity testing (DST) for Isoniazid (INH), Rifampicin (RIF), Ethambutol (EMB) Pyrazinamide (PZA) and Streptomycin (SM) were performed. The data was analyzed on SPSS 10.0. A p-value of <0.05 was taken as significant. Result: Out of 285 cases, 176 (61.75%) were male and 109 (38.24%) female. The mean age was 37 +- 19.90 years. The DST showed drug sensitive and drug resistant isolates in 80 (28.05%) and 205 (71.92%) cases respectively (p=0.001). The drug resistant tuberculosis (DR-TB) rates for individual drugs; INH, RIF, EMB, PZA and SM were 51,22%, 15.4%, 13.33%, 9%12, and 3.85% respectively (p=0.03). The MDR-TB isolates were detected in 120 (42.10%) cases, including 5 (5.88%) in category I and 115 (57.50%) in category II patients (p=0.0001). Conclusion: Drug resistant and multidrug resistant tuberculosis was observed mainly in category II patients. However, primary MDR was also observed in category I patients and reflects dissemination of MDR cases within the community. (author)

  12. Source, pattern and antibiotic resistance of blood stream infections in hematopoietic stem cell transplant recipients

    International Nuclear Information System (INIS)

    El-Mahallawy, H.; Samir, I.; Kadry, D.; Abdel Fattah, R.; El-Kholy, A.

    2014-01-01

    Mucositis developing as a result of myelo-ablative high dose therapy administered prior to hematopoietic stem cell transplantation (HSCT) is associated with the risk of bacteremia. The aim of the present study was to detect the pattern of bacteremia coinciding with the present practice of HSCT, to study the contribution of health-care associated infection (HAI) to the pattern of infection, in the context of the problem of antibiotic resistance in HSCT recipients. Patients and methods: This is a retrospective, single center study including patients who developed febrile neutropenia (FN) among HSCT recipients in one year duration. Results: Ninety FN episodes were recorded in 50 patients. Out of 39 positive blood cultures, Gram negative rods (GNR) were the predominant pathogens, constituting 67% (n =26) of isolated organisms, while 33% of infections were caused by gram positive cocci (GPC) (n= 13). Bacteremia was significantly associated with central venous line (CVL) infections and gastroenteritis (diarrhea and vomiting) with a p-value 0.024, 0.20 and 0.0001, respectively. Multi-drug resistant organisms (MDROs) were identified in 27 (69%) of the 39 positive blood cultures. Conclusion: In one year duration, gram negative pathogens were the predominant causes of infection in HSCT recipients with high rates of MDROs in our institution. Gastroenteritis and central venous line infections are the main sources of bacteremia

  13. Antimicrobial-Resistant Pathogens Associated With Healthcare-Associated Infections: Summary of Data Reported to the National Healthcare Safety Network at the Centers for Disease Control and Prevention, 2011-2014.

    Science.gov (United States)

    Weiner, Lindsey M; Webb, Amy K; Limbago, Brandi; Dudeck, Margaret A; Patel, Jean; Kallen, Alexander J; Edwards, Jonathan R; Sievert, Dawn M

    2016-11-01

    OBJECTIVE To describe antimicrobial resistance patterns for healthcare-associated infections (HAIs) that occurred in 2011-2014 and were reported to the Centers for Disease Control and Prevention's National Healthcare Safety Network. METHODS Data from central line-associated bloodstream infections, catheter-associated urinary tract infections, ventilator-associated pneumonias, and surgical site infections were analyzed. These HAIs were reported from acute care hospitals, long-term acute care hospitals, and inpatient rehabilitation facilities. Pooled mean proportions of pathogens that tested resistant (or nonsusceptible) to selected antimicrobials were calculated by year and HAI type. RESULTS Overall, 4,515 hospitals reported that at least 1 HAI occurred in 2011-2014. There were 408,151 pathogens from 365,490 HAIs reported to the National Healthcare Safety Network, most of which were reported from acute care hospitals with greater than 200 beds. Fifteen pathogen groups accounted for 87% of reported pathogens; the most common included Escherichia coli (15%), Staphylococcus aureus (12%), Klebsiella species (8%), and coagulase-negative staphylococci (8%). In general, the proportion of isolates with common resistance phenotypes was higher among device-associated HAIs compared with surgical site infections. Although the percent resistance for most phenotypes was similar to earlier reports, an increase in the magnitude of the resistance percentages among E. coli pathogens was noted, especially related to fluoroquinolone resistance. CONCLUSION This report represents a national summary of antimicrobial resistance among select HAIs and phenotypes. The distribution of frequent pathogens and some resistance patterns appear to have changed from 2009-2010, highlighting the need for continual, careful monitoring of these data across the spectrum of HAI types. Infect Control Hosp Epidemiol 2016;1-14.

  14. MAMP (microbe-associated molecular pattern)-induced changes in plasma membrane-associated proteins.

    Science.gov (United States)

    Uhlíková, Hana; Solanský, Martin; Hrdinová, Vendula; Šedo, Ondrej; Kašparovský, Tomáš; Hejátko, Jan; Lochman, Jan

    2017-03-01

    Plant plasma membrane associated proteins play significant roles in Microbe-Associated Molecular Pattern (MAMP) mediated defence responses including signal transduction, membrane transport or energetic metabolism. To elucidate the dynamics of proteins associated with plasma membrane in response to cryptogein, a well-known MAMP of defence reaction secreted by the oomycete Phytophthora cryptogea, 2D-Blue Native/SDS gel electrophoresis of plasma membrane fractions was employed. This approach revealed 21 up- or down-regulated protein spots of which 15 were successfully identified as proteins related to transport through plasma membrane, vesicle trafficking, and metabolic enzymes including cytosolic NADP-malic enzyme and glutamine synthetase. Observed changes in proteins were also confirmed on transcriptional level by qRT-PCR analysis. In addition, a significantly decreased accumulation of transcripts observed after employment of a mutant variant of cryptogein Leu41Phe, exhibiting a conspicuous defect in induction of resistance, sustains the contribution of identified proteins in cryptogein-triggered cellular responses. Our data provide further evidence for dynamic MAMP-induced changes in plasma membrane associated proteins. Copyright © 2016 Elsevier GmbH. All rights reserved.

  15. Changes in drug resistance patterns following the introduction of HIV type 1 non-B subtypes in Spain.

    Science.gov (United States)

    De Mendoza, Carmen; Garrido, Carolina; Poveda, Eva; Corral, Angélica; Zahonero, Natalia; Treviño, Ana; Anta, Lourdes; Soriano, Vincent

    2009-10-01

    Natural genetic variability at the pol gene may account for differences in drug susceptibility and selection of resistance patterns across HIV-1 clades. Spread of non-B subtypes along with changes in antiretroviral drug use may have modified drug resistance patterns in recent years. All HIV-1 clinical samples sent to a reference laboratory located in Madrid for drug resistance testing since January 2000 were analyzed. The pol gene was sequenced and HIV-1 subtypes were assigned using the Stanford algorithm and phylogenetic analyses for non-B subtypes. Drug resistance mutations were recorded using the IAS-USA mutation list (April 2008). A total of 3034 specimens from 730 antiretroviral-naive individuals (92 with non-B subtypes) and 1569 antiretroviral-experienced patients (97 with non-B subtypes) were examined. The prevalence of HIV-1 non-B subtypes in the study period increased from 4.4% (2000-2003) to 10.1% (2004-2007) (p 41.8%) and G (17.5%). Thymidine analogue mutations (TAMs) were more prevalent in B than non-B subtypes, in both drug-naive (6.2% vs. 1%; p < 0.01) and treatment-experienced patients (49% vs. 30%, p < 0.01). K103N was most frequent in B than non-B subtypes (34% vs. 21%; p < 0.01); conversely, 106A/M was more prevalent in non-B than B clades (11% vs. 5%). Codon 179 mutations associated with etravirine resistance were more frequent in non-B than B subtypes. Finally, secondary protease resistance mutations were more common in non-B than B clades, with a potentially significant impact at least on tipranavir. The prevalence of HIV-1 non-B subtypes has increased since the year 2000 in a large drug resistance database in Spain, determining changes in drug resistance patterns that may influence the susceptibility to new antiretroviral drugs and have an impact on genotypic drug resistance interpretation algorithms.

  16. Changes in Bacterial Resistance Patterns of Pediatric Urinary Tract Infections and Rationale for Empirical Antibiotic Therapy

    OpenAIRE

    İbrahim Gökçe; Neslihan Çiçek; Serçin Güven; Ülger Altuntaş; Neşe Bıyıklı; Nurdan Yıldız; Harika Alpay

    2017-01-01

    Background: The causative agent spectrum and resistance patterns of urinary tract infections in children are affected by many factors. Aims: To demonstrate antibiotic resistance in urinary tract infections and changing ratio in antibiotic resistance by years. Study Design: Retrospective cross-sectional study. Methods: We analysed antibiotic resistance patterns of isolated Gram (-) bacteria during the years 2011-2014 (study period 2) in children with urinary tract infections. We...

  17. Rapid detection of drug resistance and mutational patterns of extensively drug-resistant strains by a novel GenoType® MTBDRsl assay

    Directory of Open Access Journals (Sweden)

    A K Singh

    2013-01-01

    Full Text Available Background: The emergence of extensively drug-resistant tuberculosis (XDR-TB is a major concern in the India. The burden of XDR-TB is increasing due to inadequate monitoring, lack of proper diagnosis, and treatment. The GenoType ® Mycobacterium tuberculosis drug resistance second line (MTBDRsl assay is a novel line probe assay used for the rapid detection of mutational patterns conferring resistance to XDR-TB. Aim: The aim of this study was to study the rapid detection of drug resistance and mutational patterns of the XDR-TB by a novel GenoType ® MTBDRsl assay. Materials and Methods: We evaluated 98 multidrug-resistant (MDR M. tuberculosis isolates for second line drugs susceptibility testing by 1% proportion method (BacT/ALERT 3D system and GenoType ® MTBDRsl assay for rapid detection of conferring drug resistance to XDR-TB. Results: A total of seven (17.4% were identified as XDR-TB by using standard phenotypic method. The concordance between phenotypic and GenoType ® MTBDRsl assay was 91.7-100% for different antibiotics. The sensitivity and specificity of the MTBDRsl assay were 100% and 100% for aminoglycosides; 100% and 100% for fluoroquinolones; 91.7% and 100% for ethambutol. The most frequent mutations and patterns were gyrA MUT1 (A90V in seven (41.2% and gyrA + WT1-3 + MUT1 in four (23.5%; rrs MUT1 (A1401G in 11 (64.7%, and rrs WT1-2 + MUT1 in eight (47.1%; and embB MUT1B (M306V in 11 (64.7% strains. Conclusions: These data suggest that the GenoType ® MTBDRsl assay is rapid, novel test for detection of resistance to second line anti-tubercular drugs. This assay provides additional information about the frequency and mutational patterns responsible for XDR-TB resistance.

  18. Characterization and drug resistance patterns of Ewing's sarcoma family tumor cell lines.

    Directory of Open Access Journals (Sweden)

    William A May

    Full Text Available Despite intensive treatment with chemotherapy, radiotherapy and surgery, over 70% of patients with metastatic Ewing's Sarcoma Family of Tumors (EFT will die of their disease. We hypothesize that properly characterized laboratory models reflecting the drug resistance of clinical tumors will facilitate the application of new therapeutic agents to EFT. To determine resistance patterns, we studied newly established EFT cell lines derived from different points in therapy: two established at diagnosis (CHLA-9, CHLA-32, two after chemotherapy and progressive disease (CHLA-10, CHLA-25, and two at relapse after myeloablative therapy and autologous bone marrow transplantation (post-ABMT (CHLA-258, COG-E-352. The new lines were compared to widely studied EFT lines TC-71, TC-32, SK-N-MC, and A-673. These lines were extensively characterized with regard to identity (short tandem repeat (STR analysis, p53, p16/14 status, and EWS/ETS breakpoint and target gene expression profile. The DIMSCAN cytotoxicity assay was used to assess in vitro drug sensitivity to standard chemotherapy agents. No association was found between drug resistance and the expression of EWS/ETS regulated genes in the EFT cell lines. No consistent association was observed between drug sensitivity and p53 functionality or between drug sensitivity and p16/14 functionality across the cell lines. Exposure to chemotherapy prior to cell line initiation correlated with drug resistance of EFT cell lines in 5/8 tested agents at clinically achievable concentrations (CAC or the lower tested concentration (LTC: (cyclophosphamide (as 4-HC and doxorubicin at CAC, etoposide, irinotecan (as SN-38 and melphalan at LTC; P<0.1 for one agent, and P<0.05 for four agents. This panel of well-characterized drug-sensitive and drug-resistant cell lines will facilitate in vitro preclinical testing of new agents for EFT.

  19. Changing patterns of drug-resistant Shigella isolates in egypt.

    Science.gov (United States)

    Abd-Elmeged, Ghada M; Khairy, Rasha M; Abo-Eloyoon, Sahar M; Abdelwahab, Sayed F

    2015-06-01

    The emergence of multidrug resistance (MDR) is a serious problem in treating shigellosis. There are limited existing data examining the change in the antimicrobial resistance profile of Shigella in Egypt. We previously reported that 58% of the Shigella isolates in Egypt were resistant to at least one member of the three different antimicrobial groups. This study was performed to determine the antimicrobial resistance profile of Shigella, determine their possible mechanisms of resistance, and compare their resistance profile to those reported 20 years ago. Stool samples were collected from 500 subjects and processed for the isolation and identification of Shigella. The susceptibility of the isolates to 11 different antimicrobials was determined using the disc diffusion method. Of 500 stool cultures, 24 (4.8%) samples were positive for Shigella. There was a high percentage of resistance to ampicillin (88%), tetracycline (83%), and sulfamethoxazole-trimethoprim (75%). Also, there was a moderate percentage of resistance to chloramphenicol (46%), streptomycin (42%), ceftazidime (33%), and cefotaxime (25%). A lower percentage of resistance was recorded for amikacin, nalidixic acid (17% each), and ofloxacin (7%), while no resistance was found to ciprofloxacin (0%). Twenty-one of the isolates (88%) were resistant to at least three different antimicrobial groups (indicating MDR). The average number of antimicrobial agents to which the Shigella isolates were resistant was 4.3±1.4, while it was 3.4±1.5 in the same locality in 1994. These data demonstrate that there is a marked increase in MDR and change in the resistance patterns of Shigella over the past 20 years.

  20. Resistance patterns of bacterial isolates to antimicrobials from 3 hospitals in the United Arab Emirates

    International Nuclear Information System (INIS)

    AlDhaheri, Ahmed S; AlNiyadi, Mohammed S; AlDhaheri Ahmed D; Bastaki, Salim M

    2009-01-01

    To compare the resistance pattern of common bacterial pathogens to commonly used drugs. Information and statistics of antimicrobial resistance for 1994 and 2005 were collected from the 3 hospital microbiology laboratories in the United Arab Emirates. The resistance patterns of Staphylococcus aureus, Escherichia coli, Klebsiella spp, and Pseudomonas aeruginosa to several front-line drugs were estimated. All laboratories used automatic machines (Vitek 2), which identifies and determines minimum inhibitory concentrations simultaneously. Increased resistance was observed for Staphylococcus aureus, (n=315, 2005) to erythromycin (approximately 6 fold, Al-Ain Hospital only), cloxacillin (Al-Ain Hospital), and gentamicin (more than 3-10 folds in all hospitals). Increased penicillin resistance was not observed. For the common Gram-negative organisms, there was a high resistance to ampicillin, gentamicin, ceftriaxone, ciprofloxacin, and imipenem, which seemed to increase for Escherichia coli, (by 4.2-200%, n=305, 2005); however, there was very little resistance to imipenem (0.4%) in Tawam Hospital. Variable resistance patterns were obtained for Pseudomonas aeruginosa (n=316, 2005) and Klebsiella spp,(n=316, 2005) against aminoglycosides, cephalosporins, ciprofloxacin, and norfloxacin. Overall, there was an obvious increase in resistance of bacteria and the prevalence rate to a number of drugs from 1-120 folds during the 11-year period. (author)

  1. Assessing the nosocomial infections' rate and the antibiotic resistance pattern among the patient hospitalized in beheshti hospital during 2013

    Directory of Open Access Journals (Sweden)

    Manijeh Kadkhodaei

    2018-01-01

    Full Text Available Aims: Nosocomial infection is associated with increased mortality, morbidity, and length of stay. Detection of infection, identify the etiology of bacterial antibiotic resistance pattern, is necessary given the widespread use of antibiotics and antibiotic-resistant organisms. Materials and Methods: This cross-sectional study was done on 288 patients admitted to the Beheshti Hospitals in Kashan based on NNIS definitions according to the state of Health and Medical education. In this study infections and antibiotic resistance symptoms were found. Data analyses were performed with Chi-square test. Results: Among the 288 patients studied, with mean out of hospital infection was 0.80%. Most cases of infection associated were pneumonia. The highest rates of infection were in the Intensive Care Unit (ICU with 51.7%. Nosocomial infection in ICU wards was associated with increased mortality and morbidity. The most common types were ventilator-associated pneumonia. Among the microorganisms, negative Gram was seen more. The common pathogens were including Acinetobacter, Escherichia coli, and Klebsiella. Antimicrobial resistance was generally increasing and had emerged from selective pressure from antibiotic use and transmission through health staff. Conclusion: This study showed a correlation between antibiotic use and resistance of microorganisms is significant. Hence, it seems that reducing aggressive acts and conduct hygiene education and monitoring act of antibiotics is necessary to prevent antibiotic resistance.

  2. Series Resistance Analysis of Passivated Emitter Rear Contact Cells Patterned Using Inkjet Printing

    Directory of Open Access Journals (Sweden)

    Martha A. T. Lenio

    2012-01-01

    Full Text Available For higher-efficiency solar cell structures, such as the Passivated Emitter Rear Contact (PERC cells, to be fabricated in a manufacturing environment, potentially low-cost techniques such as inkjet printing and metal plating are desirable. A common problem that is experienced when fabricating PERC cells is low fill factors due to high series resistance. This paper identifies and attempts to quantify sources of series resistance in inkjet-patterned PERC cells that employ electroless or light-induced nickel-plating techniques followed by copper light-induced plating. Photoluminescence imaging is used to determine locations of series resistance losses in these inkjet-patterned and plated PERC cells.

  3. In situ analysis of negative-tone resist pattern formation using organic-solvent-based developer process

    Science.gov (United States)

    Santillan, Julius Joseph; Yamada, Keisaku; Itani, Toshiro

    2014-01-01

    In situ resistpattern formation” analysis during the development process using high-speed atomic force microscopy has been improved for application not only for conventional aqueous 0.26 N tetramethylammonium hydroxide (aq. TMAH), but also organic solvent n-butyl acetate (nBA) developers. Comparative investigations of resist dissolution in these developers, using the same resist material (hybrid of polyhydroxystyrene and methacrylate), showed a grainlike, uniform dissolution of the “unexposed resist film” in nBA development and uneven dissolution of the “exposed resist film” in aq. TMAH development. These results suggest the importance of dissolution uniformity in further improving the resulting pattern line width roughness.

  4. Methicillin-resistant Staphylococcus aureus prevalence: Current susceptibility patterns in Trinidad

    Directory of Open Access Journals (Sweden)

    Land Michael

    2006-05-01

    Full Text Available Abstract Background Methicillin-resistant Staphylococcus aureus (MRSA has become one of the most widespread causes of nosocomial infections worldwide. Recently, reports have emerged that S. aureus strains recovered from community-acquired infections are also methicillin-resistant. This study was undertaken to analyze the prevalence of methicillin resistance among isolates at a regional hospital in Trinidad, and document the current resistance profile of MRSA and methicillin-sensitive Staphylococcus aureus (MSSA to the commonly used anti-staphylococcal agents. Methods Over a 6-year period we analyzed 2430 isolates of S. aureus strains recovered from various clinical sources, from hospital and community practices. Antimicrobial susceptibility testing was done according to guideline recommendations of the National Committee for Clinical Laboratory Standards. Results The prevalence of MRSA from surgical/burn wounds, urine and pus/abscess were 60.1%, 15.5% and 6.6%, respectively. The major sources of MSSA were surgical/burn wounds, pus/abscess and upper respiratory tract specimens with rates of 32.9%, 17.1% and 14.3%, respectively. The greatest prevalence of resistance of MRSA was seen for erythromycin (86.7%, and clindamycin (75.3%. Resistance rates among MSSA were highest for ampicillin (70%. Resistance rates for tetracycline were similar among both MRSA (78.7% and MSSA (73.5%. The MRSA recovery rates from nosocomial sources (20.8% was significantly higher than that of previous years (12.5% (p Conclusion The prevalence of MRSA in the hospital increased from 12.5% in 1999 to 20.8% in 2004. Most isolates were associated with infected surgical/burn wounds which may have become infected via the hands of HCPs during dressing exercises. Infection control measures aimed at the proper hand hygiene procedures may interrupt the spread of MRSA. HCPs may also be carriers of MRSA in their anterior nares. Surveillance cultures of both patients and HCPs may help

  5. Zinc Resistance within Swine-Associated Methicillin-Resistant Staphylococcus aureus Isolates in the United States Is Associated with Multilocus Sequence Type Lineage.

    Science.gov (United States)

    Hau, Samantha J; Frana, Timothy; Sun, Jisun; Davies, Peter R; Nicholson, Tracy L

    2017-08-01

    Zinc resistance in livestock-associated methicillin-resistant Staphylococcus aureus (LA-MRSA) sequence type 398 (ST398) is primarily mediated by the czrC gene colocated with the mecA gene, encoding methicillin resistance, within the type V staphylococcal cassette chromosome mec (SCC mec ) element. Because czrC and mecA are located within the same mobile genetic element, it has been suggested that the use of zinc in feed as an antidiarrheal agent has the potential to contribute to the emergence and spread of methicillin-resistant S. aureus (MRSA) in swine, through increased selection pressure to maintain the SCC mec element in isolates obtained from pigs. In this study, we report the prevalence of the czrC gene and phenotypic zinc resistance in U.S. swine-associated LA-MRSA ST5 isolates, MRSA ST5 isolates from humans with no swine contact, and U.S. swine-associated LA-MRSA ST398 isolates. We demonstrated that the prevalence of zinc resistance in U.S. swine-associated LA-MRSA ST5 isolates was significantly lower than the prevalence of zinc resistance in MRSA ST5 isolates from humans with no swine contact and swine-associated LA-MRSA ST398 isolates, as well as prevalences from previous reports describing zinc resistance in other LA-MRSA ST398 isolates. Collectively, our data suggest that selection pressure associated with zinc supplementation in feed is unlikely to have played a significant role in the emergence of LA-MRSA ST5 in the U.S. swine population. Additionally, our data indicate that zinc resistance is associated with the multilocus sequence type lineage, suggesting a potential link between the genetic lineage and the carriage of resistance determinants. IMPORTANCE Our data suggest that coselection thought to be associated with the use of zinc in feed as an antimicrobial agent is not playing a role in the emergence of livestock-associated methicillin-resistant Staphylococcus aureus (LA-MRSA) ST5 in the U.S. swine population. Additionally, our data indicate

  6. Ventilator-associated pneumonia: Its incidence, the risk factor and drug resistance pattern in a tertiary care hospital

    Directory of Open Access Journals (Sweden)

    Sourabh Mitra

    2015-01-01

    Full Text Available Background: Ventilator-associated pneumonia (VAP is an infection of the lung that develops 48 h or longer after mechanical ventilation. Objectives: The present study was aimed to find out the bacteriological profile of VAP along with the resistance pattern of bacteriological isolates. Materials and Methods: A prospective observational study was conducted from January 2013 to May 2014 among 791 patients admitted in critical care units of our tertiary care hospital. After selection by applying inclusion and exclusion criteria endotracheal aspirates were collected from ventilated patients. Samples were subjected to further processing by Gram-staining, culture, biochemical testing and antibiogram. Results : Out of 791 patients admitted in intensive care unit in this tertiary care hospital with VAP 540 (68.2% patients were culture positive. Pseudomonas aeruginosa was most commonly isolated pathogen of both early onset and late onset VAP. In early VAP Acinetobacter baumannii showed 62.5% metallo-beta-lactamase (MBL positivity. P. aeruginosa showed 27.5% MBL positivity, whereas in late onset VAP, 71.4% A. baumannii isolates and 75.8% P. aeruginosa isolates showed MBL positivity, respectively. Conclusion : Simple prevention of aspiration, sterilization of equipments, hand washing of personnel can reduce VAP in hospital care setting.

  7. The Influence of the Basic Styrofoam Patterns Final Shaping Parameters on the Resistance Properties

    Directory of Open Access Journals (Sweden)

    T. Pacyniak

    2012-12-01

    Full Text Available This work presents the analysis of the final shaping process of the patterns aimed at determining the influence of the pressure and the time of sintering on the resistance to bending. The analysis of the research results proved that when the pressure of the sintering rises and reaches Ps=2.1 bar the resistance to bending increases, above this level of the pressure the resistance value starts decreasing. The time of styrofoam sintering at which the highest bending resistance values were obtained is ts=90 s. When the sintering pressure is less than 2 bar prolongation of the time of sintering over 90 s causes a slight increase in the resistance, however, at higher pressures prolongation of the time of sintering causes submelting of the styrofoam pattern.

  8. The Influence of the Basic Styrofoam Patterns Final Shaping Parameters on the Resistance Properties

    Directory of Open Access Journals (Sweden)

    Pacyniak T.

    2012-12-01

    Full Text Available This work presents the analysis of the final shaping process of the patterns aimed at determining the influence of the pressure and the time of sintering on the resistance to bending. The analysis of the research results proved that when the pressure of the sintering rises and reaches Ps=2.1 bar the resistance to bending increases, above this level of the pressure the resistance value starts decreasing. The time of styrofoam sintering at which the highest bending resistance values were obtained is ts=90s. When the sintering pressure is less than 2 bar prolongation of the time of sintering over 90 s causes a slight increase in the resistance, however, at higher pressures prolongation of the time of sintering causes submelting of the styrofoam pattern.

  9. Pattern of antibiotic resistant mastitis in dairy cows

    Directory of Open Access Journals (Sweden)

    D. Chandrasekaran

    2014-06-01

    Full Text Available Aim: To study the prevalence of drug resistant mastitis and their pattern of antibiotic resistance in dairy cows from Tamil Nadu. Materials and Methods: Isolation and identification of resistant pathogens were performed from acute clinical mastitis samples. Based on culture, isolation and sensitivity tests, cows with resistant mastitis were grouped as; Group I: Escherichia coli (n=119, Group II: Staphylococcus aureus (n=104 and Group III: Methicillin-resistant Staphylococcal aureus (MRSA (n=12. The isolates were tested using agar disc diffusion method for their antimicrobial susceptibility and modified resazurin assay microdilution technique for minimum inhibitory concentration (MIC to 8 antimicrobial drugs. The organisms were also confirmed for their identity by performing PCR on the bacterial pellet targeting the specific genes such as 16s-23s rRNA, mecA and blaZ respectively for the resistant pathogens and also confirmed by sequencing. Results: Antibiotic resistant mastitis was detected in 235 out of 401 cows accounting to 56.1%. The predominant resistant causative pathogen was E. coli (50.64% followed by S. aureus (44.25% and MRSA (5.11%. In vitro antibiotic sensitivity test and MIC breakpoints, E. coli, S. aureus and MRSA organisms showed more sensitivity to enrofloxacin, amoxicillin + sulbactam, gentamicin and ceftriaxone and had highest resistant to penicillin followed by amoxicillin, oxytetracycline and methicillin. E. coli and S. aureus isolates were found to be resistant to 1 or 2 antimicrobials, whereas most of the MRSA isolates were found to be multi-drug resistant i.e resistance to 3 or more of antimicrobials. Out of 235 milk samples, the specific target gene 16s-23s rRNA (E. coli , 16s-23s rRNA (S. aureus and MRSA (mecA and blaZ could be amplified from 119, 104 and 12 isolates with a percentage positivity of 50.64 (119/235, 89.64 (104/116 and 10.34 (12/116 respectively. Conclusion: Prevalence of antimicrobial resistance (AMR in

  10. Patterns of resistance to β-lactams and β-lactamase inhibitors in ...

    African Journals Online (AJOL)

    hope&shola

    2006-03-15

    Mar 15, 2006 ... Grupo de Estudo. Multicêntrico de Vigilância da Susceptibilidade aos Antibióticos,. Mecanismos de resistência aos β-lactâmicos em estirpes de. Escherichia coli de origem clínica. Arq. Med. 14: 71. Féria C, E Ferreira, JD Correia, J Gonçalves, M Caniça (2002). Patterns and mechanisms of resistance to β ...

  11. Antibiotic Resistance Patterns in Invasive Group B Streptococcal Isolates

    Directory of Open Access Journals (Sweden)

    Mei L. Castor

    2008-01-01

    Full Text Available Antibiotics are used for both group B streptococcal (GBS prevention and treatment. Active population-based surveillance for invasive GBS disease was conducted in four states during 1996—2003. Of 3813 case-isolates, 91.0% (3471 were serotyped, 77.1% (2937 had susceptibility testing, and 46.6% (3471 had both. All were sensitive to penicillin, ampicillin, cefazolin, cefotaxime, and vancomycin. Clindamycin and erythromycin resistance was 12.7% and 25.6%, respectively, and associated with serotype V (P<.001. Clindamycin resistance increased from 10.5% to 15.0% (X2 for trend 12.70; P<.001; inducible clindamycin resistance was associated with the erm genotype. Erythromycin resistance increased from 15.8% to 32.8% (X2 for trend 55.46; P<.001. While GBS remains susceptible to beta-lactams, resistance to alternative agents such as erythromycin and clindamycin is an increasing concern.

  12. Etiology and antimicrobial resistance patterns in pediatric urinary tract infection.

    Science.gov (United States)

    Wang, Jun; He, Lijiao; Sha, Jintong; Zhu, Haobo; Huang, Liqu; Zhu, Xiaojiang; Dong, Jun; Li, Guogen; Ge, Zheng; Lu, Rugang; Ma, Geng; Shi, Yaqi; Guo, Yunfei

    2018-02-02

    Urinary tract infection (UTI) is one of most common pediatric infections. The aim of this study was to investigate the etiology and antimicrobial resistance patterns in children hospitalized at Children's Hospital of Nanjing Medical University. We conducted a retrospective, descriptive study of all UTI from 1 January 2013 to 30 November 2016 in children discharged from Nanjing Children's Hospital. The isolated pathogens and their resistance patterns were examined using midstream urine culture. A total of 2,316 children with UTI were included in the study. The occurrence rates of isolated pathogens were as follows: Enterococcus spp., 35.15%; Escherichia coli, 22.32%; Staphylococcus aureus spp., 7.73%; Streptococcus spp., 7.51%; and Klebsiella spp., 6.95%. Uropathogens had a low susceptibility to linezolid (3.47%), vancomycin (0.92%), imipenem (5.74%), and amikacin (3.17%), but they had a high susceptibility to erythromycin (90.52%), penicillin G (74.01%), cefotaxime (71.41%), cefazolin (73.41%), cefuroxime (72.52%), and aztreonam (70.11%). There is high antibiotic resistance in hospitalized children with UTI. Susceptibility testing should be carried out on all clinical isolates, and the empirical antibiotic treatment should be altered accordingly. © 2018 Japan Pediatric Society.

  13. Activation and regulation of the pattern recognition receptors in obesity-induced adipose tissue inflammation and insulin resistance.

    Science.gov (United States)

    Watanabe, Yasuharu; Nagai, Yoshinori; Takatsu, Kiyoshi

    2013-09-23

    Obesity-associated chronic tissue inflammation is a key contributing factor to type 2 diabetes mellitus, and a number of studies have clearly demonstrated that the immune system and metabolism are highly integrated. Recent advances in deciphering the various immune cells and signaling networks that link the immune and metabolic systems have contributed to our understanding of the pathogenesis of obesity-associated inflammation. Other recent studies have suggested that pattern recognition receptors in the innate immune system recognize various kinds of endogenous and exogenous ligands, and have a crucial role in initiating or promoting obesity-associated chronic inflammation. Importantly, these mediators act on insulin target cells or on insulin-producing cells impairing insulin sensitivity and its secretion. Here, we discuss how various pattern recognition receptors in the immune system underlie the etiology of obesity-associated inflammation and insulin resistance, with a particular focus on the TLR (Toll-like receptor) family protein Radioprotective 105 (RP105)/myeloid differentiation protein-1 (MD-1).

  14. Activation and Regulation of the Pattern Recognition Receptors in Obesity-Induced Adipose Tissue Inflammation and Insulin Resistance

    Directory of Open Access Journals (Sweden)

    Kiyoshi Takatsu

    2013-09-01

    Full Text Available Obesity-associated chronic tissue inflammation is a key contributing factor to type 2 diabetes mellitus, and a number of studies have clearly demonstrated that the immune system and metabolism are highly integrated. Recent advances in deciphering the various immune cells and signaling networks that link the immune and metabolic systems have contributed to our understanding of the pathogenesis of obesity-associated inflammation. Other recent studies have suggested that pattern recognition receptors in the innate immune system recognize various kinds of endogenous and exogenous ligands, and have a crucial role in initiating or promoting obesity-associated chronic inflammation. Importantly, these mediators act on insulin target cells or on insulin-producing cells impairing insulin sensitivity and its secretion. Here, we discuss how various pattern recognition receptors in the immune system underlie the etiology of obesity-associated inflammation and insulin resistance, with a particular focus on the TLR (Toll-like receptor family protein Radioprotective 105 (RP105/myeloid differentiation protein-1 (MD-1.

  15. Diversity of fecal coliforms and their antimicrobial resistance patterns in wastewater treatment model plant.

    Science.gov (United States)

    Luczkiewicz, A; Fudala-Ksiazek, S; Jankowska, K; Quant, B; Olańczuk-Neyman, K

    2010-01-01

    The occurrence of resistance patterns among wastewater fecal coliforms was determined in the study. Susceptibility of the isolates was tested against 19 antimicrobial agents: aminoglycosides, aztreonam, carbapenems, cephalosporines, beta-lactam/beta-lactamase inhibitors, penicillines, tetracycline, trimethoprim/sulfamethoxazole, and fluoroquinolones. Additionally the removal of resistant isolates was evaluated in the laboratory-scale wastewater treatment model plant (M-WWTP), continuously supplied with the wastewater obtained from the full-scale WWTP. Number of fecal coliforms in raw (after mechanical treatment) and treated wastewater, as well as in aerobic chamber effluent was determined using selective medium. The selected strains were identified and examined for antibiotic resistance using Phoenix Automated Microbiology System (BD Biosciences, USA). The strains were identified as Escherichia coli (n=222), Klebsiella pneumoniae ssp. ozaenae (n=9), and Pantoea agglomerans (n=1). The isolate of P. agglomerans as well as 48% of E. coli isolates were sensitive to all antimicrobials tested. The most frequent resistance patterns were found for ampicillin: 100% of K. pneumoniae ssp. ozaenae and 41% of E. coli isolates. Among E. coli isolates 12% was regarded as multiple antimicrobial resistant (MAR). In the studied M-WWTP, the applied activated sludge processes reduced considerably the number of fecal coliforms, but increased the ratio of antimicrobial-resistant E. coli isolates to sensitive ones, especially among strains with MAR patterns.

  16. Prevalence and antimicrobial susceptibility pattern of methicillin resistant Staphylococcus aureus: A multicentre study.

    Directory of Open Access Journals (Sweden)

    Rajaduraipandi K

    2006-01-01

    Full Text Available Purpose: Methicillin resistant Staphylococcus aureus (MRSA is an important nosocomial pathogen. We report the prevalence and antibiotic susceptibility pattern of MRSA in major southern districts of Tamilnadu. Methods: A total of 7172 clinical specimens and 1725 carrier screening samples were collected from different centers and subjected to MRSA screening using conventional microbiological methods. Subsequently the antibiotic sensitivity test was performed for the confirmed MRSA isolates. Results: Out of 906 strains of S. aureus isolated from clinical and carrier samples, 250 (31.1% and 39 (37.9% were found to be methicillin resistant respectively. Almost all clinical MRSA strains (99.6% were resistant to penicillin, 93.6% to ampicillin, and 63.2% towards gentamicin, co-trimoxazole, cephalexin, erythromycin, and cephotaxime. All MRSA strains (100% of carrier screening samples had resistance to penicillin and about 71.8% and 35.9% were resistant to ampicillin and co-trimoxazole respectively. Multidrug resistance was observed among 63.6% of clinical and 23% of carrier MRSA isolates. However, all strains of clinical and carrier subjects were sensitive to vancomycin. Conclusion: The determination of prevalence and antibiotic sensitivity pattern of MRSA will help the treating clinicians for first line treatment in referral hospitals.

  17. Prevalence and resistance patterns of commensal S. aureus in community-dwelling GP patients and socio-demographic associations. A cross-sectional study in the framework of the APRES-project in Austria.

    Science.gov (United States)

    Hoffmann, Kathryn; den Heijer, Casper D J; George, Aaron; Apfalter, Petra; Maier, Manfred

    2015-05-16

    The aim of the present study was to assess the prevalence and resistance of commensal S. aureus in the nasal microbiota of community-dwelling persons in Austria, as well as to identify possible associations with socio-demographic factors. Multi-drug resistance in this population was additionally studied. This cross-sectional study was conducted within the context of the European APRES project. In nine European countries, nasal swabs were collected from 32,206 general practice patients who received care for non-infectious reasons. In Austria, 20 GPs attempted to recruit 200 consecutive patients without infectious diseases, with each patient completing demographic questionnaires as well as providing a nose swab sample. Isolation, identification, and resistance testing of S. aureus were performed. Statistical analyses included subgroup analyses and logistic regression models. 3309 nose swabs and corresponding questionnaires from Austrian subjects were analyzed. S. aureus was identified in 16.6 % (n = 549) of nose swabs, of which 70.1 % were resistant against one or more antibiotics, mainly penicillin. S. aureus carrier status was significantly associated with male sex (OR 1.6; 1.3-2.0), younger age (OR 1.3; 1.0-1.8), living in a rural area (OR 1.4; 1.1-1.7) and working in the healthcare sector (OR 1.5; 1.0-2.1). Multi-drug resistances were identified in 13.7 % (n = 75) of the S. aureus carriers and 1.5 % (n = 8) tested positive for MRSA. The highest resistance rate was observed against penicillin (64.8 %), followed by azithromycin (13.5 %) and erythromycin with 13.3 %. This study describes the prevalence and resistance patterns of commensal S. aureus in community-dwelling persons in Austria and shows that differences exist between socio-demographic groups. Demographic associations have been found for S. aureus carriers but not for carriers of resistant S. aureus strains. Only two thirds of S. aureus strains were found to be resistant against small spectrum penicillin

  18. The Composition and Spatial Patterns of Bacterial Virulence Factors and Antibiotic Resistance Genes in 19 Wastewater Treatment Plants.

    Directory of Open Access Journals (Sweden)

    Bing Zhang

    Full Text Available Bacterial pathogenicity and antibiotic resistance are of concern for environmental safety and public health. Accumulating evidence suggests that wastewater treatment plants (WWTPs are as an important sink and source of pathogens and antibiotic resistance genes (ARGs. Virulence genes (encoding virulence factors are good indicators for bacterial pathogenic potentials. To achieve a comprehensive understanding of bacterial pathogenic potentials and antibiotic resistance in WWTPs, bacterial virulence genes and ARGs in 19 WWTPs covering a majority of latitudinal zones of China were surveyed by using GeoChip 4.2. A total of 1610 genes covering 13 virulence factors and 1903 genes belonging to 11 ARG families were detected respectively. The bacterial virulence genes exhibited significant spatial distribution patterns of a latitudinal biodiversity gradient and a distance-decay relationship across China. Moreover, virulence genes tended to coexist with ARGs as shown by their strongly positive associations. In addition, key environmental factors shaping the overall virulence gene structure were identified. This study profiles the occurrence, composition and distribution of virulence genes and ARGs in current WWTPs in China, and uncovers spatial patterns and important environmental variables shaping their structure, which may provide the basis for further studies of bacterial virulence factors and antibiotic resistance in WWTPs.

  19. Microbiology and antimicrobial susceptibility of otitis externa: a changing pattern of antimicrobial resistance.

    Science.gov (United States)

    Heward, E; Cullen, M; Hobson, J

    2018-04-01

    Otitis externa is a common presentation to secondary care otolaryngology clinics. Despite this, few studies have investigated the microbiology and antimicrobial resistance of otitis externa. This study aimed to examine these issues. Analysis identified 302 swabs taken from 217 patients (100 male, 117 female), between 1 January 2015 and 30 March 2016, at our rapid access otolaryngology clinic. In total, 315 organisms were isolated; the most frequent was Pseudomonas aeruginosa (31.1 per cent), followed by candida species (22.9 per cent) and Staphylococcus aureus (11.7 per cent). P aeruginosa was sensitive to ciprofloxacin in 97.7 per cent of cases and to gentamicin in 78.4 per cent. Compared with studies worldwide, the relative proportions of different organisms causing otitis externa and the patterns of antimicrobial resistance differ. Increasing resistance of P aeruginosa to aminoglycosides demonstrates a changing pattern of antimicrobial resistance that has not been previously reported. Reassuringly, quinolone antibiotics remain highly effective when treating P aeruginosa.

  20. Integration of published information into a resistance-associated mutation database for Mycobacterium tuberculosis.

    Science.gov (United States)

    Salamon, Hugh; Yamaguchi, Ken D; Cirillo, Daniela M; Miotto, Paolo; Schito, Marco; Posey, James; Starks, Angela M; Niemann, Stefan; Alland, David; Hanna, Debra; Aviles, Enrique; Perkins, Mark D; Dolinger, David L

    2015-04-01

    Tuberculosis remains a major global public health challenge. Although incidence is decreasing, the proportion of drug-resistant cases is increasing. Technical and operational complexities prevent Mycobacterium tuberculosis drug susceptibility phenotyping in the vast majority of new and retreatment cases. The advent of molecular technologies provides an opportunity to obtain results rapidly as compared to phenotypic culture. However, correlations between genetic mutations and resistance to multiple drugs have not been systematically evaluated. Molecular testing of M. tuberculosis sampled from a typical patient continues to provide a partial picture of drug resistance. A database of phenotypic and genotypic testing results, especially where prospectively collected, could document statistically significant associations and may reveal new, predictive molecular patterns. We examine the feasibility of integrating existing molecular and phenotypic drug susceptibility data to identify associations observed across multiple studies and demonstrate potential for well-integrated M. tuberculosis mutation data to reveal actionable findings. © The Author 2014. Published by Oxford University Press on behalf of the Infectious Diseases Society of America. All rights reserved. For Permissions, please e-mail: journals.permissions@oup.com.

  1. A multiple genome analysis of Mycobacterium tuberculosis reveals specific novel genes and mutations associated with pyrazinamide resistance

    KAUST Repository

    Sheen, Patricia

    2017-10-11

    Tuberculosis (TB) is a major global health problem and drug resistance compromises the efforts to control this disease. Pyrazinamide (PZA) is an important drug used in both first and second line treatment regimes. However, its complete mechanism of action and resistance remains unclear.We genotyped and sequenced the complete genomes of 68 M. tuberculosis strains isolated from unrelated TB patients in Peru. No clustering pattern of the strains was verified based on spoligotyping. We analyzed the association between PZA resistance with non-synonymous mutations and specific genes. We found mutations in pncA and novel genes significantly associated with PZA resistance in strains without pncA mutations. These included genes related to transportation of metal ions, pH regulation and immune system evasion.These results suggest potential alternate mechanisms of PZA resistance that have not been found in other populations, supporting that the antibacterial activity of PZA may hit multiple targets.

  2. A multiple genome analysis of Mycobacterium tuberculosis reveals specific novel genes and mutations associated with pyrazinamide resistance

    KAUST Repository

    Sheen, Patricia; Requena, David; Gushiken, Eduardo; Gilman, Robert H.; Antiparra, Ricardo; Lucero, Bryan; Lizá rraga, Pilar; Cieza, Basilio; Roncal, Elisa; Grandjean, Louis; Pain, Arnab; McNerney, Ruth; Clark, Taane G.; Moore, David; Zimic, Mirko

    2017-01-01

    Tuberculosis (TB) is a major global health problem and drug resistance compromises the efforts to control this disease. Pyrazinamide (PZA) is an important drug used in both first and second line treatment regimes. However, its complete mechanism of action and resistance remains unclear.We genotyped and sequenced the complete genomes of 68 M. tuberculosis strains isolated from unrelated TB patients in Peru. No clustering pattern of the strains was verified based on spoligotyping. We analyzed the association between PZA resistance with non-synonymous mutations and specific genes. We found mutations in pncA and novel genes significantly associated with PZA resistance in strains without pncA mutations. These included genes related to transportation of metal ions, pH regulation and immune system evasion.These results suggest potential alternate mechanisms of PZA resistance that have not been found in other populations, supporting that the antibacterial activity of PZA may hit multiple targets.

  3. Causative Organisms and Associated Antimicrobial Resistance in Healthcare-Associated, Central Line-Associated Bloodstream Infections From Oncology Settings, 2009-2012.

    Science.gov (United States)

    See, Isaac; Freifeld, Alison G; Magill, Shelley S

    2016-05-15

    Recent antimicrobial resistance data are lacking from inpatient oncology settings to guide infection prophylaxis and treatment recommendations. We describe central line-associated bloodstream infection (CLABSI) pathogens and antimicrobial resistance patterns reported from oncology locations to the Centers for Disease Control and Prevention's National Healthcare Safety Network (NHSN). CLABSI data reported to NHSN from 2009 to 2012 from adult inpatient oncology locations were compared to data from nononcology adult locations within the same hospitals. Pathogen profile, antimicrobial resistance rates, and CLABSI incidence rates per 1000 central line-days were calculated. CLABSI incidence rates were compared using Poisson regression. During 2009-2012, 4654 CLABSIs were reported to NHSN from 299 adult oncology units. The most common organisms causing CLABSI in oncology locations were coagulase-negative staphylococci (16.9%), Escherichia coli (11.8%), and Enterococcus faecium (11.4%). Fluoroquinolone resistance was more common among E. coli CLABSI in oncology than nononcology locations (56.5% vs 41.5% of isolates tested; P oncology compared to nononcology locations for fluoroquinolone-resistant E. coli (rate ratio, 7.37; 95% confidence interval [CI], 6.20-8.76) and vancomycin-resistant E. faecium (rate ratio, 2.27, 95% CI, 2.03-2.53). However, resistance rates for some organisms, such as Klebsiella species and Pseudomonas aeruginosa, were lower in oncology than in nononcology locations. Antimicrobial-resistant E. coli and E. faecium have become significant pathogens in oncology. Practices for antimicrobial prophylaxis and empiric antimicrobial therapy should be regularly assessed in conjunction with contemporary antimicrobial resistance data. Published by Oxford University Press for the Infectious Diseases Society of America 2016. This work is written by (a) US Government employee(s) and is in the public domain in the US.

  4. Pattern of intensive phase treatment outcomes of multi-drug resistant ...

    African Journals Online (AJOL)

    Pattern of intensive phase treatment outcomes of multi-drug resistant tuberculosis in University of Port Harcourt Treatment Centre: a review of records from ... Data on patients' age, sex, HIV status, treatment outcomes were extracted from the hospital book records into a computer data sheet at the UPTH treatment centre.

  5. The HIV-1 protease resistance mutation I50L is associated with resistance to atazanavir and susceptibility to other protease inhibitors in multiple mutational contexts.

    Science.gov (United States)

    Sista, P; Wasikowski, B; Lecocq, P; Pattery, T; Bacheler, L

    2008-08-01

    The HIV-1 protease mutation I50 L causes atazanavir resistance but increases susceptibility to other PIs. Predicted phenotypic FC values were obtained from viral genotypes, using the virtual Phenotype-LM bioinformatics tool (powering vircoTYPE). To evaluate I50 L's effect on susceptibility to 8 PIs, in a large genotype database. I50 L containing routine clinical isolate samples in Virco's genotype database were paired with samples having like patterns (or profiles) of IAS-USA-defined primary PI mutations, but lacking I50 L. Using vircoTYPE (version 4.1), the median predicted FC for each mutational profile was determined. I50 L-associated shifts in FC were evaluated using drug-specific CCOs. We selected 307 and 37098 samples with and without I50 L. These corresponded to 31 mutation patterns of > or =3 samples each. I50 L caused resistance to atazanavir in all 31 mutation contexts, but was associated with higher susceptibility for other PIs. The largest I50 L-associated shifts in median predicted FC were: 1.2 to 42.4 (atazanavir), 10.2 to 3.2 (amprenavir), 3.3 to 0.5 (darunavir), 13 to 0.5 (indinavir), 34.9 to 1.3 (lopinavir), 22.3 to 1.3 (nelfinavir), 5.2 to 0.3 (saquinavir) and 29.9 to 5.2 (tipranavir). The PI mutation I50 L causes clinically relevant resistance and increased susceptibility to atazanavir and other PIs respectively.

  6. Resistance patterns to beta-lactams and quinolones in clinical isolates of bacteria from Cuban hospitals.

    Science.gov (United States)

    Gonzáles, I; Niebla, A; Vallin, C

    1995-01-01

    The resistance patterns to 26 beta-lactams and 8 quinolones of clinical isolates from Cuban hospitals were evaluated using the disk susceptibility test, according to the NCCLS guidelines (1992). The genera studied were Escherichia sp (320), Enterobacter sp (10), Klebsiella sp (90), Proteus sp (10), Pseudomonas sp (90), Serratia sp (20), and Staphylococcus sp (80). Higher resistance to beta-lactams was observed in the genera Pseudomonas, Escherichia and Klebsiella. For fluoroquinolones we found no significant resistance, with the exception of the genus Klebsiella. The most effective antibiotics were cephalosporins of the second and third generations, fluoroquinolones, and non-classical beta-lactams (cephamycins, moxalactam and monobactams). On the contrary, a pronounced resistance was found to penicillin, oxacillin, ticarcillin, ampicillin, methicillin, nalidixic acid and cinoxacin. These resistance patterns correspond to the high consumption of these antibiotics throughout the country.

  7. A 4-year surveillance of antimicrobial resistance patterns of Acinetobacter baumanni in a university-affiliated hospital in China.

    Science.gov (United States)

    Xu, Ting; Xia, Wenying; Rong, Guodong; Pan, Shiyang; Huang, Peijun; Gu, Bing

    2013-08-01

    To investigate the changes in resistance of Acinetobacter baumanni (A. baumannii) to different antimicrobial agents and the association of resistance rates with several independent factors: specimen origin, hospital wards, patients' gender and age, from 2008 to 2011. Bacterial isolates were isolated from January 2008 to December 2011 in the First Affiliated Hospital of Nanjing Medical University. Antimicrobial susceptibility testing was determined by the Kirby-Bauer Disk Diffusion Agar method as recommended by CLSI. Data were managed by the software WHONET 5.4 and analyzed by SPSS 17.0 software. The proportion of A. baumannii in our hospital increased from 7.0% in 2008 to 18.8% in 2011, becoming the most frequent pathogen in gram-negative isolates. 79.9% of A. baumannii were cultured from respiratory tract and geriatrics showed the highest isolation rate of A. baumannii during 4 years. The resistance rates to 12 antibiotics significantly increased from 2008 to 2011, from 14.8% to 90.8% to imipenem, and from 23.3% to 91.1% to meropenem. Statistical analysis demonstrated that the specimen origin, hospital wards, patients' gender and age were associated with resistance rate in varying degrees. The 4-year surveillance of antimicrobial susceptibility demonstrated the rapid increase of drug-resistant strains of A. baumannii, and revealed several factors related with resistance rate. Knowing the antimicrobial resistance patterns will help guide the empirical therapy and strengthen interventional infection control measures in China.

  8. An Investigation of Antibiotic Resistance Pattern in the Strains of Methicillin-resistant Staphylococcus epidermidis Isolated From Clinical Samples in Isfahan Province, Iran

    Directory of Open Access Journals (Sweden)

    Fahimeh Nourbakhsh

    2016-08-01

    Full Text Available Background and Objectives: Staphylococcus epidermidis is one of the effective factors causing nosocomial infections. This study was performed to investigate the antibiotic resistance pattern in the methicillin-resistant S. epidermidis strains isolated from clinical samples in Isfahan Province. Methods: In this descriptive cross-sectional study, 150 isolates of S. epidermidis were isolated from detected from the patients hospitalized in hospitals and treatment centers of Isfahan City. The antibiotic resistance pattern was evaluated by disk diffusion method. The presence of the gene encoding antibiotic resistance to methicillin (mec A in the isolates were investigated using PCR method. Data were analyzed with Chi-square and Fisher's exact statistical tests. Results: In this study, most isolates were related to urinary tract infections. The highest resistance was reported to penicillin (98.9%, erythromycin (89.4%, ciprofloxacin (77.7%, clindamycin (65.9%, tetracycline (63.2%, and meticillin (54%. None of the strains showed resistance to vancomycin and linezolid. Molecular studies indicated the presence of mecA gene in 76% of the studied isolates. Conclusion: According to the results of this study, vancomycin and linezolid antibiotics can be the best choice of treatment for infections caused by S. epidermidis. Also, high resistance of S. epidermidis can be a serious warning for increased multiple antibiotic resistance. Molecular studies are indicative of high sensitivity of molecular methods in the investigation of methicillin-resistant isolates.  

  9. Directly patternable high refractive index ferroelectric sol–gel resist

    Energy Technology Data Exchange (ETDEWEB)

    Garoli, D., E-mail: denis.garoli@iit.it [Istituto Italiano di Tecnologia, Via Morego 16, 16136 Genova (Italy); Della Giustina, G. [Industrial Engineering Department, University of Padova and INSTM, Via Marzolo 9, 35131 Padova (Italy)

    2015-08-15

    The development of a ferroelectric negative tone sol–gel resist for Ultraviolet (UV) and Electron Beam (EB) lithography is presented. A new system based on Lead Zirconate Titanate (PZT, with formula PbZr{sub 0.52}Ti{sub 0.48}O{sub 3}) was synthesized by sol–gel method. The lithographic performances were investigated and several structures spanning from the micron range down to less than 50 nm have been achieved by UV and EB lithography. The system interaction with UV light and Electron beam was thoroughly characterized by FT-IT spectroscopy. The exposed PZT was annealed at high temperatures in order to study the crystalline phase evolution, the optical constants values and stability of patterned structures. After exposure and annealing, the refractive index of the material can vary from 1.68 up to 2.33 (@400 nm), while the ferroelectric behaviour seems to be maintained after high temperature annealing. These results suggest a possible application of PZT resist not only as ferroelectric but also as nanopatternable high refractive index material. Moreover, direct nanopatterning by means of Focused Ion Beam (FIB) lithography was verified and the potentiality for the preparation of high aspect ratio hollow nanostructures will be presented. - Highlights: • A new formula directly patternable PZT high refractive index resist is presented. • The gel is sensitive to both UV and electron beam exposure. • The refractive index can vary from 1.68 up to 2.33 (@400 nm). • Direct nanopatterning by means of Focused Ion Beam (FIB) lithography was verified. • High aspect ratio hollow nanostructures will be presented.

  10. Antibiotic resistance pattern of bacterial isolates in neonatal care unit

    Directory of Open Access Journals (Sweden)

    S Shrestha

    2010-12-01

    Full Text Available INTRODUCTION: Bacterial infections account for a huge proportion of neonatal deaths worldwide. The problem of antibiotic resistance among common bacterial pathogens mainly the gram negative bacteria is emerging globally which is of more serious concern in developing countries like Nepal. METHODS: A one year retrospective hospital based study was carried out to analyze the results of neonatal blood, cerebrospinal fluid, urine, stool and surface cultures and to look into the sensitivity pattern of the commonly used antibiotics. RESULTS: The positive yield of blood, urine, eye swab and CSF cultures were 19.56%, 38.5%, 60% and 0.36% respectively. The most common isolates in the blood culture were coagulase negative Staphylococcus, Acinetobacter, Enterobacter and non-haemolytic Streptococcus. A significant percent of the isolates were resistant to the first line antibiotics. Among the gram negative isolates more than 30% are resistant to cefotaxime and more than 50% are resistant to gentamicin. During the one year period we had Nursery outbreaks of methicillin resistant Staphylococcus aureus and Salmonella infections. With the help of environmental cultures we were able to trace the source and intervene appropriately. CONCLUSIONS: Continuous surveillance for antibiotic susceptibility, rational use of antibiotics and the strategy of antibiotic cycling can provide some answers to the emerging problem of antibiotic resistance.

  11. Antibiotic resistance pattern of bacterial isolates in neonatal care unit.

    Science.gov (United States)

    Shrestha, S; Adhikari, N; Rai, B K; Shreepaili, A

    2010-01-01

    Bacterial infections account for a huge proportion of neonatal deaths worldwide. The problem of antibiotic resistance among common bacterial pathogens mainly the gram negative bacteria is emerging globally which is of more serious concern in developing countries like Nepal. A one year retrospective hospital based study was carried out to analyze the results of neonatal blood, cerebrospinal fluid, urine, stool and surface cultures and to look into the sensitivity pattern of the commonly used antibiotics. The positive yield of blood, urine, eye swab and CSF cultures were 19.56%, 38.5%, 60% and 0.36% respectively. The most common isolates in the blood culture were coagulase negative Staphylococcus, Acinetobacter, Enterobacter and non-haemolytic Streptococcus. A significant percent of the isolates were resistant to the first line antibiotics. Among the gram negative isolates more than 30% are resistant to cefotaxime and more than 50% are resistant to gentamicin. During the one year period we had Nursery outbreaks of methicillin resistant Staphylococcus aureus and Salmonella infections. With the help of environmental cultures we were able to trace the source and intervene appropriately. Continuous surveillance for antibiotic susceptibility, rational use of antibiotics and the strategy of antibiotic cycling can provide some answers to the emerging problem of antibiotic resistance.

  12. Changing prevalence and resistance patterns in children with drug-resistant tuberculosis in Mumbai.

    Science.gov (United States)

    Shah, Ira; Shah, Forum

    2017-05-01

    The prevalence of drug-resistant (DR) tuberculosis (TB) in children is increasing. Although, in India, multi-drug-resistant (MDR) TB rates have been relatively stable, the number of children with pre-extensively drug-resistant and extensively drug-resistant (XDR) TB is increasing. To determine whether the prevalence of DR TB in children in Mumbai is changing and to study the evolving patterns of resistance. A retrospective study was undertaken in 1311 paediatric patients referred between April 2007 and March 2013 to the Paediatric TB clinic at B. J. Wadia Hospital for Children, Mumbai. Children were defined as having DR TB on the basis of drug susceptibility testing (DST) of Mycobacterium tuberculosis grown on culture of body fluids (in the case of extra pulmonary TB) or from gastric lavage/bronchi-alveolar lavage/sputum in patients with pulmonary TB or from DST of the contacts. The prevalence of DR TB was calculated and the type of DR was evaluated yearly and in the pre-2010 and post-2010 eras. The overall prevalence of DR TB was 86 (6.6%) with an increase from 23 (5.6%) patients pre-2010 to 63 (7%) post-2010 (P = 0.40). Nine (10.4%) patients were diagnosed on the basis of contact with a parent with DR TB. Overall fluoroquinolone resistance increased from 9 (39.1%) pre-2010 to 59 (93.7%) post-2010 (P = 0.0001): moxifloxacin resistance increased from 2 (8.7%) to 29 (46%) (P = 0.0018) and ofloxacin resistance increased from 7 (30.4%) to 30 (47.6%) (P = 0.14). Ethionamide resistance also increased from 6 (26.1%) to 31 (49.2%) (P = 0.04), aminoglycoside resistance was one (4.3%) pre-2010 and 12 (19%) post-2010 (P = 0.17) and resistance remained virtually the same for both amikacin [0 pre-2010 and 6 (9.5%) after 2010] and kanamycin [one (4.3%) pre- and 6 (9.5%) post-2010]. Of the first-line drugs, resistance remained the same for isoniazid [23 (100%) to 61 (96.8%)], rifampicin [22 (95.7%) to 51 (80.9%),P = 0.17], pyrazinamide [15 (65.2%) to

  13. Zinc resistance within swine associated methicillin resistant Staphylococcus aureus (MRSA) isolates in the USA is associated with MLST lineage

    Science.gov (United States)

    Zinc resistance in livestock-associated methicillin resistant Staphylococcus aureus (LA-MRSA) is mediated by the czrC gene co-located with the mecA gene, encoding methicillin resistance, on the type V SCCmec element. Since the czrC gene and the mecA gene are co-located on the SCCmec element, it has ...

  14. Bacterial Contamination of Iranian Paper Currency and Their Antibiotic Resistance Patterns

    Directory of Open Access Journals (Sweden)

    Farzaneh Firoozeh

    2017-11-01

    Full Text Available Background: Paper currency is used in exchange for services, and thisis why the circulation of paper currency from person to person expandsmicroorganisms. Objectives:: Paper banknotes would be a vector for transmission of pathogenic microorganisms through handling. This study aimed to determine bacterial contamination of Iranian paper currencies in circulation and their antibiotic resistance patterns. Materials and Methods: In this study, 337 currency notes of different value were collected from markets, shops, restaurants, bus stations and banks in Kashan, Iran during April 2015 to March 2016. The currency notes transferred to microbiology laboratory and were tested for bacterial contamination using standard microbiological methods. Antibiotic resistance patterns of isolated bacteria were determined by disk diffusion method according to CLSI standards. The results and data were analyzed using descriptive statistics. Results: Of 337 currency notes, 262 (77.7% were identified with bacterial contamination. Bacteria isolated from currency notes were as follows: Bacillus spp 113 (43.1%, coagulase-negative Staphylococci 99 (37.7%, Escherichia coli 20 (7.6%, Enterococci species 14 (5.3%, Staphylococcus aureus 8 (3.1%, Klebsiella spp 4 (1.5%, Shigella species 2 (0.8%, Pseudomonas species 2 (0.8%. The most and least contaminated currency notes were 50000 and 500 Rials, respectively. The most resistance rates in gram negative rods were against nalidixicacid, and ampicillin. Also most resistance rates in Staphylococcus aureus, coagulase-negative Staphylococci and Enterococci species were against ampicillin, erythromycin and tetracycline. Conclusion: Our study revealed that the bacterial contamination among Iranian paper currency in circulation especially those obtained from certain sources including shops and bus stations is high and in most cases these bacterial isolates are antibiotic resistant strains.

  15. Novel Plasmodium falciparum metabolic network reconstruction identifies shifts associated with clinical antimalarial resistance.

    Science.gov (United States)

    Carey, Maureen A; Papin, Jason A; Guler, Jennifer L

    2017-07-19

    Malaria remains a major public health burden and resistance has emerged to every antimalarial on the market, including the frontline drug, artemisinin. Our limited understanding of Plasmodium biology hinders the elucidation of resistance mechanisms. In this regard, systems biology approaches can facilitate the integration of existing experimental knowledge and further understanding of these mechanisms. Here, we developed a novel genome-scale metabolic network reconstruction, iPfal17, of the asexual blood-stage P. falciparum parasite to expand our understanding of metabolic changes that support resistance. We identified 11 metabolic tasks to evaluate iPfal17 performance. Flux balance analysis and simulation of gene knockouts and enzyme inhibition predict candidate drug targets unique to resistant parasites. Moreover, integration of clinical parasite transcriptomes into the iPfal17 reconstruction reveals patterns associated with antimalarial resistance. These results predict that artemisinin sensitive and resistant parasites differentially utilize scavenging and biosynthetic pathways for multiple essential metabolites, including folate and polyamines. Our findings are consistent with experimental literature, while generating novel hypotheses about artemisinin resistance and parasite biology. We detect evidence that resistant parasites maintain greater metabolic flexibility, perhaps representing an incomplete transition to the metabolic state most appropriate for nutrient-rich blood. Using this systems biology approach, we identify metabolic shifts that arise with or in support of the resistant phenotype. This perspective allows us to more productively analyze and interpret clinical expression data for the identification of candidate drug targets for the treatment of resistant parasites.

  16. Bovine salmonellosis in Northeast of Iran: Frequency, genetic fingerprinting and antimicrobial resistance patterns of Salmonella spp.

    Directory of Open Access Journals (Sweden)

    Hessam A. Halimi

    2014-01-01

    Conclusion: The emergence of multiple antibiotic-resistant strains of Salmonella Typhimurium should be of great concern to the public. No correlation between ERIC fingerprinting and resistance patterns of Salmonella isolates was found, which indicates resistance to antimicrobial agents was not related to specific genetic background.

  17. Multivariable Analysis of the Association Between Antimicrobial Use and Antimicrobial Resistance in Escherichia coli Isolated from Apparently Healthy Pigs in Japan.

    Science.gov (United States)

    Makita, Kohei; Goto, Masaki; Ozawa, Manao; Kawanishi, Michiko; Koike, Ryoji; Asai, Tetsuo; Tamura, Yutaka

    2016-01-01

    The objective of this study was to investigate the association between antimicrobial agent use and antimicrobial resistance in Escherichia coli isolated from healthy pigs using data from 2004 to 2007 in the Japanese Veterinary Antimicrobial Resistance Monitoring System (JVARM). Fecal E. coli isolates from 250 pigs (one isolate each from a pig per farm) were examined for antimicrobial resistance. Information on the use of antimicrobials within preceding 6 months and types of farms recorded in JVARM was collected and statistically analyzed against the resistance patterns. In the univariate analysis, associations between both therapeutic and feed additive use of antimicrobials, and resistance to dihydrostreptomycin, gentamicin, kanamycin, ampicillin, cefazolin, ceftiofur, oxytetracycline, chloramphenicol, trimethoprim, nalidixic acid, enrofloxacin, colistin, and bicozamycin, and husbandry factors were investigated. In multivariable analysis, generalized estimating equations were used to control geographical intraclass correlation. Confounding for structurally unrelated associations was tested using generalized linear models. The results suggested direct and cross selections in the associations between use of aminoglycosides in reproduction farms and resistance to kanamycin, use of tetracyclines in larger farms and resistance to oxytetracycline, use of beta-lactams and resistance to ampicillin, use of phenicols and resistance to chloramphenicol, and use of fluoroquinolones and resistance to nalidixic acid and enrofloxacin. Coselection was suggested in the use of tetracyclines and chloramphenicol resistance. The associations between use of beta-lactams and dihydrostreptomycin resistance, use of macrolides and ampicillin and oxytetracycline resistance, and use of colistin and kanamycin resistance were significant, but were confounded by the simultaneous use of homologous antimicrobials.

  18. Genotyping and drug resistance patterns of Mycobacterium tuberculosis strains observed in a tuberculosis high-burden municipality in Northeast, Brazil

    Directory of Open Access Journals (Sweden)

    Roberta dos Santos Silva Luiz

    2013-06-01

    Full Text Available OBJECTIVES: This study has used a combination of clinical information, spoligotyping, and georeferencing system to elucidate the genetic diversity of the Mycobacterium tuberculosis isolates circulating in a TB-prevalent municipality of Northeast Brazil. METHODS: A total of 115 M. tuberculosis strains were isolated from pulmonary tuberculosis patients from January 2007 to March 2008 in Fortaleza. Drug susceptibility and spoligotyping assays were performed and place of residence of the patients were georeferenced. RESULTS: Of the M. tuberculosis strains studied, 51 (44.3% isolates were resistant to at least one drug (R-TB and 64 (55.7% were sensitive to all the drugs tested (S-TB. A high frequency of resistance was found in previously treated cases (84% and among new cases (16%; p < 0.001. a total of 74 (64% isolates were grouped into 22 spoligotyped lineages, while 41 (36% isolates were identified as new. among the predominant genotypes, 33% were latim american mediterranean (lam, 12% haarlem (h, and 5% u. there was no association of geographic distribution of rt-tb patients as compared to the controls and also the geographic location to the spoligotype patterns. the geospatial analysis revealed that 24 (23% patients (hot spot zones either shared the same residence or lived in a close neighborhood of a case. among these concentration zones, the patients lived in the same residence and shared a common genotype pattern and resistance pattern. DISCUSSION: it was observed that the spoligopatterns family distribution was similar to that reported for south america, prevailing the lam and h lineages. a high rate-case among the resistant TB group occurs as a result of transmitted and acquired resistance. A more effective surveillance program is needed in order to succeed in reducing tuberculosis in Northeast Brazil.

  19. Genotyping and drug resistance patterns of Mycobacterium tuberculosis strains observed in a tuberculosis high-burden municipality in Northeast, Brazil

    Directory of Open Access Journals (Sweden)

    Roberta dos Santos Silva Luiz

    Full Text Available OBJECTIVES: This study has used a combination of clinical information, spoligotyping, and georeferencing system to elucidate the genetic diversity of the Mycobacterium tuberculosis isolates circulating in a TB-prevalent municipality of Northeast Brazil. METHODS: A total of 115 M. tuberculosis strains were isolated from pulmonary tuberculosis patients from January 2007 to March 2008 in Fortaleza. Drug susceptibility and spoligotyping assays were performed and place of residence of the patients were georeferenced. RESULTS: Of the M. tuberculosis strains studied, 51 (44.3% isolates were resistant to at least one drug (R-TB and 64 (55.7% were sensitive to all the drugs tested (S-TB. A high frequency of resistance was found in previously treated cases (84% and among new cases (16%; p < 0.001. a total of 74 (64% isolates were grouped into 22 spoligotyped lineages, while 41 (36% isolates were identified as new. among the predominant genotypes, 33% were latim american mediterranean (lam, 12% haarlem (h, and 5% u. there was no association of geographic distribution of rt-tb patients as compared to the controls and also the geographic location to the spoligotype patterns. the geospatial analysis revealed that 24 (23% patients (hot spot zones either shared the same residence or lived in a close neighborhood of a case. among these concentration zones, the patients lived in the same residence and shared a common genotype pattern and resistance pattern. DISCUSSION: it was observed that the spoligopatterns family distribution was similar to that reported for south america, prevailing the lam and h lineages. a high rate-case among the resistant TB group occurs as a result of transmitted and acquired resistance. A more effective surveillance program is needed in order to succeed in reducing tuberculosis in Northeast Brazil.

  20. Resistance of green lacewing, Chrysoperla carnea Stephens to nitenpyram: Cross-resistance patterns, mechanism, stability, and realized heritability.

    Science.gov (United States)

    Mansoor, Muhammad Mudassir; Raza, Abu Bakar Muhammad; Abbas, Naeem; Aqueel, Muhammad Anjum; Afzal, Muhammad

    2017-01-01

    The green lacewing, Chrysoperla carnea Stephens (Neuroptera: Chrysopidae) is a major generalist predator employed in integrated pest management (IPM) plans for pest control on many crops. Nitenpyram, a neonicotinoid insecticide has widely been used against the sucking pests of cotton in Pakistan. Therefore, a field green lacewing strain was exposed to nitenpyram for five generations to investigate resistance evolution, cross-resistance pattern, stability, realized heritability, and mechanisms of resistance. Before starting the selection with nitenpyram, a field collected strain showed 22.08-, 23.09-, 484.69- and 602.90-fold resistance to nitenpyram, buprofezin, spinosad and acetamiprid, respectively compared with the Susceptible strain. After continuous selection for five generations (G1-G5) with nitenpyram in the laboratory, the Field strain (Niten-SEL) developed a resistance ratio of 423.95 at G6. The Niten-SEL strain at G6 showed no cross-resistance to buprofezin and acetamiprid and negative cross-resistance to spinosad compared with the Field strain (G1). For resistance stability, the Niten-SEL strain was left unexposed to any insecticide for four generations (G6-G9) and bioassay results at G10 showed that resistance to nitenpyram, buprofezin and spinosad was stable, while resistance to acetamiprid was unstable. The realized heritability values were 0.97, 0.16, 0.03, and -0.16 to nitenpyram, buprofezin, acetamiprid and spinosad, respectively, after five generations of selection. Moreover, the enzyme inhibitors (PBO or DEF) significantly decreased the nitenpyram resistance in the resistant strain, suggesting that resistance was due to microsomal oxidases and esterases. These results are very helpful for integration of green lacewings in IPM programs. Copyright © 2016 Elsevier B.V. All rights reserved.

  1. Antibiotic resistance patterns of bacteria isolated from indwelling Foley catheters following tube cystostomy in goats with obstructive urolithiasis.

    Science.gov (United States)

    Chigerwe, Munashe; Mavangira, Vengai; Byrne, Barbara A; Angelos, John A

    2017-05-01

    Tube cystostomy is a surgical method used for managing obstructive urolithiasis and involves placement of a Foley catheter into the urinary bladder. We identified and evaluated the antibiotic resistance patterns of bacteria isolated from indwelling Foley catheters following tube cystostomy in goats with obstructive urolithiasis. Urine samples collected over a 10-y period from catheter tips at the time of removal were submitted for bacteriologic culture and antibiotic susceptibility testing. Resistance patterns to antibiotics, trends in the resistance patterns over the study period, and the probability of a bacterial isolate being resistant as a function of the identity of the isolate and antibiotic tested were determined. A total of 103 urine samples from 103 male goats with obstructive urolithiasis managed surgically with tube cystostomy were included in the study. Aerococcus (36.9%) and Enterococcus (30.1%) were isolated most frequently. The susceptibility patterns of all bacteria isolated did not change over the study period ( p > 0.05). Proportions of isolates resistant to 1, 2, and ≥3 antibiotics were 36.9%, 18.5%, and 23.3%, respectively. Thus, 41.8% of bacterial isolates were resistant to 2 or more antibiotics tested. The probability of Aerococcus spp., Escherichia coli, and Pseudomonas aeruginosa isolates to be resistant to ampicillin, ceftiofur, erythromycin, penicillin, or tetracycline ranged from 0.59 to 0.76.

  2. Nosocomial infections and antibiotic resistance pattern in open-heart surgery patients at Imam Ali Hospital in Kermanshah, Iran.

    Science.gov (United States)

    Heydarpour, Fatemeh; Rahmani, Youssef; Heydarpour, Behzad; Asadmobini, Atefeh

    2017-01-01

    Background: Patients undergoing open heart surgery have a relatively high risk of acquiring nosocomial infections. The development of antibiotic-resistant infections is associated with prolonged hospital stays and mortalities. Objectives: The present study was conducted to investigate nosocomial infections and the antibiotic resistance pattern in bacteria causing these infections in open heart surgery patients at Imam Ali Hospital in Kermanshah in the west of Iran over a 4-year period from March 2011 to March 2014. Materials and methods: The present cross-sectional study was conducted on 135 cases of nosocomial infection among open heart surgery patients. The demographic characteristics and the risk factors of each case of infection were recorded. The antibiotic susceptibility test was carried out using the Minimum Inhibitory Concentration (MIC) method based on the Clinical and Laboratory Standards Institute (CLSI) protocol. The data collected were then analyzed in SPSS-16. Results: Out of the 6,000 patients who underwent open heart surgery during this 4-year period at the selected hospital, nosocomial infections developed in 135 patients (2.25%), 59.3% of whom were female and 40.7% male. Surgery site infection (SSI), pneumonia (PNEU), urinary tract infection (UTI) and blood stream infection (BSI) affected 52.6%, 37%, 9.6% and 0.8% of the cases, respectively. E.coli , Klebsiella spp. and S. aureus were the most common bacteria causing the nosocomial infections. E. coli was most frequently resistant to imipenem (23.3%) Klebsiella spp. to gentamicin (38.5%) S. aureus to co-trimoxazole (54.2%). Conclusion: SSI had a high prevalence in this study. Further studies should therefore be conducted to examine the risk factors associated with SSI in open heart surgery. Various studies have shown that antibiotic resistance patterns are different in different regions. Finding a definitive treatment therefore requires an antibiogram.

  3. Sample preparation for total reflection X-ray fluorescence analysis using resist pattern technique

    Science.gov (United States)

    Tsuji, K.; Yomogita, N.; Konyuba, Y.

    2018-06-01

    A circular resist pattern layer with a diameter of 9 mm was prepared on a glass substrate (26 mm × 76 mm; 1.5 mm thick) for total reflection X-ray fluorescence (TXRF) analysis. The parallel cross pattern was designed with a wall thickness of 10 μm, an interval of 20 μm, and a height of 1.4 or 0.8 μm. This additional resist layer did not significantly increase background intensity on the XRF peaks in TXRF spectra. Dotted residue was obtained from a standard solution (10 μL) containing Ti, Cr, Ni, Pb, and Ga, each at a final concentration of 10 ppm, on a normal glass substrate with a silicone coating layer. The height of the residue was more than 100 μm, where self-absorption in the large residue affected TXRF quantification (intensity relative standard deviation (RSD): 12-20%). In contrast, from a droplet composed of a small volume of solution dropped and cast on the resist pattern structure, the obtained residue was not completely film but a film-like residue with a thickness less than 1 μm, where self-absorption was not a serious problem. In the end, this sample preparation was demonstrated to improve TXRF quantification (intensity RSD: 2-4%).

  4. Prevalence and resistance pattern of Moraxella catarrhalis in community-acquired lower respiratory tract infections

    Directory of Open Access Journals (Sweden)

    Shaikh SBU

    2015-07-01

    Full Text Available Safia Bader Uddin Shaikh, Zafar Ahmed, Syed Ali Arsalan, Sana Shafiq Department of Pulmonology, Liaquat National Hospital, Karachi, Pakistan Introduction: Moraxella catarrhalis previously considered as commensal of upper respiratory tract has gained importance as a pathogen responsible for respiratory tract infections. Its beta-lactamase-producing ability draws even more attention toward its varying patterns of resistance. Methods: This was an observational study conducted to evaluate the prevalence and resistance pattern of M. catarrhalis. Patients aged 20–80 years admitted in the Department of Chest Medicine of Liaquat National Hospital from March 2012 to December 2012 were included in the study. Respiratory samples of sputum, tracheal secretions, and bronchoalveolar lavage were included, and their cultures were followed. Results: Out of 110 respiratory samples, 22 showed positive cultures for M. catarrhalis in which 14 were males and eight were females. Ten samples out of 22 showed resistance to clarithromycin, and 13 samples out of 22 displayed resistance to erythromycin, whereas 13 showed resistance to levofloxacin. Hence, 45% of the cultures showed resistance to macrolides so far and 59% showed resistance to quinolones. Conclusion: Our study shows that in our environment, M. catarrhalis may be resistant to macrolides and quinolones; hence, these should not be recommended as an alternative treatment in community-acquired lower respiratory tract infections caused by M. catarrhalis. However, a study of larger sample size should be conducted to determine if the recommendations are required to be changed. Keywords: community-acquired lower respiratory tract infections or pneumonia, M. catarrhalis, antibiotic resistance, gram-negative diplococcic, Pakistan

  5. MICROBIAL PROFILE AND ANTIBIOTIC RESISTANCE PATTERN OF THE BACTERIAL ISOLATES IN A TERTIARY CARE PSYCHIATRY HOSPITAL

    Directory of Open Access Journals (Sweden)

    Jyoti

    2015-11-01

    Full Text Available BACKGROUND: Antibiotic resistance is a challenge for effective management of infections as it increases the morbidity, mortality and costs of treating infectious diseases. AIMS: This study was aimed to obtain the profile of the bacterial isolates and their antibiotic resistance pattern. SETTINGS AND DESIGN: It is a cross sectional study carried out in a tertiary care psychiatry hospital in India. MATERIALS AND METHODS: Isolation and identification of the isolates were done by standard methods. Susceptibility patterns were checked by Kirby Bauer disc diffusion method. STATISTICAL ANALYSIS USED: Statistical analysis was done by using SPSS 16.0 version to calculate the frequencies as well as for cross tabulation. RESULTS: Significant bacterial growth observed in 43(25.6% samples, of which 39(90.7% showed resistant to at least one of the antibiotics used and 36(83.7% were multi-drug resistant. Gram negative organism accounted for the 25(58.14% of total significant isolates, Escherichia coli being the highest (76% in this group. Among multi-drug resistant (MDR isolates E.coli was the highest (44.4% and imipenem resistance was also observed in 1(5.3% of 19 E.coli isolates. Among the 43 isolates 18(41.86% were Gram positive with Streptococcus spp. showing incidence of 41.7% among the total MDR isolates. CONCLUSION: Increasing incidence of MDR strains seen in the population requires continuous monitoring and a restricted use of antibiotics to keep a check on resistance pattern, for effective treatment plan.

  6. Antibiotic resistance

    Directory of Open Access Journals (Sweden)

    Marianne Frieri

    2017-07-01

    Full Text Available Summary: Antimicrobial resistance in bacterial pathogens is a challenge that is associated with high morbidity and mortality. Multidrug resistance patterns in Gram-positive and -negative bacteria are difficult to treat and may even be untreatable with conventional antibiotics. There is currently a shortage of effective therapies, lack of successful prevention measures, and only a few new antibiotics, which require development of novel treatment options and alternative antimicrobial therapies. Biofilms are involved in multidrug resistance and can present challenges for infection control. Virulence, Staphylococcus aureus, Clostridium difficile infection, vancomycin-resistant enterococci, and control in the Emergency Department are also discussed. Keywords: Antibiotic resistance, Biofilms, Infections, Public health, Emergency Department

  7. Drug resistance patterns of acinetobacter baumannii in makkah, saudi arabia

    International Nuclear Information System (INIS)

    Khan, M.A.; Ashshi, A.M.; Mahomed, M.F.

    2012-01-01

    Background: Acinetobacter baumannii causes infections of respiratory, urinary tract, blood stream and surgical sites. Its clinical significance has increased due to its rapidly developing resistance to major groups of antibiotics used for its treatment. There is limited data available on antimicrobial susceptibility of A. baumannii from Saudi Arabia. Objectives: To determine the patterns of drug resistance of Acinetobacter baumannii and predisposing factors for its acquisition.Subjects and Methods: In this descriptive study, 72 hospitalized patients infected with A baumannii were studied. The clinical and demographic data of the patients were collected using a predesigned questionnaire. Isolation and identification of A.baumannii from all clinical specimens were done using standard microbiological methods. Antibiotic susce ptibility testing was performed by disk diffusion method recommended by Clinical Laboratory Standards Institute. Results: Majority of the isolates (61.1%) were from respiratory tract infections. A.baumannii isolates showed high drug resistance to piperacil lin (93.1%), aztreonam (80.5%), ticarcillin, ampicillin, and tetracycline (76.4%, each) and cefotaxime (75%). Only amikacin showed low rate of resistance compared to other antibiotics (40.3%). About 36% patients had some underlying diseases with diabetes mellitus (11%) being the predominant underlying disease. Conclusions: High antimicrobial resistance to commonly used antibiotics was seen against A.baumannii isolates. Only amikacin was most effective against it. (author)

  8. Dyslipidemia patterns are differentially associated with dietary factors.

    Science.gov (United States)

    Song, SuJin; Paik, Hee Young; Park, Minseon; Song, YoonJu

    2016-08-01

    Dyslipidemia, a strong predictor of cardiovascular diseases, is prevalent among Korean adults, but little is known about the associations between overall lipid profiles and dietary factors. We identified dyslipidemia patterns among lipid indicators and examined dietary factors associated with dyslipidemia patterns in Korean adults. Subjects in this cross-sectional study were recruited from the Family Medicine Division or the Health Examination Center of the general hospital in Seoul between 2010 and 2012. Measurements of biochemical and dietary variables repeated three times were collected from a total of 138 subjects at 3- to 4-month intervals when the subjects visited the hospital. Dietary intake data were obtained using 24-h recalls. In order to estimate typical values for biochemical and dietary variables, the averages of repeated measures for each subject were calculated. To identify dyslipidemia patterns, factor analysis was used based on total cholesterol (TC), low-density lipoprotein cholesterol (LDLC), triglycerides (TG), and high-density lipoprotein cholesterol (HDLC). Two dyslipidemia patterns, (1) TC & LDLC and (2) TG & HDLC, were identified. Dietary fat and cholesterol intakes were positively associated with the TC & LDLC pattern score, but not associated with the TG & HDLC pattern score. The TG & HDLC pattern was significantly associated with low intakes of calcium, potassium, milk and dairy products. Two dyslipidemia patterns were associated with dietary factors in Korean adults. Further studies should investigate specific dietary recommendations according to lipid profiles in the prevention and management of dyslipidemia in Korea. Copyright © 2015 Elsevier Ltd and European Society for Clinical Nutrition and Metabolism. All rights reserved.

  9. Smoking, inflammatory patterns, and postprandial hypertriglyceridemia

    Science.gov (United States)

    Background: Smoking is associated with increased postprandial hypertriglyceridemia (PPT). Inflammation and insulin resistance are potential "drivers" for this phenomenon. We tested whether inflammatory patterns and/or insulin resistance explain the effect of smoking on PPT. Methods: Men and women i...

  10. Antibiotics and heavy metals resistance patterns of Enterococcus faecalis and faecium bacteria isolated from the human and the livestock sources

    Directory of Open Access Journals (Sweden)

    Yaser Sharifi

    2015-12-01

    Full Text Available Background: Enterococci have emerged as a major cause of nosocomial infections and within this group, Enterococcus faecalis and Enterococcus faecium cause the majority of human and livestock enterococcal infections. In this article, we tried to determine antibiotics and metals resistance patterns of E. faecalis and E. faecium strains. Methods: One hundred sixty different strains of E. faecalis and E. faecium were collected from livestock sewage and the human fecal waste during 15 months. Then bacterial antibiotics sensitivity tests were carried out using the Agar disc diffusion method. Results: Generally, 100% of E. faecalis strains separated from human and livestock sources (i.e. sheep showed penicillin (P/ kanamycin (K/ nitrofurantoin (N/ loracarbef (L/ Ciprofloxacin (Cc/ ampicillin (AN/ nalidixic acid (NA/ sulfamethoxazole (S antibiotics resistance patterns. In addition, 55% of isolated E. faecium showed P/S/AN/NA antibiotics resistance patterns. Each strain showed a resistance to at least two aminoglycoside antibiotics. However, E. faecalis strains from human and the livestock sources showed 94% and 100% of resistance to nitrofurantoin, respectively. The effects of different metal concentrations was evaluated in both strains. The agar dilution method was applied in this stage. Hg at 0.05 mmol/L of minimum inhibitory concentration (MIC showed toxicity to both the human and livestock Enterococcus strains. Cadmium at 1 mmol/L and 0.5 mmol/L concentrations had the most toxicity to E. faecalis and E. faecium strains, respectively. Obviously, toxicity to bacteria is less than other metals. As a result, Zn/Ni/Cu/Co resistance pattern is suggested for both strains. Finally, antibiotics and heavy metals resistance patterns were monitored simultaneously. Conclusion: Almost all E. faecalis strains isolated from humans and livestock showed antibiotics and heavy metals resistance patterns of P/K/L/Cc/S/AN/NA/Zn/Cu/Co simultaneously. Moreover, 55% of E

  11. Is Shift Work Associated with Lipid Disturbances and Increased Insulin Resistance?

    Science.gov (United States)

    Alefishat, Eman; Abu Farha, Rana

    2015-11-01

    Shift work is associated with higher risk of metabolic disturbances and cardiovascular diseases. There are contradictory reports on the effect of shift work on lipid parameters in the literature. No studies have investigated any possible association between shift work and the ratio of serum triglyceride to high density lipoprotein cholesterol (TG/HDL-C ratio). This ratio can be used as a predictor for insulin resistance. The main aim of the present cross-sectional study was to investigate the association between shift work and serum TG/HDL-C ratio, TG level, and HDL-C level. One hundred and forty adult Jordanian employees were recruited. Demographic data, lifestyle habits, clinical parameters, and working patterns data were documented through a well-structured questionnaire. Serum TG and HDL-C levels were measured after at least 9 hours fasting using enzymatic assay procedure. Compared with daytime workers (58 subjects), shift workers (82 subjects) displayed higher TG/HDL-C ratio (r = 0.217, P = 0.013), higher serum TG levels (r = 0.220, P = 0.012), and lower HDL-C levels (r = -0.200, P = 0.016). Among shift workers, 30.5% were found to have a TG/HDL-C ratio >3.5 compared with 8.6% of daytime workers (P = 0.002). In the present study, shift work was shown to be associated with higher TG/HDL-C ratio, higher serum TG, and lower HDL-C levels. These findings might indicate that shift work is associated with increased insulin resistance and consequently higher risk of metabolic syndrome and cardiovascular diseases.

  12. CLINICAL ISOLATES OF MECA, METHICILLIN, VANCOMYCIN RESISTANCE S. AUREUS; ESBLs PRODUCING K.PNEUMONIA, E.COLI, P. AUREGENOSA FROM VARIOUS CLINICAL SOURCE AND ITS ANTIMICROBIAL RESISTANCE PATTERNS

    Directory of Open Access Journals (Sweden)

    Ismail Mahmud Ali, Amirthalingam R

    2015-01-01

    Full Text Available Background and Objective: Antimicrobial resistance has turned into a key medical and public health crisis globally since the injudicious use of magic bullets (drugs. Aim of this study is focused on the clinical isolate and their percentages of resistant to antibiotics in gram positive bacteria such as MRSA, VRSA, and MSSA are common causes of nosocomical, skin structure infections, bacteremia and infection of other systems; ESBLs producing Enterobacteriaceae (E. coli, Klebsiella spp. is common agent of urinary tract, bloodstream, pulmonary and intra-abdominal infections and carbapenem resistant P. aeruginosa with its complete antimicrobial patterns which are currently practiced in this population. Methods: There are one hundred and fourteen (114 various clinical isolates, isolated from various clinical samples like throat swab, urine, pus, sputum, and blood culture, identified as specific isolate with resistance patterns were analyzed by BD phoenix-100 the auto analyzer. Results: Off 114 clinical isolate, 6 mecA-mediated resistance (cefoxitin>8mgc/ml, 11 methicillin resistance, 18 β lactam/βlactamase inhibitor, 12 methicillin sensitive and 3 vancomycin (>16µg/ml resistance S. aureus have been isolated from overall 50 isolate of S.aureus. In addition, there are 27 P.aeruginosa, 15 ESBLs from overall of 25 K. pneumoniae and 7 ESBLs out of 12 Escherichia coli species have been isolated. The resistance and susceptibility pattern percentages have been graphically represented for each isolates. Conclusion: Current study revealed that the drug classes of β lactam/βlactamase inhibitor having high resistance rate with S.aureus, P.aureginosa, K. pneumoniae and E. coli isolate. Also, some of other drug classes such as cepham and tetracycline having higher resistance rate with P.aureginosa and K.pneumoniae. In addition, the vancomycin resistances S. aureus have been isolated and reported as first time in this population.

  13. Association between QTLs and morphological traits toward sheath blight resistance in rice (Oryza sativa L.)

    Science.gov (United States)

    Hossain, Md Kamal; Jena, Kshirod Kumar; Bhuiyan, Md Atiqur Rahman; Wickneswari, Ratnam

    2016-01-01

    Sheath blight is considered the most significant disease of rice and causes enormous yield losses over the world. Breeding for resistant varieties is the only viable option to combat the disease efficiently. Seventeen diverged rice genotypes along with 17 QTL-linked SSR markers were evaluated under greenhouse conditions. Pearson’s correlation showed only the flag leaf angle had a significant correlation with sheath blight resistance under greenhouse screening. Multivariate analysis based on UPGMA clustering and principal component analysis (PCA) indicated that the flag leaf angle, flag leaf length, and plant compactness were significantly associated with the following SSR marker alleles: RM209 (116,130), RM202 (176), RM224 (126), RM257 (156), RM426 (175), and RM6971 (196), which are linked to the SB QTLs: QRlh11, qSBR11-3, qSBR11-1, qSBR9-1, qShB3-2, and qSB-9. A Mantel test suggested a weak relationship between the observed phenotypes and allelic variation patterns, implying the independent nature of morphological and molecular variations. Teqing and Tetep were found to be the most resistant cultivars. IR65482-4-136-2-2, MR219-4, and MR264 showed improved resistance potentials. These results suggest that the morphological traits and QTLs which have been found to associate with sheath blight resistance are a good choice to enhance resistance through pyramiding either 2 QTLs or QTLs and traits in susceptible rice cultivars. PMID:27795687

  14. Genome-Wide Association Studies of Anthracnose and Angular Leaf Spot Resistance in Common Bean (Phaseolus vulgaris L..

    Directory of Open Access Journals (Sweden)

    Juliana Morini Küpper Cardoso Perseguini

    Full Text Available The common bean (Phaseolus vulgaris L. is the world's most important legume for human consumption. Anthracnose (ANT; Colletotrichum lindemuthianum and angular leaf spot (ALS; Pseudocercospora griseola are complex diseases that cause major yield losses in common bean. Depending on the cultivar and environmental conditions, anthracnose and angular leaf spot infections can reduce crop yield drastically. This study aimed to estimate linkage disequilibrium levels and identify quantitative resistance loci (QRL controlling resistance to both ANT and ALS diseases of 180 accessions of common bean using genome-wide association analysis. A randomized complete block design with four replicates was performed for the ANT and ALS experiments, with four plants per genotype in each replicate. Association mapping analyses were performed for ANT and ALS using a mixed linear model approach implemented in TASSEL. A total of 17 and 11 significant statistically associations involving SSRs were detected for ANT and ALS resistance loci, respectively. Using SNPs, 21 and 17 significant statistically associations were obtained for ANT and angular ALS, respectively, providing more associations with this marker. The SSR-IAC167 and PvM95 markers, both located on chromosome Pv03, and the SNP scaffold00021_89379, were associated with both diseases. The other markers were distributed across the entire common bean genome, with chromosomes Pv03 and Pv08 showing the greatest number of loci associated with ANT resistance. The chromosome Pv04 was the most saturated one, with six markers associated with ALS resistance. The telomeric region of this chromosome showed four markers located between approximately 2.5 Mb and 4.4 Mb. Our results demonstrate the great potential of genome-wide association studies to identify QRLs related to ANT and ALS in common bean. The results indicate a quantitative and complex inheritance pattern for both diseases in common bean. Our findings will

  15. Genome-Wide Association Studies of Anthracnose and Angular Leaf Spot Resistance in Common Bean (Phaseolus vulgaris L.).

    Science.gov (United States)

    Perseguini, Juliana Morini Küpper Cardoso; Oblessuc, Paula Rodrigues; Rosa, João Ricardo Bachega Feijó; Gomes, Kleber Alves; Chiorato, Alisson Fernando; Carbonell, Sérgio Augusto Morais; Garcia, Antonio Augusto Franco; Vianello, Rosana Pereira; Benchimol-Reis, Luciana Lasry

    2016-01-01

    The common bean (Phaseolus vulgaris L.) is the world's most important legume for human consumption. Anthracnose (ANT; Colletotrichum lindemuthianum) and angular leaf spot (ALS; Pseudocercospora griseola) are complex diseases that cause major yield losses in common bean. Depending on the cultivar and environmental conditions, anthracnose and angular leaf spot infections can reduce crop yield drastically. This study aimed to estimate linkage disequilibrium levels and identify quantitative resistance loci (QRL) controlling resistance to both ANT and ALS diseases of 180 accessions of common bean using genome-wide association analysis. A randomized complete block design with four replicates was performed for the ANT and ALS experiments, with four plants per genotype in each replicate. Association mapping analyses were performed for ANT and ALS using a mixed linear model approach implemented in TASSEL. A total of 17 and 11 significant statistically associations involving SSRs were detected for ANT and ALS resistance loci, respectively. Using SNPs, 21 and 17 significant statistically associations were obtained for ANT and angular ALS, respectively, providing more associations with this marker. The SSR-IAC167 and PvM95 markers, both located on chromosome Pv03, and the SNP scaffold00021_89379, were associated with both diseases. The other markers were distributed across the entire common bean genome, with chromosomes Pv03 and Pv08 showing the greatest number of loci associated with ANT resistance. The chromosome Pv04 was the most saturated one, with six markers associated with ALS resistance. The telomeric region of this chromosome showed four markers located between approximately 2.5 Mb and 4.4 Mb. Our results demonstrate the great potential of genome-wide association studies to identify QRLs related to ANT and ALS in common bean. The results indicate a quantitative and complex inheritance pattern for both diseases in common bean. Our findings will contribute to more

  16. Prevalence of Multidrug-Resistant Pathogens and Their Antibiotic Susceptibility Pattern from Late-Onset Ventilator-Associated Pneumonia Patients from a Tertiary-Care Hospital in North India

    Directory of Open Access Journals (Sweden)

    Varsha Gupta

    2018-01-01

    Full Text Available Background: Ventilator-associated pneumonia (VAP is seen as being most common in critically ill patients in intensive care units. Diagnostic protocol is challenging and the treatment is often difficult. Incorrectly selected antibiotic therapy further leads to the emergence of multidrug-resistant (MDR organisms. Materials and Methods: The present prospective study was conducted to study patients of VAP with the aim of determining the aerobic bacterial etiological agents, antimicrobial susceptibility patterns, and molecular detection of MBL (metallo beta lactamase genes. The antimicrobial susceptibility of the isolates by the disc diffusion method and the detection of various drug-resistance mechanisms was done. The minimum inhibitory concentration (MIC based on E-test was determined along with the molecular analysis by polymerase chain reaction for detection of MBL genes (IMP and VIM. Results: Out of a total of 372 patients admitted in intensive care unit during the time period (March 2010 to February 2013, 40 patients were finally diagnosed as having late-onset VAP. Among the study isolates (69, due to polymicrobial infection, the maximum isolates were Acinetobacter spp. (32 followed by Pseudomonas aeruginosa (18, Klebsiella pneumoniae (8, and others. MDR was high with 34% of Acinetobacter and 50% of Pseudomonas strains being MBL producers. Among Staphylococcus aureus, 50% strains were methicillin resistant. On molecular analysis, eight of the Acinetobacter and six of the Pseudomonas isolates came out to be positive for VIM 2 gene, whereas IMP was not detected in any of the isolates. Conclusion: The present study emphasizes the threat of MDR in VAP patients from ICU as the treatment options are limited. The knowledge of prevailing organisms, resistance mechanisms, and their antibiotic profile can go a long way in deciding appropriate empirical therapy.

  17. Antibiotic Resistance Patterns of Enterococci and Occurrence of Vancomycin-Resistant Enterococci in Raw Minced Beef and Pork in Germany

    Science.gov (United States)

    Klein, Günter; Pack, Alexander; Reuter, Gerhard

    1998-01-01

    The food chain, especially raw minced meat, is thought to be responsible for an increase in the incidence of vancomycin-resistant enterococci (VRE) in human nosocomial infections. Therefore, 555 samples from 115 batches of minced beef and pork from a European Union-licensed meat-processing plant were screened for the occurrence of VRE. The processed meat came from 45 different slaughterhouses in Germany. Enterococci were isolated directly from Enterococcosel selective agar plates and also from Enterococcosel selective agar plates supplemented with 32 mg of vancomycin per liter. In addition, peptone broth was used in a preenrichment procedure, and samples were subsequently plated onto Enterococcosel agar containing vancomycin. To determine resistance, 209 isolates from 275 samples were tested with the glycopeptides vancomycin, teicoplanin, and avoparcin and 19 other antimicrobial substances by using a broth microdilution test. When the direct method was used, VRE were found in 3 of 555 samples (0.5%) at a concentration of 1.0 log CFU/g of minced meat. When the preenrichment procedure was used, 8% of the samples were VRE positive. Our findings indicate that there is a low incidence of VRE in minced meat in Germany. In addition, the resistance patterns of the VRE isolates obtained were different from the resistance patterns of clinical isolates. A connection between the occurrence of VRE in minced meat and nosocomial infections could not be demonstrated on the basis of our findings. PMID:9572958

  18. Antimicrobial resistance and typing of Salmonella isolated from street vended foods and associated environment.

    Science.gov (United States)

    Anukampa; Shagufta, Bi; Sivakumar, M; Kumar, Surender; Agarwal, Rajesh Kumar; Bhilegaonkar, Kiran Narayan; Kumar, Ashok; Dubal, Zunjar Baburao

    2017-07-01

    The present study was carried out to find out the occurrence and types of Salmonella present in street vended foods and associated environment, and their resistance pattern against various antibiotics. About 1075 street vended food and associated environment samples were processed for isolation and confirmation of different Salmonella spp. by targeting gene specific inv A gene and serotype specific Sdf I, Via B and Spy genes by PCR. Selected Salmonella isolates were screened for antibiotic resistance by using Baeur-Kirby disk diffusion test. Out of 1075 samples, only 31 (2.88%) isolates could be amplified the inv A gene of which 19 could be recovered from meat vendors; 8 from egg vendors while remaining 4 from milk vendors. Though, majority of Salmonella recovered from raw foods the ready-to-eat food like chicken gravy and rasmalai also showed its presence which pose a serious public health threat. Overall, 19, 6 and 1 isolates of S. Typhimurium, S. Enteritidis and S. Typhi could be detected by PCR while remaining 5 isolates could not be amplified suggesting other type of Salmonella. Selected Salmonella isolates were completely resistance to Oxacillin (100%) followed by Cefoxitin (30.43%) and Ampicillin (26.10%). Thus, it is observed that the street vended foods of animal origin and associated environment play an important role in transmission of food borne pathogens including Salmonella .

  19. Polypharmacy patterns: unravelling systematic associations between prescribed medications.

    Directory of Open Access Journals (Sweden)

    Amaia Calderón-Larrañaga

    Full Text Available OBJECTIVES: The aim of this study was to demonstrate the existence of systematic associations in drug prescription that lead to the establishment of patterns of polypharmacy, and the clinical interpretation of the associations found in each pattern. METHODS: A cross-sectional study was conducted based on information obtained from electronic medical records and the primary care pharmacy database in 2008. An exploratory factor analysis of drug dispensing information regarding 79,089 adult patients was performed to identify the patterns of polypharmacy. The analysis was stratified by age and sex. RESULTS: Seven patterns of polypharmacy were identified, which may be classified depending on the type of disease they are intended to treat: cardiovascular, depression-anxiety, acute respiratory infection (ARI, chronic obstructive pulmonary disease (COPD, rhinitis-asthma, pain, and menopause. Some of these patterns revealed a clear clinical consistency and included drugs that are prescribed together for the same clinical indication (i.e., ARI and COPD patterns. Other patterns were more complex but also clinically consistent: in the cardiovascular pattern, drugs for the treatment of known risk factors-such as hypertension or dyslipidemia-were combined with other medications for the treatment of diabetes or established cardiovascular pathology (e.g., antiplatelet agents. Almost all of the patterns included drugs for preventing or treating potential side effects of other drugs in the same pattern. CONCLUSIONS: The present study demonstrated the existence of non-random associations in drug prescription, resulting in patterns of polypharmacy that are sound from the pharmacological and clinical viewpoints and that exist in a significant proportion of the population. This finding necessitates future longitudinal studies to confirm some of the proposed causal associations. The information discovered would further the development and/or adaptation of clinical

  20. Association mapping of resistance to Verticillium wilt in Gossypium ...

    African Journals Online (AJOL)

    Verticillium wilt is a major disease affecting the growth of cotton. For screening the resistant genes, 320 Gossypium hirsutum germplasms were evaluated in Verticillium nursery, and association mapping was used to detect the markers associated with the Verticillium wilt resistance. 106 microsatellite marker primer pairs ...

  1. Ciprofloxacin-resistant Escherichia coli in Central Greece: mechanisms of resistance and molecular identification

    Directory of Open Access Journals (Sweden)

    Mavroidi Angeliki

    2012-12-01

    Full Text Available Abstract Background Fluoroquinolone resistant E. coli isolates, that are also resistant to other classes of antibiotics, is a significant challenge to antibiotic treatment and infection control policies. In Central Greece a significant increase of ciprofloxacin-resistant Escherichia coli has occurred during 2011, indicating the need for further analysis. Methods A total of 106 ciprofloxacin-resistant out of 505 E. coli isolates consecutively collected during an eight months period in a tertiary Greek hospital of Central Greece were studied. Antimicrobial susceptibility patterns and mechanisms of resistance to quinolones were assessed, whereas selected isolates were further characterized by multilocus sequence typing and β-lactamase content. Results Sequence analysis of the quinolone-resistance determining region of the gyrA and parC genes has revealed that 63% of the ciprofloxacin-resistant E. coli harbored a distinct amino acid substitution pattern (GyrA:S83L + D87N; ParC:S80I + E84V, while 34% and 3% carried the patterns GyrA:S83L + D87N; ParC:S80I and GyrA:S83L + D87N; ParC:S80I + E84G respectively. The aac (6’-1b-cr plasmid-mediated quinolone resistance determinant was also detected; none of the isolates was found to carry the qnrA, qnrB and qnrS. Genotyping of a subset of 35 selected ciprofloxacin-resistant E. coli by multilocus sequence typing has revealed the presence of nine sequence types; ST131 and ST410 were the most prevalent and were exclusively correlated with hospital and health care associated infections, while strains belonging to STs 393, 361 and 162 were associated with community acquired infections. The GyrA:S83L + D87N; ParC:S80I + E84V substitution pattern was found exclusively among ST131 ciprofloxacin-resistant E. coli. Extended-spectrum β-lactamase-positive ST131 ciprofloxacin-resistant isolates produced CTX-M-type enzymes; eight the CTX-M-15 and one the CTX-M-3 variant. CTX-M-1 like and KPC-2 enzymes were detected

  2. Antimicrobial Resistance of Hypervirulent Klebsiella pneumoniae: Epidemiology, Hypervirulence-Associated Determinants, and Resistance Mechanisms

    Directory of Open Access Journals (Sweden)

    Chang-Ro Lee

    2017-11-01

    Full Text Available Klebsiella pneumoniae is one of the most clinically relevant species in immunocompromised individuals responsible for community-acquired and nosocomial infections, including pneumonias, urinary tract infections, bacteremias, and liver abscesses. Since the mid-1980s, hypervirulent K. pneumoniae, generally associated with the hypermucoviscosity phenotype, has emerged as a clinically significant pathogen responsible for serious disseminated infections, such as pyogenic liver abscesses, osteomyelitis, and endophthalmitis, in a generally younger and healthier population. Hypervirulent K. pneumoniae infections were primarily found in East Asia and now are increasingly being reported worldwide. Although most hypervirulent K. pneumoniae isolates are antibiotic-susceptible, some isolates with combined virulence and resistance, such as the carbapenem-resistant hypervirulent K. pneumoniae isolates, are increasingly being detected. The combination of multidrug resistance and enhanced virulence has the potential to cause the next clinical crisis. To better understand the basic biology of hypervirulent K. pneumoniae, this review will provide a summarization and discussion focused on epidemiology, hypervirulence-associated factors, and antibiotic resistance mechanisms of such hypervirulent strains. Epidemiological analysis of recent clinical isolates in China warns the global dissemination of hypervirulent K. pneumoniae strains with extensive antibiotic resistance in the near future. Therefore, an immediate response to recognize the global dissemination of this hypervirulent strain with resistance determinants is an urgent priority.

  3. Association of ertapenem and antipseudomonal carbapenem usage and carbapenem resistance in Pseudomonas aeruginosa among 12 hospitals in Queensland, Australia.

    Science.gov (United States)

    McDougall, David A J; Morton, Anthony P; Playford, E Geoffrey

    2013-02-01

    The objective of this study was to determine the association between ertapenem and antipseudomonal carbapenem use and carbapenem resistance in Pseudomonas aeruginosa in 12 hospitals in Queensland, Australia. Data on usage of ertapenem and other antipseudomonal carbapenems, measured in defined daily doses per 1000 occupied bed-days, were collated using statewide pharmacy dispensing and distribution software from January 2007 until June 2011. The prevalence of unique carbapenem-resistant P. aeruginosa isolates derived from statewide laboratory information systems was collected for the same time period. Mixed-effects models were used to determine any relationship between ertapenem and antipseudomonal carbapenem usage and carbapenem resistance among P. aeruginosa isolates in the 12 hospitals analysed. No relationship between ertapenem usage and P. aeruginosa carbapenem resistance was observed. The introduction of ertapenem did not replace antipseudomonal carbapenem prescribing to any significant extent. However, an association between greater usage of antipseudomonal carbapenems and greater P. aeruginosa carbapenem resistance was demonstrated. It is likely that the only mechanism by which ertapenem can improve P. aeruginosa resistance patterns is by being used as a substitute for, rather than in addition to, antipseudomonal carbapenems.

  4. PatternCoder: A Programming Support Tool for Learning Binary Class Associations and Design Patterns

    Science.gov (United States)

    Paterson, J. H.; Cheng, K. F.; Haddow, J.

    2009-01-01

    PatternCoder is a software tool to aid student understanding of class associations. It has a wizard-based interface which allows students to select an appropriate binary class association or design pattern for a given problem. Java code is then generated which allows students to explore the way in which the class associations are implemented in a…

  5. Assessment of the Bacteriological Quality of Food Samples May Offer Clues the Antibiotic Resistance Pattern

    Directory of Open Access Journals (Sweden)

    Enayatollah Kalantar

    2015-02-01

    Full Text Available Background: Foodborne illnesses continue to be a leading cause of morbidity and mortality worldwide; however, the burden of diseases caused by food-borne pathogens remains largely unknown. Objectives: The aim of the present study was to culture-confirmed the bacterial profile and their antibiotic resistant pattern in Food and Drug Laboratory, Alborz University of Medical Sciences, Karaj, Iran. Patients and Methods: A total of 22 bacteria including of Staphylococcus aureus, Klebsiella spp and E. coli were presumptive isolated from the traditional ice cream, cream pastries, sausage, and salami by the Official Food Microbiology Laboratory, Deputy of Food and Drug Administration, Alborz University of Medical Sciences, Karaj, Iran, and sent to the Research Center Laboratory, Alborz University of Medical Sciences, to confirm the bacterial spp by multiplex polymerase chain reaction. These isolates were also checked for their antimicrobial resistance pattern according to CLSI guideline. Results: The highest rate of contamination was with Klebsiella spp 09 (40.9%, followed by S. aureus 07 (31.8%, E. coli 06 (27.27%, as reported by the Official Food Microbiology Laboratory of Alborz University of Medical Sciences. Gel electrophoresis of the isolates shows the 600bp bp and 80 bp gene among S. aureus and E. coli respectively. The antibiotic resistant pattern in case of Klensiella spp showed that 6 (66.6% Klensiella spp were resistant to Penicillin and Cotrimoxazole. Similarly, penicillin and amoxicillin were found the highest resistant antibiotic against 83.3% E. coli, however, ceftriaxone showed the highest sensitivity against 100% E. coli isolates. Conclusions: In conclusion, Klebsiella spp, S. aureus and E. coli are contaminants of food specimens obtained from food industries in Karaj, Iran; they constitute a serious health risk for human population. Moreover, the principal purpose of this study is to increase awareness of the antibiotic resistance of

  6. Antimicrobial resistance patterns of Staphylococcus species isolated from cats presented at a veterinary academic hospital in South Africa.

    Science.gov (United States)

    Qekwana, Daniel Nenene; Sebola, Dikeledi; Oguttu, James Wabwire; Odoi, Agricola

    2017-09-15

    Antimicrobial resistance is becoming increasingly important in both human and veterinary medicine. This study investigated the proportion of antimicrobial resistant samples and resistance patterns of Staphylococcus isolates from cats presented at a veterinary teaching hospital in South Africa. Records of 216 samples from cats that were submitted to the bacteriology laboratory of the University of Pretoria academic veterinary hospital between 2007 and 2012 were evaluated. Isolates were subjected to antimicrobial susceptibility testing against a panel of 15 drugs using the disc diffusion method. Chi square and Fisher's exact tests were used to assess simple associations between antimicrobial resistance and age group, sex, breed and specimen type. Additionally, associations between Staphylococcus infection and age group, breed, sex and specimen type were assessed using logistic regression. Staphylococcus spp. isolates were identified in 17.6% (38/216) of the samples submitted and 4.6% (10/216) of these were unspeciated. The majority (61.1%,11/18) of the isolates were from skin samples, followed by otitis media (34.5%, 10/29). Coagulase Positive Staphylococcus (CoPS) comprised 11.1% (24/216) of the samples of which 7.9% (17/216) were S. intermedius group and 3.2% (7/216) were S. aureus. Among the Coagulase Negative Staphylococcus (CoNS) (1.9%, 4/216), S. felis and S. simulans each constituted 0.9% (2/216). There was a significant association between Staphylococcus spp. infection and specimen type with odds of infection being higher for ear canal and skin compared to urine specimens. There were higher proportions of samples resistant to clindamycin 34.2% (13/25), ampicillin 32.4% (2/26), lincospectin 31.6% (12/26) and penicillin-G 29.0% (11/27). Sixty three percent (24/38) of Staphylococcus spp. were resistant to one antimicrobial agent and 15.8% were multidrug resistant (MDR). MDR was more common among S. aureus 28.6% (2/7) than S. intermedius group isolates 11.8% (2

  7. Deposition, characterization, patterning and mechanistic study of inorganic resists for next-generation nanolithography

    Science.gov (United States)

    Luo, Feixiang

    The semiconductor industry has witnessed a continuous decrease in the size of logic, memory and other computer chip components since its birth over half a century ago. The shrinking (scaling) of components has to a large extent been enabled by the development of micro- and now nano-lithographic techniques. This thesis focuses on one central component of lithography, the resist, which is essentially a thin film that when appropriately exposed enables a pattern to be printed onto a surface. Smaller features require an ever more precisely focused photon, electron or ion beam with which to expose the resist. The likely next generation source of radiation that will enable sub-20nm features to be written will employ extreme ultraviolet radiation (EUV), 92eV (13.5nm). The work discussed here involves a novel class of inorganic resists (including a solution processed Hf-based resist called HafSOx), as the organic resists that have dominated the microlithography industry for the past few decades have approached fundamental scaling limits. In order to maintain the high throughput required by high volume semiconductor manufacturing, metal oxide resists have been proposed and developed to meet the resolution and sensitivity in EUV lithography. One can think of our resists as the nano-lithographic analog to the silver halide film that dominated the photographic print industry for a century. In this thesis, we mainly describe our work on HafSOx, a "first generation" metal oxide EUV resist system. HafSOx thin films can be deposited by spin-coating a mixed solution of HfOCl2, H2O 2, and H2SO4. Various materials characterization techniques have been employed to achieve a comprehensive understanding of film composition and structure at both surface and bulk level, as well as a mechanistic understanding of the film radiation chemistry. Taking advantage of the high energy x-rays used in the XPS experiment, we developed an experiment to dynamically monitor the photochemistry within the

  8. Global prevalence of carbapenem resistance in neutropenic patients and association with mortality and carbapenem use: systematic review and meta-analysis.

    Science.gov (United States)

    Righi, Elda; Peri, Anna Maria; Harris, Patrick N A; Wailan, Alexander M; Liborio, Mariana; Lane, Steven W; Paterson, David L

    2017-03-01

    Carbapenem-resistant Gram-negative bacteria are recognized as a cause of difficult-to-treat infections associated with high mortality. To perform a systematic review of currently available data on distribution, characteristics and outcome associated with carbapenem-resistant bloodstream infections in adult neutropenic patients. Included studies were identified through Medline, Embase and Cochrane databases between January 1995 and April 2016. Random effect meta-analysis was used to quantify the association between carbapenem resistance and mortality and between carbapenem exposure and resistance. A total of 30 studies from 21 countries were included. Overall carbapenem resistance varied from 2% to 53% (median 9%) among studies. Infections due to carbapenem-resistant Pseudomonas spp . were reported in 18 (60%) studies showing high median resistance rates (44% of all carbapenem-resistant Gram-negatives and 19% of Pseudomonas isolates). Resistance of Enterobacteriaceae was less commonly reported and bloodstream infections due to carbapenem-resistant Klebsiella spp. were mainly documented from endemic areas (Greece, Italy, Israel). Carbapenem resistance in Acinetobacter spp. was reported in 9 (30%) studies (median resistance 58% of Acinetobacter isolates). Mortality rates ranged from 33% to 71% (median 50%) in patients with carbapenem-resistant infections. Carbapenem resistance appeared to correlate with mortality (OR 4.89, 95% CI 3.30-7.26) and previous exposure to carbapenems (OR 4.63, 95% CI 3.08-6.96). Carbapenem resistance represents a threat to neutropenic patients. In this group, resistance is likely promoted by previous carbapenem use and leads to high mortality rates. The knowledge of resistance patterns is crucial and can direct clinicians in the use of alternatives to carbapenem-based regimens. © The Author 2016. Published by Oxford University Press on behalf of the British Society for Antimicrobial Chemotherapy. All rights reserved. For Permissions, please

  9. Exosomal biomarkers of brain insulin resistance associated with regional atrophy in Alzheimer's disease.

    Science.gov (United States)

    Mullins, Roger J; Mustapic, Maja; Goetzl, Edward J; Kapogiannis, Dimitrios

    2017-04-01

    Brain insulin resistance (IR), which depends on insulin-receptor-substrate-1 (IRS-1) phosphorylation, is characteristic of Alzheimer's disease (AD). Previously, we demonstrated higher pSer312-IRS-1 (ineffective insulin signaling) and lower p-panTyr-IRS-1 (effective insulin signaling) in neural origin-enriched plasma exosomes of AD patients vs. Here, we hypothesized that these exosomal biomarkers associate with brain atrophy in AD. We studied 24 subjects with biomarker-supported probable AD (low CSF Aβ 42 ). Exosomes were isolated from plasma, enriched for neural origin using immunoprecipitation for L1CAM, and measured for pSer 312 - and p-panTyr-IRS-1 phosphotypes. MPRAGE images were segmented by brain tissue type and voxel-based morphometry (VBM) analysis for gray matter against pSer 312 - and p-panTyr-IRS-1 was conducted. Given the regionally variable brain expression of IRS-1, we used the Allen Brain Atlas to make spatial comparisons between VBM results and IRS-1 expression. Brain volume was positively associated with P-panTyr-IRS-1 and negatively associated with pSer 312 -IRS-1 in a strikingly similar regional pattern (bilateral parietal-occipital junction, R middle temporal gyrus). This volumetric association pattern was spatially correlated with Allen Human Brain atlas normal brain IRS-1 expression. Exosomal biomarkers of brain IR are thus associated with atrophy in AD as could be expected by their pathophysiological roles and do so in a pattern that reflects regional IRS-1 expression. Furthermore, neural-origin plasma exosomes may recover molecular signals from specific brain regions. Hum Brain Mapp 38:1933-1940, 2017. © 2017 Wiley Periodicals, Inc. © 2016 Wiley Periodicals, Inc.

  10. Transposon characterization of vancomycin-resistant Enterococcus faecium (VREF) and dissemination of resistance associated with transferable plasmids

    DEFF Research Database (Denmark)

    Migura, Lourdes Garcia; Liebana, Ernesto; Jensen, Lars Bogø

    2007-01-01

    Objectives: VanA glycopeptide resistance has persisted on broiler farms in the UK despite the absence of the antimicrobial selective pressure, avoparcin. This study aimed to investigate the contribution of horizontal gene transfer of Tn 1546 versus clonal spread in the dissemination of the resist......Objectives: VanA glycopeptide resistance has persisted on broiler farms in the UK despite the absence of the antimicrobial selective pressure, avoparcin. This study aimed to investigate the contribution of horizontal gene transfer of Tn 1546 versus clonal spread in the dissemination...... plasmid replicons, associated with antimicrobial resistance on several unrelated farms. Conclusions: Horizontal transfer of vancomycin resistance may play a more important role in the persistence of antimicrobial resistance than clonal spread. The presence of different plasmid replicons, associated...... with antimicrobial resistance on several unrelated farms, illustrates the ability of these enterococci to acquire and disseminate mobile genetic elements within integrated livestock systems....

  11. Associations between resistance phenotype and gene expression in response to serial exposure to oxacillin and ciprofloxacin in Staphylococcus aureus.

    Science.gov (United States)

    Uddin, M J; Ahn, J

    2017-12-01

    This study was designed to delineate the relationship between resistance phenotypes and gene expression in wild-type (SA WT ), oxacillin-induced (SA OXA ), ciprofloxacin-induced (SA CIP ) and clinically acquired antibiotic-resistant Staphylococcus aureus (SA CA ) exposed to oxacillin (β-lactam) and ciprofloxacin (fluoroquinolone). The phenotypic response and gene expression were varied with the antibiotic exposure. SA WT was highly resistant to oxacillin (MIC = 8 μg ml -1 ) after serial exposure to oxacillin, while the oxacillin susceptibility was not changed in SA WT when exposed to ciprofloxacin (MIC = 0·25 μg ml -1 ). The clinical isolate, SA CA , was highly resistant to all classes of antibiotics used in this study. The increased resistance of SA OXA and SA CIP to penicillinase-labile penicillins was attributed to the production of β-lactamase, which is in good agreement with the overexpression of blaZ (>2-fold). The overexpression of efflux pump-related genes (norA, norB, norC, mdeA, mepR, mgrA and lmrS) was associated with the increased resistance of SA CIP and SA CA to aminoglycosides and quinolones. This study confirmed that the linkage between resistance phenotypes and molecular genotypes highly varied depending on intrinsic resistance profile, response to antibiotic exposure and genes conferring resistance. This study provides useful information for understanding the mechanisms of methicillin resistance in S. aureus in association with phenotypic and genotypic resistance determinants. The improvement in current standards is essential to accurately detect methicillin-resistant Staphylococcus aureus in consideration of various resistance phenotypes and genotypes. The varied and distinctive expression patterns of antibiotic resistance-related genes were observed in S. aureus exposed to oxacillin and ciprofloxacin. It is worth noting the relationship between resistance phenotype and resistance genotype in terms of MIC values and expression of

  12. Isolation and Determination of Antibiotic Resistance Patterns in Nontyphoid Salmonella spp isolated from chicken

    Directory of Open Access Journals (Sweden)

    Seyyedeh Hoorieh Fallah

    2013-01-01

    Full Text Available Background: Salmonellosis is one of the most common food borne diseases in industrial and developing countries. In recent years, an increase in antimicrobial drug resistance, among non-typhoid Salmonella spp has been observed. Objectives: The aim of this study was to isolate and determine antibiotic resistance pattern in non-typhoid Salmonella spp. Materials and Methods: This descriptive study was done on 100 samples of chickens collected from 196 retail markets and was examined for the presence of Salmonella using standard bacteriological procedures and stereotyping kit. Antimicrobial susceptibility testing was performed by disk diffusion methods according to the National Committee for Clinical Laboratory Standards (CLSI. The data were analyzed by using the SPSS software version 18. Result: Forty- four percent of samples were contaminated with Salmonella infection and 56% didn’t have any contamination. The stereotyping results showed that 34 of 44 isolates of Salmonella belonged to Salmonella infantis (79.5 %, one strain (2.3% of group C and 8 strain (18.2% of group D. However, all these strains were sensitive to Cefotaxime and Ciprofloxacin, and 100% were resistant to Nalidixic acid, Tetracyclin and Sterptomycin. The most common resistance pattern (34.1% was towards six antibiotics, and 6.8% of strains were resistant to at least three antibiotics. Conclusion: High levels of resistance to antibiotics that are used commonly for human and poultry can be a warning for our community health and this information must be used to form important strategies for improvement of infection control.

  13. Determination of the influence of dispersion pattern of pesticide-resistant individuals on the reliability of resistance estimates using different sampling plans.

    Science.gov (United States)

    Shah, R; Worner, S P; Chapman, R B

    2012-10-01

    Pesticide resistance monitoring includes resistance detection and subsequent documentation/ measurement. Resistance detection would require at least one (≥1) resistant individual(s) to be present in a sample to initiate management strategies. Resistance documentation, on the other hand, would attempt to get an estimate of the entire population (≥90%) of the resistant individuals. A computer simulation model was used to compare the efficiency of simple random and systematic sampling plans to detect resistant individuals and to document their frequencies when the resistant individuals were randomly or patchily distributed. A patchy dispersion pattern of resistant individuals influenced the sampling efficiency of systematic sampling plans while the efficiency of random sampling was independent of such patchiness. When resistant individuals were randomly distributed, sample sizes required to detect at least one resistant individual (resistance detection) with a probability of 0.95 were 300 (1%) and 50 (10% and 20%); whereas, when resistant individuals were patchily distributed, using systematic sampling, sample sizes required for such detection were 6000 (1%), 600 (10%) and 300 (20%). Sample sizes of 900 and 400 would be required to detect ≥90% of resistant individuals (resistance documentation) with a probability of 0.95 when resistant individuals were randomly dispersed and present at a frequency of 10% and 20%, respectively; whereas, when resistant individuals were patchily distributed, using systematic sampling, a sample size of 3000 and 1500, respectively, was necessary. Small sample sizes either underestimated or overestimated the resistance frequency. A simple random sampling plan is, therefore, recommended for insecticide resistance detection and subsequent documentation.

  14. Genome-wide association analysis of oxidative stress resistance in Drosophila melanogaster.

    Directory of Open Access Journals (Sweden)

    Allison L Weber

    Full Text Available Aerobic organisms are susceptible to damage by reactive oxygen species. Oxidative stress resistance is a quantitative trait with population variation attributable to the interplay between genetic and environmental factors. Drosophila melanogaster provides an ideal system to study the genetics of variation for resistance to oxidative stress.We used 167 wild-derived inbred lines of the Drosophila Genetic Reference Panel for a genome-wide association study of acute oxidative stress resistance to two oxidizing agents, paraquat and menadione sodium bisulfite. We found significant genetic variation for both stressors. Single nucleotide polymorphisms (SNPs associated with variation in oxidative stress resistance were often sex-specific and agent-dependent, with a small subset common for both sexes or treatments. Associated SNPs had moderately large effects, with an inverse relationship between effect size and allele frequency. Linear models with up to 12 SNPs explained 67-79% and 56-66% of the phenotypic variance for resistance to paraquat and menadione sodium bisulfite, respectively. Many genes implicated were novel with no known role in oxidative stress resistance. Bioinformatics analyses revealed a cellular network comprising DNA metabolism and neuronal development, consistent with targets of oxidative stress-inducing agents. We confirmed associations of seven candidate genes associated with natural variation in oxidative stress resistance through mutational analysis.We identified novel candidate genes associated with variation in resistance to oxidative stress that have context-dependent effects. These results form the basis for future translational studies to identify oxidative stress susceptibility/resistance genes that are evolutionary conserved and might play a role in human disease.

  15. Use of GenoType® MTBDRplus assay to assess drug resistance and mutation patterns of multidrug-resistant tuberculosis isolates in northern India

    Directory of Open Access Journals (Sweden)

    A K Maurya

    2013-01-01

    Full Text Available Purpose: The emergence and spread of multidrug-resistant tuberculosis (MDR-TB is a major public health problem. The diagnosis of MDR-TB is of paramount importance in establishing appropriate clinical management and infection control measures. The aim of this study was to evaluate drug resistance and mutational patterns in clinical isolates MDR-TB by GenoType® MTBDRplus assay. Material and Methods: A total of 350 non-repeated sputum specimens were collected from highly suspected drug-resistant pulmonary tuberculosis (PTB cases; which were processed by microscopy, culture, differentiation and first line drug susceptibility testing (DST using BacT/ALERT 3D system. Results: Among a total of 125 mycobacterium tuberculosis complex (MTBC strains, readable results were obtained from 120 (96% strains by GenoType® MTBDRplus assay. Only 45 MDR-TB isolates were analysed for the performance, frequency and mutational patterns by GenoType® MTBDRplus assay. The sensitivity of the GenoType® MDRTBplus assay for detecting individual resistance to rifampicin (RIF, isoniazid (INH and multidrug resistance was found to be 95.8%, 96.3% and 97.7%, respectively. Mutation in codon S531L of the rpoB gene and codon S315T1 of katG genes were dominated in MDR-TB strains, respectively (P < 0.05. Conclusions: The GenoType® MTBDRplus assay is highly sensitive with short turnaround times and a rapid test for the detection of the most common mutations conferring resistance in MDR-TB strains that can readily be included in a routine laboratory workflow.

  16. Antibiotic Prescription, Organisms and its Resistance Pattern in Patients Admitted to Respiratory ICU with Respiratory Infection in Mysuru.

    Science.gov (United States)

    Mahendra, M; Jayaraj, B S; Lokesh, K S; Chaya, S K; Veerapaneni, Vivek Vardhan; Limaye, Sneha; Dhar, Raja; Swarnakar, Rajesh; Ambalkar, Shrikant; Mahesh, P A

    2018-04-01

    Respiratory infections account for significant morbidity, mortality and expenses to patients getting admitted to ICU. Antibiotic resistance is a major worldwide concern in ICU, including India. It is important to know the antibiotic prescribing pattern in ICU, organisms and its resistance pattern as there is sparse data on Indian ICUs. We conducted a prospective study from August 2015 to February 2016. All patients getting admitted to RICU with respiratory infection who were treated with antibiotics were included into study. Demographic details, comorbidities, Clinco-pathological score (CPI) on day1 and 2 of admission, duration of ICU admission, number of antibiotics used, antibiotic prescription, antimicrobial resistance pattern of patients were collected using APRISE questionnaire. During study period 352 patients were screened and 303 patients were included into study. Mean age was 56.05±16.37 and 190 (62.70%) were men. Most common diagnosis was Pneumonia (66%). Piperacillin-tazobactam was most common empirical antibiotic used. We found 60% resistance to piperacillin-tazobactam. Acinetobacter baumanii was the most common organism isolated (29.2%) and was highly resistant to Carbapenem (60%). Klebsiella pneumoniae was resistant to Amikacin (45%), piperacillin (55%) and Ceftazidime (50%). Piperacillin-tazobactam was the most common antibiotic prescribed to patients with respiratory infection admitted to ICU. More than half of patients (60%) had resistance to the empirical antibiotic used in our ICU, highlighting the need for antibiogram for each ICU. Thirty six percent of patient had prior antibiotic use and had mainly gram negative organisms with high resistance to commonly used antibiotics.

  17. [Microbiological characteristics and patterns of resistance in prosthetic joint infections in a referral hospital].

    Science.gov (United States)

    Ortega-Peña, Silvestre; Colín-Castro, Claudia; Hernández-Duran, Melissa; López-Jácome, Esaú; Franco-Cendejas, Rafael

    2015-01-01

    The prosthetic joint infection is the most feared and catastrophic complication for cause severe physical damage to patients and, generates high economic costs. To describe the microbiological characteristics and to determine the resistance pattern in prosthetic joint infections in a reference hospital in Mexico. Patients whose prosthetic devices were withdrawn due to suspicion of septic and aseptic loosening were included. Cultures were performed to identify microorganisms and susceptibility analysis. Of the 111 patients included, 55% were diagnosed with prosthetic joint infection, with the most frequent prosthesis being of the hip (43%). Positive cultures were obtained in 97% of the infected cases, of which 75% were monomicrobial infections. The most frequent bacterial species isolated were: Staphylococcus epidermidis (31%), Enterococcus faecalis (16%), Staphylococcus aureus (13%), and Escherichia coli (8%). The resistance patterns for the Staphylococcus genus were: oxacillin (79%), erythromycin (45%) and ciprofloxacin (37%). Enterococcus faecalis showed a high percentage of resistance to erythromycin and clindamycin (86%), and fluoroquinolones (43%). The large majority (86%) of Escherichia coli were extended spectrum beta-lactamases positive, in addition to having high resistance to fluoroquinolones (86%), trimethoprim/sulfamethoxazole (86%) and gentamicin (72%). The microbiological characteristics found in prosthetic joint infections vary according to the hospitals. In this series, a high proportion of coagulase-negative Staphylococci and Enterococcus spp. were found, as well as a high bacterial resistance. Copyright © 2015 Academia Mexicana de Cirugía A.C. Published by Masson Doyma México S.A. All rights reserved.

  18. Antimicrobial resistance patterns in community acquired urinary tract infections

    International Nuclear Information System (INIS)

    Gilani, S.Y.H; Ahmad, N.; Shah, S.R.A.

    2016-01-01

    Urinary tract infection (UTI) is the most frequent disease for which patients seek medical care. The antimicrobial agents causing UTI and their sensitivity patterns have remarkably changed throughout the world over the past few years. Hence, the present study was designed to explore the uropathogens and their susceptibility to various molecules in our region. Methods: This descriptive cross sectional study was conducted at Medical C Unit of Ayub Teaching Hospital, Abbottabad from January 2015 to January 2016. Patients with clinical features of UTI were evaluated using Urine R/E and Urine culture and sensitivity. Ten antibiotics were checked for susceptibility. Results were analysed using SPSS 17. Results: A total of 630 patients presented with urinary complaints. Of these, 236 patients had more than 8-10 pus cells on urine R/E. They were further evaluated using culture and sensitivity and positive culture was obtained in 75 patients. Of these 34 (45.3%) were males and 41 (54.7%) were females. E Coli was the predominant isolate being present in 49 (65.3%) patients. This was followed by Klebsiella in 9 (12%) patients. Tazobactam-piperacillin and cefoperazone-sulbactam were the most sensitive drugs having overall sensitivity of 96% and 93.3% respectively. The isolates were highly resistant to Fluoroquinolones 77.3% followed by Penicillins 72% and TMP-SMX 69.3%.Conclusion: Antibiotic sensitivity patterns have enormously changed over the past decade. Newer agents are quite efficacious but their use should be highly judicious to prevent the development of resistance to these molecules. (author)

  19. Transition of torque pattern in undulatory locomotion due to wave number variation in resistive force dominated media

    Science.gov (United States)

    Ding, Yang; Ming, Tingyu

    2016-11-01

    In undulatory locomotion, torque (bending moment) is required along the body to overcome the external forces from environments and bend the body. Previous observations on animals using less than two wavelengths on the body showed such torque has a single traveling wave pattern. Using resistive force theory model and considering the torque generated by external force in a resistive force dominated media, we found that as the wave number (number of wavelengths on the locomotor's body) increases from 0.5 to 1.8, the speed of the traveling wave of torque decreases. When the wave number increases to 2 and greater, the torque pattern transits from a single traveling wave to a two traveling waves and then a complex pattern that consists two wave-like patterns. By analyzing the force distribution and its contribution to the torque, we explain the speed decrease of the torque wave and the pattern transition. This research is partially supported by the Recruitment Program of Global Young Experts (China).

  20. The etiology of neonatal sepsis and patterns of antibiotic resistance

    International Nuclear Information System (INIS)

    Waheed, M.; Laeeq, A.; Maqbool, S.

    2003-01-01

    Objective: To study the patterns of causative bacteria and antibiotic resistance in neonatal sepsis. Results: Among 228 cases included in the study, the male to female ratio was 2.1 to 1. The gestational age was less than 36 weeks in 68 (30%) cases and low birth weight babies were 143 (62.6%). History of birth asphyxia was present in 103 (45%) cases. There were 142 (62.3%) cases of early onset ( 7 days). Out of 233 positive blood cultures Escherichia coli was found to be commonest (47.8%, n =111, p<0.05) both in early onset (47.8%, n=68, p <0.05) and late onset sepsis (47.3%,n=43, p<0.05). Staphylococcus aureus was the most common among gram positive organism. Resistance to cefotaxime, ceftazidime and amikacin was 34% to 80% and to ciprofloxacin 13% to 72%. A total of 64 cases (28%) died. Mortality was four times higher in early onset sespis. Conclusion: Gram negative bacteria are the commenst cause of neonatal sepsis. The resistance to the commonly used antibiotics is alarmingly high. Mortality is four times higher in early one set sepsis. (author)

  1. Occurrence of antibiotic resistant bacteria in dogs presented with ...

    African Journals Online (AJOL)

    Otitis is one of the most common infections in dogs. This has been associated with misuse of antibiotics thereby promoting the emergence of multi-resistant micro-organisms. This study was conducted to determine the occurrence and the antibiotic resistance pattern of bacterial pathogens associated with otitis in dogs ...

  2. Patterns of antimicrobial resistance in Streptococcus suis isolates from pigs with or without streptococcal disease in England between 2009 and 2014.

    Science.gov (United States)

    Hernandez-Garcia, Juan; Wang, Jinhong; Restif, Olivier; Holmes, Mark A; Mather, Alison E; Weinert, Lucy A; Wileman, Thomas M; Thomson, Jill R; Langford, Paul R; Wren, Brendan W; Rycroft, Andrew; Maskell, Duncan J; Tucker, Alexander W

    2017-08-01

    Antimicrobial resistance in Streptococcus suis, a global zoonotic pathogen of pigs, has been mostly studied only in diseased animals using surveys that have not evaluated changes over time. We compared patterns of resistance between S. suis isolates from clinical cases of disease (CC) and non-clinical case (NCC) pigs in England, collected over two discrete periods, 2009-2011 and 2013-2014. Minimum inhibitory concentrations (MIC) of 17 antimicrobials (nine classes) were determined on 405 S. suis isolates categorised by sampling period and disease association to assess changes in resistance over time and association with disease. First, isolates were characterized as resistant or susceptible using published clinical breakpoints. Second, epidemiological cut-offs (ECOFF) were derived from MIC values, and isolates classified as wild type (WT) below the ECOFF and non-wild type (NWT) above the ECOFF. Finally, isolate subsets were analysed for shifts in MIC distribution. NCC isolates were more resistant than CC isolates to cephalosporins, penams, pleuromutilins, potentiated sulphonamides and tetracyclines in both study periods. Resistance levels among CC isolates increased in 2013-2014 relative to 2009-2011 for antimicrobials including aminoglycosides, cephalosporins, fluoroquinolones, pleuromutilins, potentiated sulphonamides and tetracyclines. The prevalence of isolates categorised as NWT for five or more classes of antimicrobials was greater among NCC than CC isolates for both time periods, and increased with time. This study used standardised methods to identify significant shifts in antimicrobial resistance phenotypes of S. suis isolated from pigs in England, not only over time but also between isolates from known clinical cases or disease-free pigs. Copyright © 2017. Published by Elsevier B.V.

  3. A study of pattern recovery in recurrent correlation associative memories

    OpenAIRE

    Hancock, E.R.; Wilson, R.C.

    2003-01-01

    In this paper, we analyze the recurrent correlation associative memory (RCAM) model of Chiueh and Goodman. This is an associative memory in which stored binary memory patterns are recalled via an iterative update rule. The update of the individual pattern-bits is controlled by an excitation function, which takes as its arguement the inner product between the stored memory patterns and the input patterns. Our contribution is to analyze the dynamics of pattern recall when the input patterns are...

  4. Characterization of Phenacoccus solenopsis (Tinsley) (Homoptera: Pseudococcidae) Resistance to Emamectin Benzoate: Cross-Resistance Patterns and Fitness Cost Analysis.

    Science.gov (United States)

    Afzal, M B S; Shad, S A

    2016-06-01

    Cotton mealybug Phenacoccus solenopsis (Tinsley) (Homoptera: Pseudococcidae) is a sucking pest of worldwide importance causing huge losses by feeding upon cotton in various parts of the world. Because of the importance of this pest, this research was carried out to select emamectin resistance in P. solenopsis in the laboratory to study cross-resistance, stability, realized heritability, and fitness cost of emamectin resistance. After selection from third generation (G3) to G6, P. solenopsis developed very high emamectin resistance (159.24-fold) when compared to a susceptible unselected population (Unsel pop). Population selected to emamectin benzoate conferred moderate (45.81-fold), low (14.06-fold), and no cross-resistance with abamectin, cypermethrin, and profenofos, respectively compared to the Unsel pop. A significant decline in emamectin resistance was observed in the resistant population when not exposed to emamectin from G7 to G13. The estimated realized heritability (h (2)) for emamectin resistance was 0.84. A high fitness cost was associated with emamectin resistance in P. solenopsis. Results of this study may be helpful in devising insecticide resistance management strategies for P. solenopsis.

  5. Triatoma rubrovaria (Blanchard, 1843 (Hemiptera-Reduviidae-Triatominae III: patterns of feeding, defecation and resistance to starvation

    Directory of Open Access Journals (Sweden)

    Carlos Eduardo Almeida

    2003-04-01

    Full Text Available Data from the Chagas Disease Control Program indicate a growing domiciliary and peridomiciliary invasion of Triatoma rubrovaria in the State of Rio Grande do Sul, where it has become the most frequent triatomine species captured there since the control of T. infestans. Bionomic characteristics that could influence the vectorial capacity of T. rubrovaria as vector of Trypanosoma cruzi were evaluated: patterns of (i feeding, (ii defecation, and (iii resistance to starvation, using insects fed on mice. Fifty three percent of the females showed a defecation pattern conducive to chagasic transmission, defecating either on or near the bite site. The averages of the resistance to starvation varied from 48.1 to 179 days, for the first and fifth nymphal stages, respectively. Our study shows that with respect to the patterns of feeding, defecation and resistance to fasting, T. rubrovaria presented similar rates to the ones observed for other effective vector species, such as T. infestans. Thus, based on our studies we conclude that T. rubrovaria has biological characteristics that can positively influence its capacity to become infected and transmit T. cruzi, and also to keep residual populations after chemical control interventions.

  6. Association between Mycobacterium tuberculosis complex phylogenetic lineage and acquired drug resistance.

    Directory of Open Access Journals (Sweden)

    Courtney M Yuen

    Full Text Available BACKGROUND: Development of resistance to antituberculosis drugs during treatment (i.e., acquired resistance can lead to emergence of resistant strains and consequent poor clinical outcomes. However, it is unknown whether Mycobacterium tuberculosis complex species and lineage affects the likelihood of acquired resistance. METHODS: We analyzed data from the U.S. National Tuberculosis Surveillance System and National Tuberculosis Genotyping Service for tuberculosis cases during 2004-2011 with assigned species and lineage and both initial and final drug susceptibility test results. We determined univariate associations between species and lineage of Mycobacterium tuberculosis complex bacteria and acquired resistance to isoniazid, rifamycins, fluoroquinolones, and second-line injectables. We used Poisson regression with backward elimination to generate multivariable models for acquired resistance to isoniazid and rifamycins. RESULTS: M. bovis was independently associated with acquired resistance to isoniazid (adjusted prevalence ratio = 8.46, 95% CI 2.96-24.14 adjusting for HIV status, and with acquired resistance to rifamycins (adjusted prevalence ratio = 4.53, 95% CI 1.29-15.90 adjusting for homelessness, HIV status, initial resistance to isoniazid, site of disease, and administration of therapy. East Asian lineage was associated with acquired resistance to fluoroquinolones (prevalence ratio = 6.10, 95% CI 1.56-23.83. CONCLUSIONS: We found an association between mycobacterial species and lineage and acquired drug resistance using U.S. surveillance data. Prospective clinical studies are needed to determine the clinical significance of these findings, including whether rapid genotyping of isolates at the outset of treatment may benefit patient management.

  7. Antibiotic resistance pattern and empirical therapy for urinary tract infections in children

    International Nuclear Information System (INIS)

    Al-Harthi, Abdulla A.; Al-Fifi, Suliman H.

    2008-01-01

    Objective was to study the type of bacterial pathogen causing urinary tract infection in children at Aseer Central Hospital, southwestern Saudi Arabia and their antimicrobial resistance patterns. A retrospective study of all the urine cultures carried out on the children in the period from January 2003 to December 2006, for a total of 4 years were reviewed at the bacteriology laboratory, Aseer Central Hospital, southwestern region of Saudi Arabia. Their antimicrobial resistances as well as sensitivities were also analyzed. A total of 464 urine cultures were identified. Escherichia coli constitutes the most common pathogen isolated 37.3%, followed by Klebsiella 16.4% and Pseudomonas species 15.7%. In general, there was a significant increase in the resistance rates of different bacterial pathogens to different antibiotics. In spite of an increase in the resistance rates of bacterial pathogens causing UTI, ceftriaxone, imipenem and to some extent Azactam are appropriate for initial empirical intravenous therapy in UTI. In patients with uncomplicated UTI not requiring hospitalization, Nalidixic acid and Nitrofurantoin can be used as oral treatment. (author)

  8. Occurrence of Salmonella in ruminants and camel meat in Maiduguri, Nigeria and their antibiotic resistant pattern

    Directory of Open Access Journals (Sweden)

    Zakaria Musa

    2017-09-01

    Full Text Available Objective: This study was conducted to determine the occurrence of Salmonella in various meat products (beef from cattle, chevon from goats, mutton from sheep and jaziir from camel, by screening the various selling points which includes; meat retailers in abattoir, markets and shops in Maiduguri and its environs. Materials and methods: A total of 120 samples of fresh meat from cattle, sheep, goats and camels sampled from ten meat retailers in abattoir, markets and shops in the Maiduguri metropolis, using simple random sampling technique. All samples were processed and examined according to standard bacteriological protocols. Results: Percentage occurrence of Salmonella species had the highest value of 15 (50.1% from the market, found in sheep, while the lowest occurrence of Salmonella species was associated with 3(10.0% in goats sampled from shop meat.. Antibiotic susceptibility pattern of Salmonella species from cattle meat revealed high resistant to Erythromycin (52%. In sheep, the higher percentage of resistance occurred against Ampicillin (33.3% and less resistant to Amoxicillin (4% was obtained. The isolates from camel meat recorded 25% resistant against Ampicillin, Gentamycin and 12.5% to Streptomycin. A total of 28.4% of the isolates were resistant to Ampicillin, Gentamycin and 23.1% to Ofloxacin. Conclusion: The study has shown that Salmonella species are present in fresh meat sold in abattoir, retail markets and shops. We recommend strict hygienic measures in places where fresh meat are sold in Maiduguri metropolis, Nigeria to ensure consumers right to have safe food. [J Adv Vet Anim Res 2017; 4(3.000: 227-233

  9. Association between sociodemographics factors and dietary patterns during pregnancy

    Directory of Open Access Journals (Sweden)

    Maria Beatriz Trindade De Castro

    2014-04-01

    Full Text Available OBJECTIVE: To investigate the association between socio-demographic factors and dietary patterns in pregnancy. METHODS: Cross-sectional study with baseline data from a cohort of 421 postpartum women aged 18 and 45 years resident in Rio de Janeiro, Brazil. Dietary intake was evaluated with a validated food frequency questionnaire at 15 days following delivery, having as time frame the second and third pregnancy trimesters. Dietary patterns were identified using factor analysis for principal components analysis. The association between socio-demographic factors and the identified dietary patterns was assessed with multiple linear regression analysis. RESULTS: Two dietary patterns were identified: i healthy: fruits; green vegetables; vegetables; fish; roots, corn and potato; milk and dairy and herbal tea mate, and negatively loadings for alcohol and coffee and ii mixed: rice; bean; flour and pasta; breads; cake and cookies; soda and juice; sugar and sweets; fatty foods; meats; chicken; and eggs. The linear regression showed that the income (β=0.0002; 95%CI: 0.0002-0.0004 and schooling (β=0.0491; 95%CI: 0.0264-0.0718 were positively associated with healthy pattern, and parity (β=-0.1044; 95%CI: -0.1665- -0.0423 and skin color (β=-0.3102; 95%CI: -0.5256- -0.0947 were negatively associated. Skin color (β=0.1647; 95%CI: 0.0378- 0.2916 and marital status (β=0.1065; 95%CI: 0.0062- 0.2067 were positively associated with mixed pattern and income (β=-0.0001; 95%CI:-0.0002- -0.0001 and schooling (β=-0.0281; 95%CI: -0.0417- -0.0146 were negatively associated. CONCLUSION: Socio-demographic factors such as income, schooling, skin color, marital status and parity were associated with dietary patterns in this sample of postpartum women residents in Rio de Janeiro.

  10. Changes in Bacterial Resistance Patterns of Pediatric Urinary Tract Infections and Rationale for Empirical Antibiotic Therapy

    Directory of Open Access Journals (Sweden)

    İbrahim Gökçe

    2017-10-01

    Full Text Available Background: The causative agent spectrum and resistance patterns of urinary tract infections in children are affected by many factors. Aims: To demonstrate antibiotic resistance in urinary tract infections and changing ratio in antibiotic resistance by years. Study Design: Retrospective cross-sectional study. Methods: We analysed antibiotic resistance patterns of isolated Gram (- bacteria during the years 2011-2014 (study period 2 in children with urinary tract infections. We compared these findings with data collected in the same centre in 2001-2003 (study period 1. Results: Four hundred and sixty-five uncomplicated community-acquired Gram (- urinary tract infections were analysed from 2001-2003 and 400 from 2011-2014. Sixty-one percent of patients were female (1.5 girls : 1 boy. The mean age of children included in the study was 3 years and 9 months. Escherichia coli was the predominant bacteria isolated during both periods of the study (60% in study period 1 and 73% in study period 2. Bacteria other than E. coli demonstrated a higher level of resistance to all of the antimicrobials except trimethoprim-sulfamethoxazole than E. coli bacteria during the years 2011-2014. In our study, we found increasing resistance trends of urinary pathogens for cefixime (from 1% to 15%, p0.05. Conclusion: In childhood urinary tract infections, antibiotic resistance should be evaluated periodically and empiric antimicrobial therapy should be decided according to antibiotic sensitivity results

  11. GEAR: A database of Genomic Elements Associated with drug Resistance

    Science.gov (United States)

    Wang, Yin-Ying; Chen, Wei-Hua; Xiao, Pei-Pei; Xie, Wen-Bin; Luo, Qibin; Bork, Peer; Zhao, Xing-Ming

    2017-01-01

    Drug resistance is becoming a serious problem that leads to the failure of standard treatments, which is generally developed because of genetic mutations of certain molecules. Here, we present GEAR (A database of Genomic Elements Associated with drug Resistance) that aims to provide comprehensive information about genomic elements (including genes, single-nucleotide polymorphisms and microRNAs) that are responsible for drug resistance. Right now, GEAR contains 1631 associations between 201 human drugs and 758 genes, 106 associations between 29 human drugs and 66 miRNAs, and 44 associations between 17 human drugs and 22 SNPs. These relationships are firstly extracted from primary literature with text mining and then manually curated. The drug resistome deposited in GEAR provides insights into the genetic factors underlying drug resistance. In addition, new indications and potential drug combinations can be identified based on the resistome. The GEAR database can be freely accessed through http://gear.comp-sysbio.org. PMID:28294141

  12. Voluntary resistance running wheel activity pattern and skeletal muscle growth in rats.

    Science.gov (United States)

    Legerlotz, Kirsten; Elliott, Bradley; Guillemin, Bernard; Smith, Heather K

    2008-06-01

    The aims of this study were to characterize the pattern of voluntary activity of young rats in response to resistance loading on running wheels and to determine the effects of the activity on the growth of six limb skeletal muscles. Male Sprague-Dawley rats (4 weeks old) were housed individually with a resistance running wheel (R-RUN, n = 7) or a conventional free-spinning running wheel (F-RUN, n = 6) or without a wheel, as non-running control animals (CON, n = 6). The torque required to move the wheel in the R-RUN group was progressively increased, and the activity (velocity, distance and duration of each bout) of the two running wheel groups was recorded continuously for 45 days. The R-RUN group performed many more, shorter and faster bouts of running than the F-RUN group, yet the mean daily distance was not different between the F-RUN (1.3 +/- 0.2 km) and R-RUN group (1.4 +/- 0.6 km). Only the R-RUN resulted in a significantly (P RUN and R-RUN led to a significantly greater wet mass relative to increase in body mass and muscle fibre cross-sectional area in the soleus muscle compared with CON. We conclude that the pattern of voluntary activity on a resistance running wheel differs from that on a free-spinning running wheel and provides a suitable model to induce physiological muscle hypertrophy in rats.

  13. Dietary patterns associated with overweight among Brazilian adolescents.

    Science.gov (United States)

    Borges, Camila Aparecida; Marchioni, Dirce Maria Lobo; Levy, Renata Bertazzi; Slater, Betzabeth

    2018-04-01

    The present study aims to identify the dietary patterns of adolescents and associate these patterns with overweight. We analyzed food-consumption data from 6784 adolescents in the age group 10-18 years old collected in the Household Budget Survey 2008-2009. Dietary patterns were assessed through exploratory factor analysis. Logistic regression models were used in order to associate dietary patterns with overweight. Four dietary patterns were recorded: Traditional Brazilian Pattern, Snacks Pattern, Fast Food Pattern, and the Milk, Fruit and Cereal Breakfast Pattern. Results were adjusted according to the sociodemographic variables and showed that the higher the adherence to Snacks (OR: 1.50 fifth quintile vs first (95% CI: 1.13, 1.99) p linear trend <0.001) and Fast Food patterns (OR: 1.55 fifth quintile vs first (95% CI = 1.12, 2.12) p linear trend <0.001), the higher the chances of becoming overweight. These data indicate that the local public health and nutrition policies focused on adolescents should be more attentive to the eating habits of this population in Brazil, since the current research related regular poor nutritional quality dietary patterns to increased overweight among adolescents. In addition, understanding adolescents' eating habits according to their dietary patterns may guide the development of healthy dietary recommendations based on the combination between food and food groups, rather than only on nutrients or nutritional adequacy. Copyright © 2018 Elsevier Ltd. All rights reserved.

  14. Associations between meal patterns, binge eating, and weight for Latinas.

    Science.gov (United States)

    Cachelin, Fary M; Thomas, Colleen; Vela, Alyssa; Gil-Rivas, Virginia

    2017-01-01

    Establishing a regular pattern of eating is a core element of treatment for binge eating, yet no research to date has examined meal patterns of Latina women. Compare eating patterns of Latinas who binge eat and those who do not, and examine associations between meal patterns and binge episodes, associated distress and concerns, and body mass index (BMI). One-hundred fifty-five Latinas [65 Binge Eating Disorder (BED), 22 Bulimia Nervosa (BN), 68 with no eating disorder] were assessed with the Eating Disorder Examination. There were no significant differences in eating patterns between groups. Breakfast was the least and dinner the most consumed meal. For the BED group: greater frequency of lunch consumption was associated with higher BMI while more frequent evening snacking was associated with lower BMI and with less weight importance; more frequent breakfast consumption, mid-morning snack consumption and total meals were associated with greater distress regarding binge eating. For the BN group, evening snack frequency was associated with less dietary restriction and more weight and shape concern; total snack frequency was associated with more weight concern. Regular meal eaters reported more episodes of binge eating than those who did not eat meals regularly. Associations with meal patterns differed by eating disorder diagnosis. Study findings mostly are not consistent with results from prior research on primarily White women. CBT treatments may need to be tailored to address the association between binge eating and regular meal consumption for Latinas. Culturally, appropriate modifications that address traditional eating patterns should be considered. © 2016 Wiley Periodicals, Inc. (Int J Eat Disord 2017; 50:32-39). © 2016 Wiley Periodicals, Inc.

  15. Gut microbiota and cardiometabolic outcomes: influence of dietary patterns and their associated components.

    Science.gov (United States)

    Wong, Julia M W

    2014-07-01

    Many dietary patterns have been associated with cardiometabolic risk reduction. A commonality between these dietary patterns is the emphasis on plant-based foods. Studies in individuals who consume vegetarian and vegan diets have shown a reduced risk of cardiovascular events and incidence of diabetes. Plant-based dietary patterns may promote a more favorable gut microbial profile. Such diets are high in dietary fiber and fermentable substrate (ie, nondigestible or undigested carbohydrates), which are sources of metabolic fuel for gut microbial fermentation and, in turn, result in end products that may be used by the host (eg, short-chain fatty acids). These end products may have direct or indirect effects on modulating the health of their host. Modulation of the gut microbiota is an area of growing interest, and it has been suggested to have the potential to reduce risk factors associated with chronic diseases. Examples of dietary components that alter the gut microbial composition include prebiotics and resistant starches. Emerging evidence also suggests a potential link between interindividual differences in the gut microbiota and variations in physiology or predisposition to certain chronic disease risk factors. Alterations in the gut microbiota may also stimulate certain populations and may assist in biotransformation of bioactive components found in plant foods. Strategies to modify microbial communities may therefore provide a novel approach in the treatment and management of chronic diseases. © 2014 American Society for Nutrition.

  16. Investigation of fabrication process for sub 20-nm dense pattern of non-chemically amplified electron beam resist based on acrylic polymers

    Science.gov (United States)

    Ochiai, Shunsuke; Takayama, Tomohiro; Kishimura, Yukiko; Asada, Hironori; Sonoda, Manae; Iwakuma, Minako; Hoshino, Ryoichi

    2016-10-01

    In this study, we examine exposure characteristics of a positive tone electron beam resist consisting of methyl α- chloroacrylate and α-methylstyrene by changing the development process conditions. 25/25 nm and 30/30 nm line-andspace (L/S) patterns (design value) are developed in amyl and heptyl acetates. The resist patterns developed at 0ºC for 120 s show the better shapes having the vertical sidewalls than those developed at 22 °C for 60 s. The dose margins of pattern formation for 0°C development become wider, although the sensitivities are lower. The effect of post exposure baking (PEB) on exposure characteristics is also investigated. Adding PEB process performed at 120°C for 2 min, the dose margin also becomes wider although the sensitivity is lower. 20/20 nm L/S patterns are fabricated by using PEB and/or 0°C development. Though the required exposure dose is larger, the resist pattern is improved by PEB and/or 0°C development. The formation of 35 nm pitch pattern is also presented.

  17. Direct patterning of highly-conductive graphene@copper composites using copper naphthenate as a resist for graphene device applications.

    Science.gov (United States)

    Bi, Kaixi; Xiang, Quan; Chen, Yiqin; Shi, Huimin; Li, Zhiqin; Lin, Jun; Zhang, Yongzhe; Wan, Qiang; Zhang, Guanhua; Qin, Shiqiao; Zhang, Xueao; Duan, Huigao

    2017-11-09

    We report an electron-beam lithography process to directly fabricate graphene@copper composite patterns without involving metal deposition, lift-off and etching processes using copper naphthenate as a high-resolution negative-tone resist. As a commonly used industrial painting product, copper naphthenate is extremely cheap with a long shelf time but demonstrates an unexpected patterning resolution better than 10 nm. With appropriate annealing under a hydrogen atmosphere, the produced graphene@copper composite patterns show high conductivity of ∼400 S cm -1 . X-ray diffraction, conformal Raman spectroscopy and X-ray photoelectron spectroscopy were used to analyze the chemical composition of the final patterns. With the properties of high resolution and high conductivity, the patterned graphene@copper composites could be used as conductive pads and interconnects for graphene electronic devices with ohmic contacts. Compared to common fabrication processes involving metal evaporation and lift-off steps, this pattern-transfer-free fabrication process using copper naphthenate resist is direct and simple but allows comparable device performance in practical device applications.

  18. Dietary Patterns Are Associated with Stroke in Chinese Adults

    NARCIS (Netherlands)

    Li, Y.P.; He, Y.; Lai, J.Q.; Wang, D.; Zhang, J.; Fu, P.; Yang, X.G.; Qi, L.

    2011-01-01

    We recently featured Chinese dietary patterns that were associated with obesity, hyperglycemia, hypertension, and metabolic syndrome. In this study, we examined the association of those dietary patterns and risk of stroke among 26,276 Chinese adults aged >= 45 y by using data from the 2002 China

  19. Association of insulin resistance with obesity in children

    International Nuclear Information System (INIS)

    Siddiqui, S.A.; Bashir, S.; Shabbir, I.; Sherwani, M.K.; Aasim, M.

    2011-01-01

    Background: Insulin resistance is the primary metabolic disorder associated with obesity. Little is known about its role as a determinant of the metabolic syndrome in obese children. Objectives: To assess the association of insulin resistance with metabolic syndrome in obese and non obese children. Study type and settings: Cross sectional analytical study conducted among children of ten Municipal Corporation high schools of Data Ganj Buksh Town Lahore. Subjects and Methods: A total of 46 obese and 49 non obese children with consent were recruited for the study. Fasting blood glucose, serum insulin, high density lipoprotein in cholesterol, triglycerides, cholesterol, non HDL-cholesterol LDL-cholesterol were measured using standard methods. Data were analyzed by using statistical software SPSS-Version 15. Results: A total of 95 children 49 obese and 46 non obese were recruited for the study. A significant association of serum triglyceride(p<0.001), high density lipoprotein cholesterol(p<0.001), fasting blood glucose(p<0.001), and insulin levels (p<0.001) , was seen between the two groups. For each component of metabolic syndrome, when insulin resistance increased so did odds ratios for cardio metabolic risk factors. Conclusions: Insulin resistance was seen in 34.7% children. Metabolic syndrome was found in 31.6% children reflecting that obese children are at high risk for metabolic syndrome and have low HDL-cholesterol and high triglycerides levels. (author)

  20. Simulation of AZ-PN100 resist pattern fluctuation in X-ray lithography, including synchrotron beam polarization

    International Nuclear Information System (INIS)

    Scheckler, E.W.; Ogawa, Taro; Tanaka, Toshihiko; Takeda, Eiji; Oizumi, Hiroaki.

    1993-01-01

    A new simulation model for nanometer-scale pattern fluctuation in X-ray lithography is presented and applied to a study of AZ-PN100 negative chemical amplification resist. The exposure simulation considers polarized photons from a synchrotron radiation (SR) source. Monte Carlo simulation of Auger and photoelectron generation is followed by electron scattering simulation to determine the deposited energy distribution at the nanometer scale, including beam polarization effects. An acid-catalyst random walk model simulates the post-exposure bake (PEB) step. Fourier transform infrared (FTIR) spectroscopy and developed resist thickness measurements are used to fit PEB and rate models for AZ-PN100. A polymer removal model for development simulation predicts the macroscopic resist shape and pattern roughness. The simulated 3σ linewidth variation is in excess of 24 nm. Simulation also shows a detrimental effect if the beam polarization is perpendicular to the line. Simulation assuming a theoretical ideal exposure yields a 50 nm minimum line for standard process conditions. (author)

  1. Development of a High Slip-resistant Footwear Outsole Using a Hybrid Rubber Surface Pattern

    OpenAIRE

    YAMAGUCHI, Takeshi; HOKKIRIGAWA, Kazuo

    2014-01-01

    Abstract: The present study examined whether a new footwear outsole with tread blocks and a hybrid rubber surface pattern, composed of rough and smooth surfaces, could increase slip resistance and reduce the risk of fall while walking on a wet floor surface. A drag test was performed to measure static and dynamic coefficient of friction (SCOF and DCOF, respectively) values for the footwear with the hybrid rubber surface pattern outsole and two types of commercially available boots that are co...

  2. Changing patterns and widening of antibiotic resistance in Shigella spp. over a decade (2000-2011), Andaman Islands, India.

    Science.gov (United States)

    Bhattacharya, D; Bhattacharya, H; Sayi, D S; Bharadwaj, A P; Singhania, M; Sugunan, A P; Roy, S

    2015-02-01

    This study is a part of the surveillance study on childhood diarrhoea in the Andaman and Nicobar Islands; here we report the drug resistance pattern of recent isolates of Shigella spp. (2006-2011) obtained as part of that study and compare it with that of Shigella isolates obtained earlier during 2000-2005. During 2006-2011, stool samples from paediatric diarrhoea patients were collected and processed for isolation and identification of Shigella spp. Susceptibility to 22 antimicrobial drugs was tested and minimum inhibitory concentrations were determined for third-generation cephalosporins, quinolones, amoxicillin-clavulanic acid combinations and gentamicin. A wide spectrum of antibiotic resistance was observed in the Shigella strains obtained during 2006-2011. The proportions of resistant strains showed an increase from 2000-2005 to 2006-2011 in 20/22 antibiotics tested. The number of drug resistance patterns increased from 13 in 2000-2005 to 43 in 2006-2011. Resistance to newer generation fluoroquinolones, third-generation cephalosporins and augmentin, which was not observed during 2000-2005, appeared during 2006-2011. The frequency of resistance in Shigella isolates has increased substantially between 2000-2006 and 2006-2011, with a wide spectrum of resistance. At present, the option for antimicrobial therapy in shigellosis in Andaman is limited to a small number of drugs.

  3. Antibacterial susceptibility patterns and cross-resistance of methicillin resistant and sensitive Staphyloccus aureus isolated from the hospitalized patients in Shiraz, Iran

    Directory of Open Access Journals (Sweden)

    Aziz Japoni

    2010-10-01

    Full Text Available Nosocomial infections caused by methicillin-resistant staphylococci (MRSA pose a serious problem in many countries. This study aimed to determine the antibacterial susceptibility patterns of methicillin sensitive and resistant Staphylococcus aureus isolates from the hospitalized patients. Totally 356 isolates of Staphylococcus aureus (S. aureus including 200, 137 and 19 corresponding to MSSA, MRSA, and intermediate MRSA strains, respectively were isolated. Antibacterial susceptibility patterns of the isolates to 14 antibiotics were examined using Kirby-Bauer method. MICs of 15 antibiotics to 156 MRSA isolates were determined by E test method. Cross-resistances of MRSA isolates (137+19 to the other tested antibiotics were also determined. S.aureus with high frequencies were isolated from the blood, sputum and deep wound samples. All of 200 MSSA isolates were sensitive to oxacillin, vancomycin, tecoplanin, rifampin, linezolid, quinupristin/dalfopristin, mupirocin and fusidic acid. A gradient of reduced susceptibility of MSSA to cephalexin, co-trimoxazole, ciprofloxacin, clindamycin, tetracycline, erythromycin and gentamicin were evident. MRSA isolates were sensitive to vancomycin, tecoplanin, linezolid, quinupristin/dalfopristin, mupirocin and fusidic acid, while reduced susceptibility of them to rifampin, co-trimoxazole, clindamycin, cephalexin, tetracycline, ciprofloxacin, erythromycin and gentamicin were observed. MRSA isolates exhibited a high range of cross-resistance to the eight tested antibiotics. Overall, co-trimoxazole, ciprofloxacin, clindamycin, tetracycline, erythromycin and gentamicin showed low activity against MSSA and MRSA isolates which may indicate they are not suitable to be used in clinical practices. To preserve the effectiveness of antibiotics, rational prescription and concomitant application of preventive measures against the spread of MRSA are recommended.

  4. Transmission pattern of drug-resistant tuberculosis and its implication for tuberculosis control in eastern rural China.

    Directory of Open Access Journals (Sweden)

    Yi Hu

    Full Text Available OBJECTIVE: Transmission patterns of drug-resistant Mycobacterium tuberculosis (MTB may be influenced by differences in socio-demographics, local tuberculosis (TB endemicity and efficaciousness of TB control programs. This study aimed to investigate the impact of DOTS on the transmission of drug-resistant TB in eastern rural China. METHODS: We conducted a cross-sectional study of all patients diagnosed with drug-resistant TB over a one-year period in two rural Chinese counties with varying lengths of DOTS implementation. Counties included Deqing, with over 11 years' DOTS implementation and Guanyun, where DOTS was introduced 1 year prior to start of this study. We combined demographic, clinical and epidemiologic information with IS6110-based restricted fragment length polymorphism (RFLP and Spoligotyping analysis of MTB isolates. In addition, we conducted DNA sequencing of resistance determining regions to first-line anti-tuberculosis agents. RESULTS: Of the 223 drug-resistant isolates, 73(32.7% isolates were identified with clustered IS6110RFLP patterns. The clustering proportion among total drug-resistant TB was higher in Guanyun than Deqing (26/101.vs.47/122; p,0.04, but not significantly different among the 53 multidrug-resistant isolates (10/18.vs.24/35; p,0.35. Patients with cavitary had increased risk of clustering in both counties. In Guanyun, patients with positive smear test or previous treatment history had a higher clustering proportion. Beijing genotype and isolates resistant to isoniazid and/or rifampicin were more likely to be clustered. Of the 73 patients with clustered drug-resistant isolates, 71.2% lived in the same or neighboring villages. Epidemiological link (household and social contact was confirmed in 12.3% of the clustered isolates. CONCLUSION: Transmission of drug-resistant TB in eastern rural China is characterized by small clusters and limited geographic spread. Our observations highlight the need for supplementing DOTS

  5. Acinetobacter baumannii in Southern Croatia: clonal lineages, biofilm formation, and resistance patterns.

    Science.gov (United States)

    Kaliterna, Vanja; Kaliterna, Mariano; Hrenović, Jasna; Barišić, Zvonimir; Tonkić, Marija; Goic-Barisic, Ivana

    2015-01-01

    Acinetobacter baumannii is one of the most prevalent causes of severe hospital-acquired infections and is responsible for the dramatic increase in carbapenem resistance in Croatia in the last 5 years. Such data have encouraged multicenter research focused on the organism's ability to form biofilm, susceptibility to antibiotics, and particular genotype lineage. Biofilm formation in 109 unrelated clinical isolates of A. baumannii recovered in six cities of Southern Croatia was investigated. Genotyping was performed by pulsed-field gel electrophoresis and antibiotic profile was tested by applying the disc diffusion method and confirmed by determining the minimum inhibitory concentrations. The ability to form biofilm in vitro was determined from overnight cultures of the collected isolates on microtiter plates, after staining with crystal violet, and quantified at 570 nm after solubilization with ethanol. The statistical relevance was calculated in an appropriate program with level of statistical confidence. There was no significant difference in biofilm formation due to the genotype lineage. Isolates collected from intensive care units (ICUs) and isolated from respiratory samples were more likely to create a biofilm compared with isolates from other departments and other samples. There was a significant difference in the ability to produce biofilm in relation to antibiotic resistance pattern. A large proportion of A. baumannii isolates that were resistant to ampicillin/sulbactam, carbapenems, and amikacin were found to be biofilm-negative. In contrast, isolates susceptible and intermediately susceptible to ampicillin/sulbactam, carbapenems, and amikacin were biofilm producers. Clinical isolates of A. baumannii from respiratory samples in ICUs with a particular susceptibility pattern are more prone to form biofilm.

  6. HIV multi-drug resistance at first-line antiretroviral failure and subsequent virological response in Asia

    OpenAIRE

    Jiamsakul, Awachana; Sungkanuparph, Somnuek; Law, Matthew; Kantor, Rami; Praparattanapan, Jutarat; Li, Patrick CK; Phanuphak, Praphan; Merati, Tuti; Ratanasuwan, Winai; Lee, Christopher KC; Ditangco, Rossana; Mustafa, Mahiran; Singtoroj, Thida; Kiertiburanakul, Sasisopin

    2014-01-01

    Introduction: First-line antiretroviral therapy (ART) failure often results from the development of resistance-associated mutations (RAMs). Three patterns, including thymidine analogue mutations (TAMs), 69 Insertion (69Ins) and the Q151M complex, are associated with resistance to multiple-nucleoside reverse transcriptase inhibitors (NRTIs) and may compromise treatment options for second-line ART. Methods: We investigated patterns and factors associated with multi-NRTI RAMs at first-line failu...

  7. Frequency of isolation and antibiotic resistance patterns of bacterial isolates from wound infections

    Directory of Open Access Journals (Sweden)

    Stojanović-Radić, Z.

    2016-12-01

    Full Text Available Six hundred and thirteen bacterial strains were isolated from wound swabs and the isolates were identified on the basis of growth on differential and selective media. In order to test the sensitivity of isolated strains to different antibiotics, the disc diffusion method, according to EUCAST protocol v 5.0 was used. The most common species isolated from wound swabs was Staphylococcus epidermidis (18.4%, followed by Staphylococcus aureus, Pseudomonas aeruginosa and Enterococcus faecalis (16.8%, 12.7% and 10.4%, respectively. The maximum resistance of Gram-positive cocci was observed to penicillin and the lowest to linezolid. Gram-negative bacteria showed the highest resistance to tetracyclines, while the same strains demonstrated the highest sensitivity to polypeptide antibiotics. Comparison of the resistance patterns of Gramnegative and Gram-positive bacterial strains showed significant difference in the tetracycline efficiency.

  8. A Genome-Wide Association Study Reveals Genes Associated with Fusarium Ear Rot Resistance in a Maize Core Diversity Panel

    Science.gov (United States)

    Zila, Charles T.; Samayoa, L. Fernando; Santiago, Rogelio; Butrón, Ana; Holland, James B.

    2013-01-01

    Fusarium ear rot is a common disease of maize that affects food and feed quality globally. Resistance to the disease is highly quantitative, and maize breeders have difficulty incorporating polygenic resistance alleles from unadapted donor sources into elite breeding populations without having a negative impact on agronomic performance. Identification of specific allele variants contributing to improved resistance may be useful to breeders by allowing selection of resistance alleles in coupling phase linkage with favorable agronomic characteristics. We report the results of a genome-wide association study to detect allele variants associated with increased resistance to Fusarium ear rot in a maize core diversity panel of 267 inbred lines evaluated in two sets of environments. We performed association tests with 47,445 single-nucleotide polymorphisms (SNPs) while controlling for background genomic relationships with a mixed model and identified three marker loci significantly associated with disease resistance in at least one subset of environments. Each associated SNP locus had relatively small additive effects on disease resistance (±1.1% on a 0–100% scale), but nevertheless were associated with 3 to 12% of the genotypic variation within or across environment subsets. Two of three identified SNPs colocalized with genes that have been implicated with programmed cell death. An analysis of associated allele frequencies within the major maize subpopulations revealed enrichment for resistance alleles in the tropical/subtropical and popcorn subpopulations compared with other temperate breeding pools. PMID:24048647

  9. The Association Between IGF-I and Insulin Resistance

    DEFF Research Database (Denmark)

    Friedrich, Nele; Thuesen, Betina; Jørgensen, Torben

    2012-01-01

    OBJECTIVEIGF-I has an almost 50% amino acid sequence homology with insulin and elicits nearly the same hypoglycemic response. Studies showed that low and high IGF-I levels are related to impaired glucose tolerance and to a higher risk of type 2 diabetes. The aim of the current study was to evaluate...... the association between IGF-I level and insulin resistance in a Danish general population.RESEARCH DESIGN AND METHODSIncluded were 3,354 adults, aged 19-72 years, from the cross-sectional Health2006 study. The homeostasis model assessment of insulin resistance (HOMA-IR) was used as the index to estimate insulin...... with intermediate (Q3) IGF-I levels. These associations remained statistically significant after the exclusion of subjects with type 2 diabetes and by using the updated computer HOMA2-IR model.CONCLUSIONSLow- and high-normal IGF-I levels are both related to insulin resistance. The biological mechanism...

  10. Human Activity Determines the Presence of Integron-Associated and Antibiotic Resistance Genes in Southwestern British Columbia

    Directory of Open Access Journals (Sweden)

    Miguel I. Uyaguari-Díaz

    2018-05-01

    Full Text Available The dissemination of antibiotic resistant bacteria from anthropogenic sources into the environment poses an emerging public health threat. Antibiotic resistance genes (ARGs and gene-capturing systems such as integron-associated integrase genes (intI play a key role in alterations of microbial communities and the spread of antibiotic resistant bacteria into the environment. In order to assess the effect of anthropogenic activities on watersheds in southwestern British Columbia, the presence of putative antibiotic resistance and integrase genes was analyzed in the microbiome of agricultural, urban influenced, and protected watersheds. A metagenomics approach and high-throughput quantitative PCR (HT qPCR were used to screen for elements of resistance including ARGs and intI. Metagenomic sequencing of bacterial genomic DNA was used to characterize the resistome of microbial communities present in watersheds over a 1-year period. There was a low prevalence of ARGs relative to the microbial population (<1%. Analysis of the metagenomic sequences detected a total of 60 elements of resistance including 46 ARGs, intI1, and groEL/intI1 genes and 12 quaternary ammonium compounds (qac resistance genes across all watershed locations. The relative abundance and richness of ARGs was found to be highest in agriculture impacted watersheds compared to urban and protected watersheds. A downstream transport pattern was observed in the impacted watersheds (urban and agricultural during dry months. Similar to other reports, this study found a strong association between intI1 and ARGs (e.g., sul1, an association which may be used as a proxy for anthropogenic activities. Chemical analysis of water samples for three major groups of antibiotics was below the detection limit. However, the high richness and gene copy numbers (GCNs of ARGs in impacted sites suggest that the effects of effluents on microbial communities are occurring even at low concentrations of

  11. Human Activity Determines the Presence of Integron-Associated and Antibiotic Resistance Genes in Southwestern British Columbia.

    Science.gov (United States)

    Uyaguari-Díaz, Miguel I; Croxen, Matthew A; Luo, Zhiyao; Cronin, Kirby I; Chan, Michael; Baticados, Waren N; Nesbitt, Matthew J; Li, Shaorong; Miller, Kristina M; Dooley, Damion; Hsiao, William; Isaac-Renton, Judith L; Tang, Patrick; Prystajecky, Natalie

    2018-01-01

    The dissemination of antibiotic resistant bacteria from anthropogenic sources into the environment poses an emerging public health threat. Antibiotic resistance genes (ARGs) and gene-capturing systems such as integron-associated integrase genes ( intI ) play a key role in alterations of microbial communities and the spread of antibiotic resistant bacteria into the environment. In order to assess the effect of anthropogenic activities on watersheds in southwestern British Columbia, the presence of putative antibiotic resistance and integrase genes was analyzed in the microbiome of agricultural, urban influenced, and protected watersheds. A metagenomics approach and high-throughput quantitative PCR (HT qPCR) were used to screen for elements of resistance including ARGs and intI . Metagenomic sequencing of bacterial genomic DNA was used to characterize the resistome of microbial communities present in watersheds over a 1-year period. There was a low prevalence of ARGs relative to the microbial population (<1%). Analysis of the metagenomic sequences detected a total of 60 elements of resistance including 46 ARGs, intI1 , and groEL/ intI1 genes and 12 quaternary ammonium compounds ( qac ) resistance genes across all watershed locations. The relative abundance and richness of ARGs was found to be highest in agriculture impacted watersheds compared to urban and protected watersheds. A downstream transport pattern was observed in the impacted watersheds (urban and agricultural) during dry months. Similar to other reports, this study found a strong association between intI1 and ARGs (e.g., sul1 ), an association which may be used as a proxy for anthropogenic activities. Chemical analysis of water samples for three major groups of antibiotics was below the detection limit. However, the high richness and gene copy numbers (GCNs) of ARGs in impacted sites suggest that the effects of effluents on microbial communities are occurring even at low concentrations of antimicrobials

  12. A Three-Year Follow-Up Study of Antibiotic and Metal Residues, Antibiotic Resistance and Resistance Genes, Focusing on Kshipra-A River Associated with Holy Religious Mass-Bathing in India: Protocol Paper.

    Science.gov (United States)

    Diwan, Vishal; Purohit, Manju; Chandran, Salesh; Parashar, Vivek; Shah, Harshada; Mahadik, Vijay K; Stålsby Lundborg, Cecilia; Tamhankar, Ashok J

    2017-05-29

    Antibiotic resistance (ABR) is one of the major health emergencies for global society. Little is known about the ABR of environmental bacteria and therefore it is important to understand ABR reservoirs in the environment and their potential impact on health. Quantitative and qualitative data will be collected during a 3-year follow-up study of a river associated with religious mass-bathing in Central India. Surface-water and sediment samples will be collected from seven locations at regular intervals for 3 years during religious mass-bathing and in absence of it to monitor water-quality, antibiotic residues, resistant bacteria, antibiotic resistance genes and metals. Approval has been obtained from the Ethics Committee of R.D. Gardi Medical College, Ujjain, India (No. 2013/07/17-311). The results will address the issue of antibiotic residues and antibiotic resistance with a focus on a river environment in India within a typical socio-behavioural context of religious mass-bathing. It will enhance our understanding about the relationship between antibiotic residue levels, water-quality, heavy metals and antibiotic resistance patterns in Escherichia coli isolated from river-water and sediment, and seasonal differences that are associated with religious mass-bathing. We will also document, identify and clarify the genetic differences/similarities relating to phenotypic antibiotic resistance in bacteria in rivers during religious mass-bathing or during periods when there is no mass-bathing.

  13. Concurrent infectious mononucleosis and community-associated methicillin-resistant Staphylococcus aureus bacteremia.

    Science.gov (United States)

    Wang, Li Jun; Du, Xiao Qin; Nyirimigabo, Eric; Shou, Song Tao

    2014-04-01

    It is rare to see a concurrent infection with infectious mononucleosis and community-associated methicillin-resistant Staphylococcus aureus in Tianjin, China. Until now, there is still no any single recorded case of concurrent infectious mononucleosis and community-associated methicillin-resistant Staphylococcus aureus bacteremia.

  14. Methicillin resistant staphylococci associated with bovine mastitis and their zoonotic importance

    Directory of Open Access Journals (Sweden)

    S. Vishnupriya

    2014-06-01

    Full Text Available Aim: The present study was conducted to determine the zoonotic importance of methicillin resistant staphylococci associated with bovine mastitis and their potential role in transmission to animal handlers. Materials and Methods: A total of 158 milk samples from bovine mastitis cases and 126 nasal swabs from the animal handlers were sampled in and around Pondicherry (Southern India. The Presence of Staphylococcal organism was confirmed by PCR amplification using the genus specific primers and among the isolated Staphylococci; methicillin resistance was identified by genetic amplification of mec A methicillin resistant gene. Then the amplified gene from the bacteria expressing the mecA gene (PBP2a (~2kb fragment was further sequenced using four sets of primer pairs and aligned for determining their genetic relatedness between the sequences. Both phenotypic and genotypic analysis was carried out for the six MRS isolates (three bovine and three human in this study. Results: Out of 158 mastitis milk samples; 96 and 19 bovine isolates were found to be positive for Staphylococcal genus specific PCR and methicillin resistant (mecA gene PCR, respectively. Similarly, Out of 126 human nasal swabs, 64 and 13 human isolates were found to be positive for Staphylococcal genus specific PCR and mec A gene PCR, respectively. Among the 160 staphylococcal isolates (Bovine and Human origin; 51 were identified as coagulase-positive staphylococci (CPS and remaining as coagulase-negative staphylococci (CONS. The results obtained in this study revealed the presence of many species of Staphylococci but the predominant species were Staphylococcus aureus and S. epidermidis. The Sequence analysis of the mec A gene of human isolates obtained in this study had a maximum identity (99% -100% with the bovine isolates. Conclusion: The phenotypic and genotypic analysis carried out for the six MRS (Methicillin Resistant Staphylococci isolates in this study were indistinguishable

  15. Intensive care antibiotic consumption and resistance patterns: a cross-correlation analysis

    Directory of Open Access Journals (Sweden)

    Luminita Baditoiu

    2017-11-01

    Full Text Available Abstract Background Over recent decades, a dramatic increase in infections caused by multidrug-resistant pathogens has been observed worldwide. The aim of the present study was to investigate the relationship between local resistance bacterial patterns and antibiotic consumption in an intensive care unit in a Romanian university hospital. Methods A prospective study was conducted between 1st January 2012 and 31st December 2013. Data covering the consumption of antibacterial drugs and the incidence density for the main resistance phenotypes was collected on a monthly basis, and this data was aggregated quarterly. The relationship between the antibiotic consumption and resistance was investigated using cross-correlation, and four regression models were constructed, using the SPSS version 20.0 (IBM, Chicago, IL and the R version 3.2.3 packages. Results During the period studied, the incidence of combined-resistant and carbapenem-resistant P. aeruginosa strains increased significantly [(gradient = 0.78, R2 = 0.707, p = 0.009 (gradient = 0.74, R2 = 0.666, p = 0.013 respectively], mirroring the increase in consumption of β-lactam antibiotics with β-lactamase inhibitors (piperacillin/tazobactam and carbapenems (meropenem [(gradient = 10.91, R2 = 0.698, p = 0.010 and (gradient = 14.63, R2 = 0.753, p = 0.005 respectively]. The highest cross-correlation coefficients for zero time lags were found between combined-resistant vs. penicillins consumption and carbapenem-resistant P. aeruginosa strains vs. carbapenems consumption (0.876 and 0.928, respectively. The best model describing the relation between combined-resistant P. aeruginosa strains and penicillins consumption during a given quarter incorporates both the consumption and the incidence of combined-resistant strains in the hospital department during the previous quarter (multiple R2 = 0.953, p = 0.017. The best model for explaining the carbapenem resistance of P

  16. Trends of anti-tuberculosis drug resistance pattern in new cases and previously treated cases of extrapulmonary tuberculosis cases in referral hospitals in northern India

    Directory of Open Access Journals (Sweden)

    A K Maurya

    2012-01-01

    Full Text Available Background: Drug-resistant tuberculosis is one of major current challenges to global public health. The transmission of resistant strains is increasing as a burden of multidrug-resistant tuberculosis (MDR-TB patients in extra pulmonary tuberculosis (EPTB cases in India. Aim and Objectives: The aim was to study trends of anti-tuberculosis drug resistance pattern in new cases and previously treated cases of EPTB in referral hospitals in northern India. Study Design and Setting: A prospectively observational study and referral medical institutions in northern India. Materials and Methods: All EPTB specimens were processed for Ziehl Neelsen staining, BACTEC culture and BACTEC NAP test for Mycobacterium tuberculosis complex. All M. tuberculosis complex isolates were performed for radiometric-based drug susceptibility pattern against streptomycin, isoniazid, rifampicin and ethambutol using the 1% proportion method. Results: We found that 165/756 (20.5% isolates were identified as M. tuberculosis complex by the NAP test. We observed that 39.9% were resistant to first-line antitubercular drugs. The resistance rate was higher in previously treated patients: H (30.3%, R (16.3%, E (15.7% and S (16.3%. MDR-TB was observed in 13.4%, but, in new cases, this was 11.4% and 19.1% of the previously treated patients (P<0.05. Conclusion: MDR-TB is gradually increased in EPTB cases and predominant resistance to previous treated cases of EPTB. The molecular drug sensitivity test (DST method can be an early decision for chemotherapy in MDR-TB patients. The International Standards of TB Care need to be used by the RNTCP and professional medical associations as a tool to improve TB care in the country.

  17. Association of hydrogen peroxide with restriction of ¤Septoria tritici¤ in resistant wheat

    DEFF Research Database (Denmark)

    Shetty, N.P.; Kristensen, B.K.; Newman, M.-A.

    2003-01-01

    increased in Stakado. The peroxidase activity pattern and transcript accumulation profile suggest a role for peroxidase in resistance, probably in cell wall cross-linking. Accumulation patterns of the gene transcript of a catalase and the total catalase enzyme activity suggest roles for catalase synthesis...

  18. The Effect of mechanical resistive loading on optimal respiratory signals and breathing patterns under added dead space and CO2 breathing

    Directory of Open Access Journals (Sweden)

    Lin Shyan-Lung

    2016-01-01

    Full Text Available Current study aims to investigate how the respiratory resistive loading affects the behaviour of the optimal chemical-mechanical respiratory control model, the respiratory signals and breathing pattern are optimized under external dead space loading and CO2 breathing. The respiratory control was modelled to include a neuro-muscular drive as the control output to derive the waveshapes of instantaneous airflow, lung volume profiles, and breathing pattern, including total/alveolar ventilation, breathing frequency, tidal volume, inspiratory/expiratory duration, duty cycle, and arterial CO2 pressure. The simulations were performed under various respiratory resistive loads, including no load, inspiratory resistive load, expiratory resistive load, and continuous resistive load. The dead space measurement was described with Gray’s derivation, and simulation results were studied and compared with experimental findings.

  19. Pattern of secondary acquired drug resistance to antituberculosis drug in Mumbai, India--1991-1995.

    Science.gov (United States)

    Chowgule, R V; Deodhar, L

    1998-01-01

    A retrospective observational study was conducted to find out whether secondary acquired drug resistance to isoniazid and ethambutol is high and to rifamycin and pyrazinamide is low, as is commonly believed in India. There were 2033 patients, whose sputum samples (6099) were reviewed from a specimen registry of the microbiology laboratory for the years 1991 to 1995. Of these, 521 (25.6%) patients [335 males and 186 females; age ranged from 11 to 75 years] had sputum positive culture and sensitivity for acid-fast bacilli (AFB). The drug resistance patterns in our study were: isoniazid (H) 15%, rifamycin (R) 66.8%, pyrazinamide (Z) 72.2%, ethambutol (E) 8.4%, streptomycin (S) 53.6%, cycloserine (C) 39.2% kanamycin (K) 25.1% and ethionamide (Eth) 65.3%. The resistance to streptomycin showed a significant fall over a year while there was a rise in resistance to cycloserine and kanamycin which is significant. The rate of secondary acquired resistance of isoniazid and ethambutol was low, and the rate of secondary acquired resistance to rifamycin and pyrazinamide was high, which is contarary to the common belief regarding these drugs in India. This implies that isoniazid is still a valuable drug in the treatment of multidrug resistance in India.

  20. Persistence of nasal colonization with human pathogenic bacteria and associated antimicrobial resistance in the German general population

    Directory of Open Access Journals (Sweden)

    R. Köck

    2016-01-01

    Full Text Available The nares represent an important bacterial reservoir for endogenous infections. This study aimed to assess the prevalence of nasal colonization by different important pathogens, the associated antimicrobial susceptibility and risk factors. We performed a prospective cohort study among 1878 nonhospitalized volunteers recruited from the general population in Germany. Participants provided nasal swabs at three time points (each separated by 4–6 months. Staphylococcus aureus, Enterobacteriaceae and important nonfermenters were cultured and subjected to susceptibility testing. Factors potentially influencing bacterial colonization patterns were assessed. The overall prevalence of S. aureus, Enterobacteriaceae and nonfermenters was 41.0, 33.4 and 3.7%, respectively. Thirteen participants (0.7% were colonized with methicillin-resistant S. aureus. Enterobacteriaceae were mostly (>99% susceptible against ciprofloxacin and carbapenems (100%. Extended-spectrum β-lactamase–producing isolates were not detected among Klebsiella oxytoca, Klebsiella pneumoniae and Escherichia coli. Several lifestyle- and health-related factors (e.g. household size, travel, livestock density of the residential area or occupational livestock contact, atopic dermatitis, antidepressant or anti-infective drugs were associated with colonization by different microorganisms. This study unexpectedly demonstrated high nasal colonization rates with Enterobacteriaceae in the German general population, but rates of antibiotic resistance were low. Methicillin-resistant S. aureus carriage was rare but highly associated with occupational livestock contact.

  1. Associations between Antimicrobial Resistance Phenotypes, Antimicrobial Resistance Genes, and Virulence Genes of Fecal Escherichia coli Isolates from Healthy Grow-Finish Pigs ▿

    OpenAIRE

    Rosengren, Leigh B.; Waldner, Cheryl L.; Reid-Smith, Richard J.

    2009-01-01

    Escherichia coli often carries linked antimicrobial resistance genes on transmissible genetic elements. Through coselection, antimicrobial use may select for unrelated but linked resistance or virulence genes. This study used unconditional statistical associations to investigate the relationships between antimicrobial resistance phenotypes and antimicrobial resistance genes in 151 E. coli isolates from healthy pigs. Phenotypic resistance to each drug was significantly associated with phenotyp...

  2. Surveillance for Travel and Domestically Acquired Multidrug-Resistant Human Shigella Infections-Pennsylvania, 2006-2014.

    Science.gov (United States)

    Li, Yu Lung; Tewari, Deepanker; Yealy, Courtney C; Fardig, David; M'ikanatha, Nkuchia M

    2016-01-01

    Shigellosis is a leading cause of enteric infections in the United States. We compared antimicrobial resistance in Shigella infections related to overseas travel (travel-associated) and in those acquired domestically by analyzing antimicrobial resistance patterns, geographic distributions, and pulsed-field gel electrophoresis (PFGE) patterns. We tested samples (n = 204) from a collection of isolates recovered from patients in Pennsylvania between 2006 and 2014. Isolates were grouped into travel- and non-travel-associated categories. Eighty-one (79.4%) of the Shigella isolates acquired during international travel were resistant to multiple antibiotics compared to 53 (52.1%) of the infections transmitted in domestic settings. A majority (79.4%) of isolates associated with international travel demonstrated resistance to aminoglycosides and tetracyclines, whereas 47 (46.1%) of the infections acquired domestically were resistant to tetracycline. Almost all isolates (92.2%) transmitted in domestic settings were resistant to aminoglycosides, and 5 isolates from adult male patients were resistant to azithromycin, a drug often used for empiric treatment of severe shigellosis. Twenty (19.6%) isolates associated with illnesses acquired during overseas travel in 4 countries were resistant to quinolones. One S. sonnei PFGE pattern was traced to a multidrug-resistant isolate acquired overseas that had caused a multistate outbreak of shigellosis, suggesting global dissemination of a drug-resistant species. Resistance to certain drugs-for example, tetracycline-increased in both overseas- and domestic-acquired infections during the study period. The prevalence of resistance to macrolides (azithromycin) and third-generation cephalosporins (ceftriaxone) was less than 1%; however, efforts to better monitor changes in drug resistance over time combined with increased antimicrobial stewardship are essential at the local, national, and global levels.

  3. A short leucocyte telomere length is associated with development of insulin resistance

    DEFF Research Database (Denmark)

    Verhulst, Simon; Dalgård, Christine; Labat, Carlos

    2016-01-01

    AIMS/HYPOTHESIS: A number of studies have shown that leucocyte telomere length (LTL) is inversely associated with insulin resistance and type 2 diabetes mellitus. The aim of the present longitudinal cohort study, utilising a twin design, was to assess whether shorter LTL predicts insulin resistance...... and insulin resistance over an average of 12 years were performed in a subset of the Registry consisting of 338 (184 monozygotic and 154 dizygotic) same-sex twin pairs. RESULTS: Age at baseline examination was 37.4 ± 9.6 (mean ± SD) years. Baseline insulin resistance was not associated with age......-dependent changes in LTL (attrition) over the follow-up period, whereas baseline LTL was associated with changes in insulin resistance during this period. The shorter the LTL at baseline, the more pronounced was the increase in insulin resistance over the follow-up period (p 

  4. Inheritance patterns and identification of microsatellite markers linked to the rice blast resistance in BC2F1 population of rice breeding

    Directory of Open Access Journals (Sweden)

    Gous Miah

    2015-03-01

    Full Text Available The BC2F1 population was derived from a cross between rice variety, MR219 (susceptible to blast and Pongsu Seribu 1 (resistant to blast. The objectives of this research were to know the inheritance pattern of blast resistance and to identify the linked markers associated with blast resistance in BC2F1 population. Sixteen microsatellite markers were found as polymorphic between the parents related to blast resistant genes (Pi-genes. Among the selected blast resistant linked markers, two markers RM6836 and RM8225 showed expected testcross ratio (1:1 for single-gene model in the BC2F1 population with the association between resistant and susceptible progeny. A total of 333-BC2F1 plants were challenged with the most virulent pathotype P7.2 of Magnaporthe oryzae. Chi-square (χ2 analysis for phenotypic segregation in single-gene model showed goodness of fit (P = 0.4463 to the expected segregation ratio (1:1. In marker segregation analysis, two polymorphic markers (RM6836 and RM8225 clearly showed goodness of fit to the expected segregation testcross ratio (1:1 for the single-gene model. The marker RM8225 and RM6836 showed significant R2 values higher than 10 for the trait of the blast lesions degree (BLD. The positions of RM6836 and RM8225 markers on rice chromosome 6 and the distance between these two markers is 0.2 cM. We conclude that single dominant gene control the blast resistance in Pongsu Seribu 1 located on chromosome 6, which is linked to RM8225 and RM6836 microsatellite markers. This information could be useful in marker-assisted selection for blast resistance in rice breeding involving Pongsu Seribu 1.

  5. Curriculum enactment patterns and associated factors from teachers' perspectives

    Science.gov (United States)

    Son, Ji-Won; Kim, Ok-Kyeong

    2016-12-01

    As part of a larger effort to improve teacher capacity for high-quality mathematics instruction, we investigated the factors that are associated with different enactment patterns at three levels: contextual (e.g., type and quality of textbook), individual (e.g., teacher knowledge), and teachers' opportunity-to-learn (e.g., professional development experiences). Analysis of 183 teachers' self-reports on their practices revealed three notable findings. First, the factors at the three levels were all found to be significantly related to the different patterns of enacted curriculum. However, the use of quality textbooks and the alignment of teachers' views and instructional goals with curriculum goals were found to be the two factors that are most strongly associated with the enactment pattern of high-level problems and high-level teacher questions in instruction. Furthermore, teachers with the enactment pattern of increasing lower cognitive demand of problems into higher ones tended to rate their curriculum knowledge higher than teachers with the enactment pattern of using low-level problems and teacher questions in their teaching. In particular, deviation from and dissatisfaction with their assigned low-quality textbooks were found to be critical factors that are associated with the enactment pattern of increasing lower cognitive demands of problems in instruction.

  6. Adipocytokine Associations with Insulin Resistance in British South Asians

    Directory of Open Access Journals (Sweden)

    D. R. Webb

    2013-01-01

    Full Text Available Aims. Adipocytokines are implicated in the pathogenesis of type 2 diabetes and may represent identifiable precursors of metabolic disease within high-risk groups. We investigated adiponectin, leptin, and TNF-α and assessed the contribution of these molecules to insulin resistance in south Asians. Hypothesis. South Asians have adverse adipocytokine profiles which associate with an HOMA-derived insulin resistance phenotype. Methods. We measured adipocytokine concentrations in south Asians with newly diagnosed impaired glucose tolerance or Type 2 Diabetes Mellitus in a case-control study. 158 (48.5% males volunteers aged 25–75 years with risk factors for diabetes but no known vascular or metabolic disease provided serum samples for ELISA and bioplex assays. Results. Total adiponectin concentration progressively decreased across the glucose spectrum in both sexes. A reciprocal trend in leptin concentration was observed only in south Asian men. Adiponectin but not leptin independently associated with HOMA-derived insulin resistance after logistic multivariate regression. Conclusion. Diasporic south Asian populations have an adverse adipocytokine profile which deteriorates further with glucose dysregulation. Insulin resistance is inversely associated with adiponectin independent of BMI and waist circumference in south Asians, implying that adipocytokine interplay contributes to the pathogenesis of metabolic disease in this group.

  7. Association of RGA-SSCP markers with resistance to downy mildew and anthracnose in grapevines.

    Science.gov (United States)

    Tantasawat, P A; Poolsawat, O; Prajongjai, T; Chaowiset, W; Tharapreuksapong, A

    2012-07-02

    Downy mildew (Plasmopara viticola) and anthracnose (Sphaceloma ampelinum) are two major diseases that severely affect most grapevine (Vitis vinifera) cultivars grown commercially in Thailand. Progress of conventional breeding programs of grapevine for improved resistance to these diseases can be speeded up by selection of molecular markers associated with resistance traits. We evaluated the association between 13 resistance gene analog (RGA)-single-strand conformation polymorphism (SSCP) markers with resistance to downy mildew and anthracnose in 71 segregating progenies of seven cross combinations between susceptible cultivars and resistant lines. F(1) hybrids from each cross were assessed for resistance to downy mildew and anthracnose (isolates Nk4-1 and Rc2-1) under laboratory conditions. Association of resistance traits with RGA-SSCP markers was evaluated using simple linear regression analysis. Three RGA-SSCP markers were found to be significantly correlated with anthracnose resistance, whereas significant correlation with downy mildew resistance was observed for only one RGA-SSCP marker. These results demonstrate the usefulness of RGA-SSCP markers. Four candidate markers with significant associations to resistance to these two major diseases of grapevine were identified. However, these putative associations between markers and resistance need to be verified with larger segregating populations before they can be used for marker-assisted selection.

  8. Mask patterning process using the negative tone chemically amplified resist TOK OEBR-CAN024

    Science.gov (United States)

    Irmscher, Mathias; Beyer, Dirk; Butschke, Joerg; Hudek, Peter; Koepernik, Corinna; Plumhoff, Jason; Rausa, Emmanuel; Sato, Mitsuru; Voehringer, Peter

    2004-08-01

    Optimized process parameters using the TOK OEBR-CAN024 resist for high chrome load patterning have been determined. A tight linearity tolerance for opaque and clear features, independent on the local pattern density, was the goal of our process integration work. For this purpose we evaluated a new correction method taking into account electron scattering and process influences. The method is based on matching of measured pattern geometry by iterative back-simulation using multiple Gauss and/or exponential functions. The obtained control function acts as input for the proximity correction software PROXECCO. Approaches with different pattern oversize and two Cr thicknesses were accomplished and the results have been reported. Isolated opaque and clear lines could be realized in a very tight linearity range. The increasing line width of small dense lines, induced by the etching process, could be corrected only partially.

  9. Mechanisms of antimicrobial resistance among hospital-associated pathogens.

    Science.gov (United States)

    Khan, Ayesha; Miller, William R; Arias, Cesar A

    2018-04-01

    The introduction of antibiotics revolutionized medicine in the 20th-century permitting the treatment of once incurable infections. Widespread use of antibiotics, however, has led to the development of resistant organisms, particularly in the healthcare setting. Today, the clinician is often faced with pathogens carrying a cadre of resistance determinants that severely limit therapeutic options. The genetic plasticity of microbes allows them to adapt to stressors via genetic mutations, acquisition or sharing of genetic material and modulation of genetic expression leading to resistance to virtually any antimicrobial used in clinical practice. Areas covered: This is a comprehensive review that outlines major mechanisms of resistance in the most common hospital-associated pathogens including bacteria and fungi. Expert commentary: Understanding the genetic and biochemical mechanisms of such antimicrobial adaptation is crucial to tackling the rapid spread of resistance, can expose unconventional therapeutic targets to combat multidrug resistant pathogens and lead to more accurate prediction of antimicrobial susceptibility using rapid molecular diagnostics. Clinicians making treatment decisions based on the molecular basis of resistance may design therapeutic strategies that include de-escalation of broad spectrum antimicrobial usage, more focused therapies or combination therapies. These strategies are likely to improve patient outcomes and decrease the risk of resistance in hospital settings.

  10. Antibiotic Resistant Salmonella and Vibrio Associated with Farmed Litopenaeus vannamei

    Directory of Open Access Journals (Sweden)

    Sanjoy Banerjee

    2012-01-01

    Full Text Available Salmonella and Vibrio species were isolated and identified from Litopenaeus vannamei cultured in shrimp farms. Shrimp samples showed occurrence of 3.3% of Salmonella and 48.3% of Vibrio. The isolates were also screened for antibiotic resistance to oxolinic acid, sulphonamides, tetracycline, sulfamethoxazole/trimethoprim, norfloxacin, ampicillin, doxycycline hydrochloride, erythromycin, chloramphenicol, and nitrofurantoin. Salmonella enterica serovar Corvallis isolated from shrimp showed individual and multiple antibiotic resistance patterns. Five Vibrio species having individual and multiple antibiotic resistance were also identified. They were Vibrio cholerae (18.3%, V. mimicus (16.7%, V. parahaemolyticus (10%, V. vulnificus (6.7%, and V. alginolyticus (1.7%. Farm owners should be concerned about the presence of these pathogenic bacteria which also contributes to human health risk and should adopt best management practices for responsible aquaculture to ensure the quality of shrimp.

  11. In vitro ciprofloxacin resistance patterns of gram positive bacteria isolated from clinical specimens in a teaching hospital in Saudi Arabia

    International Nuclear Information System (INIS)

    Akhtar, N.; Alzahrani, A.; Obeid, O.El-Treify; Dassal, D.

    2009-01-01

    Over the last few decades the ever-increasing level of bacterial resistance to antimicrobials has been a cause of worldwide concern. Fluoroquinolones, particularly ciprofloxacin has been used indiscriminately for both gram-positive and gram-negative bacterial infections. The increased use of ciprofloxacin has led to a progressive loss of bacterial susceptibility to this antibiotic. Therefore it is necessary to have update knowledge of resistance pattern of bacteria to this antibiotic so that alternate appropriate antibiotics can be used for ciprofloxacin-resistant bacterial infections. Objective: To evaluate the trends of ciprofloxacin resistance pattern in commonly isolated gram positive bacteria over time in a Saudi Arabian teaching hospital. Methods: A retrospective analysis was carried out for ciprofloxacin susceptibility patterns of 5534 isolates of gram-positive bacteria isolated from clinical specimens submitted to microbiology laboratories at King Fahd Hospital of the University (KFHU), Al-Khobar, Saudi Arabia during the period from January 2002 to August 2005. Results: Increase in ciprofloxacin resistance rates with some fluctuations, among these isolates, were observed. For Staphylococcus aureus, it varied from 4.62, 1.83, 7.01 and 3.98%, methicillin resistant Staphylococcus aureus (MRSA) 97.92, 97.75, 87.01 and 88.26%, Streptococcus pyogenes 5.35, 4.47, 14.44 and 3.53% during the years 2002, 2003, 2004 and 2005 respectively. Cirprofloxacin resistance during the years 2002, 2004 and 2005 for other isolates was as follows: Streptococcus pneumoniae, 30.23, 23.02 and 26.47%; enterococcus group D, 43.05, 20.68 and 57.03% and non-enterococcus group D, 62.96, 76.92 and 87.50% respectively. Conclusion: Ciprofloxacin resistance in gram positive bacterial clinical isolates particularly Staphylococcus aureus, methicillin resistant Staphylococcus aureus (MRSA) enterococcus group D, and non-enterococcus group D, has greatly increased and ciprofloxacin no more remains

  12. Resistance to 1,25-dihydroxyvitamin D. Association with heterogeneous defects in cultured skin fibroblasts

    International Nuclear Information System (INIS)

    Liberman, U.A.; Eil, C.; Marx, S.J.

    1983-01-01

    The authors evaluated the interaction of [ 3 H]1,25(OH) 2 D3 with skin fibroblasts cultured from normal subjects or from affected members of six kindreds with rickets and resistance to 1-alpha, 25(OH) 2 D [1,25(OH) 2 D]. They analyzed two aspects of the radioligand interaction; nuclear uptake with dispersed, intact cells at 37 degrees C and binding at 0 degrees C with soluble extract (cytosol) prepared from cells disrupted in buffer. With normal fibroblasts the affinity and capacity of nuclear uptake of [ 3 H]1,25(OH) 2 D3 were 0.5 nM and 10,300 sites per cell, respectively; for binding with cytosol these were 0.13 nM and 8,900 sites per cell, respectively. The following four patterns of interaction with [ 3 H]1,25(OH) 2 D3 were observed with cells cultured from affected patients. In all cases where the radioligand bound with high affinity in nucleus or cytosol, the nucleus- or cytosol-associated radioligand exhibited normal sedimentation velocity on sucrose density gradients. When two kindreds exhibited similar patterns (i.e. pattern a or c) with the analyses of cultured fibroblasts, clinical features in affected members suggested that the underlying genetic defects were not identical. In conclusion: (a) Fibroblasts cultured from human skin manifest nuclear uptake and cytosol binding of [ 3 H]1,25(OH) 2 D3 that is an expression of the genes determining these processes in target tissues. (b) Based upon data from clinical evaluations and from analyses of cultured fibroblasts, severe resistance to 1,25(OH) 2 D resulted from five or six distinct genetic mutations in six kindreds

  13. Oxidation-specific epitopes are danger-associated molecular patterns recognized by pattern recognition receptors of innate immunity

    DEFF Research Database (Denmark)

    Miller, Yury I; Choi, Soo-Ho; Wiesner, Philipp

    2011-01-01

    are a major target of innate immunity, recognized by a variety of "pattern recognition receptors" (PRRs). By analogy with microbial "pathogen-associated molecular patterns" (PAMPs), we postulate that host-derived, oxidation-specific epitopes can be considered to represent "danger (or damage......)-associated molecular patterns" (DAMPs). We also argue that oxidation-specific epitopes present on apoptotic cells and their cellular debris provided the primary evolutionary pressure for the selection of such PRRs. Furthermore, because many PAMPs on microbes share molecular identity and/or mimicry with oxidation...

  14. Bovine salmonellosis in northeast of Iran: frequency, genetic fingerprinting and antimicrobial resistance patterns of Salmonella spp.

    Science.gov (United States)

    Halimi, Hessam A; Seifi, Hesam A; Rad, Mehrnaz

    2014-01-01

    To evaluate serovar and antimicrobial resistance patterns of Salmonella spp isolated from healthy, diseased and necropsied cows and calves in this observational study. Nineteen isolates recovered from feces and tissues of salmonellosis-affected animals of two commercial farms in north-east of Iran. In second part of the study, the two farms were sampled 4 times with an interval of 2 month. The samples included calves' feces, adult cows' feces, feeds, water, milk filters, and milk fed to calves. Five Salmonella were isolated from 332 fecal samples collected from calves and peri-parturient cows. No Salmonella was recovered from water, feed, milk filers and milk fed to calves. Salmonella Typhimurium was the most frequently isolate among all sero-groups. S. Dublin was only accounted for 8% (two out of 24) of isolates. Isolated Salmonella strains were used for the ERIC PCR DNA fingerprinting assay. Our results grouped Salmonella isolates into 3 clusters, suggesting that specific genotypes were responsible for each sero-group of Salmonella. The results also revealed diversity among Salmonella isolates in cluster III (sero-group B). Eighteen out of 19 Salmonella spp. were resistant to oxytetracycline. Five isolates out of 19 showed more than one drug resistance. Multi-drug resistance was seen only among Salmonella Typhimurium isolates. Enrofloxacin was the most susceptible antibiotic against all isolates in this study. The emergence of multiple antibiotic-resistant strains of Salmonella Typhimurium should be of great concern to the public. No correlation between ERIC fingerprinting and resistance patterns of Salmonella isolates was found, which indicates resistance to antimicrobial agents was not related to specific genetic background. Copyright © 2014 Asian Pacific Tropical Biomedical Magazine. Published by Elsevier B.V. All rights reserved.

  15. Changes in Bacterial Resistance Patterns of Pediatric Urinary Tract Infections and Rationale for Empirical Antibiotic Therapy.

    Science.gov (United States)

    Gökçe, İbrahim; Çiçek, Neslihan; Güven, Serçin; Altuntaş, Ülger; Bıyıklı, Neşe; Yıldız, Nurdan; Alpay, Harika

    2017-09-29

    The causative agent spectrum and resistance patterns of urinary tract infections in children are affected by many factors. To demonstrate antibiotic resistance in urinary tract infections and changing ratio in antibiotic resistance by years. Retrospective cross-sectional study. We analysed antibiotic resistance patterns of isolated Gram (-) bacteria during the years 2011-2014 (study period 2) in children with urinary tract infections. We compared these findings with data collected in the same centre in 2001-2003 (study period 1). Four hundred and sixty-five uncomplicated community-acquired Gram (-) urinary tract infections were analysed from 2001-2003 and 400 from 2011-2014. Sixty-one percent of patients were female (1.5 girls : 1 boy). The mean age of children included in the study was 3 years and 9 months. Escherichia coli was the predominant bacteria isolated during both periods of the study (60% in study period 1 and 73% in study period 2). Bacteria other than E. coli demonstrated a higher level of resistance to all of the antimicrobials except trimethoprim-sulfamethoxazole than E. coli bacteria during the years 2011-2014. In our study, we found increasing resistance trends of urinary pathogens for cefixime (from 1% to 15%, pUrinary pathogens showed a decreasing trend for nitrofurantoin (from 17% to 7%, p=0.0001). No significant trends were detected for ampicillin (from 69% to 71%), amoxicillin-clavulanate (from 44% to 43%), cefazolin (from 39% to 32%), trimethoprim-sulfamethoxazole (from 32% to 31%), cefuroxime (from 21% to 18%) and ceftriaxone (from 10% to 14%) between the two periods (p>0.05). In childhood urinary tract infections, antibiotic resistance should be evaluated periodically and empiric antimicrobial therapy should be decided according to antibiotic sensitivity results.

  16. A Three-Year Follow-Up Study of Antibiotic and Metal Residues, Antibiotic Resistance and Resistance Genes, Focusing on Kshipra—A River Associated with Holy Religious Mass-Bathing in India: Protocol Paper

    Directory of Open Access Journals (Sweden)

    Vishal Diwan

    2017-05-01

    Full Text Available Background: Antibiotic resistance (ABR is one of the major health emergencies for global society. Little is known about the ABR of environmental bacteria and therefore it is important to understand ABR reservoirs in the environment and their potential impact on health. Method/Design: Quantitative and qualitative data will be collected during a 3-year follow-up study of a river associated with religious mass-bathing in Central India. Surface-water and sediment samples will be collected from seven locations at regular intervals for 3 years during religious mass-bathing and in absence of it to monitor water-quality, antibiotic residues, resistant bacteria, antibiotic resistance genes and metals. Approval has been obtained from the Ethics Committee of R.D. Gardi Medical College, Ujjain, India (No. 2013/07/17-311. Results: The results will address the issue of antibiotic residues and antibiotic resistance with a focus on a river environment in India within a typical socio-behavioural context of religious mass-bathing. It will enhance our understanding about the relationship between antibiotic residue levels, water-quality, heavy metals and antibiotic resistance patterns in Escherichia coli isolated from river-water and sediment, and seasonal differences that are associated with religious mass-bathing. We will also document, identify and clarify the genetic differences/similarities relating to phenotypic antibiotic resistance in bacteria in rivers during religious mass-bathing or during periods when there is no mass-bathing.

  17. A Livestock-Associated, Multidrug-Resistant, Methicillin-Resistant Staphylococcus aureus Clonal Complex 97 Lineage Spreading in Dairy Cattle and Pigs in Italy.

    Science.gov (United States)

    Feltrin, Fabiola; Alba, Patricia; Kraushaar, Britta; Ianzano, Angela; Argudín, María Angeles; Di Matteo, Paola; Porrero, María Concepción; Aarestrup, Frank M; Butaye, Patrick; Franco, Alessia; Battisti, Antonio

    2016-02-01

    Pandemic methicillin-resistant Staphylococcus aureus (MRSA) clonal complex 97 (CC97) lineages originated from livestock-to-human host jumps. In recent years, CC97 has become one of the major MRSA lineages detected in Italian farmed animals. The aim of this study was to characterize and analyze differences in MRSA and methicillin-susceptible S. aureus (MSSA) mainly of swine and bovine origins. Forty-seven CC97 isolates, 35 MRSA isolates, and 6 MSSA isolates from different Italian pig and cattle holdings; 5 pig MRSA isolates from Germany; and 1 human MSSA isolate from Spain were characterized by macrorestriction pulsed-field gel electrophoresis (PFGE) analysis, multilocus sequence typing (MLST), spa typing, staphylococcal cassette chromosome mec (SCCmec) typing, and antimicrobial resistance pattern analysis. Virulence and resistance genes were investigated by PCR and microarray analysis. Most of the isolates were of SCCmec type V (SCCmec V), except for two German MRSA isolates (SCCmec III). Five main clusters were identified by PFGE, with the German isolates (clusters I and II) showing 60.5% similarity with the Italian isolates, most of which (68.1%) grouped into cluster V. All CC97 isolates were Panton-Valentine leukocidin (PVL) negative, and a few (n = 7) tested positive for sak or scn. All MRSA isolates were multidrug resistant (MDR), and the main features were erm(B)- or erm(C)-mediated (n = 18) macrolide-lincosamide-streptogramin B resistance, vga(A)-mediated (n = 37) pleuromutilin resistance, fluoroquinolone resistance (n = 33), tet(K) in 32/37 tet(M)-positive isolates, and blaZ in almost all MRSA isolates. Few host-associated differences were detected among CC97 MRSA isolates: their extensive MDR nature in both pigs and dairy cattle may be a consequence of a spillback from pigs of a MRSA lineage that originated in cattle as MSSA and needs further investigation. Measures should be implemented at the farm level to prevent spillover to humans in intensive farming

  18. The Association between Mycobacterium Tuberculosis Genotype and Drug Resistance in Peru.

    Directory of Open Access Journals (Sweden)

    Louis Grandjean

    Full Text Available The comparison of Mycobacterium tuberculosis bacterial genotypes with phenotypic, demographic, geospatial and clinical data improves our understanding of how strain lineage influences the development of drug-resistance and the spread of tuberculosis.To investigate the association of Mycobacterium tuberculosis bacterial genotype with drug-resistance. Drug susceptibility testing together with genotyping using both 15-loci MIRU-typing and spoligotyping, was performed on 2,139 culture positive isolates, each from a different patient in Lima, Peru. Demographic, geospatial and socio-economic data were collected using questionnaires, global positioning equipment and the latest national census.The Latin American Mediterranean (LAM clade (OR 2.4, p<0.001 was significantly associated with drug-resistance and alone accounted for more than half of all drug resistance in the region. Previously treated patients, prisoners and genetically clustered cases were also significantly associated with drug-resistance (OR's 2.5, 2.4 and 1.8, p<0.001, p<0.05, p<0.001 respectively.Tuberculosis disease caused by the LAM clade was more likely to be drug resistant independent of important clinical, genetic and socio-economic confounding factors. Explanations for this include; the preferential co-evolution of LAM strains in a Latin American population, a LAM strain bacterial genetic background that favors drug-resistance or the "founder effect" from pre-existing LAM strains disproportionately exposed to drugs.

  19. Antimicrobial Resistant Pattern of Escherichia Coli Strains Isolated from Pediatric Patients in Jordan

    Directory of Open Access Journals (Sweden)

    Mohammad Alshara

    2011-05-01

    Full Text Available The present study was conducted to investigate antimicrobial resistant pattern of Escherichia coli (E. coli strains isolated from clinical specimens of Jordanian pediatric patients during the period from January to December 2008. A total of 444 E. coli strains were isolated from clinical specimens and tested for their susceptibility to different antimicrobial drugs. Overall, high resistance rate was observed for ampicillin (84%, followed by amoxicillin-clavulanic acid (74.3%, cotrimoxazole (71%, nalidixic acid (47.3%, cephalothin (41%. Lower resistance rates were observed for amikacin (0% followed by Cefotaxime (11%, Ceftriaxone (11.7%, ciprofloxacin (14.5%, Norfloxacin (16.5%, gentamicin (17.3% cephalexin (20.9%, Ceftazidime (22.5%, cefixime (29.6%, and cefaclor (32.8%. Ampicillin, amoxicillin-clavulanic acid and cotrimoxazole were found to be ineffective at in vitro inhibition of the E. coli of pediatric origin. Amikacin was highly effective for E. coli with susceptibility rate of 100%. The majority of E. coli strains were susceptible to third generation cephalosporins and fluoroquinolones.

  20. Prevalence and antimicrobial resistance pattern of bacterial meningitis in Egypt

    Directory of Open Access Journals (Sweden)

    Shaban Lamyaa

    2009-09-01

    Full Text Available Abstract Infectious diseases are the leading cause of morbidity and mortality in the developing world. In Egypt bacterial diseases constitute a great burden, with several particular bacteria sustaining the leading role of multiple serious infections. This article addresses profound bacterial agents causing a wide array of infections including but not limited to pneumonia and meningitis. The epidemiology of such infectious diseases and the prevalence of Streptococcus pneumoniae, Neisseria meningitidis and Haemophilus influenzae are reviewed in the context of bacterial meningitis. We address prevalent serotypes in Egypt, antimicrobial resistance patterns and efficacy of vaccines to emphasize the importance of periodic surveillance for appropriate preventive and treatment strategies.

  1. Direct observation of contact and channel resistance in pentacene four-terminal thin-film transistor patterned by laser ablation method

    International Nuclear Information System (INIS)

    Yagi, Iwao; Tsukagoshi, Kazuhito; Aoyagi, Yoshinobu

    2004-01-01

    We established a dry-etching patterning process for the channel formation of pentacene thin-film transistor, and fabricated a four-terminal device equipped with a gate electrode. The four-terminal device enabled us to divide two-terminal source-drain resistance into two components of contact resistance and pentacene channel resistance. We obtained direct evidence of a gate-voltagedependent contact resistance change: the gate-induced charge significantly reduced the contact resistance and increased source-drain current. Furthermore, the temperature dependence of the device clearly indicated that the contact resistance was much higher than the channel resistance and was dominated in the two-terminal total resistance of the device below 120 K. An observed activation energy of 80 meV for contact resistance was higher than that of 42 meV for pentacene channel resistance

  2. Identification of seed proteins associated with resistance to pre-harvested aflatoxin contamination in peanut (Arachis hypogaea L

    Directory of Open Access Journals (Sweden)

    Li Ling

    2010-11-01

    Full Text Available Abstract Background Pre-harvest infection of peanuts by Aspergillus flavus and subsequent aflatoxin contamination is one of the food safety factors that most severely impair peanut productivity and human and animal health, especially in arid and semi-arid tropical areas. Some peanut cultivars with natural pre-harvest resistance to aflatoxin contamination have been identified through field screening. However, little is known about the resistance mechanism, which has slowed the incorporation of resistance into cultivars with commercially acceptable genetic background. Therefore, it is necessary to identify resistance-associated proteins, and then to recognize candidate resistance genes potentially underlying the resistance mechanism. Results The objective of this study was to identify resistance-associated proteins in response to A. flavus infection under drought stress using two-dimensional electrophoresis with mass spectrometry. To identify proteins involved in the resistance to pre-harvest aflatoxin contamination, we compared the differential expression profiles of seed proteins between a resistant cultivar (YJ-1 and a susceptible cultivar (Yueyou 7 under well-watered condition, drought stress, and A. flavus infection with drought stress. A total of 29 spots showed differential expression between resistant and susceptible cultivars in response to A. flavus attack under drought stress. Among these spots, 12 protein spots that consistently exhibited an altered expression were screened by Image Master 5.0 software and successfully identified by MALDI-TOF MS. Five protein spots, including Oso7g0179400, PII protein, CDK1, Oxalate oxidase, SAP domain-containing protein, were uniquely expressed in the resistant cultivar. Six protein spots including low molecular weight heat shock protein precursor, RIO kinase, L-ascorbate peroxidase, iso-Ara h3, 50 S ribosomal protein L22 and putative 30 S ribosomal S9 were significantly up-regulated in the resistant

  3. Association Between Insulin Resistance and Bone Structure in Nondiabetic Postmenopausal Women

    DEFF Research Database (Denmark)

    Shanbhogue, Vikram V; Finkelstein, Joel S; Bouxsein, Mary L

    2016-01-01

    computed tomography was used to assess bone density and microstructure at the distal radius and tibia. Fasting insulin and glucose was measured and insulin resistance was estimated using homeostasis model assessment of insulin resistance (HOMA-IR) with higher values indicating greater insulin resistance....... RESULTS: There was a negative association between HOMA-IR and bone size and a positive association between HOMA-IR and total vBMD, trabecular vBMD, trabecular thickness and cortical thickness at the radius and tibia. These relationships remained even after adjusting for body weight and other potential...

  4. Insulin resistance and associated factors: a cross-sectional study of bank employees.

    Science.gov (United States)

    Salaroli, Luciane Bresciani; Cattafesta, Monica; Molina, Maria Del Carmen Bisi; Zandonade, Eliana; Bissoli, Nazaré Souza

    2017-04-01

    Insulin resistance is characterized by the failure of target cells to respond to normal levels of circulating insulin, and this condition is related to cardiovascular disease. This study sought to evaluate the prevalence of insulin resistance and its association with markers of metabolic abnormalities and metabolic syndrome in bank employees. A cross-sectional study was performed on 498 working men and women aged ≥20 years old. The Homeostasis Model Assessment (HOMA-IR) was used to determine the presence of insulin resistance based on cut-off values of ≤2.71 for normal insulin levels and >2.71 for insulin resistance, as established for the adult Brazilian population. It was observed that the 52 (10.4%) overweight individuals with insulin resistance were 4.97 times (95%CI 1.31-18.83) more likely to have high HOMA-IR values than the normal-weight participants; among those who were obese, the likelihood increased to 17.87 (95%CI 4.36-73.21). Individuals with large waist circumferences were 3.27 times (95%CI 1.03-10.38) more likely to develop insulin resistance than those who were within normal parameters. The HOMA-IR values differed between subjects with and without metabolic syndrome, with values of 2.83±2.5 and 1.10±0.81 (p=0.001), respectively. The levels of insulin, ultrasensitive C-reactive protein and uric acid were also associated with insulin resistance. The prevalence of insulin resistance among bank employees is high, and insulin resistance is associated with and serves as a marker of metabolic syndrome. Cardiovascular disease and metabolic syndrome-associated metabolic abnormalities were observed, and insulin resistance may be a risk factor in this group of professionals.

  5. Healthcare-associated vancomycin resistant Enterococcus faecium infections in the Mansoura University Hospitals intensive care units, Egypt

    Directory of Open Access Journals (Sweden)

    Dalia Moemen

    2015-09-01

    Full Text Available Vancomycin resistant Enterococcus faecium (VREF ia an emerging and challenging nosocomial pathogen. This study aimed to determine the prevalence, risk factors and clonal relationships between different VREF isolates in the intensive care units (ICUs of the university hospitals in our geographic location. This prospective study was conducted from July, 2012 until September, 2013 on 781 patients who were admitted to the ICUs of the Mansoura University Hospitals (MUHs, and fulfilled the healthcare-associated infection (HAI criteria. Susceptibility testing was determined using the disk diffusion method. The clonal relationships were evaluated with pulsed field gel electrophoresis (PFGE. Out of 52 E. faecium isolates, 12 (23.1% were vancomycin resistant. The significant risk factors for the VREF infections were: transfer to the ICU from a ward, renal failure, an extended ICU stay and use of third-generation cephalosporins, gentamicin, or ciprofloxacin. PFGE with the 12 isolates showed 9 different patterns; 3 belonged to the same pulsotype and another 2 carried a second pulsotypes. The similar pulsotypes isolates were isolated from ICUs of one hospital (EICUs; however, all of the isolates from the other ICUs had different patterns. Infection control policy, in conjunction with antibiotic stewardship, is important to combat VREF transmission in these high-risk patients.

  6. In-roads to the spread of antibiotic resistance: regional patterns of microbial transmission in northern coastal Ecuador

    OpenAIRE

    Eisenberg, Joseph N. S.; Goldstick, Jason; Cevallos, William; Trueba, Gabriel; Levy, Karen; Scott, James; Percha, Bethany; Segovia, Rosana; Ponce, Karina; Hubbard, Alan; Marrs, Carl; Foxman, Betsy; Smith, David L.; Trostle, James

    2011-01-01

    The evolution of antibiotic resistance (AR) increases treatment cost and probability of failure, threatening human health worldwide. The relative importance of individual antibiotic use, environmental transmission and rates of introduction of resistant bacteria in explaining community AR patterns is poorly understood. Evaluating their relative importance requires studying a region where they vary. The construction of a new road in a previously roadless area of northern coastal Ecuador provide...

  7. Development of innovative micro-pattern gaseous detectors with resistive electrodes and first results of their applications

    CERN Document Server

    Di Mauro, A; Martinengo, P; Nappi, E; Oliveira, R; Peskov, Vladimir; Periale, L; Picchi, P; Pietropaolo, F; Rodionov, I; Santiard, Jean-Claude

    2007-01-01

    The paper summarizes our latest progress in the development of newly introduced micro pattern gaseous detectors with resistive electrodes. These resistive electrodes protect the detector and the front-end electronics in case of occasional discharges and thus make the detectors very robust and reliable in operation. As an example, we describe in greater detail a new recently developed GEM-like detector, fully spark-protected with electrodes made of resistive kapton. We discovered that all resistive layers used in these studies (including kapton), that are coated with photosensitive layers, such as CsI, can be used as efficient photo cathodes for detectors operating in a pulse counting mode. We describe the first applications of such detectors combined with CsI or SbCs photo cathodes for the detection of UV photons at room and cryogenic temperatures.

  8. Bacterial Aetiology and Antibiotic Resistance Pattern of Community-Acquired Urinary Tract Infections in Children in a Tertiary Care Hospital in Bangladesh

    Directory of Open Access Journals (Sweden)

    Lazina Sharmin

    2017-09-01

    Full Text Available Background: Urinary tract infections (UTIs in children are among the most common bacterial infections. Community-acquired urinary tract infections (CAUTI are often treated empirically with broad-spectrum antibiotics. Pattern of aetiologic agents and their antibiotic sensitivity may vary according to geographical and regional location. So, knowledge of antibiotic resistance trends is important for improving evidence-based recommendations for empirical treatment of UTIs. Objectives: To determine the common bacterial aetiologies of CAUTIs and their antibiotic resistance patterns in a tertiary care hospital, Savar. Materials and Methods: This cross-sectional descriptive study was conducted at Enam Medical College Hospital, Savar from May 2016 to April 2017. We collected clean-catch mid-stream urine samples from 257 patients having clinical diagnosis of UTI and submitted to the clinical microbiology laboratory for culture and sensitivity. Results: A total of 120 (46.7% samples were positive for bacterial growth. Escherichia coli (79% was the most common pathogen, followed by Klebsiella spp. (14%. Bacterial isolates showed high prevalence of resistance to multiple antibiotics. Resistance against amoxicillin/clavulanic acid, co-trimoxazole and ciprofloxacin was higher compared to newer quinolones and aminoglycosides. Conclusion: Esch. coli and Klebsiella spp. were the predominant bacterial pathogens. The resistance pattern to commonly prescribed antibiotics was quite high and alarming.

  9. Alterations in phosphatidylcholine synthesis are associated with taxol resistance

    International Nuclear Information System (INIS)

    Sorbara, L.R.

    1986-01-01

    A taxol resistant variant (J7/TAX-50) of the murine macrophage-like cell line J774.2 has been developed in vitro. The LD 50 of taxol for the resistant cells is 800-fold greater than that for the parental cell line. The J7/TAX-50 cells display phenotypic traits which are associated with multidrug resistance. J7/TAX-50 is unstably resistant and must be maintained in the presence of taxol. Cells grown in the absence of taxol for 30 days revert to drug sensitivity, and the membrane phosphoglycoprotein is lost. In contrast, the return to a normal level of drug accumulation is prolonged and requires over 8 months of growth in the absence of taxol. To characterize further the parental, resistant and revertant cell lines, the major lipids have been analyzed by 2D-chromatography and HPLC. The steady-state level of phosphatidylcholine (PC) in J7/TAX-50 is greater than in the parental or revertant cell lines. Pulse-chase studies performed with 14 C-choline or 32 P-orthophosphate demonstrated an increase in the turnover of PC in J7/TAX-50. Analysis by gas chromatography/mass spectrometry of the composition of the major phospholipids indicated that fatty acids attached to the sn1- and 2-positions of PC are the same in the resistant and parental cell lines. These studies suggest that an increased level of PC in the membrane may be related to drug resistance and responsible for the prolonged decrease in steady-state drug association in J7/TAX-50 grown in the absence of taxol

  10. Identifying resistance gene analogs associated with resistances to different pathogens in common bean.

    Science.gov (United States)

    López, Camilo E; Acosta, Iván F; Jara, Carlos; Pedraza, Fabio; Gaitán-Solís, Eliana; Gallego, Gerardo; Beebe, Steve; Tohme, Joe

    2003-01-01

    ABSTRACT A polymerase chain reaction approach using degenerate primers that targeted the conserved domains of cloned plant disease resistance genes (R genes) was used to isolate a set of 15 resistance gene analogs (RGAs) from common bean (Phaseolus vulgaris). Eight different classes of RGAs were obtained from nucleotide binding site (NBS)-based primers and seven from not previously described Toll/Interleukin-1 receptor-like (TIR)-based primers. Putative amino acid sequences of RGAs were significantly similar to R genes and contained additional conserved motifs. The NBS-type RGAs were classified in two subgroups according to the expected final residue in the kinase-2 motif. Eleven RGAs were mapped at 19 loci on eight linkage groups of the common bean genetic map constructed at Centro Internacional de Agricultura Tropical. Genetic linkage was shown for eight RGAs with partial resistance to anthracnose, angular leaf spot (ALS) and Bean golden yellow mosaic virus (BGYMV). RGA1 and RGA2 were associated with resistance loci to anthracnose and BGYMV and were part of two clusters of R genes previously described. A new major cluster was detected by RGA7 and explained up to 63.9% of resistance to ALS and has a putative contribution to anthracnose resistance. These results show the usefulness of RGAs as candidate genes to detect and eventually isolate numerous R genes in common bean.

  11. Carbapenem-resistant Pseudomonas aeruginosa: association with virulence genes and biofilm formation

    Directory of Open Access Journals (Sweden)

    Iara Rossi Gonçalves

    Full Text Available Abstract Pseudomonas aeruginosa is an opportunistic pathogen that causes frequently nosocomial infections, currently becoming more difficult to treat due to the various resistance mechanisms and different virulence factors. The purpose of this study was to determine the risk factors independently associated with the development of bacteremia by carbapenem-resistant P. aeruginosa, the frequency of virulence genes in metallo-β-lactamases producers and to evaluate their ability to produce biofilm. We conducted a case–control study in the Uberlândia Federal University – Hospital Clinic, Brazil. Polymerase Chain Reaction was performed for metallo-β-lactamases and virulence genes. Adhesion and biofilm assays were done by quantitative tests. Among the 157 strains analyzed, 73.9% were multidrug-resistant, 43.9% were resistant to carbapenems, 16.1% were phenotypically positive for metallo-β-lactamases, and of these, 10.7% were positive for blaSPM gene and 5.3% positive for blaVIM. The multivariable analysis showed that mechanical ventilation, enteral/nasogastric tubes, primary bacteremia with unknown focus, and inappropriate therapy were independent risk factors associated with bacteremia. All tested strains were characterized as strongly biofilm producers. A higher mortality was found among patients with bacteremia by carbapenem-resistant P. aeruginosa strains, associated independently with extrinsic risk factors, however it was not evident the association with the presence of virulence and metallo-β-lactamases genes.

  12. Identification of food intake patterns and associated factors in teenagers

    Directory of Open Access Journals (Sweden)

    Jean Márcia Oliveira Mascarenhas

    2014-01-01

    Full Text Available OBJECTIVE: To identify schoolchildren"s dietary patterns and investigate the demographic, social, and economic determinants of the differences found between patterns. METHODS: The sample consisted of 1,330 students aged 11 to 17 years attending the public schools of Salvador, Bahia, Brazil. The subjects' food intake data were collected by a semiquantitative Food Frequency Questionnaire comprising 97 food items. All information was collected during a single interview. The exposure variables were gender, age, and socioeconomic class, and the outcome variables were categorized food consumption pattern in "mixed pattern", "traditional pattern", and "healthy pattern". The data were treated by simple and multiple linear regression analyses and the dietary patterns determined by factor analysis. RESULTS: Most participants were female (56.9% and over 13 years old (79.2%. The "mixed pattern" was positively associated with females (β=0.181, p0.0001 and classes D, C, and B (β=-0.125, p<0.023. CONCLUSION: Three dietary patterns were identified among the adolescents, namely mixed, traditional, and healthy. Gender and socioeconomic class were associated with dietary patterns. Male teenagers and those in the lower socioeconomic classes had a healthier dietary pattern than their peers of higher socioeconomic classes and females.

  13. Memory resistive switching in CeO{sub 2}-based film microstructures patterned by a focused ion beam

    Energy Technology Data Exchange (ETDEWEB)

    Velichko, A. [Petrozavodsk State University, 185910 Petrozavodsk (Russian Federation); Boriskov, P., E-mail: boriskov@psu.karelia.ru [Petrozavodsk State University, 185910 Petrozavodsk (Russian Federation); Savenko, A. [Petrozavodsk State University, 185910 Petrozavodsk (Russian Federation); Grishin, A.; Khartsev, S.; Yar, M. Ahmed; Muhammed, M. [Royal Institute of Technology, SE-164 40 Stockholm, Kista (Sweden)

    2014-04-01

    Heteroepitaxial CeO{sub 2} (80 nm)/La{sub 0.5}Sr{sub 0.5}CoO{sub 3} (500 nm) film structure has been pulsed laser deposited on a sapphire substrate. The Ag/CeO{sub 2} microjunctions patterned by a focused ion beam on a La{sub 0.5}Sr{sub 0.5}CoO{sub 3} film exhibit reproducible reversible switching between a high resistance state (OFF) with insulating properties and a semiconducting or metallic low resistance state (ON) with resistance ratios up to 10{sup 4}. The influence of micro-scaling and defects formed at the cell boundaries during etching on its electrical characteristics has been analyzed. The appearance of a switching channel at the moment of the electrical forming, responsible for the memory effect, has been proved, along with a mechanism of a self-healing electrical breakdown. - Highlights: • Ag/CeO{sub 2}/La{sub 0.5}Sr{sub 0.5}CoO{sub 3} microstructures were patterned by a focused ion beam. • Reproducible memory resistive switching was discovered in Ag/CeO{sub 2} microjunctions. • Micro-scaling affects electrical characteristics of Ag/CeO{sub 2} microjunctions. • A mechanism of a self-healing breakdown was discovered.

  14. Influence of regular reporting on local Pseudomonas aeruginosa and Acinetobacter spp. sensitivity to antibiotics on consumption of antibiotics and resistance patterns.

    Science.gov (United States)

    Djordjevic, Z M; Folic, M M; Jankovic, S M

    2017-10-01

    Regular surveillance of antimicrobial resistance is an important component of multifaceted interventions directed at the problem with resistance of bacteria causing healthcare-associated infections (HAIs) in intensive care units (ICUs). Our aim was to analyse antimicrobial consumption and resistance among isolates of Pseudomonas aeruginosa and Acinetobacter spp. causing HAIs, before and after the introduction of mandatory reporting of resistance patterns to prescribers. A retrospective observational study was conducted between January 2011 and December 2015, at an interdisciplinary ICU of the Clinical Centre Kragujevac, Serbia. The intervention consisted of continuous resistance monitoring of all bacterial isolates from ICU patients and biannual reporting of results per isolate to prescribers across the hospital. Both utilization of antibiotics and density of resistant isolates of P. aeruginosa and Acinetobacter spp. were followed within the ICU. Resistance densities of P. aeruginosa to all tested antimicrobials were lower in 2015, in comparison with 2011. Although isolates of Acinetobacter spp. had lower resistance density in 2015 than in 2011 to the majority of investigated antibiotics, a statistically significant decrease was noted only for piperacillin/tazobactam. Statistically significant decreasing trends of consumption were recorded for third-generation cephalosporins, aminoglycosides and fluoroquinolones, whereas for the piperacillin/tazobactam, ampicillin/sulbactam and carbapenems, utilization trends were decreasing, but without statistical significance. In the same period, increasing trends of consumption were observed for tigecycline and colistin. Regular monitoring of resistance of bacterial isolates in ICUs and reporting of summary results to prescribers may lead to a significant decrease in utilization of some antibiotics and slow restoration of P. aeruginosa and Acinetobacter spp. susceptibility. © 2017 John Wiley & Sons Ltd.

  15. Candidate gene association analyses for ketosis resistance in Holsteins.

    Science.gov (United States)

    Kroezen, V; Schenkel, F S; Miglior, F; Baes, C F; Squires, E J

    2018-06-01

    High-yielding dairy cattle are susceptible to ketosis, a metabolic disease that negatively affects the health, fertility, and milk production of the cow. Interest in breeding for more robust dairy cattle with improved resistance to disease is global; however, genetic evaluations for ketosis would benefit from the additional information provided by genetic markers. Candidate genes that are proposed to have a biological role in the pathogenesis of ketosis were investigated in silico and a custom panel of 998 putative single nucleotide polymorphism (SNP) markers was developed. The objective of this study was to test the associations of these new markers with deregressed estimated breeding values (EBV) for ketosis. A sample of 653 Canadian Holstein cows that had been previously genotyped with a medium-density SNP chip were regenotyped with the custom panel. The EBV for ketosis in first and later lactations were obtained for each animal and deregressed for use as pseudo-phenotypes for association analyses. Results of the mixed inheritance model for single SNP association analyses suggested 15 markers in 6 unique candidate genes were associated with the studied trait. Genes encoding proteins involved in metabolic processes, including the synthesis and degradation of fatty acids and ketone bodies, gluconeogenesis, lipid mobilization, and the citric acid cycle, were identified to contain SNP associated with ketosis resistance. This work confirmed the presence of previously described quantitative trait loci for dairy cattle, suggested novel markers for ketosis-resistance, and provided insight into the underlying biology of this disease. Copyright © 2018 American Dairy Science Association. Published by Elsevier Inc. All rights reserved.

  16. Are food patterns associated with prostate cancer in Jamaican men: a preliminary report.

    Science.gov (United States)

    Jackson, Maria; Walker, Susan; Simpson, Candace; McFarlane-Anderson, Norma; Bennett, Franklyn

    2009-02-10

    Morbidity and mortality data highlight prostate cancer as the most commonly diagnosed neoplasm in Jamaican males. This report examines the association between dietary patterns and risk of prostate cancer in Jamaican men. Case-control study of 204 histologically confirmed newly diagnosed prostate cancer cases and 204 individually matched urology clinic controls in Jamaica, 2004 - 2007. Diet was assessed by food frequency questionnaire. Factor analysis yielded four dietary patterns: (i) a "healthy" pattern of vegetables, fruits and peas and beans, (ii) a "carbohydrate" pattern with high loadings for white bread and refined cereals, (iii) "sugary foods and sweet baked products" pattern and (iv) a "organ meat and fast food pattern" with high loadings for high fat dessert, organ meat, fast food and salty snacks.Logistic regressions with the individual dietary patterns controlling for potential confounders showed no association between any of the food patterns and risk of prostate cancer. The healthy pattern showed an inverse non-significant association, whereas the carbohydrate pattern was positively and insignificantly related to prostate cancer. Analysis of all food patterns adjusting for each other revealed no association between food patterns and the risk of prostate cancer. Dietary patterns identified in our sample were not associated with risk of prostate cancer. Further investigations that better define cancer-free subjects and dietary measurements are needed to examine diet and prostate cancer outcomes.

  17. Highly efficient silver patterning without photo-resist using simple silver precursors

    International Nuclear Information System (INIS)

    Byun, Younghun; Hwang, Eoc-Chae; Lee, Sang-Yun; Lyu, Yi-Yeol; Yim, Jin-Heong; Kim, Jin-Young; Chang, Seok; Pu, Lyong Sun; Kim, Ji Man

    2005-01-01

    Highly efficient method for silver patterning without photo-resist was developed by using high photosensitive organo-silver precursors, which were prepared by a simple reaction of silver salts and excess of amines. The FT-IR and GC-MS spectra were recorded depending on UV exposure time, for (n-PrNH 2 )Ag(NO 3 ).0.5MeCN and (n-PrNH 2 )Ag(NO 2 ).0.5MeCN, to understand the photolysis mechanism. The results indicate not only dissociation of coordinated amine and acetonitrile, but also decomposition of corresponding anion upon UV irradiation. When a precursor thin film was exposed to broadband UV irradiation, a partially reduced and insoluble silver species were formed within several minutes. After development, the irradiated areas were treated with a reducing agent to obtain pure metallic patterns. Subsequently, annealing step was followed at 100-350 deg. C to increase the adhesion of interface and cohesion of silver particles. The line resolution of 5 μm was obtained by the present silver precursors. Film thickness was also controllable from 50 to 250 nm by repetition of the above procedure. The average electrical conductivity was in the range of 3-43 Ω cm, measured by four-point probe technique. AES depth profile of the silver pattern thus obtained showed carbon and oxygen contents are less than 1% through the whole range. Even though sulfur contaminant exists on the surface, it was believed that nearly pure silver pattern was generated

  18. Local resistance patterns to antimicrobials in internal medicine: a focused report from the REGIMEN (REGistro Infezioni in MEdicina INterna) study.

    Science.gov (United States)

    Cei, Marco; Pardelli, Riccardo; Sani, Spartaco; Mumoli, Nicola

    2014-02-01

    The treatment for infections in hospitalized patients can be summarized in the timely start of empirical therapy, followed by adjustment on the basis of isolates and microbial susceptibilities. Initial therapy may be based on international guidelines. However, to know local frequencies of bacterial and fungal strains together with patterns of drug resistance should be a better approach to therapy. REGIMEN is a retrospective observational study of all consecutive recorded bacterial and fungal isolates, collected between October 2009 and August 2011 from patients admitted in a 53-bedded ward of internal medicine of a non-teaching Italian hospital. We investigated type of samples and of microorganisms, patterns of susceptibility and resistance to antibiotics, and in-hospital mortality. A total of 504 samples were examined (244 from urine, 189 from blood and 71 from skin and various exudates). Participants were old (mean age, 83 years), and so overall mortality was high (20 %). There were high frequencies of drug resistance; only 27.9 % of urinary gram-negatives and 52.6 % of blood gram-negatives were susceptible to levofloxacin. Susceptibility profiles compatible with the presence of extended-spectrum beta-lactamases were present in 64.2 % of gram-negative strains, and 10.1 % were also resistant to carbapenems. ESKAPE organisms account for a third of all bacterial infections. Local patterns of drug resistance should influence empirical antibiotic therapy for patients admitted in internal medicine wards, where mortality is high.

  19. SEM based overlay measurement between resist and buried patterns

    Science.gov (United States)

    Inoue, Osamu; Okagawa, Yutaka; Hasumi, Kazuhisa; Shao, Chuanyu; Leray, Philippe; Lorusso, Gian; Baudemprez, Bart

    2016-03-01

    With the continuous shrink in pattern size and increased density, overlay control has become one of the most critical issues in semiconductor manufacturing. Recently, SEM based overlay of AEI (After Etch Inspection) wafer has been used for reference and optimization of optical overlay (both Image Based Overlay (IBO) and Diffraction Based Overlay (DBO)). Overlay measurement at AEI stage contributes monitor and forecast the yield after formation by etch and calibrate optical measurement tools. however those overlay value seems difficult directly for feedback to a scanner. Therefore, there is a clear need to have SEM based overlay measurements of ADI (After Develop Inspection) wafers in order to serve as reference for optical overlay and make necessary corrections before wafers go to etch. Furthermore, to make the corrections as accurate as possible, actual device like feature dimensions need to be measured post ADI. This device size measurement is very unique feature of CDSEM , which can be measured with smaller area. This is currently possible only with the CD-SEM. This device size measurement is very unique feature of CD-SEM , which can be measured with smaller area. In this study, we assess SEM based overlay measurement of ADI and AEI wafer by using a sample from an N10 process flow. First, we demonstrate SEM based overlay performance at AEI by using dual damascene process for Via 0 (V0) and metal 1 (M1) layer. We also discuss the overlay measurements between litho-etch-litho stages of a triple patterned M1 layer and double pattern V0. Second, to illustrate the complexities in image acquisition and measurement we will measure overlay between M1B resist and buried M1A-Hard mask trench. Finally, we will show how high accelerating voltage can detect buried pattern information by BSE (Back Scattering Electron). In this paper we discuss the merits of this method versus standard optical metrology based corrections.

  20. Association Between Insulin Resistance and Bone Structure in Nondiabetic Postmenopausal Women

    Science.gov (United States)

    Finkelstein, Joel S.; Bouxsein, Mary L.; Yu, Elaine W.

    2016-01-01

    Context: The clinical consequences of insulin resistance and hyperinsulinemia on bone remain largely unknown. Objective: The objective of the study was to evaluate the effect of insulin resistance on peripheral bone geometry, volumetric bone mineral density (vBMD), bone microarchitecture, and estimated bone strength. Design, Setting, and Participants: This cross-sectional study included 146 postmenopausal, nondiabetic Caucasian women (mean age 60.3 ± 2.7 y) who were participating in the Study of Women's Health Across the Nation. Interventions: There were no interventions. Main Outcome Measures: High-resolution peripheral quantitative computed tomography was used to assess bone density and microstructure at the distal radius and tibia. Fasting insulin and glucose were measured and insulin resistance was estimated using homeostasis model assessment of insulin resistance (HOMA-IR), with higher values indicating greater insulin resistance. Results: There was a negative association between HOMA-IR and bone size and a positive association between HOMA-IR and total vBMD, trabecular vBMD, trabecular thickness, and cortical thickness at the radius and tibia. These relationships remained, even after adjusting for body weight and other potential covariates (eg, time since menopause, cigarette smoking, physical activity, prior use of osteoporosis medications or glucocorticoids). Conclusions: In nondiabetic, postmenopausal women, insulin resistance was associated with smaller bone size, greater volumetric bone mineral density, and generally favorable bone microarchitecture at weight-bearing and nonweight-bearing skeletal sites. These associations were independent of body weight and other potential covariates, suggesting that hyperinsulinemia directly affects bone structure independent of obesity and may explain, in part, the higher trabecular bone density and favorable trabecular microarchitecture seen in individuals with type 2 diabetes mellitus. PMID:27243136

  1. Resistance patterns, prevalence, and predictors of fluoroquinolones resistance in multidrug resistant tuberculosis patients

    Directory of Open Access Journals (Sweden)

    Nafees Ahmad

    2016-01-01

    Conclusion: The high degree of drug resistance observed, particularly to fluoroquinolones, is alarming. We recommend the adoption of more restrictive policies to control non-prescription sale of fluoroquinolones, its rational use by physicians, and training doctors in both private and public–private mix sectors to prevent further increase in fluoroquinolones resistant Mycobacterium tuberculosis strains.

  2. Patterns of Physician-Patient Communication Associated with Patient Satisfaction.

    Science.gov (United States)

    Williams, M. Lee; Clampitt, Phillip G.

    Using data drawn from ten initial physician/patient interviews, an original category system was employed to analyze patterns of physician/patient communication. Static analysis, interaction analysis, and Markov chain analysis were used to discover the underlying communication patterns associated with patient satisfaction. Results revealed that…

  3. Genome-wide Association Study Identifies New Loci for Resistance to Leptosphaeria maculans in Canola

    Directory of Open Access Journals (Sweden)

    Harsh Raman

    2016-10-01

    Full Text Available Blackleg, caused by Leptosphaeria maculans, is a significant disease which affects the sustainable production of canola. This study reports a genome-wide association study based on 18,804 polymorphic SNPs to identify loci associated with qualitative and quantitative resistance to L. maculans. Genomic regions delimited with 503 significant SNP markers, that are associated with resistance evaluated using 12 single spore isolates and pathotypes from four canola stubble were identified. Several significant associations were detected at known disease resistance loci including in the vicinity of recently cloned Rlm2/LepR3 genes, and at new loci on chromosomes A01/C01, A02/C02, A03/C03, A05/C05, A06, A08, and A09. In addition, we validated statistically significant associations on A01, A07 and A10 in four genetic mapping populations, demonstrating that GWAS marker loci are indeed associated with resistance to L. maculans. One of the novel loci identified for the first time, Rlm12, conveys adult plant resistance and mapped within 13.2 kb from Arabidopsis R gene of TIR-NBS class. We showed that resistance loci are located in the vicinity of R genes of A. thaliana and B. napus on the sequenced genome of B. napus cv. Darmor-bzh. Significantly associated SNP markers provide a valuable tool to enrich germplasm for favorable alleles in order to improve the level of resistance to L. maculans in canola.

  4. Association of Periodic and Rhythmic Electroencephalographic Patterns With Seizures in Critically Ill Patients.

    Science.gov (United States)

    Rodriguez Ruiz, Andres; Vlachy, Jan; Lee, Jong Woo; Gilmore, Emily J; Ayer, Turgay; Haider, Hiba Arif; Gaspard, Nicolas; Ehrenberg, J Andrew; Tolchin, Benjamin; Fantaneanu, Tadeu A; Fernandez, Andres; Hirsch, Lawrence J; LaRoche, Suzette

    2017-02-01

    Periodic and rhythmic electroencephalographic patterns have been associated with risk of seizures in critically ill patients. However, specific features that confer higher seizure risk remain unclear. To analyze the association of distinct characteristics of periodic and rhythmic patterns with seizures. We reviewed electroencephalographic recordings from 4772 critically ill adults in 3 academic medical centers from February 2013 to September 2015 and performed a multivariate analysis to determine features associated with seizures. Continuous electroencephalography. Association of periodic and rhythmic patterns and specific characteristics, such as pattern frequency (hertz), Plus modifier, prevalence, and stimulation-induced patterns, and the risk for seizures. Of the 4772 patients included in our study, 2868 were men and 1904 were women. Lateralized periodic discharges (LPDs) had the highest association with seizures regardless of frequency and the association was greater when the Plus modifier was present (58%; odds ratio [OR], 2.00, P rhythmic delta activity (LRDA) were associated with seizures in a frequency-dependent manner (1.5-2 Hz: GPDs, 24%,OR, 2.31, P = .02; LRDA, 24%, OR, 1.79, P = .05; ≥ 2 Hz: GPDs, 32%, OR, 3.30, P rhythmic delta activity compared with no periodic or rhythmic pattern (13%, OR, 1.18, P = .26). Higher prevalence of LPDs and GPDs also conferred increased seizure risk (37% frequent vs 45% abundant/continuous, OR, 1.64, P = .03 for difference; 8% rare/occasional vs 15% frequent, OR, 2.71, P = .03, vs 23% abundant/continuous, OR, 1.95, P = .04). Patterns associated with stimulation did not show an additional risk for seizures from the underlying pattern risk (P > .10). In this study, LPDs, LRDA, and GPDs were associated with seizures while generalized rhythmic delta activity was not. Lateralized periodic discharges were associated with seizures at all frequencies with and without Plus modifier, but LRDA and GPDs were associated with

  5. The human multidrug resistance-associated protein MRP is a plasma membrane drug-efflux pump

    NARCIS (Netherlands)

    Zaman, G. J.; Flens, M. J.; van Leusden, M. R.; de Haas, M.; Mülder, H. S.; Lankelma, J.; Pinedo, H. M.; Scheper, R. J.; Baas, F.; Broxterman, H. J.

    1994-01-01

    The multidrug-resistance associated protein MRP is a 180- to 195-kDa membrane protein associated with resistance of human tumor cells to cytotoxic drugs. We have investigated how MRP confers drug resistance in SW-1573 human lung carcinoma cells by generating a subline stably transfected with an

  6. [Resistance of bread wheat (Triticum aestivum L.) to preharvest sprouting: an association analysis].

    Science.gov (United States)

    Mart'ianov, S P; Dobrotvorskaia, T V

    2012-10-01

    A statistical analysis of the data about 1422 bread wheat accessions with estimated preharvest sprouting was carried out. Close associations of preharvest sprouting resistance with the grain color and with resistance to Fusarium head blight were revealed, as well as weak, but statistically significant, associations with the type of development, awnedness, and reduced height genes Rht-B1 and Rht-D1 (insensitive to gibberellin GA3). The pedigree analysis showed that the cluster structures of the gene pools of the North American red-grained and white-grained varieties are practically identical. In both groups, varieties that are resistant to preharvest sprouting differ from susceptible ones in the percentage of the contributions of the Crimean and Mediterranean landraces. Resistance is associated with a high contribution by the Crimean landrace and susceptibility is associated with a high contribution by the Mediterranean landrace.

  7. Patterning of diamond like carbon films for sensor applications using silicon containing thermoplastic resist (SiPol) as a hard mask

    Energy Technology Data Exchange (ETDEWEB)

    Virganavičius, D. [Paul Scherrer Institute, Laboratory for Micro- and Nanotechnology, 5232 Villigen PSI (Switzerland); Kaunas University of Technology, Institute of Materials Science, 51423 Kaunas (Lithuania); Cadarso, V.J.; Kirchner, R. [Paul Scherrer Institute, Laboratory for Micro- and Nanotechnology, 5232 Villigen PSI (Switzerland); Stankevičius, L.; Tamulevičius, T.; Tamulevičius, S. [Kaunas University of Technology, Institute of Materials Science, 51423 Kaunas (Lithuania); Schift, H., E-mail: helmut.schift@psi.ch [Paul Scherrer Institute, Laboratory for Micro- and Nanotechnology, 5232 Villigen PSI (Switzerland)

    2016-11-01

    Highlights: • Nanopatterning of thin diamond-like carbon (DLC) films and silver containing DLC composites. • Nanoimprint lithography with thermoplastic silicon containing resist. • Zero-residual layer imprinting and pattern transfer by reactive ion etching. • Robust leaky waveguide sensors with sensitivity up to 319 nm/RIU. - Abstract: Patterning of diamond-like carbon (DLC) and DLC:metal nanocomposites is of interest for an increasing number of applications. We demonstrate a nanoimprint lithography process based on silicon containing thermoplastic resist combined with plasma etching for straightforward patterning of such films. A variety of different structures with few hundred nanometer feature size and moderate aspect ratios were successfully realized. The quality of produced patterns was directly investigated by the means of optical and scanning electron microscopy (SEM). Such structures were further assessed by employing them in the development of gratings for guided mode resonance (GMR) effect. Optical characterization of such leaky waveguide was compared with numerical simulations based on rigorous coupled wave analysis method with good agreement. The use of such structures as refractive index variation sensors is demonstrated with sensitivity up to 319 nm/RIU, achieving an improvement close to 450% in sensitivity compared to previously reported similar sensors. This pronounced GMR signal fully validates the employed DLC material, the technology to pattern it and the possibility to develop DLC based gratings as corrosion and wear resistant refractometry sensors that are able to operate under harsh conditions providing great value and versatility.

  8. Association between haptoglobin gene and insulin resistance in Arab-Americans.

    Science.gov (United States)

    Burghardt, Kyle J; Masri, Dana El; Dass, Sabrina E; Shikwana, Sara S; Jaber, Linda A

    2017-11-01

    To analyze associations between variation in the HP gene and lipid and glucose-related measures in Arab-Americans. Secondary analyses were performed based on sex. Genomic DNA was extracted from samples obtained from a previous epidemiological study of diabetes in Arab-Americans. The HP 1 and 2 alleles were analyzed by polymerase chain reaction and gel electrophoresis. Associations were analyzed by linear regression. Associations were identified between the heterozygous haptoglobin 2-1 genotype and insulin resistance, fasting insulin and fasting c-peptide. The effect of sex did not remain significant after adjustment for relevant variables. HP genetic variation may have utility as a biomarker of insulin resistance and diabetes risk in Arab-Americans, however, future prospective studies are needed.

  9. Prevalence And Antimicrobial Susceptibility Pattern Of Methicillin ...

    African Journals Online (AJOL)

    Methicillin resistant Staphylococcus aureus (MRSA) is an important nosocomial pathogen. We report the prevalence and antibiotic susceptibility pattern of MRSA in Amravati, Maharashtra state (India). A total of 150 healthcare-associated (HA) sources (doctors mobiles phone and wound/pus swabs), and 160 ...

  10. Histopathological patterns in paediatric idiopathic steroid resistant nephrotic syndrome

    International Nuclear Information System (INIS)

    Shah, S.S.H.; Akhtar, N.; Rehman, M.F.U.; Sunbleen, F.; Ahmed, T.

    2015-01-01

    Background: Steroid-resistant nephrotic syndrome (SRNS) is a common problem but difficult to treat for pediatric nephrologists. Due to paucity of studies done in few centres in southern Pakistan regarding the histopathological aspects in paediatric patients with SRNS, this study was conducted to determine the histopathological spectrum in children with SRNS at our centre. Method: This descriptive study has been conducted at the Nephrology department, The Children's Hospital Lahore from February 2014 to January 2015. Based upon history, physical examination and laboratory results, all patients diagnosed as idiopathic SRNS were included in the study and renal biopsy was done to determine the underlying pathology. Histopathology reports were retrieved and data analysis done using SPSS-20.0. Results: There were a total of 96 patients, 64 (66.7 percentage) males and 32 (33.3 percentage) females. The age range was from 0.80 to 15 years with mean age of presentation being 6.34+3.75 years. The most common histo-pathological pattern was mesangio-proliferative Glomerulonephritis found in 79 (82.3 percentage) cases followed by Focal segmental glomerulosclerosis (FSGS) in 9 (9.4 percentage) patients while Minimal change disease (MCD) was seen in 5 (5.2 percentage) subjects. Conclusion: Mesangioproliferative glomerulonephritis is the most common histological pattern seen in children presenting with idiopathic SRNS at our centre followed by FSGS and MCD. (author)

  11. Reducing contact resistance in graphene devices through contact area patterning.

    Science.gov (United States)

    Smith, Joshua T; Franklin, Aaron D; Farmer, Damon B; Dimitrakopoulos, Christos D

    2013-04-23

    Performance of graphene electronics is limited by contact resistance associated with the metal-graphene (M-G) interface, where unique transport challenges arise as carriers are injected from a 3D metal into a 2D-graphene sheet. In this work, enhanced carrier injection is experimentally achieved in graphene devices by forming cuts in the graphene within the contact regions. These cuts are oriented normal to the channel and facilitate bonding between the contact metal and carbon atoms at the graphene cut edges, reproducibly maximizing "edge-contacted" injection. Despite the reduction in M-G contact area caused by these cuts, we find that a 32% reduction in contact resistance results in Cu-contacted, two-terminal devices, while a 22% reduction is achieved for top-gated graphene transistors with Pd contacts as compared to conventionally fabricated devices. The crucial role of contact annealing to facilitate this improvement is also elucidated. This simple approach provides a reliable and reproducible means of lowering contact resistance in graphene devices to bolster performance. Importantly, this enhancement requires no additional processing steps.

  12. Insecticide-driven patterns of genetic variation in the dengue vector Aedes aegypti in Martinique Island.

    Directory of Open Access Journals (Sweden)

    Sébastien Marcombe

    Full Text Available Effective vector control is currently challenged worldwide by the evolution of resistance to all classes of chemical insecticides in mosquitoes. In Martinique, populations of the dengue vector Aedes aegypti have been intensively treated with temephos and deltamethrin insecticides over the last fifty years, resulting in heterogeneous levels of resistance across the island. Resistance spreading depends on standing genetic variation, selection intensity and gene flow among populations. To determine gene flow intensity, we first investigated neutral patterns of genetic variability in sixteen populations representative of the many environments found in Martinique and experiencing various levels of insecticide pressure, using 6 microsatellites. Allelic richness was lower in populations resistant to deltamethrin, and consanguinity was higher in populations resistant to temephos, consistent with a negative effect of insecticide pressure on neutral genetic diversity. The global genetic differentiation was low, suggesting high gene flow among populations, but significant structure was found, with a pattern of isolation-by-distance at the global scale. Then, we investigated adaptive patterns of divergence in six out of the 16 populations using 319 single nucleotide polymorphisms (SNPs. Five SNP outliers displaying levels of genetic differentiation out of neutral expectations were detected, including the kdr-V1016I mutation in the voltage-gated sodium channel gene. Association tests revealed a total of seven SNPs associated with deltamethrin resistance. Six other SNPs were associated with temephos resistance, including two non-synonymous substitutions in an alkaline phosphatase and in a sulfotransferase respectively. Altogether, both neutral and adaptive patterns of genetic variation in mosquito populations appear to be largely driven by insecticide pressure in Martinique.

  13. Heavy metal incorporated helium ion active hybrid non-chemically amplified resists: Nano-patterning with low line edge roughness

    Directory of Open Access Journals (Sweden)

    Pulikanti Guruprasad Reddy

    2017-08-01

    Full Text Available Helium (He ion lithography is being considered as one of the most promising and emerging technology for the manufacturing of next generation integrated circuits (ICs at nanolevel. However, He-ion active resists are rarely reported. In this context, we are introducing a new non-chemically amplified hybrid resist (n-CAR, MAPDSA-MAPDST, for high resolution He-ion beam lithography (HBL applications. In the resist architecture, 2.15 % antimony is incorporated as heavy metal in the form of antimonate. This newly developed resists has successfully used for patterning 20 nm negative tone features at a dose of 60 μC/cm2. The resist offered very low line edge roughness (1.27±0.31 nm for 20 nm line features. To our knowledge, this is the first He-ion active hybrid resist for nanopatterning. The contrast (γ and sensitivity (E0 of this resist were calculated from the contrast curve as 0.73 and 7.2 μC/cm2, respectively.

  14. Heavy metal incorporated helium ion active hybrid non-chemically amplified resists: Nano-patterning with low line edge roughness

    Science.gov (United States)

    Reddy, Pulikanti Guruprasad; Thakur, Neha; Lee, Chien-Lin; Chien, Sheng-Wei; Pradeep, Chullikkattil P.; Ghosh, Subrata; Tsai, Kuen-Yu; Gonsalves, Kenneth E.

    2017-08-01

    Helium (He) ion lithography is being considered as one of the most promising and emerging technology for the manufacturing of next generation integrated circuits (ICs) at nanolevel. However, He-ion active resists are rarely reported. In this context, we are introducing a new non-chemically amplified hybrid resist (n-CAR), MAPDSA-MAPDST, for high resolution He-ion beam lithography (HBL) applications. In the resist architecture, 2.15 % antimony is incorporated as heavy metal in the form of antimonate. This newly developed resists has successfully used for patterning 20 nm negative tone features at a dose of 60 μC/cm2. The resist offered very low line edge roughness (1.27±0.31 nm) for 20 nm line features. To our knowledge, this is the first He-ion active hybrid resist for nanopatterning. The contrast (γ) and sensitivity (E0) of this resist were calculated from the contrast curve as 0.73 and 7.2 μC/cm2, respectively.

  15. Patterns and predictors of antimicrobial resistance among Staphylococcus spp. from canine clinical cases presented at a veterinary academic hospital in South Africa.

    Science.gov (United States)

    Qekwana, Daniel N; Oguttu, James W; Sithole, Fortune; Odoi, Agricola

    2017-04-28

    Antimicrobial resistance in staphylococci, often associated with treatment failure, is increasingly reported in veterinary medicine. The aim of this study was to investigate patterns and predictors of antimicrobial resistance among Staphylococcus spp. isolates from canine samples submitted to the bacteriology laboratory at the University of Pretoria academic veterinary hospital between 2007 and 2012. Retrospective data of 334 Staphylococcus isolates were used to calculate the proportion of samples resistant to 15 antimicrobial agents. The Cochran-Armitage trend test was used to investigate temporal trends and logistic regression models were used to investigate predictors of antimicrobial resistance in Staphylococcus aureus and Staphylococcus pseudintermedius. Results show that 98.2% (55/56) of the S. aureus isolates were resistant to at least one drug while 42.9% were multidrug resistant. Seventy-seven percent (214/278) of the S. pseudintermedius isolates were resistant to at least one drug and 25.9% (72/278) were multidrug resistant. Resistance to lincospectin was more common among S. aureus (64.3%) than S. pseudintermedius (38.9%). Similarly, resistance to clindamycin was higher in S. aureus (51.8%) than S. pseudintermedius (31.7%) isolates. There was a significant (p = 0.005) increase in S. aureus resistance to enrofloxacin over the study period. Similarly, S. pseudintermedius exhibited significant increasing temporal trend in resistance to trimethoprim-sulphamethoxazole (p = 0.004), clindamycin (p = 0.022) and orbifloxacin (p = 0.042). However, there was a significant decreasing temporal trend in the proportion of isolates resistant to doxycycline (p = 0.041), tylosin (p = 0.008), kanamycin (p = 0.017) and amoxicillin/clavulanic acid (p = 0.032). High levels of multidrug resistance and the increasing levels of resistance to sulphonamides, lincosamides and fluoroquinolones among Staphylococcus spp. isolates in this study are concerning. Future

  16. Mapping microbial ecosystems and spoilage-gene flow in breweries highlights patterns of contamination and resistance.

    Science.gov (United States)

    Bokulich, Nicholas A; Bergsveinson, Jordyn; Ziola, Barry; Mills, David A

    2015-03-10

    Distinct microbial ecosystems have evolved to meet the challenges of indoor environments, shaping the microbial communities that interact most with modern human activities. Microbial transmission in food-processing facilities has an enormous impact on the qualities and healthfulness of foods, beneficially or detrimentally interacting with food products. To explore modes of microbial transmission and spoilage-gene frequency in a commercial food-production scenario, we profiled hop-resistance gene frequencies and bacterial and fungal communities in a brewery. We employed a Bayesian approach for predicting routes of contamination, revealing critical control points for microbial management. Physically mapping microbial populations over time illustrates patterns of dispersal and identifies potential contaminant reservoirs within this environment. Habitual exposure to beer is associated with increased abundance of spoilage genes, predicting greater contamination risk. Elucidating the genetic landscapes of indoor environments poses important practical implications for food-production systems and these concepts are translatable to other built environments.

  17. Associations Between Social Vulnerabilities and Dietary Patterns in European Children

    DEFF Research Database (Denmark)

    Iguacel, Isabel; Fernandez-Alvira, Juan M.; Bammann, Karin

    2016-01-01

    -up by applying the K-means clustering algorithm based on a higher frequency of consumption of snacks and fast food (processed), sweet foods and drinks (sweet), and fruits and vegetables (healthy). Vulnerable groups were defined at baseline as follows: children whose parents lacked a social network, children from...... single-parent families, children of migrant origin and children with unemployed parents. Multinomial mixed models were used to assess the associations between social vulnerabilities and children’s dietary patterns at baseline and follow-up. Children whose parents lacked a social network (OR 1·31; 99 % CI......Socio-economic inequalities in childhood can determine dietary patterns, and therefore future health. This study aimed to explore associations between social vulnerabilities and dietary patterns assessed at two time points, and to investigate the association between accumulation of vulnerabilities...

  18. Development of a High Slip-resistant Footwear Outsole Using a Hybrid Rubber Surface Pattern

    Science.gov (United States)

    YAMAGUCHI, Takeshi; HOKKIRIGAWA, Kazuo

    2014-01-01

    Abstract: The present study examined whether a new footwear outsole with tread blocks and a hybrid rubber surface pattern, composed of rough and smooth surfaces, could increase slip resistance and reduce the risk of fall while walking on a wet floor surface. A drag test was performed to measure static and dynamic coefficient of friction (SCOF and DCOF, respectively) values for the footwear with the hybrid rubber surface pattern outsole and two types of commercially available boots that are conventionally used in food factories and restaurant kitchens with respect to a stainless steel floor covered with glycerol solution. Gait trials were conducted with 14 participants who wore the footwear on the wet stainless steel floor. The drag test results indicated that the hybrid rubber surface pattern sole exhibited higher SCOF (≥0.44) and DCOF (≥0.39) values than the soles of the comparative footwear (pfootwear with the hybrid rubber surface pattern outsole were significantly lower than those for the comparative footwear, which resulted in no falls during trials. PMID:25055846

  19. Contrasting patterns of insecticide resistance and knockdown resistance (kdr) in the dengue vectors Aedes aegypti and Aedes albopictus from Malaysia.

    Science.gov (United States)

    Ishak, Intan H; Jaal, Zairi; Ranson, Hilary; Wondji, Charles S

    2015-03-25

    Knowledge on the extent, distribution and mechanisms of insecticide resistance is essential for successful insecticide-based dengue control interventions. Here, we report an extensive resistance profiling of the dengue vectors Aedes aegypti and Aedes albopictus across Malaysia and establish the contribution of knockdown resistance mechanism revealing significant contrast between both species. Aedes mosquitoes were collected from four states in Malaysia in 2010 using ovitraps and tested against six major insecticides using WHO bioassays. Knockdown resistance (kdr) was investigated in both species. A moderate resistance to temephos was detected from samples collected in 2010 in Penang, Kuala Lumpur, Johor Bharu and Kota Bharu (1.5 Malaysia but neither of these mutations were found in Ae. albopictus. Additionally, signatures of selection were detected on the Voltage-gated sodium channel gene in Ae. aegypti but not in Ae. albopictus. The presence of the 1534C allele was significantly associated with pyrethroid resistance and an additive effect to pyrethroid resistance was observed in individuals containing both kdr alleles. Findings from this study will help to design and implement successful insecticide-based interventions against Ae. aegypti and Ae. albopictus to improve dengue control across Malaysia.

  20. Patterns of gestational weight gain and its association with ...

    African Journals Online (AJOL)

    Background: Despite the increasing global importance of gestational weight gain (GWG) and its impact on birthweight, little is known about the patterns of GWG in African populations. Objectives: To determine the pattern of GWG and its association with birthweight in Nigeria. Methods: It was a longitudinal study of 200 ...

  1. One-step patterning of double tone high contrast and high refractive index inorganic spin-on resist

    Energy Technology Data Exchange (ETDEWEB)

    Zanchetta, E.; Della Giustina, G.; Brusatin, G. [Industrial Engineering Department and INSTM, Via Marzolo 9, 35131 Padova (Italy)

    2014-09-14

    A direct one-step and low temperature micro-fabrication process, enabling to realize large area totally inorganic TiO₂ micro-patterns from a spin-on resist, is presented. High refractive index structures (up to 2 at 632 nm) without the need for transfer processes have been obtained by mask assisted UV lithography, exploiting photocatalytic titania properties. A distinctive feature not shared by any of the known available resists and boosting the material versatility, is that the system behaves either as a positive or as negative tone resist, depending on the process parameters and on the development chemistry. In order to explain the resist double tone behavior, deep comprehension of the lithographic process parameters optimization and of the resist chemistry and structure evolution during the lithographic process, generally uncommon in literature, is reported. Another striking property of the presented resist is that the negative tone shows a high contrast up to 19, allowing to obtain structures resolution down to 2 μm wide. The presented process and material permit to directly fabricate different titania geometries of great importance for solar cells, photo-catalysis, and photonic crystals applications.

  2. Antibiotic resistance patterns and beta-lactamase identification in ...

    African Journals Online (AJOL)

    Background. Antibiotic resistance is a growing problem worldwide. Mechanisms of resistance vary, and some can confer resistance to multiple classes of antibiotics. Objective. To characterise the antibiotic resistance profiles of Escherichia coli isolates obtained from stool samples of young rural children exposed or ...

  3. Association of Serum Ferritin Levels with Metabolic Syndrome and Insulin Resistance.

    Science.gov (United States)

    Padwal, Meghana K; Murshid, Mohsin; Nirmale, Prachee; Melinkeri, R R

    2015-09-01

    The impact of CVDs and Type II DM is increasing over the last decade. It has been estimated that by 2025 their incidence will double. Ferritin is one of the key proteins regulating iron homeostasis and is a widely available clinical biomarker of iron status. Some studies suggest that prevalence of atherosclerosis and insulin resistance increases significantly with increasing serum ferritin. Metabolic syndrome is known to be associated with increased risk of atherosclerosis as well as insulin resistance. The present study was designed to explore the association of serum ferritin levels with metabolic syndrome and insulin resistance. The present study was prospective, cross sectional. The study protocol was approved by IEC. The study group consisted of 90 participants (50 cases of metabolic syndrome and 40 age and sex matched controls). Diagnosis of metabolic syndrome was done as per NCEP ATP III criteria. Estimation of serum Ferritin and Insulin was done by Chemiluminescence Immunoassay (CLIA) while Glucose by Glucose Oxidase and Peroxidase (GOD-POD) method. Insulin Resistance was calculated by HOMA IR score. Data obtained was statistically analysed by using student t-test. We found statistically significant rise in the levels of serum ferritin (p=syndrome as compared with controls. High serum ferritin levels though within normal range are significantly associated with both metabolic syndrome and insulin resistance.

  4. Transgenic expression of the rice Xa21 pattern-recognition receptor in banana (Musa sp.) confers resistance to Xanthomonas campestris pv. musacearum.

    Science.gov (United States)

    Tripathi, Jaindra N; Lorenzen, Jim; Bahar, Ofir; Ronald, Pamela; Tripathi, Leena

    2014-08-01

    Banana Xanthomonas wilt (BXW), caused by the bacterium Xanthomonas campestris pv. musacearum (Xcm), is the most devastating disease of banana in east and central Africa. The spread of BXW threatens the livelihood of millions of African farmers who depend on banana for food security and income. There are no commercial chemicals, biocontrol agents or resistant cultivars available to control BXW. Here, we take advantage of the robust resistance conferred by the rice pattern-recognition receptor (PRR), XA21, to the rice pathogen Xanthomonas oryzae pv. oryzae (Xoo). We identified a set of genes required for activation of Xa21-mediated immunity (rax) that were conserved in both Xoo and Xcm. Based on the conservation, we hypothesized that intergeneric transfer of Xa21 would confer resistance to Xcm. We evaluated 25 transgenic lines of the banana cultivar 'Gonja manjaya' (AAB) using a rapid bioassay and 12 transgenic lines in the glasshouse for resistance against Xcm. About 50% of the transgenic lines showed complete resistance to Xcm in both assays. In contrast, all of the nontransgenic control plants showed severe symptoms that progressed to complete wilting. These results indicate that the constitutive expression of the rice Xa21 gene in banana results in enhanced resistance against Xcm. Furthermore, this work demonstrates the feasibility of PRR gene transfer between monocotyledonous species and provides a valuable new tool for controlling the BXW pandemic of banana, a staple food for 100 million people in east Africa. © 2014 Society for Experimental Biology, Association of Applied Biologists and John Wiley & Sons Ltd.

  5. Association Mapping for Fusarium Wilt Resistance in Chinese Asparagus Bean Germplasm

    Directory of Open Access Journals (Sweden)

    Xinyi Wu

    2015-07-01

    Full Text Available Fusarium wilt (FW is an important vascular disease attacking asparagus bean [ (L. Walp. subsp. Sesquipedalis Group] in China. The level and genetic variability of FW resistance in the Chinese asparagus bean germplasm remains elusive. In the current study, FW resistance was assessed across a natural population consisting of 95 asparagus bean and four African cowpea [ (L. Walp. subsp. Unguiculata Group] accessions. The disease index (DI based on the severity of leaf damage (LFD and vascular discoloration (VD varied highly across the population and the highly resistant varieties used for vegetable are very limited. Genome-wide association study identified 11 and seven single nucleotide polymorphisms (SNPs that are associated with LFD and VD traits, respectively. These SNPs were distributed on nine linkage groups of the asparagus bean genome and each accounted for less than 5% of the phenotypic variation. Overall, the nonstandard vegetable (NSV subgene pool harbors favorable alleles in a higher frequency than the standard vegetable (SV subgene pool. Individual NSV-type accessions tend to possess a greater number of favorable alleles than the SV-type ones. A SNP marker 1_0981 was converted to a cleaved amplified polymorphic sequences (CAPS marker to facilitate future breeding. To our knowledge, this is the first report of an association mapping (AM study in asparagus bean. The results obtained suggests that resources for FW resistance is relatively limited in the SV subgene pool; hence, introducing resistant alleles from the NSV accessions into currently leading SV cultivars will be important to improve FW resistance of the latter.

  6. Socio-economic status and ethnicity are independently associated with dietary patterns: the HELIUS-Dietary Patterns study

    Directory of Open Access Journals (Sweden)

    Louise H. Dekker

    2015-06-01

    Full Text Available Background: Differences in dietary patterns between ethnic groups have often been observed. These differences may partially be a reflection of differences in socio-economic status (SES or may be the result of differences in the direction and strength of the association between SES and diet. Objective: We aimed to examine ethnic differences in dietary patterns and the role of socio-economic indicators on dietary patterns within a multi-ethnic population. Design: Cross-sectional multi-ethnic population-based study. Setting: Amsterdam, the Netherlands. Subjects: Principal component analysis was used to identify dietary patterns among Dutch (n=1,254, South Asian Surinamese (n=425, and African Surinamese (n=784 participants. Levels of education and occupation were used to indicate SES. Linear regression analysis was used to examine the association between ethnicity and dietary pattern scores first and then between socio-economic indicators and dietary patterns within and between ethnic groups. Results: ‘Noodle/rice dishes and white meat’, ‘red meat, snacks, and sweets’ and ‘vegetables, fruit and nuts’ patterns were identified. Compared to the Dutch origin participants, Surinamese more closely adhered to the ‘noodle/rice dishes and white meat’ pattern which was characterized by foods consumed in a ‘traditional Surinamese diet’. Closer adherence to the other two patterns was observed among Dutch compared to Surinamese origin participants. Ethnic differences in dietary patterns persisted within strata of education and occupation. Surinamese showed greater adherence to a ‘traditional’ pattern independent of SES. Among Dutch participants, a clear socio-economic gradient in all dietary patterns was observed. Such a gradient was only present among Surinamese dietary oatterns to the ‘vegetables, fruit and nuts’ pattern. Conclusions: We found a selective change in the adherence to dietary patterns among Surinamese origin

  7. Dietary patterns are associated with metabolic syndrome in adult Samoans.

    Science.gov (United States)

    DiBello, Julia R; McGarvey, Stephen T; Kraft, Peter; Goldberg, Robert; Campos, Hannia; Quested, Christine; Laumoli, Tuiasina Salamo; Baylin, Ana

    2009-10-01

    The prevalence of metabolic syndrome has reached epidemic levels in the Samoan Islands. In this cross-sectional study conducted in 2002-2003, dietary patterns were described among American Samoan (n = 723) and Samoan (n = 785) adults (> or =18 y) to identify neo-traditional and modern eating patterns and to relate these patterns to the presence of metabolic syndrome using Adult Treatment Panel III criteria. The neo-traditional dietary pattern, similar across both polities, was characterized by high intake of local foods, including crab/lobster, coconut products, and taro, and low intake of processed foods, including potato chips and soda. The modern pattern, also similar across both polities, was characterized by high intake of processed foods such as rice, potato chips, cake, and pancakes and low intake of local foods. The neo-traditional dietary pattern was associated with significantly higher serum HDL-cholesterol in American Samoa (P-trend = 0.05) and a decrease in abdominal circumference in American Samoa and Samoa (P-trend = 0.004 and 0.01, respectively). An inverse association was found with metabolic syndrome, although it did not reach significance (P = 0.23 in American Samoa; P = 0.13 in Samoa). The modern pattern was significantly positively associated with metabolic syndrome in Samoa (prevalence ratio = 1.21 for the fifth compared with first quintile; 95% CI: 0.93.1.57; P-trend = 0.05) and with increased serum triglyceride levels in both polities (P fiber, seafood, and coconut products may help to prevent growth in the prevalence of metabolic syndrome in the Samoan islands.

  8. HIV drug resistance patterns in pregnant women using next generation sequence in Mozambique.

    Science.gov (United States)

    Rupérez, María; Noguera-Julian, Marc; González, Raquel; Maculuve, Sonia; Bellido, Rocío; Vala, Anifa; Rodríguez, Cristina; Sevene, Esperança; Paredes, Roger; Menéndez, Clara

    2018-01-01

    Few data on HIV resistance in pregnancy are available from Mozambique, one of the countries with the highest HIV toll worldwide. Understanding the patterns of HIV drug resistance in pregnant women might help in tailoring optimal regimens for prevention of mother to child transmission of HIV (pMTCT) and antenatal care. To describe the frequency and characteristics of HIV drug resistance mutations (HIVDRM) in pregnant women with virological failure at delivery, despite pMTCT or antiretroviral therapy (ART). Samples from HIV-infected pregnant women from a rural area in southern Mozambique were analysed. Only women with HIV-1 RNA >400c/mL at delivery were included in the analysis. HIVDRM were determined using MiSeq® (detection threshold 1%) at the first antenatal care (ANC) visit and at the time of delivery. Ninety and 60 samples were available at the first ANC visit and delivery, respectively. At first ANC, 97% of the women had HIV-1 RNA>400c/mL, 39% had CD4+ counts HIV-1 genotyping, less than 20% of women with detectable viremia at delivery had HIVDRM before initiating pMTCT or ART. This suggests that factors other than pre-existing resistance, such as lack of adherence or interruptions of the ANC chain, are also relevant to explain lack of virological suppression at the time of delivery in women receiving antiretrovirals drugs during pregnancy.

  9. A common variation of the PTEN gene is associated with peripheral insulin resistance

    DEFF Research Database (Denmark)

    Grinder-Hansen, L; Ribel-Madsen, R; Wojtaszewski, Jørgen

    2016-01-01

    . RESULTS: The minor G allele of PTEN rs11202614 was associated with elevated fasting plasma insulin levels and a decreased peripheral glucose disposal rate, but not with the hepatic insulin resistance index or insulin secretion measured as the first-phase insulin response and disposition index. The single...... nucleotide polymorphism was not associated with either PI3K or Akt activities. CONCLUSION: A common PTEN variation is associated with peripheral insulin resistance and subsequent risk of developing T2D. However, the association with insulin resistance is not explained by decreased proximal insulin signalling......AIM: Phosphatase and tensin homologue (PTEN) reduces insulin sensitivity by inhibiting the phosphatidylinositol 3-kinase (PI3K)/v-akt murine thymoma viral oncogene homologue (Akt) pathway. This study investigated how a common single nucleotide polymorphism near PTEN, previously associated...

  10. Interfamily transfer of a plant pattern-recognition receptor confers broad-spectrum bacterial resistance

    NARCIS (Netherlands)

    Lacombe, S.; Rougon-Cardoso, A.; Sherwood, E.; Peeters, N.; Dahlbeck, D.; Esse, van H.P.; Smoker, M.; Rallapalli, G.; Thomma, B.P.H.J.; Staskawicz, B.; Jones, J.D.G.; Zipfel, C.

    2010-01-01

    Plant diseases cause massive losses in agriculture. Increasing the natural defenses of plants may reduce the impact of phytopathogens on agricultural productivity. Pattern-recognition receptors (PRRs) detect microbes by recognizing conserved pathogen-associated molecular patterns (PAMPs)1, 2, 3.

  11. Prevalence and multidrug resistance pattern of Salmonella isolated from resident wild birds of Bangladesh

    Directory of Open Access Journals (Sweden)

    Abdullah Al Faruq

    2016-10-01

    Full Text Available Aim: Salmonellosis is one of the most common zoonotic diseases, and the presence of antimicrobial resistant Salmonella in wild birds is global public health threat. Throughout the last decades, multidrug resistance of Salmonella spp. has increased, particularly in developing countries. Therefore, a cross-sectional study was conducted to investigate the prevalence of Salmonella spp. and antimicrobial resistance pattern against Salmonella spp. from two species of resident wild birds namely house crow (Corvus splendens and Asian pied starling (Gracupica contra. Materials and Methods: Samples were collected from cloacal swabs of house crows and Asian pied starling for isolating Salmonella spp. (bacteriological culture methods followed by antimicrobial susceptibility testing (disk diffusion method against Salmonella spp. isolates during March to December 2014. Results: The prevalence of Salmonella in Asian pied starling and house crows were 67% and 65%, respectively. Within the category of samples from different species, the variation in prevalence was not varied significantly (p>0.05. Isolated Salmonella spp. was tested for resistance to six different antimicrobial agents. Among six antimicrobial tested, 100% resistance were found to penicillin, oxacillin, and clindamycin followed by erythromycin (50-93%, kanamycin (7-20%, and cephalothin (30-67% from both species of birds. Kanamycin remained sensitive in (70-73%, cephalothin (26-70%, and erythromycin appeared to be (0-30% sensitive against Salmonella spp. isolates. Isolated Salmonella spp. was multidrug resistant up to three of the six antimicrobials tested. Conclusion: It can be said that the rational use of antimicrobials needs to be adopted in the treatment of disease for livestock, poultry, and human of Bangladesh to limit the emergence of drug resistance to Salmonella spp.

  12. Associations of antimicrobial use with antimicrobial resistance in Campylobacter coli from grow-finish pigs in Japan.

    Science.gov (United States)

    Ozawa, M; Makita, K; Tamura, Y; Asai, T

    2012-10-01

    To determine associations between antimicrobial use and antimicrobial resistance in Campylobacter coli, 155 isolates were obtained from the feces of apparently healthy grow-finish pigs in Japan. In addition, data on the use of antibiotics collected through the national antimicrobial resistance monitoring system in Japan were used for the analysis. Logistic regression was used to identify risk factors to antimicrobial resistance in C. coli in pigs for the following antimicrobials: ampicillin, dihydrostreptomycin, erythromycin, oxytetracycline, chloramphenicol, and enrofloxacin. The data suggested the involvement of several different mechanisms of resistance selection. The statistical relationships were suggestive of co-selection; use of macrolides was associated with enrofloxacin resistance (OR=2.94; CI(95%): 0.997, 8.68) and use of tetracyclines was associated with chloramphenicol resistance (OR=2.37; CI(95%): 1.08, 5.19). The statistical relationships were suggestive of cross-resistance: use of macrolides was associated with erythromycin resistance (OR=9.36; CI(95%): 2.96, 29.62) and the use of phenicols was associated with chloramphenicol resistance (OR=11.83; CI(95%): 1.41, 99.44). These data showed that the use of antimicrobials in pigs selects for resistance in C. coli within and between classes of antimicrobials. Copyright © 2012 Elsevier B.V. All rights reserved.

  13. Anti-virulence approaches and novel peptidomimetics for combating resistant and biofilm associated bacteria

    DEFF Research Database (Denmark)

    Liu, Yang

    Anti-virulence approaches and novel peptidomimetics for combating resistant and biofilm associated bacteria The misuse and overuse of antibiotics has a broad impact on the environment. Antibiotic resistance has become a major threat for modern medical treatment of infectious diseases. There are m......Anti-virulence approaches and novel peptidomimetics for combating resistant and biofilm associated bacteria The misuse and overuse of antibiotics has a broad impact on the environment. Antibiotic resistance has become a major threat for modern medical treatment of infectious diseases...... consisting of microcolonies embedded in self-produced extracellular polymer substances (EPS). EPS can contribute to cell-cell adhesion and restrict antibiotic penetration. Biofilm cells show much greater resistance to stressful conditions than their free-living counterparts. Conventional treatment strategies...

  14. Association between omentin levels and insulin resistance in pregnancy.

    Science.gov (United States)

    Aktas, G; Alcelik, A; Ozlu, T; Tosun, M; Tekce, B K; Savli, H; Tekce, H; Dikbas, O

    2014-03-01

    Omentin is a new adipokine secreted mainly from visceral adipose tissue. Serum omentin is found to be reduced in patients with impaired glucose tolerance, type 2 diabetes mellitus, obesity and insulin resistant states. Despite the fact that pregnancy is also characterized with hyperinsulinemia, literature is lacking about data of omentin levels and its association with insulin resistance in pregnant women. We aimed to evaluate the association of omentin levels and insulin resistance in pregnant women and to compare these levels with those of non-pregnant, non-diabetic women. Uncomplicated pregnant women who admit to our outpatient clinics for routine follow-up were included in the study group. Non-pregnant women without diabetes mellitus were served as control group. Fasting glucose, insulin, omentin levels and HOMA IR were recorded. SPSS 15.0 for Windows was used for statistical analysis. There were 36 pregnant women in the study group and 37 healthy, non-pregnant women in the control group. Serum omentin and fasting glucose levels were significantly decreased and fasting insulin was significantly increased in the study group compared to control group. Omentin might be an indicator of insulin resistance in pregnant women. Larger prospective studies are needed to claim whether omentin can have a clinical use for diagnosis of gestational diabetes mellitus. © J. A. Barth Verlag in Georg Thieme Verlag KG Stuttgart · New York.

  15. Molecular characterization of resistance to Rifampicin in an emerging hospital-associated Methicillin-resistant Staphylococcus aureus clone ST228, Spain

    Directory of Open Access Journals (Sweden)

    Liñares Josefina

    2010-03-01

    Full Text Available Abstract Background Methicillin-resistant S. aureus (MRSA has been endemic in Hospital Universitari de Bellvitge, Barcelona, since 1990. During the 1990-95 period the Iberian clone (ST-247; SCCmec-I was dominant. Isolates of clonal complex 5 (ST-125; SCCmec-IV gradually replaced the Iberian clone from 1996 to 2003. A new multiresistant MRSA phenotype showing rifampicin resistance emerged in 2004 and rapidly increased from 25% in 2004 to 45% in 2006. The aims of this study were i the molecular characterisation of rifampicin resistant MRSA isolates, ii the study of the rifampicin resistance expression by disk diffusion, microdilution and E-test, and iii the analysis of the rpoB gene mutations involved in rifampicin resistance. Results A sample of representative 108 rifampicin-resistant MRSA isolates belonged to a single PFGE genotype, ST-228, SCCmec type I and spa type t041. Of 108 isolates, 104 (96% had a low-level rifampicin resistance (MICs, 2 to 4 mg/L and 4 a high-level rifampicin resistance (MICs, 128 - ≥ 256 mg/L. Disk diffusion and E-test methods failed to identify a low-level rifampicin resistance in 20 and 12 isolates, respectively. A low-level rifampicin resistance was associated with amino acid substitution 481His/Asn in the beta-subunit of RNA polymerase. Isolates with a high-level rifampicin resistance carried additional mutations in the rpoB gene. Conclusions The emergence of MRSA clone ST228-SCCmecI, related to the Southern Germany clone, involved a therapeutical challenge for treating serious MRSA infections. Decreased susceptibility to rifampicin in MRSA strains of ST228-SCCmecI was associated with one or two specific mutations in the rpoB gene. One fifth of isolates with low-level rifampicin-resistance were missed by the diffusion methods.

  16. Trends of drug-resistance-associated mutations in the reverse transcriptase gene of HIV type 1 isolates from North India.

    Science.gov (United States)

    Azam, Mohd; Malik, Abida; Rizvi, Meher; Rai, Arvind

    2014-04-01

    A major cause of failure of antiretroviral therapy (ART) is the presence of drug-resistance-associated mutations in the polymerase gene of HIV-1. The paucity of data regarding potential drug resistance to reverse transcriptase inhibitors (RTIs) prompted us to carry out this study. This information will shed light on the extent of drug resistance already present in HIV strains and will give future directions in patient treatment and in drug design. Drug resistance genotyping of a partial reverse transcriptase gene was done in 103 HIV-1-infected patients, including the ART-naive and ART-experienced population. The drug resistance pattern was analyzed using the Stanford HIV-DR database, the IAS-USA mutation list and the REGA algorithm-v8.0. Subtyping was done using the REGA HIV-1 subtyping tool-v2.01. The majority of our sequences (96 %) were found to be subtype C, and four (3.8 %) were subtype A1. Significant prevalence of DR mutations (28 %) was observed in the RT gene. Major amino acid substitutions were seen at positions 41, 90, 98, 103, 106, 108, 138, 181, 184, 190, 215, and 219, which confer high/intermediate levels of resistance to most RTIs, independently or together. Our results show that there is an urgent need to tailor ART drug regimens to the individual to achieve optimum therapeutic outcome in North India.

  17. Size, node status and grade of breast tumours: association with mammographic parenchymal patterns

    Energy Technology Data Exchange (ETDEWEB)

    Sala, E.; Solomon, L.; McCann, J. [Department of Community Medicine, Strangeways Research Laboratory, Worts Causeway, Cambridge (United Kingdom); Warren, R. [Cambridge and Huntingdon Breast Screening Service, Rosie Maternity Hospital, Robinson Way, Cambridge (United Kingdom); Duffy, S. [MRC-Biostatistics Unit, Institute of Public Health, Cambridge (United Kingdom); Luben, R. [Department of Clinical Gerontology, Strangeways Research Laboratory, Cambridge (United Kingdom); Day, N. [Department of Community Medicine, Institute of Public Health, Robinson Way, Cambridge, CB2 2SR (United Kingdom)

    2000-01-01

    A case-control study was designed to assess the association of mammographic parenchymal patterns with the risk of in-situ and invasive breast cancer. In addition, the relationship between tumour characteristics and mammographic patterns were also investigated. A total of 875 patients with breast cancer were selected and matched with 2601 controls. Mammographic parenchymal patterns of breast tissue were assessed according to Wolfe's classification, and statistical analysis was by conditional logistic regression. Relative to the N1 pattern, the odds ratios of having an invasive breast cancer associated with the P2 and DY patterns were 1.8 and 1.4, respectively. In addition, the odd ratios of having an invasive grade 3 breast cancer associated with the P2 and DY patterns were 2.8 and 3.9, respectively. Relative to the combined N1/P1 pattern, the odd ratios of having a breast cancer smaller than 14 mm, 15-29 mm, or larger than 30 mm associated with the combined high-risk P2/DY pattern (P2 + DY) were 1.2, 1.6, and 2.0, respectively. Finally, women with the P2/DY pattern were twice as likely to have a breast cancer which had already spread to the axillary nodes, compared to women with women with the N1/P1 pattern (odds ratios of 2.1 and 1.4, respectively). Our results confirm previous findings suggesting that mammographic parenchymal patterns may serve as indicators of risk for breast cancer. Our results also suggest that mammographic parenchymal patterns are associated with the stage at which breast cancer is detected. (orig.)

  18. Size, node status and grade of breast tumours: association with mammographic parenchymal patterns

    International Nuclear Information System (INIS)

    Sala, E.; Solomon, L.; McCann, J.; Warren, R.; Duffy, S.; Luben, R.; Day, N.

    2000-01-01

    A case-control study was designed to assess the association of mammographic parenchymal patterns with the risk of in-situ and invasive breast cancer. In addition, the relationship between tumour characteristics and mammographic patterns were also investigated. A total of 875 patients with breast cancer were selected and matched with 2601 controls. Mammographic parenchymal patterns of breast tissue were assessed according to Wolfe's classification, and statistical analysis was by conditional logistic regression. Relative to the N1 pattern, the odds ratios of having an invasive breast cancer associated with the P2 and DY patterns were 1.8 and 1.4, respectively. In addition, the odd ratios of having an invasive grade 3 breast cancer associated with the P2 and DY patterns were 2.8 and 3.9, respectively. Relative to the combined N1/P1 pattern, the odd ratios of having a breast cancer smaller than 14 mm, 15-29 mm, or larger than 30 mm associated with the combined high-risk P2/DY pattern (P2 + DY) were 1.2, 1.6, and 2.0, respectively. Finally, women with the P2/DY pattern were twice as likely to have a breast cancer which had already spread to the axillary nodes, compared to women with women with the N1/P1 pattern (odds ratios of 2.1 and 1.4, respectively). Our results confirm previous findings suggesting that mammographic parenchymal patterns may serve as indicators of risk for breast cancer. Our results also suggest that mammographic parenchymal patterns are associated with the stage at which breast cancer is detected. (orig.)

  19. Traditional dietary pattern is associated with elevated cholesterol among the Inuit of Nunavik.

    Science.gov (United States)

    Labonté, Marie-Ève; Dewailly, Eric; Lucas, Michel; Chateau-Degat, Marie-Ludivine; Couture, Patrick; Lamarche, Benoît

    2014-08-01

    Our cross-sectional study assessed the associations between dietary patterns and cardiovascular disease (CVD) risk factors among Nunavik Inuit. This study was conducted as part of the 2004 Nunavik Inuit Health Survey, which included the collection of clinical measurements, plasma samples, and diet information from a food frequency questionnaire. A sample of 666 Inuit aged 18 years and older was included in our analyses. Dietary patterns were generated by principal component analysis. Multivariate general linear models adjusting for sex, age, waist circumference, and other potential confounders were used to examine associations between dietary patterns and CVD risk factors. Four distinct patterns were identified, namely the traditional, Western, nutrient-poor food, and healthy patterns. The traditional pattern showed positive associations with plasma total cholesterol, low-density lipoprotein (LDL) cholesterol, apolipoprotein B100, LDL peak particle diameter, and oxidized LDL (all P values for trend≤0.04), but showed no association with the total cholesterol:high-density lipoprotein cholesterol ratio or with inflammatory biomarkers (all P values for trend ≥0.19). The nutrient-poor food pattern was positively associated with oxidized LDL (P=0.04), but inversely associated with high-sensitivity C-reactive protein (PInuit is not associated with important changes in CVD risk factors, with the exception of a slight elevation in cholesterol concentrations, most likely attributable to increased n-3 fatty acid intake. Dietary patterns reflecting the recent introduction of market foods in the Inuit diet appear to exert a trivial influence on CVD risk factors. Copyright © 2014 Academy of Nutrition and Dietetics. Published by Elsevier Inc. All rights reserved.

  20. Transcriptome Profiling of Antimicrobial Resistance in Pseudomonas aeruginosa.

    Science.gov (United States)

    Khaledi, Ariane; Schniederjans, Monika; Pohl, Sarah; Rainer, Roman; Bodenhofer, Ulrich; Xia, Boyang; Klawonn, Frank; Bruchmann, Sebastian; Preusse, Matthias; Eckweiler, Denitsa; Dötsch, Andreas; Häussler, Susanne

    2016-08-01

    Emerging resistance to antimicrobials and the lack of new antibiotic drug candidates underscore the need for optimization of current diagnostics and therapies to diminish the evolution and spread of multidrug resistance. As the antibiotic resistance status of a bacterial pathogen is defined by its genome, resistance profiling by applying next-generation sequencing (NGS) technologies may in the future accomplish pathogen identification, prompt initiation of targeted individualized treatment, and the implementation of optimized infection control measures. In this study, qualitative RNA sequencing was used to identify key genetic determinants of antibiotic resistance in 135 clinical Pseudomonas aeruginosa isolates from diverse geographic and infection site origins. By applying transcriptome-wide association studies, adaptive variations associated with resistance to the antibiotic classes fluoroquinolones, aminoglycosides, and β-lactams were identified. Besides potential novel biomarkers with a direct correlation to resistance, global patterns of phenotype-associated gene expression and sequence variations were identified by predictive machine learning approaches. Our research serves to establish genotype-based molecular diagnostic tools for the identification of the current resistance profiles of bacterial pathogens and paves the way for faster diagnostics for more efficient, targeted treatment strategies to also mitigate the future potential for resistance evolution. Copyright © 2016, American Society for Microbiology. All Rights Reserved.

  1. Heavy metal and disinfectant resistance genes among livestock-associated methicillin-resistant Staphylococcus aureus isolates

    DEFF Research Database (Denmark)

    Argudin, Maria Angeles; Lauzat, Birgit; Kraushaar, Britta

    2016-01-01

    Livestock associated methicillin-resistant Staphylococcus aureus (LA-MRSA) has emerged in animal production worldwide. Most LA-MRSA in Europe belong to the clonal complex (CC)398. The reason for the LA-MRSA emergence is not fully understood. Besides antimicrobial agents used for therapy, other su...

  2. Risk factors associated with default from multi- and extensively drug-resistant tuberculosis treatment, Uzbekistan: a retrospective cohort analysis.

    Science.gov (United States)

    Lalor, Maeve K; Greig, Jane; Allamuratova, Sholpan; Althomsons, Sandy; Tigay, Zinaida; Khaemraev, Atadjan; Braker, Kai; Telnov, Oleksander; du Cros, Philipp

    2013-01-01

    The Médecins Sans Frontières project of Uzbekistan has provided multidrug-resistant tuberculosis treatment in the Karakalpakstan region since 2003. Rates of default from treatment have been high, despite psychosocial support, increasing particularly since programme scale-up in 2007. We aimed to determine factors associated with default in multi- and extensively drug-resistant tuberculosis patients who started treatment between 2003 and 2008 and thus had finished approximately 2 years of treatment by the end of 2010. A retrospective cohort analysis of multi- and extensively drug-resistant tuberculosis patients enrolled in treatment between 2003 and 2008 compared baseline demographic characteristics and possible risk factors for default. Default was defined as missing ≥60 consecutive days of treatment (all drugs). Data were routinely collected during treatment and entered in a database. Potential risk factors for default were assessed in univariate analysis using chi-square test and in multivariate analysis with logistic regression. 20% (142/710) of patients defaulted after a median of 6 months treatment (IQR 2.6-9.9). Factors associated with default included severity of resistance patterns (pre-extensively drug-resistant/extensively drug-resistant tuberculosis adjusted odds ratio 0.52, 95%CI: 0.31-0.86), previous default (2.38, 1.09-5.24) and age >45 years (1.77, 1.10-2.87). The default rate was 14% (42/294) for patients enrolled 2003-2006 and 24% (100/416) for 2007-2008 enrolments (p = 0.001). Default from treatment was high and increased with programme scale-up. It is essential to ensure scale-up of treatment is accompanied with scale-up of staff and patient support. A successful first course of tuberculosis treatment is important; patients who had previously defaulted were at increased risk of default and death. The protective effect of severe resistance profiles suggests that understanding disease severity or fear may motivate against default. Targeted

  3. Occurrence and antimicrobial resistance patterns of Listeria monocytogenes isolated from vegetables

    Directory of Open Access Journals (Sweden)

    Vanessa de Vasconcelos Byrne

    2016-06-01

    Full Text Available Abstract Although the consumption of fresh and minimally processed vegetables is considered healthy, outbreaks related to the contamination of these products are frequently reported. Among the food-borne pathogens that contaminate vegetables is Listeria monocytogenes, a ubiquitous organism that exhibits the ability to survive and multiply at refrigerated temperatures. This study aimed to evaluate the occurrence of L. monocytogenes in vegetables as well as the antimicrobial resistance of isolates. The results showed that 3.03% of samples were contaminated with L. monocytogenes, comprising 2.22% of raw vegetables and 5.56% of ready-to-eat vegetables. Multiplex PCR confirmed the virulence potential of the isolates. Antimicrobial resistance profiling showed that 50% of the isolates were susceptible to the antibiotics used. The resistance of one isolate to penicillin G, a commonly employed therapeutic agent, and the presence of serotype 4b, a serotype commonly associated with food-borne outbreaks, could be potential health hazards for consumers.

  4. Insulin resistance is associated with the aggressiveness of pancreatic ductal carcinoma.

    Science.gov (United States)

    Dugnani, Erica; Balzano, Gianpaolo; Pasquale, Valentina; Scavini, Marina; Aleotti, Francesca; Liberati, Daniela; Di Terlizzi, Gaetano; Gandolfi, Alessandra; Petrella, Giovanna; Reni, Michele; Doglioni, Claudio; Bosi, Emanuele; Falconi, Massimo; Piemonti, Lorenzo

    2016-12-01

    To study whether insulin resistance accelerates the development and/or the progression of pancreatic adenocarcinoma (PDAC), we hypothesized that patients with insulin resistance, compared with those without insulin resistance, show: (1) a younger age and more advanced PDAC stage at diagnosis and (2) a shorter disease-free and overall survival after PDAC diagnosis. Prospective observational study of patients admitted to a referral center for pancreatic disease. Insulin resistance was defined as a HOMA-IR value greater than the 66th percentile value of the patients included in this study. Survival was estimated according to Kaplan-Meier and by Cox regression. Of 296 patients with PDAC, 99 (33 %) met criteria for being classified as insulin resistant at diagnosis. Median follow-up time after diagnosis was 5.27 ± 0.23 years. Patients with insulin resistance received a diagnosis of PDAC at a similar age compared to patients without insulin resistance (67.1 ± 9 vs. 66.8 ± 10 years, p = 0.68), but were more likely to have a cancer stage ≥3 (23.2 vs. 14.2 %, p = 0.053) and a residual disease after surgery (R1 56.4 vs. 38 %; p = 0.007). The median overall survival was 1.3 ± 0.14 and 1.79 ± 0.11 years for the patients with and without insulin resistance, respectively (p = 0.016). Results did not change when patients with diabetes at PDAC diagnosis were excluded from the analysis. Multivariate analysis showed that insulin resistance was independently associated with overall survival. Insulin resistance is associated with the aggressiveness of PDAC.

  5. Diversity and antimicrobial susceptibility of oxytetracycline-resistant isolates of Stenotrophomonas sp. and Serratia sp. associated with Costa Rican crops.

    Science.gov (United States)

    Rodríguez, C; Wachlin, A; Altendorf, K; García, F; Lipski, A

    2007-12-01

    To ameliorate the identification, evaluate the diversity, and determine the antimicrobial sensitivity of 19 oxytetracycline-resistant isolates of Stenotrophomonas sp. and Serratia sp. associated with Costa Rican crops. Phenotypical, chemotaxonomical, and molecular data allocated most isolates to the species Sten. maltophilia and Ser. marcescens. The API profiles, antimicrobial resistance patterns (ATB system), and BOX-polymerase chain reaction (PCR) genomic fingerprints of isolates of Stenotrophomonas sp. exhibited a higher degree of heterogeneity than those obtained for the isolates of Serratia sp. The former group of bacteria exhibited multiresistance to antimicrobials. In contrast, isolates of Serratia sp. were sensitive to the majority of the drugs tested. Changes in the results of the antibiograms throughout incubation, which indicate an induction of tolerance, were observed for isolates of both the species. Minimum inhibitory concentration of oxytetracycline, determined using E-test stripes, were rather elevated. The occurrence of two species of opportunistic pathogens in crop-associated materials poses a risk to consumers in the community. The phenotypic and genotypic data presented could support epidemiologist and physicians dealing with infections caused by environmental strains of these taxa.

  6. Country-Specific Dietary Patterns and Associations with Socioeconomic Status in European Children

    DEFF Research Database (Denmark)

    Fernandez-Alvira, Juan M.; Bammann, Karin; Pala, Valeria

    2014-01-01

    Background/objectives:Children from lower socioeconomic status (SES) may be at higher risk of unhealthy eating. We described country-specific dietary patterns among children aged 2-9 years from eight European countries participating in the IDEFICS study and assessed the association of dietary...... patterns with an additive SES indicator.Subjects/Methods:Children aged 2-9 years from eight European countries were recruited in 2007-2008. Principal component analysis was applied to identify dietary country-specific patterns. Linear regression analyses were applied to assess their association with SES....... Results:Two to four dietary patterns were identified in the participating regions. The existence of a 'processed' pattern was found in the eight regions. Also, a 'healthy' pattern was identified in seven of the eight regions. In addition, region-specific patterns were identified, reflecting the existing...

  7. A rice-based traditional dietary pattern is associated with obesity in Korean adults.

    Science.gov (United States)

    Kim, Jihye; Jo, Inho; Joung, Hyojee

    2012-02-01

    Dietary patterns are critical in the prevention of chronic disease. The relationship between specific dietary patterns and obesity has not been evaluated in a Korean adult population. This study examined whether specific dietary patterns are associated with obesity using survey data of the largest, nationally representative, general Korean population. The cross-sectional study was comprised of 10,089 Korean adults (19 years or older) who participated in the second and third Korean National Health and Nutrition Examination Surveys conducted in 2001 and 2005, respectively. Dietary data were assessed by 24-hour recall method. Obesity was defined as body mass index (calculated as kg/m(2)) ≥25, which is the cutoff point for adults in the Asian and Pacific regions. Four dietary patterns were derived using factor analysis (white rice and kimchi pattern; high-fat, sweets, and coffee pattern; meat and alcohol pattern; and grains, vegetables, and fish pattern). Each dietary pattern explained 8.6%, 6.7%, 5.7%, and 5.7% of the variation in food intake, respectively. The white rice and kimchi pattern (P for trend=0.0039) and the high-fat, sweets, and coffee pattern (P for trend=0.0054) were positively associated with obesity after adjustments for age, sex, energy intake, alcohol intake, smoking status, physical activity, and chronic diseases. No significant association was found among the meat and alcohol pattern; the grains, vegetables, and fish pattern; and obesity. Specific dietary patterns, which include the white rice and kimchi and high-fat, sweets, and coffee patterns, may be independently associated with obesity in Korean adults. Copyright © 2012 Academy of Nutrition and Dietetics. Published by Elsevier Inc. All rights reserved.

  8. Inverse association between soya food consumption and insulin resistance in Japanese adults.

    Science.gov (United States)

    Nakamoto, Mariko; Uemura, Hirokazu; Sakai, Tohru; Katsuura-Kamano, Sakurako; Yamaguchi, Miwa; Hiyoshi, Mineyoshi; Arisawa, Kokichi

    2015-08-01

    The purpose of the present study was to examine the association between soya food consumption and insulin resistance using baseline data of the Japan Multi-Institutional Collaborative Cohort (J-MICC) Study in Tokushima, Japan. This cross-sectional study included 1274 subjects, aged 34-70 years at baseline, living in Tokushima Prefecture between 2008 and 2013. Fasting blood samples were collected and information on lifestyle characteristics including soya food intake and medical history were obtained using a structured self-administered questionnaire. The homeostasis model assessment of insulin resistance (HOMA-IR) was measured and those with HOMA-IR ≥ 2.5 were defined as having insulin resistance. Multiple logistic regression models were used to analyse the association between soya product intake and the prevalence of insulin resistance. Rural communities located in Tokushima Prefecture, Japan, between 2008 and 2013. A total of 1148 adults (565 men and 583 women), aged 34-70 years. The frequency of intake of miso soup, total non-fried soya products and total soya products showed significant inverse dose-response relationships with insulin resistance, after adjustments for potential confounders. When soya product intake was calculated as soya protein and isoflavone, the odds ratios of insulin resistance decreased significantly as the estimated intake of soya protein increased. Furthermore, significant inverse dose-response relationships were observed for total non-fried soya products and total soya products, after adjustment for total vegetable or total fibre consumption. The present results indicate that the intake of soya products and non-fried soya products is associated with reduced insulin resistance in the Japanese population.

  9. Dietary Patterns Exhibit Sex-Specific Associations with Adiposity and Metabolic Risk in a Cross-Sectional Study in Urban Mexican Adolescents.

    Science.gov (United States)

    Perng, Wei; Fernandez, Carmen; Peterson, Karen E; Zhang, ZhenZhen; Cantoral, Alejandra; Sanchez, Brisa N; Solano-González, Maritsa; Téllez-Rojo, Martha Maria; Baylin, Ana

    2017-10-01

    Background: Studies in Western nations have shown associations of certain dietary patterns with obesity and metabolic risk in youth. Little is known about these relations in newly industrialized countries where obesity prevalence is surpassing those of developed countries. Objective: We sought to characterize dietary patterns in a cross-sectional study in 224 adolescents aged 8-14 y in Mexico and to investigate associations of the dietary patterns with adiposity and metabolic risk. Methods: We used principal components analysis to derive dietary patterns from food-frequency questionnaire data. By using linear regression models that accounted for mother's marital status, education, and smoking habits and child's age and physical activity, we examined associations of the dietary patterns with adiposity [body mass index z score, waist circumference, the sum and ratio of the subscapular and triceps skinfold thicknesses, blood pressure, serum fasting glucose and a C-peptide-based measure of insulin resistance (CP-IR), lipid profile, and a metabolic syndrome risk z score (MetS z score)]. Results: We identified a "prudent" dietary pattern characterized by high intakes of vegetables, fruit, fish, chicken, and legumes and a "transitioning" dietary pattern, which comprises processed meats, Mexican foods, and sweetened beverages. Each unit increase in the prudent pattern factor score corresponded with 0.33 ng/mL (95% CI: 0.09, 0.57 ng/mL) lower C-peptide, 0.08 units (95% CI: 0.02, 0.13 units) lower CP-IR, and a 0.14 unit (0.00, 0.27 unit) lower MetS z score in boys. In girls, the transitioning pattern corresponded with higher subscapular + triceps skinfold thickness (per 1-unit increase in the factor score: 2.46 mm; 95% CI: 0.10, 4.81 mm). These results did not change after accounting for pubertal status. Conclusions: A prudent dietary pattern was protective against metabolic risk in adolescent boys, whereas a transitioning dietary pattern corresponded with higher adiposity

  10. [Antibiotic resistance patterns of Escherichia coli strains isolated from urine cultures in Turkey: a meta-analysis].

    Science.gov (United States)

    Aykan, Sadiye Berna; Ciftci, Ihsan Hakkı

    2013-10-01

    Escherichia coli is the most frequently isolated microorganism from both community-acquired and nosocomial urinary tract infections in Turkey. A large number of studies concerning antibiotic susceptibility of E.coli have been published from different centers throughout the country. The aim of this study was to evaluate the antibiotic resistance patterns of E.coli strains isolated from urine cultures by a meta-analysis in published medical literature between the years of 1996-2012 in Turkey. The study was planned and conducted in accordance with the declaration of PRISMA and describes the methods of literature search, the determining criteria for inclusion and evaluation of articles, data collection and statistical analysis. To find the published series Google Scholar and PubMed international databases were used to access published manuscripts evaluated according to the determined criteria for acceptance and rejection. For each study, general data and antibiotic resistance rates were collected as a common unit. Publications considered as lacking in appropriate content was eliminated from the study. Statistical analysis of the data obtained were 95% confidence intervals, and p≤ 0.05 value was considered as significant difference. A total of 228 articles were found to be published during 1996-2012 period, while 101 of them were included in the meta-analysis according to the eligibility criteria. The analyses indicated that nitrofurantoin and piperacillin resistance rates have been decreased, whereas ciprofloxacin, cefepime, co-trimoxazole and extended-spectrum beta-lactamase (ESBL) positivity rates have been increased during the study period. The increases in the rates of ciprofloxacin and cefepime resistance and and ESBL production were statistically-significant (pAntibiotic resistance rates, except for imipenem, in bacterial strains, isolated from hospitalized patients were found significantly higher in strains obtained from outpatients. The differences between

  11. Antibiotic cross-resistance in the lab and resistance co-occurrence in the clinic: Discrepancies and implications in E.coli.

    Science.gov (United States)

    Obolski, Uri; Dellus-Gur, Eynat; Stein, Gideon Y; Hadany, Lilach

    2016-06-01

    Antibiotic resistance is an important public health issue, and vast resources are invested in researching new ways to fight it. Recent experimental works have shown that resistance to some antibiotics can result in increased susceptibility to others, namely induce cross-sensitivity. This phenomenon could be utilized to increase efficiency of antibiotic treatment strategies that minimize resistance. However, as conditions in experimental settings and in the clinic may differ substantially, the implications of cross-sensitivity for clinical settings are not guaranteed and should be examined. In this work we analyzed data of Escherichia coli isolates from patients' blood, sampled in Rabin Medical Center, Israel, to examine co-occurrence of resistance to antibiotics in the clinic. We compared the co-occurrence patterns with cross-sensitivity patterns observed in the lab. Our data showed only positively associated occurrence of resistance, even with antibiotics that were shown to induce cross-sensitivity in laboratory conditions. We used a mathematical model to examine the potential effects of cross-sensitivity versus co-occurrence on the spread of drug resistance. We conclude that resistance frequencies in the clinic can have a substantial effect on the success of treatment strategies, and should be considered alongside experimental evidence of cross-sensitivity. Copyright © 2016. Published by Elsevier B.V.

  12. Infecções do trato urinário em pacientes não hospitalizados: etiologia e padrão de resistência aos antimicrobianos Urinary tract infections in non hospitalized patients: etiology and antibiotic resistance patterns

    Directory of Open Access Journals (Sweden)

    Alexandre Braoios

    2009-12-01

    Full Text Available INTRODUÇÃO E OBJETIVO: Infecção do trato urinário (ITU é comumente diagnosticada na prática médica, e é cada vez mais comum o isolamento de cepas resistentes em pacientes não hospitalizados. Nosso objetivo foi avaliar a frequência e a resistência dos principais uropatógenos na cidade de Presidente Prudente, SP, entre janeiro de 2006 e dezembro de 2007. MATERIAL E MÉTODOS: Nós realizamos um levantamento de dados retrospectivo sobre a etiologia e o padrão de resistência dos uropatógenos. RESULTADOS: O uropatógeno mais frequente foi E. coli (65,97%. Foi encontrada diferença significativa (p INTRODUCTION AND OBJECTIVE: Urinary tract infection (UTI is frequently diagnosed in medical practice and the isolation of resistant strains in non-hospitalized patients is increasingly common. Our objective was to evaluate the frequency and resistance of uropathogens in the city of Presidente Prudente, Brazil, between January 2006 and December 2007. MATERIAL AND METHODS: We carried out a retrospective investigation into the etiology and resistance patterns of uropathogens. RESULTS: The most frequent uropathogen was E. coli (65.97%. There was a significant difference (p < 0.05 in the prevalence of E. coli according to the age group. Ampicillin and nitrofurantoin showed, respectively, smaller and larger inhibitory power against enterobacteria. However, 88.4% of Proteus mirabilis showed resistance to nitrofurantoin as well as wider resistance pattern. Resistance rates to ceftriaxone suggest production of ESBL. DISCUSSION: Our data are similar to those found in other studies. It is important that the local medical community should be acquainted with these findings as well as data from future studies that can detect changes in etiology or resistance pattern in our region. This monitoring is an important tool for the update of empirical therapy. CONCLUSION: The data reported herein show that the etiology of urinary infections is very similar to

  13. Patterned ion exchange membranes for improved power production in microbial reverse-electrodialysis cells

    KAUST Repository

    Liu, Jia

    2014-12-01

    Power production in microbial reverse-electrodialysis cells (MRCs) can be limited by the internal resistance of the reverse electrodialysis stack. Typical MRC stacks use non-conductive spacers that block ion transport by the so-called spacer shadow effect. These spacers can be relatively thick compared to the membrane, and thus they increase internal stack resistance due to high solution (ohmic) resistance associated with a thick spacer. New types of patterned anion and cation exchange membranes were developed by casting membranes to create hemispherical protrusions on the membranes, enabling fluid flow between the membranes without the need for a non-conductive spacer. The use of the patterned membrane decreased the MRC stack resistance by ∼22 Ω, resulting in a 38% increase in power density from 2.50 ± 0.04 W m-2 (non-patterned membrane with a non-conductive spacer) to 3.44 ± 0.02 W m-2 (patterned membrane). The COD removal rate, coulombic efficiency, and energy efficiency of the MRC also increased using the patterned membranes compared to the non-patterned membranes. These results demonstrate that these patterned ion exchange membranes can be used to improve performance of an MRC. © 2014 Elsevier B.V. All rights reserved.

  14. Race-Specific Adult-Plant Resistance in Winter Wheat to Stripe Rust and Characterization of Pathogen Virulence Patterns.

    Science.gov (United States)

    Milus, Eugene A; Moon, David E; Lee, Kevin D; Mason, R Esten

    2015-08-01

    Stripe rust, caused by Puccinia striiformis f. sp. tritici, is an important disease of wheat in the Great Plains and southeastern United States. Growing resistant cultivars is the preferred means for managing stripe rust, but new virulence in the pathogen population overcomes some of the resistance. The objectives of this study were to characterize the stripe rust resistance in contemporary soft and hard red winter wheat cultivars, to characterize the virulence of P. striiformis f. sp. tritici isolates based on the resistances found in the cultivars, and to determine wheat breeders' perceptions on the importance and methods for achieving stripe rust resistance. Seedlings of cultivars were susceptible to recent isolates, indicating they lacked effective all-stage resistance. However, adult-plants were resistant or susceptible depending on the isolate, indicating they had race-specific adult-plant resistance. Using isolates collected from 1990 to 2013, six major virulence patterns were identified on adult plants of twelve cultivars that were selected as adult-plant differentials. Race-specific adult-plant resistance appears to be the only effective type of resistance protecting wheat from stripe rust in eastern United States. Among wheat breeders, the importance of incorporating stripe rust resistance into cultivars ranged from high to low depending on the frequency of epidemics in their region, and most sources of stripe rust resistance were either unknown or already overcome by virulence in the pathogen population. Breeders with a high priority for stripe rust resistance made most of their selections based on adult-plant reactions in the field, whereas breeders with a low priority for resistance based selections on molecular markers for major all-stage resistance genes.

  15. Permethrin resistance in Aedes albopictus (Diptera: Culicidae) and associated fitness costs.

    Science.gov (United States)

    Chan, Hiang Hao; Zairi, Jaal

    2013-03-01

    Insecticide resistance has become a serious issue in vector management programs. Information on insecticidal resistance and its associated mechanisms is important for successful insecticide resistance management. The selection of a colony of permethrin-resistant Aedes albopictus (Skuse) (Diptera: Culicidae), originating from Penang Island, Malaysia, yielded high larval-specific resistance to permethrin and cross-resistance to deltamethrin. Synergism assays showed that the major mechanism underlying this resistance involves cytochrome P450 monooxygenase. The resistance is autosomal, polygenically inherited and incompletely dominant (D = 0.26). Resistant larvae were reared under different conditions to assess the fitness costs. Under high larval density, larval development time of the resistant SGI strain was significantly longer than the susceptible VCRU strain. In both high- and low-density conditions SGI showed a lower rate of emergence and survival compared with the VCRU strain. Resistant larvae were more susceptible to predation by Toxorhynchites splendens (Wiedemann) (Diptera: Culicidae) larvae. The body size of SGI females reared under high-density conditions was larger compared with females of the susceptible strain. SGI females survived longer when starved than did VCRU females. The energy reserve upon eclosion was positively correlated with the size of the adults.

  16. Healthy dietary pattern is inversely associated with non-alcoholic fatty liver disease in elderly.

    Science.gov (United States)

    Adriano, Lia Silveira; Sampaio, Helena Alves de Carvalho; Arruda, Soraia Pinheiro Machado; Portela, Clarissa Lima de Melo; de Melo, Maria Luisa Pereira; Carioca, Antônio Augusto Ferreira; Soares, Nadia Tavares

    2016-06-01

    The prevalence of non-alcoholic fatty liver disease (NAFLD) is rising, an increase that may be associated with changes in lifestyle such as unhealthy dietary patterns. Although advanced age is a risk factor for NAFLD, no studies reporting this association in the elderly population were found. In the present study, the association between dietary patterns and NAFLD in the elderly was assessed. A study including 229 older adults was conducted. NAFLD diagnosis was defined as individuals whose ultrasound examination disclosed hepatic steatosis at any stage, in the absence of excess intake of alcoholic beverages. Dietary patterns were obtained by principal components analysis. Mean scores and standard errors of each dietary pattern were calculated for the groups with and without NAFLD, and mean scores of the two groups were compared using the Mann-Whitney U test. The prevalence ratios and 95 % CI were estimated for each tertile of the dietary pattern adherence scores using Poisson multiple regression models with robust variance. A total of 103 (45 %) elderly with NAFLD and four dietary patterns were identified: traditional, regional snacks, energy dense and healthy. Mean scores for adherence to the healthy pattern in the groups with and without NAFLD differed. NAFLD was inversely associated with greater adherence to the healthy pattern and directly associated with the regional snacks, after adjustment for confounders. In conclusion, healthy dietary pattern is inversely associated with NAFLD in elderly.

  17. Associations between Dietary Patterns and Impaired Fasting Glucose in Chinese Men: A Cross-Sectional Study.

    Science.gov (United States)

    Zhang, Meilin; Zhu, Yufeng; Li, Ping; Chang, Hong; Wang, Xuan; Liu, Weiqiao; Zhang, Yuwen; Huang, Guowei

    2015-09-21

    Few studies have examined the association between Asian dietary pattern and prediabetes, in particular, the Chinese diet. We conducted a cross-sectional study to identify dietary patterns associated with impaired fasting glucose (IFG) which considered a state of prediabetes in Chinese men. The study included 1495 Chinese men aged 20 to 75 years. Information about diet was obtained using an 81-item food frequency questionnaire (FFQ), and 21 predefined food groups were considered in a factor analysis. Three dietary patterns were generated by factor analysis: (1) a vegetables-fruits pattern; (2) an animal offal-dessert pattern; and (3) a white rice-red meat pattern. The multivariate-adjusted odds ratio (OR) of IFG for the highest tertile of the animal offal-dessert pattern in comparison with the lowest tertile was 3.15 (95% confidence intervals (CI): 1.87-5.30). The vegetables-fruits dietary pattern was negatively associated with the risk of IFG, but a significant association was observed only in the third tertile. There was no significant association between IFG and the white rice-red meat pattern. Our findings indicated that the vegetables-fruits dietary pattern was inversely associated with IFG, whereas the animal offal-dessert pattern was associated with an increased risk of IFG in Chinese men. Further prospective studies are needed to elucidate the diet-prediabetes relationships.

  18. Association between dietary patterns and blood lipid profiles among Chinese women.

    Science.gov (United States)

    Zhang, Jiguo; Wang, Zhihong; Wang, Huijun; Du, Wenwen; Su, Chang; Zhang, Ji; Jiang, Hongru; Jia, Xiaofang; Huang, Feifei; Zhai, Fengying; Zhang, Bing

    2016-12-01

    The present study aimed to identify dietary patterns and explore their associations with blood lipid profiles among Chinese women. In a cross-sectional study, we identified dietary patterns using principal component analysis of data from three consecutive 24 h dietary recalls. The China Health and Nutrition Survey (CHNS) collected blood samples in the morning after an overnight fast and measured total cholesterol (TC), HDL cholesterol (HDL-C), LDL cholesterol (LDL-C) and TAG. Data were from the 2009 wave of the CHNS. We studied 2468 women aged 18-80 years from the CHNS. We identified three dietary patterns: traditional southern (high intakes of rice, pork and vegetables), snack (high intakes of fruits, eggs and cakes) and Western (high intakes of poultry, fast foods and milk). The traditional southern pattern was inversely associated with HDL-C (β=-0·68; 95 % CI -1·22, -0·14; Ppattern was significantly associated with higher TAG (β=4·14; 95 % CI 0·44, 7·84; Ppattern was positively associated with TC (β=2·52; 95 % CI 1·03, 4·02; Ppatterns that are significantly associated with blood lipid profiles. This information is important for developing interventions and policies addressing dyslipidaemia prevention among Chinese women.

  19. Dietary patterns are associated with overweight and obesity in Mexican school-age children.

    Science.gov (United States)

    Rodríguez-Ramírez, Sonia; Mundo-Rosas, Verónica; García-Guerra, Armando; Shamah-Levy, Teresa

    2011-09-01

    In Mexico, about one third of school-age population is overweight or obese and the diet is one of the main determinants. The purpose of this study was to identify the dietary patterns of Mexican school-age children and to determine their association with the risk of overweight/obesity. This study included 8252 school-age children who participated in the 2006 National Health and Nutrition Survey (ENSANUT-2006). Dietary data were collected using a 7-day Food Frequency Questionnaire (FFQ). Foods were classified into 25 groups and dietary patterns were defined by cluster analysis. Body Mass Index and prevalence of overweight/obesity were calculated. Logistic regression models were used to evaluate the association between dietary patterns and overweight/obesity. Five dietary patterns were identified: Rural dietary pattern (high intake of tortilla and legumes), sweet cereal and corn dishes pattern (high intake of sugary cereals, tortilla, and maize products); diverse pattern (intake of several food groups); western pattern (high intake of sweetened beverages, fried snacks, industrial snack cakes, and sugary cereals), and whole milk and sweet pattern (high intake of whole milk and sweets). We found that children with sweet cereal and corn dishes and western dietary patterns showed an association with overweight and obesity (prevalence ratio 1.29 and 1.35, respectively, using as reference the rural dietary pattern). Patterns characterized by high intakes of sugary cereals, sweetened beverages, industrial snack, cakes, whole milk, and sweets were associated with a higher risk of overweight/obesity among in Mexican school-age children.

  20. Median Hetero-Associative Memories Applied to the Categorization of True-Color Patterns

    Science.gov (United States)

    Vázquez, Roberto A.; Sossa, Humberto

    Median associative memories (MED-AMs) are a special type of associative memory based on the median operator. This type of associative model has been applied to the restoration of gray scale images and provides better performance than other models, such as morphological associative memories, when the patterns are altered with mixed noise. Despite of his power, MED-AMs have not been applied in problems involving true-color patterns. In this paper we describe how a median hetero-associative memory (MED-HAM) could be applied in problems that involve true-color patterns. A complete study of the behavior of this associative model in the restoration of true-color images is performed using a benchmark of 14400 images altered by different type of noises. Furthermore, we describe how this model can be applied to an image categorization problem.

  1. Resistance to 1,25-dihydroxyvitamin D. Association with heterogeneous defects in cultured skin fibroblasts

    International Nuclear Information System (INIS)

    Liberman, U.A.; Eil, C.; Marx, S.J.

    1983-01-01

    We evaluated the interaction of [ 3 H]1,25(OH) 2 D 3 with skin fibroblasts cultured from normal subjects or from affected members of six kindreds with rickets and resistance to 1-alpha, 25(OH) 2 D [1,25(OH) 2 D]. We analyzed two aspects of the radioligand interaction; nuclear uptake with dispersed, intact cells at 37 degrees C and binding at 0 degrees C with soluble extract (cytosol) prepared from cells disrupted in buffer containing 300 mM KCl and 10 mM sodium molybdate. With normal fibroblasts the affinity and capacity of nuclear uptake of [ 3 H]1,25(OH) 2 D 3 were 0.5 nM and 10,300 sites per cell, respectively; for binding with cytosol these were 0.13 nM and 8,900 sites per cell, respectively. In all cases where the radioligand bound with high affinity in nucleus or cytosol, the nucleus- or cytosol-associated radioligand exhibited normal sedimentation velocity on sucrose density gradients. When two kindreds exhibited similar patterns (i.e. pattern a or c) with the analyses of cultured fibroblasts, clinical features in affected members suggested that the underlying genetic defects were not identical. In conclusion: (a) Fibroblasts cultured from human skin manifest nuclear uptake and cytosol binding of [ 3 H]1,25(OH) 2 D 3 that is an expression of the genes determining these processes in target tissues. (b) Based upon data from clinical evaluations and from analyses of cultured fibroblasts, severe resistance to 1,25(OH) 2 D resulted from five or six distinct genetic mutations in six kindreds

  2. Snack patterns are associated with biomarkers of glucose metabolism in US men.

    Science.gov (United States)

    Shin, Dayeon; Song, SuJin; Krumhar, Kim; Song, Won O

    2015-01-01

    Few studies have made distinctions between dietary intake from meals and snacks in relating them to biomarkers. We aimed to examine if snack patterns are associated with biomarkers of glucose metabolism, specifically hemoglobin A1c and HOMA-IR in US adults. Using 24-h dietary recall data from National Health and Nutrition Examination Survey (NHANES) in 2007-2008, we derived snack patterns using factor analyses. Multivariate logistic regressions were performed to estimate adjusted odds ratios (AOR) for biomarkers of glucose metabolism by quintiles of snack pattern scores. Men in the highest quintile of dairy and sugary snack pattern had higher risk of having hemoglobin A1c ≥ 6.5% (AOR: 2.06; 95% CI: 1.20-3.51) and HOMA-IR > 3.0 (AOR: 1.73; 95% CI: 1.01-2.95) than did those in the lowest quintile. No significant association was found in women between snack patterns and biomarkers of glucose metabolism. Dairy and sugary snack patterns of US men had the greatest association with poor control of glucose metabolism.

  3. Determination Pattern of Antibiotic Resistance in Entropathogenic Escherichia coli Strains Isolated from Children with Diarrhea

    Directory of Open Access Journals (Sweden)

    P. Karami

    2012-04-01

    Full Text Available Introduction & Objective: Diarrheal diseases are considered a major health problem, especially in children. Enteropathogenic Escherichia coli (EPEC strains are the common cause of diarrhea in children especially in developing countries. Because of undesirable effects of diarrhea and its interference with children's growth, in some cases antibiotic treatment is recommended. In recent years, resistance toward common and effective antibiotics in the treatment of infectious diseases became one of the most important challenges in medical society, for this purpose, antibiotic sensitivity and resistance of strains in every geographical zone must be determined. So in this study, of antibiotic patterns of these bacteria were examined.Materials & Methods: This cross-sectional study was performed on 192 strains of Enteropathogen Escherichia coli isolated from children who were suffering from diarrhea in 1389-1390 in the microbiology laboratory of Hamadan University of medical sciences. To identify these strains, standard biochemical and serology tests were used. The antibiotic sensitivity test of these isolates was carried out with disc diffusion agar method according to the CLSI standards for 14 different antibiotics disc. Resistance toward 3 or more than 3 classes of antibiotics were defined as multidrug resistance.Results: The result of this study shows EPEC strains had the highest resistance to cefpodoxime (97%, trimethoprim (60.7%, tetracycline (58.4% and ampicillin (45.8%. Multidrug resistance was 68.7 percent. These strains also showed the highest sensitivity against imipenem, ceftriaxone, and ciprofloxacin antibiotics.Conclusion: EPEC strains that were studied with resistance to ampicillin, tetracycline and convenient sensitivity against fluoroquinolones are one of the major factors in children’s diarrhea. A result of this research suggests that antimicrobial resistance in Escherichia coli strains are high and prescribing and antibiotic is not

  4. Mycoplasma genitalium infection: current treatment options, therapeutic failure, and resistance-associated mutations

    Directory of Open Access Journals (Sweden)

    Couldwell DL

    2015-05-01

    Full Text Available Deborah L Couldwell,1,2 David A Lewis1,21Western Sydney Sexual Health Centre, Parramatta, 2Centre for Infectious Diseases and Microbiology and Marie Bashir Institute for Infectious Diseases and Biosecurity, Westmead Clinical School, University of Sydney, Sydney, NSW, Australia Abstract: Mycoplasma genitalium is an important cause of non-gonococcal urethritis, cervicitis, and related upper genital tract infections. The efficacy of doxycycline, used extensively to treat non-gonococcal urethritis in the past, is relatively poor for M. genitalium infection; azithromycin has been the preferred treatment for several years. Research on the efficacy of azithromycin has primarily focused on the 1 g single-dose regimen, but some studies have also evaluated higher doses and longer courses, particularly the extended 1.5 g regimen. This extended regimen is thought to be more efficacious than the 1 g single-dose regimen, although the regimens have not been directly compared in clinical trials. Azithromycin treatment failure was first reported in Australia and has subsequently been documented in several continents. Recent reports indicate an upward trend in the prevalence of macrolide-resistant M. genitalium infections (transmitted resistance, and cases of induced resistance following azithromycin therapy have also been documented. Emergence of antimicrobial-resistant M. genitalium, driven by suboptimal macrolide dosage, now threatens the continued provision of effective and convenient treatments. Advances in techniques to detect resistance mutations in DNA extracts have facilitated correlation of clinical outcomes with genotypic resistance. A strong and consistent association exists between presence of 23S rRNA gene mutations and azithromycin treatment failure. Fluoroquinolones such as moxifloxacin, gatifloxacin, and sitafloxacin remain highly active against most macrolide-resistant M. genitalium. However, the first clinical cases of moxifloxacin treatment

  5. Association between dietary patterns and anaemia in adults from Jiangsu Province in Eastern China.

    Science.gov (United States)

    Shi, Zumin; Hu, Xiaoshu; Yuan, Baojun; Pan, Xiaoqun; Dai, Yue; Holmboe-Ottesen, Gerd

    2006-11-01

    The objective of the present study was to investigate the association between food patterns and anaemia among Chinese adults. It was a cross-sectional household survey undertaken in 2002. The sample contained 2849 men and women aged 20 years and above, and had a response rate of 89.0 %. Factor analysis was used to identify food patterns based on a food-frequency questionnaire. Logistic regression was used to relate food patterns to anaemia. A four-factor solution explained 30.5 % of the total variance. After adjusting for socio-demographic factors and four distinct food patterns, the 'traditional' (rice, vegetable, wheat flour), 'sweet tooth' (drinks, cake) and 'healthy' (whole grains, fruits, vegetables) patterns were independently associated with anaemia. 'Traditional' and 'sweet tooth' patterns were positively associated with anaemia, whereas the association with 'healthy' food pattern was negative. No association was observed between the 'macho' pattern (meat and alcohol) and anaemia. Compared with the lowest quartile (Q1) of the 'traditional' pattern, the highest quartile (Q4) had a higher risk of anaemia (men: odds ratio (OR) 2.60, 95 % CI 1.38, 4.88; women: OR 3.40, 95 % CI 2.14, 5.39). For the 'sweet tooth' pattern, compared with the lowest quartile (Q1), the OR of the highest quartile was 2.34 (95 % CI 1.47, 3.73) for men and 2.02 (95 %CI 1.31, 3.13) for women. The fourth quartile of healthy food was associated with a lower risk of anaemia (men: OR 0.50, 95 % CI 0.31, 0.79; women: OR 0.51, 95 % CI 0.34, 0.75). Women in the north had a higher risk of anaemia (OR 2.49, 95 %CI 1.80, 3.43). Food patterns were associated with anaemia in this area with a high prevalence of anaemia.

  6. Genome-Wide Association Mapping of Stem Rust Resistance in Hordeum vulgare subsp. spontaneum.

    Science.gov (United States)

    Sallam, Ahmad H; Tyagi, Priyanka; Brown-Guedira, Gina; Muehlbauer, Gary J; Hulse, Alex; Steffenson, Brian J

    2017-10-05

    Stem rust was one of the most devastating diseases of barley in North America. Through the deployment of cultivars with the resistance gene Rpg1 , losses to stem rust have been minimal over the past 70 yr. However, there exist both domestic (QCCJB) and foreign (TTKSK aka isolate Ug99) pathotypes with virulence for this important gene. To identify new sources of stem rust resistance for barley, we evaluated the Wild Barley Diversity Collection (WBDC) (314 ecogeographically diverse accessions of Hordeum vulgare subsp. spontaneum ) for seedling resistance to four pathotypes (TTKSK, QCCJB, MCCFC, and HKHJC) of the wheat stem rust pathogen ( Puccinia graminis f. sp. tritici , Pgt ) and one isolate (92-MN-90) of the rye stem rust pathogen ( P. graminis f. sp. secalis , Pgs ). Based on a coefficient of infection, the frequency of resistance in the WBDC was low ranging from 0.6% with HKHJC to 19.4% with 92-MN-90. None of the accessions was resistant to all five cultures of P. graminis A genome-wide association study (GWAS) was conducted to map stem rust resistance loci using 50,842 single-nucleotide polymorphic markers generated by genotype-by-sequencing and ordered using the new barley reference genome assembly. After proper accounting for genetic relatedness and structure among accessions, 45 quantitative trait loci were identified for resistance to P. graminis across all seven barley chromosomes. Three novel loci associated with resistance to TTKSK, QCCJB, MCCFC, and 92-MN-90 were identified on chromosomes 5H and 7H, and two novel loci associated with resistance to HKHJC were identified on chromosomes 1H and 3H. These novel alleles will enhance the diversity of resistance available for cultivated barley. Copyright © 2017 Sallam et al.

  7. Association between screen time and dietary patterns and overweight/obesity among adolescents

    Directory of Open Access Journals (Sweden)

    Maria Gabriela Matias de PINHO

    Full Text Available ABSTRACT Objective The association between screen time and dietary patterns and overweight/obesity among adolescents was analysed in this study. Methods In this cross-sectional study, 963 Brazilian adolescents, aged between 11 and 14 years were evaluated. Body mass index was used to assess overweight/obesity. Dietary patterns and screen time were assessed using qualitative questionnaires. Principal component analysis was used to obtain dietary patterns. Confounder variables were: type of school (public or private, sexual maturation, mother’s weight and mother’s education. The Chi-square test was used for the crude analysis; for the adjusted analysis was used Poisson regression with sample weighting. Results Overweight/obesity prevalence was 29.8% and statistically higher among boys (34.7%. Higher screen time prevalence was 39.1%. The dietary patterns obtained were: obesogenic; coffee and dairy products; traditional Brazilian meal; fruit and vegetables; bread and chocolate milk. The dietary pattern that more closely represented student food consumption was the obesogenic pattern. Screen time was not significantly associated with overweight/obesity. The obesogenic pattern (in both sexes, the coffee and dairy products pattern, and the bread and chocolate milk pattern (only in girls, were inversely associated with overweight/obesity. In this study, dietary patterns influenced overweight/obesity, although in some cases, in an inverse way from what expected. Conclusion A high prevalence of overweight/obesity and a high proportion of screen time activities among the adolescents were observed. Our results indicate a high consumption of unhealthy dietary pattern among adolescents.

  8. Frequency of escherichia coli in patients with community acquired urinary tract infection and their resistance pattern against some commonly used anti bacterials

    International Nuclear Information System (INIS)

    Ahmad, W.; Jamshed, F.; Ahmad, W.

    2015-01-01

    Urinary tract infection (UTI) is a very common health problem and Escherichia coli (E coli) are the most common organisms associated with community acquired UTI. Unfortunately these bacteria have developed extensive resistance against most of the commonly used anti-bacterials. The objective of this study was to determine the frequency and resistance pattern of E coli in patients of community acquired UTI in an area in northern part of Pakistan. Methods: Urine specimens were collected from patients who were clinically diagnosed as community acquired UTI. Urine routine examination (Urine RE) was done and samples positive for UTI (Pus cells >10/High Power Field) were included in the study. These samples were inoculated on Eosin Methylene Blue (EMB) agar plates and incubated at 37 degree C for 36 hours. Suspected colonies were then inoculated further on EMB plates for pure cultures of E coli characterized by certain morphological characteristics. IMViC was applied for the confirmation of E coli. In vitro antibiotic susceptibility tests of E coli were performed with standardized commercial susceptibility discs (OXOID). Results: Out of 50 specimens, positive for UTI by urine RE, 20 showed pure growth of E coli on culture (40%). The majority of the isolates (28%; n=14) were from women while only 12% (n=6) were from men. Escherichia coli showed a high rate of resistance towards Ampicillin (90%), Tetracycline (70%), Erythromycin (70%) and Trimethoprim-Sulfamethoxazole (55%). Sparfloxacin showed better results (45%) than ciprofloxacin (50%). Out of 20 E coli isolates, two (10%) were resistant to all the antibacterials except chloramphenicol, eight isolates (40%) showed resistance to six or more than six while 14 (70%) were resistant to four or more than four drugs. Conclusion: Rate of resistance of E coli against commonly used antibacterials was quite high and majority of the strains showed multidrug resistance. (author)

  9. Association between Dietary Patterns and the Indicators of Obesity among Chinese: A Cross-Sectional Study.

    Science.gov (United States)

    Shu, Long; Zheng, Pei-Fen; Zhang, Xiao-Yan; Si, Cai-Juan; Yu, Xiao-Long; Gao, Wei; Zhang, Lun; Liao, Dan

    2015-09-17

    No previous study has investigated dietary pattern in association with obesity risk in a middle-aged Chinese population. The purpose of this study was to evaluate the associations between dietary patterns and the risk of obesity in the city of Hangzhou, the capital of Zhejiang Province, east China. In this cross-sectional study of 2560 subjects aged 45-60 years, dietary intakes were evaluated using a semi-quantitative food frequency questionnaire (FFQ). All anthropometric measurements were obtained using standardized procedures. The partial correlation analysis was performed to assess the associations between dietary patterns and body mass index (BMI), waist circumference (WC), and waist to hip ratio (WHR). Multivariate logistic regression analysis was used to examine the associations between dietary patterns and obesity, with adjustment for potential confounders. Four major dietary patterns were extracted by means of factor analysis: animal food, traditional Chinese, western fast-food, and high-salt patterns. The animal food pattern was positively associated with BMI (r = 0.082, 0.144, respectively, p associated with BMI (r = -0.047, -0.116, respectively, p food pattern scores had a greater odds ratio for abdominal obesity (odds ratio (OR) = 1.67; 95% confidence interval (CI): 1.188-2.340; p obesity (OR = 0.63; 95% CI: 0.441-0.901, p food pattern was associated with a higher risk of abdominal obesity, while the traditional Chinese pattern was associated with a lower risk of abdominal obesity. Further prospective studies are warranted to confirm these findings.

  10. Association between yogurt consumption, dietary patterns, and cardio-metabolic risk factors.

    Science.gov (United States)

    Cormier, Hubert; Thifault, Élisabeth; Garneau, Véronique; Tremblay, Angelo; Drapeau, Vicky; Pérusse, Louis; Vohl, Marie-Claude

    2016-03-01

    To examine whether yogurt consumption is associated with a healthier dietary pattern and with a better cardio-metabolic risk profile among healthy individuals classified on the basis of their body mass index (BMI). A 91-item food frequency questionnaire, including data on yogurt consumption, was administered to 664 subjects from the INFOGENE study. After principal component analysis, two factors were retained, thus classified as the Prudent and Western dietary patterns. Yogurt was a significant contributor to the Prudent dietary pattern. Moreover, yogurt consumption was associated with lower body weight, waist-to-hip ratio, and waist circumference and tended to be associated with a lower BMI. Consumers had lower levels of fasting total cholesterol and insulin. Consumers of yogurt had a positive Prudent dietary pattern mean score, while the opposite trend was observed in non-consumers of yogurt. Overweight/obese individuals who were consumers of yogurts exhibited a more favorable cardio-metabolic profile characterized by lower plasma triglyceride and insulin levels than non-consumers within the same range of BMI. There was no difference in total yogurt consumption between normal-weight individuals and overweight/obese individuals. However, normal-weight subjects had more daily servings of high-fat yogurt and less daily servings of fat-free yogurt compared to overweight/obese individuals. Being a significant contributor to the Prudent dietary pattern, yogurt consumption may be associated with healthy eating. Also, yogurt consumption may be associated with lower anthropometric indicators and a more beneficial cardio-metabolic risk profile in overweight/obese individuals.

  11. Association between healthy maternal dietary pattern and risk for gestational diabetes mellitus.

    Science.gov (United States)

    Tryggvadottir, E A; Medek, H; Birgisdottir, B E; Geirsson, R T; Gunnarsdottir, I

    2016-02-01

    Gestational diabetes mellitus (GDM) is associated with negative health effects for mother and child. The aim was to investigate the association between maternal dietary patterns and GDM. Prospective observational study including 168 pregnant women aged 18-40 years, recruited at routine 20-week ultrasound. All participants kept a 4-day weighed food record following recruitment (commencement: gestational weeks 19-24). Principal component analysis was used to extract dietary patterns from 29 food groups. A Healthy Eating Index (HEI) was constructed. All women underwent an oral glucose tolerance test in weeks 23-28. One clear dietary pattern (Eigenvalue 2.4) was extracted with positive factor loadings for seafood; eggs; vegetables; fruits and berries; vegetable oils; nuts and seeds; pasta; breakfast cereals; and coffee, tea and cocoa powder, and negative factor loadings for soft drinks and French fries. This pattern was labeled a prudent dietary pattern. Explained variance was 8.2%. The prevalence of GDM was 2.3% among women of normal weight before pregnancy (n=86) and 18.3% among overweight/obese women (n=82). The prudent dietary pattern was associated with lower risk of GDM (OR: 0.54; 95% CI: 0.30, 0.98). When adjusting for age, parity, prepregnancy weight, energy intake, weekly weight gain and total metabolic equivalent of task the association remained (OR: 0.36; 95% CI: 0.14, 0.94). Similar results were found when only including overweight or obese women (OR: 0.31; 95% CI: 0.13, 0.75). Adhering to a prudent dietary pattern in pregnancy was clearly associated with lower risk of GDM, especially among women already at higher risk because of overweight/obesity before pregnancy.

  12. Antimicrobial resistance patterns and plasmid profiles of ...

    African Journals Online (AJOL)

    Objectives: To determine the frequency of resistance of Staphylococcus aureus to various antimicrobial agents, and the relationship between antimicrobial resistance of the isolates and carriage of plasmids. Design: A random sampling of milk and meat samples was carried out. Setting: Milk was collected from various dairy ...

  13. Occurrence and antimicrobial resistance patterns of Listeria monocytogenes isolated from vegetables.

    Science.gov (United States)

    de Vasconcelos Byrne, Vanessa; Hofer, Ernesto; Vallim, Deyse Christina; de Castro Almeida, Rogeria Comastri

    2016-01-01

    Although the consumption of fresh and minimally processed vegetables is considered healthy, outbreaks related to the contamination of these products are frequently reported. Among the food-borne pathogens that contaminate vegetables is Listeria monocytogenes, a ubiquitous organism that exhibits the ability to survive and multiply at refrigerated temperatures. This study aimed to evaluate the occurrence of L. monocytogenes in vegetables as well as the antimicrobial resistance of isolates. The results showed that 3.03% of samples were contaminated with L. monocytogenes, comprising 2.22% of raw vegetables and 5.56% of ready-to-eat vegetables. Multiplex PCR confirmed the virulence potential of the isolates. Antimicrobial resistance profiling showed that 50% of the isolates were susceptible to the antibiotics used. The resistance of one isolate to penicillin G, a commonly employed therapeutic agent, and the presence of serotype 4b, a serotype commonly associated with food-borne outbreaks, could be potential health hazards for consumers. Copyright © 2016 Sociedade Brasileira de Microbiologia. Published by Elsevier Editora Ltda. All rights reserved.

  14. Factors Associated with Tuberculosis and Rifampicin-Resistant Tuberculosis amongst Symptomatic Patients in India: A Retrospective Analysis.

    Directory of Open Access Journals (Sweden)

    Sreenivas Achuthan Nair

    Full Text Available Tuberculosis remains a major public health challenge for India. Various studies have documented different levels of TB and multi-drug resistant (MDR TB among diverse groups of the population. In view of renewed targets set under the End TB strategy by 2035, there is an urgent need for TB diagnosis to be strengthened. Drawing on data from a recent, multisite study, we address key questions for TB diagnosis amongst symptomatics presenting for care: are there subgroups of patients that are more likely than others, to be positive for TB? In turn, amongst these positive cases, are there factors-apart from treatment history-that may be predictive for multi-drug resistance?We used data from a multi-centric prospective demonstration study, conducted from March 2012 to December 2013 in 18 sub-district level TB programme units (TUs in India and covering a population of 8.8 million. In place of standard diagnostic tests, upfront Xpert MTB/RIF testing was offered to all presumptive TB symptomatics. Here, using data from this study, we used logistic regression to identify association between risk factors and TB and Rifampicin-Resistant TB among symptomatics enrolled in the study.We find that male gender; history of TB treatment; and adult age compared with either children or the elderly are risk factors associated with high TB detection amongst symptomatics, across the TUs. While treatment history is found be a significant risk factor for rifampicin-resistant TB, elderly (65+ yrs people have significantly lower risk than other age groups. However, pediatric TB cases have no less risk of rifampicin resistance as compared with adults (OR 1.23 (95% C.I. 0.85-1.76. Similarly, risk of rifampicin resistance among both the genders was the same. These patterns applied across the study sites involved. Notably in Mumbai, amongst those patients with microbiological confirmation of TB, female patients showed a higher risk of having MDR-TB than male patients.Our results

  15. An oxygen-insensitive degradable resist for fabricating metallic patterns on highly curved surfaces by UV-nanoimprint lithography.

    Science.gov (United States)

    Hu, Xin; Huang, Shisong; Gu, Ronghua; Yuan, Changsheng; Ge, Haixiong; Chen, Yanfeng

    2014-10-01

    In this paper, an oxygen-insensitive degradable resist for UV-nanoimprint is designed, com-prising a polycyclic degradable acrylate monomer, 2,10-diacryloyloxymethyl-1,4,9,12-tetraoxa-spiro [4.2.4.2] tetradecane (DAMTT), and a multifunctional thiol monomer pentaerythritol tetra(3-mercaptopropionate) (PETMP). The resist can be quickly UV-cured in the air atmosphere and achieve a high monomer conversion of over 98%, which greatly reduce the adhesion force between the resist and the soft mold. High conversion, in company with an adequate Young's modulus (about 1 GPa) and an extremely low shrinkage (1.34%), promises high nanoimprint resolution of sub-50 nm. The cross-linked resist is able to break into linear molecules in a hot acid solvent. As a result, metallic patterns are fabricated on highly curved surfaces via the lift off process without the assistance of a thermoplastic polymer layer. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Reduction in hospital-associated methicillin-resistant Staphylococcus aureus and vancomycin-resistant Enterococcus with daily chlorhexidine gluconate bathing for medical inpatients.

    Science.gov (United States)

    Lowe, Christopher F; Lloyd-Smith, Elisa; Sidhu, Baljinder; Ritchie, Gordon; Sharma, Azra; Jang, Willson; Wong, Anna; Bilawka, Jennifer; Richards, Danielle; Kind, Thomas; Puddicombe, David; Champagne, Sylvie; Leung, Victor; Romney, Marc G

    2017-03-01

    Daily bathing with chlorhexidine gluconate (CHG) is increasingly used in intensive care units to prevent hospital-associated infections, but limited evidence exists for noncritical care settings. A prospective crossover study was conducted on 4 medical inpatient units in an urban, academic Canadian hospital from May 1, 2014-August 10, 2015. Intervention units used CHG over a 7-month period, including a 1-month wash-in phase, while control units used nonmedicated soap and water bathing. Rates of hospital-associated methicillin-resistant Staphylococcus aureus (MRSA) and vancomycin-resistant Enterococcus (VRE) colonization or infection were the primary end point. Hospital-associated S. aureus were investigated for CHG resistance with a qacA/B and smr polymerase chain reaction (PCR) and agar dilution. Compliance with daily CHG bathing was 58%. Hospital-associated MRSA and VRE was decreased by 55% (5.1 vs 11.4 cases per 10,000 inpatient days, P = .04) and 36% (23.2 vs 36.0 cases per 10,000 inpatient days, P = .03), respectively, compared with control cohorts. There was no significant difference in rates of hospital-associated Clostridium difficile. Chlorhexidine resistance testing identified 1 isolate with an elevated minimum inhibitory concentration (8 µg/mL), but it was PCR negative. This prospective pragmatic study to assess daily bathing for CHG on inpatient medical units was effective in reducing hospital-associated MRSA and VRE. A critical component of CHG bathing on medical units is sustained and appropriate application, which can be a challenge to accurately assess and needs to be considered before systematic implementation. Copyright © 2017 Association for Professionals in Infection Control and Epidemiology, Inc. Published by Elsevier Inc. All rights reserved.

  17. Preliminary evidence for obesity-associated insulin resistance in adolescents without elevations of inflammatory cytokines

    Directory of Open Access Journals (Sweden)

    Cohen Jessica I

    2012-06-01

    Full Text Available Abstract Background To ascertain whether the associations between obesity, inflammation, and insulin resistance established in human adult studies are found among adolescents. Methods We contrasted 36 obese and 24 lean youth on fasting glucose, insulin levels, lipid profile, hemoglobin A1C, markers of hepatic function, white blood cell count, C-reactive protein (CRP and fibrinogen levels. The cytokines IL-6, TNF-α, IFN-γ, IL-10 and IL-4 and the adipokines leptin, resistin, and adiponectin were also compared between the two groups. The fasting glucose and insulin values were used to estimate the degree of insulin resistance with the homeostatic model assessment of insulin resistance (HOMA-IR. T-tests and correlations were run to examine group differences and associations between groups. In addition, regression analyses were used to ascertain whether the markers of inflammation were predictive of the degree of insulin resistance. Results Although obese adolescents had clear evidence of insulin resistance, only CRP, fibrinogen and leptin were elevated; there were no group differences in pro- or anti-inflammatory cytokines nor adiponectin and resistin. Anthropometric measures of obesity and level of insulin resistance were highly correlated to the acute phase reactants CRP and fibrinogen; however, the degree of insulin resistance was not predicted by the pro- or anti-inflammatory cytokine markers. Obese adolescents had higher white blood cell counts. In addition they had higher circulating alanine aminotransferase concentrations and lower circulating albumin and total protein than lean adolescents, possibly as a result of hepatocyte damage from fatty liver. Conclusion Unlike rodent or adult studies, we found that wide-spread systemic inflammation is not necessarily associated with insulin resistance among adolescents. This finding does not support the current paradigm that the associations between obesity and insulin resistance are, to a

  18. Functional and molecular surveillance of Helicobacter pylori antibiotic resistance in Kuala Lumpur.

    Directory of Open Access Journals (Sweden)

    Xinsheng Teh

    Full Text Available BACKGROUND: Helicobacter pylori is the etiological agent for diseases ranging from chronic gastritis and peptic ulcer disease to gastric adenocarcinoma and primary gastric B-cell lymphoma. Emergence of resistance to antibiotics possesses a challenge to the effort to eradicate H. pylori using conventional antibiotic-based therapies. The molecular mechanisms that contribute to the resistance of these strains have yet to be identified and are important for understanding the evolutional pattern and selective pressure imposed by the environment. METHODS AND FINDINGS: H. pylori was isolated from 102 patients diagnosed with gastrointestinal diseases, who underwent endoscopy at University Malaya Medical Centre (UMMC. The isolates were tested for their susceptibility on eleven antibiotics using Etest. Based on susceptibility test, 32.3% of the isolates were found to have primary metronidazole resistance; followed by clarithromycin (6.8% and fluoroquinolones (6.8%. To further investigate the resistant strains, mutational patterns of gene rdxA, frxA, gyrA, gyrB, and 23S rRNA were studied. Consistent with the previous reports, metronidazole resistance was prevalent in the local population. However, clarithromycin, fluoroquinolone and multi-drug resistance were shown to be emerging. Molecular patterns correlated well with phenotypic data. Interestingly, multi-drug resistant (MDR strains were found to be associated with higher minimum inhibitory concentration (MIC than their single-drug resistant (SDR counterparts. Most importantly, clarithromycin-resistant strains were suggested to have a higher incidence for developing multi-drug resistance. CONCLUSION: Data from this study highlighted the urgency to monitor closely the prevalence of antibiotic resistance in the Malaysian population; especially that of clarithromycin and multi-drug resistance. Further study is needed to understand the molecular association between clarithromycin resistance and multi

  19. Large-scale Meteorological Patterns Associated with Extreme Precipitation Events over Portland, OR

    Science.gov (United States)

    Aragon, C.; Loikith, P. C.; Lintner, B. R.; Pike, M.

    2017-12-01

    Extreme precipitation events can have profound impacts on human life and infrastructure, with broad implications across a range of stakeholders. Changes to extreme precipitation events are a projected outcome of climate change that warrants further study, especially at regional- to local-scales. While global climate models are generally capable of simulating mean climate at global-to-regional scales with reasonable skill, resiliency and adaptation decisions are made at local-scales where most state-of-the-art climate models are limited by coarse resolution. Characterization of large-scale meteorological patterns associated with extreme precipitation events at local-scales can provide climatic information without this scale limitation, thus facilitating stakeholder decision-making. This research will use synoptic climatology as a tool by which to characterize the key large-scale meteorological patterns associated with extreme precipitation events in the Portland, Oregon metro region. Composite analysis of meteorological patterns associated with extreme precipitation days, and associated watershed-specific flooding, is employed to enhance understanding of the climatic drivers behind such events. The self-organizing maps approach is then used to characterize the within-composite variability of the large-scale meteorological patterns associated with extreme precipitation events, allowing us to better understand the different types of meteorological conditions that lead to high-impact precipitation events and associated hydrologic impacts. A more comprehensive understanding of the meteorological drivers of extremes will aid in evaluation of the ability of climate models to capture key patterns associated with extreme precipitation over Portland and to better interpret projections of future climate at impact-relevant scales.

  20. Pediatric - specific Antimicrobial Resistance Patterns of Urinary Tract Infections: A Single - Centre Experience from Turkey

    OpenAIRE

    Kandur, Yasar; Ozden, Sevinc; Buyukkaragoz, Bahar

    2016-01-01

    Objectives: Antimicrobial resistance of the causative microorganisms of pediatric urinary tract infection (UTI) is a growing problem. The aim of this study is to determine the changing pattern of antibiotic susceptibility in UTIs in an outpatient setting. Methods: We retrospectively reviewed the medical records of pediatric patients with UTI who were followed-up in our center between January-2014 and May-2015. Results: One hundred and seventy-one patients (M...

  1. Association of methionine requirement with methyl mercury resistant mutants of yeast

    Energy Technology Data Exchange (ETDEWEB)

    Singh, A.; Sherman, F.

    1974-01-25

    It has been known for several years that strains resistant to mercury can be obtained in several bacterial species. Soon after the correlation between resistance to antibiotics and to mercury was recognized, it was established that genetic elements conferring resistance to antibiotics, mercury and other heavy metals in Escherichia coli and Samonella typhimurium and Staphylococcus aureus reside on extrachromosomal resistance transfer factors or plasmids. Among fungi, mercury resistant strains of Botrytis cinerea, Penicillium notatum, Sclerotinia fructicola, Stemphylium sarcinaeforme, and Saccharomyces cerevisiae have been reported. In most cases, this was accomplished by training the normal strains for growth on media supplemented with successively increasing concentrations of mercury compounds, and in some cases the resistance was lost when subcultured on mercury-free media. It is noteworthy that in none of the mercury-adapted strains of fungi has the genetic basis of resistance been determined. In this report we describe a method of isolation and characterization of methyl mercury resistant mutants of S. cerevisiae. This study was undertaken with the view that the examination of physiological changes associated with genetically defined resistant mutants will be useful in studying the mechanisms of cellular detoxification of organic mercurials.

  2. Acinetobacter spp. as nosocomial pathogens: Epidemiology and resistance features

    Directory of Open Access Journals (Sweden)

    Saad B. Almasaudi

    2018-03-01

    Full Text Available The genus Acinetobacter is a major cause of nosocomial infections; it is increasingly being associated with various epidemics and has become a widespread concern in a variety of hospitals worldwide. Multi-antibiotic resistant Acinetobacter baumannii, is now recognized to be of great clinical significance. Numerous reports relay to the spread of A. baumannii in the hospital settings which leads to enhanced nosocomial outbreaks associated with high death rates. However, many other Acinetobacter spp. also can cause nosocomial infections. This review focused on the role of Acinetobacter spp. as nosocomial pathogens in addition to their persistence, antimicrobial resistance patterns and epidemiology. Keywords: Acinetobacter, Nosocomial infections, Multi-drug resistance, Epidemiology, Characteristics

  3. Circulating ApoJ is closely associated with insulin resistance in human subjects.

    Science.gov (United States)

    Seo, Ji A; Kang, Min-Cheol; Ciaraldi, Theodore P; Kim, Sang Soo; Park, Kyong Soo; Choe, Charles; Hwang, Won Min; Lim, Dong Mee; Farr, Olivia; Mantzoros, Christos; Henry, Robert R; Kim, Young-Bum

    2018-01-01

    Insulin resistance is a major risk factor for type 2 diabetes. ApolipoproteinJ (ApoJ) has been implicated in altered pathophysiologic states including cardiovascular and Alzheimer's disease. However, the function of ApoJ in regulation of glucose homeostasis remains unclear. This study sought to determine whether serum ApoJ levels are associated with insulin resistance in human subjects and if they change after interventions that improve insulin sensitivity. Serum ApoJ levels and insulin resistance status were assessed in nondiabetic (ND) and type 2 diabetic (T2D) subjects. The impacts of rosiglitazone or metformin therapy on serum ApoJ levels and glucose disposal rate (GDR) during a hyperinsulinemic/euglycemic clamp were evaluated in a separate cohort of T2D subjects. Total ApoJ protein or that associated with the HDL and LDL fractions was measured by immunoblotting or ELISA. Fasting serum ApoJ levels were greatly elevated in T2D subjects (ND vs T2D; 100±8.3 vs. 150.6±8.5AU, Pinsulin, HOMA-IR, and BMI. ApoJ levels were significantly and independently associated with HOMA-IR, even after adjustment for age, sex, and BMI. Rosiglitazone treatment in T2D subjects resulted in a reduction in serum ApoJ levels (before vs. after treatment; 100±13.9 vs. 77±15.2AU, P=0.015), whereas metformin had no effect on ApoJ levels. The change in ApoJ levels during treatment was inversely associated with the change in GDR. Interestingly, ApoJ content in the LDL fraction was inversely associated with HOMA-IR. Serum ApoJ levels are closely correlated with the magnitude of insulin resistance regardless of obesity, and decrease along with improvement of insulin resistance in response only to rosiglitazone in type 2 diabetes. Copyright © 2017 Elsevier Inc. All rights reserved.

  4. Antimicrobial resistance pattern of Gram –negative bacilli isolated of Vali-Asr Hospital wards in Arak

    Directory of Open Access Journals (Sweden)

    Farshid Didgar

    2014-11-01

    Full Text Available Background: Infectious diseases are of the most important causes of mortality all around the world particular in developing countries. Recently, the most important thing that has worried medical society is antibiotic resistance. Multi-resistant gram_negative rods are important pathogens in hospitals, causing high rate of mortality.The main goal of this study was to investigate the antimicrobial resistance patterns among common gram-negative bacilli isolated from patients of Vali-Asr Hospital. Material and Methods: This is a cross-sectional descriptive study conducted between the years 2010-2012 in Vali-Asr hospital in Arak. In this study 1120 specimen were examined. Bacterial strains were isolated by conventional methods from various clinical samples of patients including: blood, urine, wound, sputum, CSF, andetc.All isolates were examined for antimicrobial resistance using disc diffusion method. Results: In this study 737 specimen were positive cultures. A total of 332 isolates of Gram-negative bacilli were identified. The most frequent gram negative bacteria were isolated from urine, wound, blood, respiratory secretion and catheter. The most frequent pathogens were E.coli followed by k.pneumonia, entrobacter, p.oaeruginosa, Acinetobacter spp, citrobacter and proteus. High rate of resistance to third generation of cephalospoins & carbapenems observed amang isolates of Acintobacter spp.Prodution of extended spectrum beralactamases (ESBLS was found in 51.4% of all Gram negative bacteria. Conclusion: Antibiotic resistance, particularly multi-drug resistance is frequent among microorganisms of ValiAsr Hospital. Resistance in our country, like other countries have been shown to be increased, so it is highly recommended to prohibit unnecessary prescription of antibiotics.

  5. Inferring the interaction structure of resistance to antimicrobials.

    Science.gov (United States)

    Zawack, Kelson; Love, Will; Lanzas, Cristina; Booth, James G; Gröhn, Yrjö T

    2018-04-01

    The growth of antimicrobial resistance presents a significant threat to human and animal health. Of particular concern is multi-drug resistance, as this increases the chances an infection will be untreatable by any antibiotic. In order to understand multi-drug resistance, it is essential to understand the association between drug resistances. Pairwise associations characterize the connectivity between resistances and are useful in making decisions about courses of treatment, or the design of drug cocktails. Higher-order associations, interactions, which tie together groups of drugs can suggest commonalities in resistance mechanism and lead to their identification. To capture interactions, we apply log-linear models of contingency tables to analyze publically available data on the resistance of Escheresia coli isolated from chicken and turkey meat by the National Antimicrobial Resistance Monitoring System. Standard large sample and conditional exact testing approaches for assessing significance of parameters in these models breakdown due to structured patterns inherent to antimicrobial resistance. To address this, we adopt a Bayesian approach which reveals that E. coli resistance associations can be broken into two subnetworks. The first subnetwork is characterized by a hierarchy of β-lactams which is consistent across the chicken and turkey datasets. Tier one in this hierarchy is a near equivalency between amoxicillin-clavulanic acid, ceftriaxone and cefoxitin. Susceptibility to tier one then implies susceptibility to ceftiofur. The second subnetwork is characterized by more complex interactions between a variety of drug classes that vary between the chicken and turkey datasets. Copyright © 2018 Elsevier B.V. All rights reserved.

  6. Identification of protein expression alterations in gefitinib-resistant human lung adenocarcinoma: PCNT and mPR play key roles in the development of gefitinib-associated resistance

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Chi-Chen [Institute of Biomedical Science, National Chung-Hsing University, Taichung, Taiwan (China); Institute of Biomedical Science, and Rong Hsing Research Center for Translational Medicine, National Chung Hsing University, Taiwan (China); Department of Medical Research and Education, Taichung Veterans General Hospital, Taichung, Taiwan (China); Division of Chest Medicine, Department of Internal Medicine, Changhua Christian Hospital, Changhua, Taiwan (China); Chen, Jing-Ting [Department of Medical Science and Institute of Bioinformatics and Structural Biology, National Tsing Hua University, Hsinchu, Taiwan (China); Lin, Meng-Wei [Department of Medical Science and Institute of Bioinformatics and Structural Biology, National Tsing Hua University, Hsinchu, Taiwan (China); Department of Applied Science, National Hsinchu University of Education, Hsinchu, Taiwan (China); Chan, Chia-Hao [Department of Obstetrics and Gynecology, Hsinchu Mackay Memorial Hospital, Hsinchu 30071, Taiwan (China); Wen, Yueh-Feng [Department of Medical Science and Institute of Bioinformatics and Structural Biology, National Tsing Hua University, Hsinchu, Taiwan (China); Department of Internal Medicine, National Taiwan University Hospital Hsinchu Branch, Hsinchu, Taiwan (China); Wu, Shin-Bei [Department of Applied Science, National Hsinchu University of Education, Hsinchu, Taiwan (China); Chung, Ting-Wen [Department of Medical Science and Institute of Bioinformatics and Structural Biology, National Tsing Hua University, Hsinchu, Taiwan (China); Lyu, Kevin W. [Lutheran Medical Center, Brooklyn, NY (United States); Global Scholars Program, St. George' s University/Northumbria University, Newcastle upon Tyne (United Kingdom); Chou, Hsiu-Chuan, E-mail: chouhc@mail.nhcue.edu.tw [Department of Applied Science, National Hsinchu University of Education, Hsinchu, Taiwan (China); and others

    2015-11-01

    Gefitinib is the first-line chemotherapeutic drug for treating non-small cell lung cancer (NSCLC), which comprises nearly 85% of all lung cancer cases worldwide. However, most patients eventually develop drug resistance after 12–18 months of treatment. Hence, investigating the drug resistance mechanism and resistance-associated biomarkers is necessary. Two lung adenocarcinoma cell lines, PC9 and gefitinib-resistant PC9/Gef, were established for examining resistance mechanisms and identifying potential therapeutic targets. Two-dimensional differential gel electrophoresis and matrix-assisted laser desorption ionization time-of-flight mass spectrometry were used for examining global protein expression changes between PC9 and PC9/Gef. The results revealed that 164 identified proteins were associated with the formation of gefitinib resistance in PC9 cells. Additional studies using RNA interference showed that progesterone receptor membrane component 1 and pericentrin proteins have major roles in gefitinib resistance. In conclusion, the proteomic approach enabled identifying of numerous proteins involved in gefitinib resistance. The results provide useful diagnostic markers and therapeutic candidates for treating gefitinib-resistant NSCLC. - Highlights: • 164 proteins associated with gefitinib resistance were identified through proteomic analysis. • In this study, a lung adenocarcinoma and its gefitinib resistant partner were established. • mPR and PCNT proteins have evidenced to play important roles in gefitinib resistance.

  7. Identification of protein expression alterations in gefitinib-resistant human lung adenocarcinoma: PCNT and mPR play key roles in the development of gefitinib-associated resistance

    International Nuclear Information System (INIS)

    Lin, Chi-Chen; Chen, Jing-Ting; Lin, Meng-Wei; Chan, Chia-Hao; Wen, Yueh-Feng; Wu, Shin-Bei; Chung, Ting-Wen; Lyu, Kevin W.; Chou, Hsiu-Chuan

    2015-01-01

    Gefitinib is the first-line chemotherapeutic drug for treating non-small cell lung cancer (NSCLC), which comprises nearly 85% of all lung cancer cases worldwide. However, most patients eventually develop drug resistance after 12–18 months of treatment. Hence, investigating the drug resistance mechanism and resistance-associated biomarkers is necessary. Two lung adenocarcinoma cell lines, PC9 and gefitinib-resistant PC9/Gef, were established for examining resistance mechanisms and identifying potential therapeutic targets. Two-dimensional differential gel electrophoresis and matrix-assisted laser desorption ionization time-of-flight mass spectrometry were used for examining global protein expression changes between PC9 and PC9/Gef. The results revealed that 164 identified proteins were associated with the formation of gefitinib resistance in PC9 cells. Additional studies using RNA interference showed that progesterone receptor membrane component 1 and pericentrin proteins have major roles in gefitinib resistance. In conclusion, the proteomic approach enabled identifying of numerous proteins involved in gefitinib resistance. The results provide useful diagnostic markers and therapeutic candidates for treating gefitinib-resistant NSCLC. - Highlights: • 164 proteins associated with gefitinib resistance were identified through proteomic analysis. • In this study, a lung adenocarcinoma and its gefitinib resistant partner were established. • mPR and PCNT proteins have evidenced to play important roles in gefitinib resistance.

  8. Dietary patterns in India and their association with obesity and central obesity.

    Science.gov (United States)

    Satija, Ambika; Hu, Frank B; Bowen, Liza; Bharathi, Ankalmadugu V; Vaz, Mario; Prabhakaran, Dorairaj; Reddy, K Srinath; Ben-Shlomo, Yoav; Davey Smith, George; Kinra, Sanjay; Ebrahim, Shah

    2015-11-01

    Obesity is a growing problem in India, the dietary determinants of which have been studied using an 'individual food/nutrient' approach. Examining dietary patterns may provide more coherent findings, but few studies in developing countries have adopted this approach. The present study aimed to identify dietary patterns in an Indian population and assess their relationship with anthropometric risk factors. FFQ data from the cross-sectional sib-pair Indian Migration Study (IMS; n 7067) were used to identify dietary patterns using principal component analysis. Mixed-effects logistic regression was used to examine associations with obesity and central obesity. The IMS was conducted at four factory locations across India: Lucknow, Nagpur, Hyderabad and Bangalore. The participants were rural-to-urban migrant and urban non-migrant factory workers, their rural and urban resident siblings, and their co-resident spouses. Three dietary patterns were identified: 'cereals-savoury foods' (cooked grains, rice/rice-based dishes, snacks, condiments, soups, nuts), 'fruit-veg-sweets-snacks' (Western cereals, vegetables, fruit, fruit juices, cooked milk products, snacks, sugars, sweets) and 'animal-food' (red meat, poultry, fish/seafood, eggs). In adjusted analysis, positive graded associations were found between the 'animal-food' pattern and both anthropometric risk factors. Moderate intake of the 'cereals-savoury foods' pattern was associated with reduced odds of obesity and central obesity. Distinct dietary patterns were identified in a large Indian sample, which were different from those identified in previous literature. A clear 'plant food-based/animal food-based pattern' dichotomy emerged, with the latter being associated with higher odds of anthropometric risk factors. Longitudinal studies are needed to further clarify this relationship in India.

  9. Resistance Patterns of Typhoid Fever in Children: A Longitudinal Community-Based Study.

    Science.gov (United States)

    Vala, Snehal; Shah, Urvesh; Ahmad, Syed Amir; Scolnik, Dennis; Glatstein, Miguel

    2016-01-01

    Salmonella typhi and S. paratyphi are important causes of bacteremia in children, especially those from the developing world. There is a lack of standardized treatment protocols for such patients in the literature, and there are also reports of therapeutic failure related to resistance to commonly used antibiotics. We analyzed the epidemiological, clinical, and antimicrobiological sensitivity patterns of disease in patients diagnosed with blood culture-positive typhoid fever over a 6-month period in a tertiary-care pediatric hospital in western India. Data were retrospectively analyzed for all patients with Salmonella isolates on blood culture between January 1 and June 30, 2011 at the Synergy Neonatal and Pediatric Hospital. Susceptibility of isolates to antimicrobials and minimum inhibitory concentrations were determined. Demographic data, symptoms and signs, basic laboratory results, treatment courses, and clinical outcomes were collected from clinical charts. All of the 61 isolates of S. typhi were sensitive to cefepime (fourth-generation cephalosporin), 96% to third-generation cephalosporins, and 95% to quinolones. There was intermediate sensitivity to ampicillin (92%) and chloramphenicol (80%). Notably, azithromycin resistance was observed in 63% of isolates. All patients ultimately made full recoveries. There is an urgent need for large scale, community-based clinical trials to evaluate the effectiveness of different antibiotics in enteric fever. Our antimicrobial susceptibility data suggest that quinolones and third-generation cephalosporins should be used as first-line antimicrobials in enteric fever. Although fourth-generation cephalosporins are useful, we feel their use should be restricted to complicated or resistant cases.

  10. Antimicrobial susceptibility pattern and SCCmec types of methicillin-resistant coagulase-negative staphylococci from subclinical bovine mastitis in Hatay, Turkey

    Directory of Open Access Journals (Sweden)

    Aslantaş Özkan

    2014-12-01

    Full Text Available Eighty-nine isolates of coagulase-negative staphylococci (CoNS of eight species from subclinical bovine mastitis were screened for the phenotypic and genotypic methicilline-resistance. In addition, all methicillin-resistant (MR isolates indicating the mecA gene were examined by PCR for the antimicrobial susceptibility patterns, and staphylococcal cassette chromosome mec (SCCmec types were also determined by multiplex PCR. A total of 21 (23.6% CoNS isolates were found to be resistant to oxacillin in broth microdilution assay. All isolates phenotypically resistant to oxacillin did not have the mecA gene, which was only found in 14.6% (13 of the isolates. Most MR-CoNS isolates were highly resistant to erythromycin (92.3%, fusidic acid (84.6%, penicillin (76.9%, and rifampycin (61.5%, and susceptible to mupirocin (100%, tetracycline (100%, vancomycin (100%, clindamycin (92.3%, and sulfamethoxazole-trimethoprim (69.2%. In conclusion, a high rate of antimicrobial resistance among MR-CoNS isolated from food producing animals emphasises the need for periodic surveillance of their resistance.

  11. Patterns of Resistance in Managing Assessment Change

    Science.gov (United States)

    Deneen, Christopher; Boud, David

    2014-01-01

    Achieving change in assessment practices in higher education is difficult. One of the reasons for this is resistance among those responsible for teaching and assessing. This paper seeks to explore this resistance through an analysis of staff dialogue during a major attempt to change the assessment practices at one institution. An institution-wide…

  12. Selection and validation of potato candidate genes for maturity corrected resistance to Phytophthora infestans based on differential expression combined with SNP association and linkage mapping

    Directory of Open Access Journals (Sweden)

    Meki Shehabu Muktar

    2015-09-01

    Full Text Available Late blight of potato (Solanum tuberosum L. caused by the oomycete Phytophthora infestans (Mont. de Bary, is one of the most important bottlenecks of potato production worldwide. Cultivars with high levels of durable, race unspecific, quantitative resistance are part of a solution to this problem. However, breeding for quantitative resistance is hampered by the correlation between resistance and late plant maturity, which is an undesirable agricultural attribute. The objectives of our research are (i the identification of genes that condition quantitative resistance to P. infestans not compromised by late plant maturity and (ii the discovery of diagnostic single nucleotide polymorphism (SNP markers to be used as molecular tools to increase efficiency and precision of resistance breeding. Twenty two novel candidate genes were selected based on comparative transcript profiling by SuperSAGE (serial analysis of gene expression in groups of plants with contrasting levels of maturity corrected resistance (MCR. Reproducibility of differential expression was tested by quantitative real time PCR and allele specific pyrosequencing in four new sets of genotype pools with contrasting late blight resistance levels, at three infection time points and in three independent infection experiments. Reproducibility of expression patterns ranged from 28% to 97%. Association mapping in a panel of 184 tetraploid cultivars identified SNPs in five candidate genes that were associated with MCR. These SNPs can be used in marker-assisted resistance breeding. Linkage mapping in two half-sib families (n = 111 identified SNPs in three candidate genes that were linked with MCR. The differentially expressed genes that showed association and/or linkage with MCR putatively function in phytosterol synthesis, fatty acid synthesis, asparagine synthesis, chlorophyll synthesis, cell wall modification and in the response to pathogen elicitors.

  13. Mapping the resistance-associated mobilome of a carbapenem-resistant Klebsiella pneumoniae strain reveals insights into factors shaping these regions and facilitates generation of a 'resistance-disarmed' model organism.

    Science.gov (United States)

    Bi, Dexi; Jiang, Xiaofei; Sheng, Zi-Ke; Ngmenterebo, David; Tai, Cui; Wang, Minggui; Deng, Zixin; Rajakumar, Kumar; Ou, Hong-Yu

    2015-10-01

    This study aims to investigate the landscape of the mobile genome, with a focus on antibiotic resistance-associated factors in carbapenem-resistant Klebsiella pneumoniae. The mobile genome of the completely sequenced K. pneumoniae HS11286 strain (an ST11, carbapenem-resistant, near-pan-resistant, clinical isolate) was annotated in fine detail. The identified mobile genetic elements were mapped to the genetic contexts of resistance genes. The blaKPC-2 gene and a 26 kb region containing 12 clustered antibiotic resistance genes and one biocide resistance gene were deleted, and the MICs were determined again to ensure that antibiotic resistance had been lost. HS11286 contains six plasmids, 49 ISs, nine transposons, two separate In2-related integron remnants, two integrative and conjugative elements (ICEs) and seven prophages. Sixteen plasmid-borne resistance genes were identified, 14 of which were found to be directly associated with Tn1721-, Tn3-, Tn5393-, In2-, ISCR2- and ISCR3-derived elements. IS26 appears to have actively moulded several of these genetic regions. The deletion of blaKPC-2, followed by the deletion of a 26 kb region containing 12 clustered antibiotic resistance genes, progressively decreased the spectrum and level of resistance exhibited by the resultant mutant strains. This study has reiterated the role of plasmids as bearers of the vast majority of resistance genes in this species and has provided valuable insights into the vital role played by ISs, transposons and integrons in shaping the resistance-coding regions in this important strain. The 'resistance-disarmed' K. pneumoniae ST11 strain generated in this study will offer a more benign and readily genetically modifiable model organism for future extensive functional studies. © The Author 2015. Published by Oxford University Press on behalf of the British Society for Antimicrobial Chemotherapy. All rights reserved. For Permissions, please e-mail: journals.permissions@oup.com.

  14. Drug resistance pattern of mycobacterial isolates in HIV and non-HIV population in South India

    Directory of Open Access Journals (Sweden)

    Umamaheshwari Shivaswamy

    2016-01-01

    Full Text Available Background: Emergence of drug resistance has complicated the treatment of tuberculosis (TB. WHO reports India to be one among 27 “high burden” multidrug-resistant (MDR TB countries. Objective: To diagnose TB and detect drug resistance of mycobacterial isolates in acid-fast bacilli (AFB smear negative HIV reactive patients (Group A and compare them with HIV seropositive AFB smear positive (Group B and HIV-seronegative AFB positive cases (Group C. Materials and Methods: Clinical specimens collected in all groups were processed as per the standard protocol except blood, which was processed by lysis centrifugation technique. They were then inoculated with Lowenstein-Jensen media and the isolates obtained were subjected to drug susceptibility test (DST by proportion method and genotype MTBDR plus assay. Results: In Group A, 162 patients were included. Of the 443 clinical samples collected, 76 mycobacterial strains were obtained from 67 (41% patients. Of these, 50 (65.8% were sensitive to all drugs and 26 (34.2% resistant to one or more anti-tubercular drugs. Antibiogram of Group A when compared with Group B and C showed that the MDR rate 6.6%, 6.7% and 8% respectively did not differ much; but resistance to at least single drug was (26 [34.2%], 3 [10%], and 8 [16%], respectively. Conclusion: Our study suggests that HIV has no influence on the anti-tubercular resistance pattern, but increased MDR rate along with HIV in high TB burden setting stresses the need for early diagnosis and DST in providing proper regimens and improve prognosis.

  15. Application of the surface azimuthal electrical resistivity survey method to determine patterns of regional joint orientation in glacial tills

    Science.gov (United States)

    Carlson, D.

    2010-01-01

    Joints within unconsolidated material such as glacial till can be primary avenues for the flow of electrical charge, water, and contaminants. To facilitate the siting and design of remediation programs, a need exists to map anisotropic distribution of such pathways within glacial tills by determining the azimuth of the dominant joint set. The azimuthal survey method uses standard resistivity equipment with a Wenner array rotated about a fixed center point at selected degree intervals that yields an apparent resistivity ellipse. From this ellipse, joint set orientation can be determined. Azimuthal surveys were conducted at 21 sites in a 500-km2 (193 mi2) area around Milwaukee, Wisconsin, and more specifically, at sites having more than 30 m (98 ft) of glacial till (to minimize the influence of underlying bedrock joints). The 26 azimuthal surveys revealed a systematic pattern to the trend of the dominant joint set within the tills, which is approximately parallel to ice flow direction during till deposition. The average orientation of the joint set parallel with the ice flow direction is N77??E and N37??E for the Oak Creek and Ozaukee tills, respectively. The mean difference between average direct observation of joint set orientations and average azimuthal resistivity results is 8??, which is one fifth of the difference of ice flow direction between the Ozaukee and Oak Creek tills. The results of this study suggest that the surface azimuthal electrical resistivity survey method used for local in situ studies can be a useful noninvasive method for delineating joint sets within shallow geologic material for regional studies. Copyright ?? 2010 The American Association of Petroleum Geologists/Division of Environmental Geosciences. All rights reserved.

  16. Distributed hippocampal patterns that discriminate reward context are associated with enhanced associative binding.

    Science.gov (United States)

    Wolosin, Sasha M; Zeithamova, Dagmar; Preston, Alison R

    2013-11-01

    Recent research indicates that reward-based motivation impacts medial temporal lobe (MTL) encoding processes, leading to enhanced memory for rewarded events. In particular, previous functional magnetic resonance imaging (fMRI) studies of motivated learning have shown that MTL activation is greater for highly rewarded events, with the degree of reward-related activation enhancement tracking the corresponding behavioral memory advantage. These studies, however, do not directly address leading theoretical perspectives that propose such reward-based enhancements in MTL encoding activation reflect enhanced discrimination of the motivational context of specific events. In this study, a high-value or low-value monetary cue preceded a pair of objects, indicating the future reward for successfully remembering the pair. Using representational similarity analysis and high-resolution fMRI, we show that MTL activation patterns are more similar for encoding trials preceded by the same versus different reward cues, indicating a distributed code in this region that distinguishes between motivational contexts. Moreover, we show that activation patterns in hippocampus and parahippocampal cortex (PHc) that differentiate reward conditions during anticipatory cues and object pairs relate to successful associative memory. Additionally, the degree to which patterns differentiate reward contexts in dentate gyrus/CA2,3 and PHc is related to individual differences in reward modulation of memory. Collectively, these findings suggest that distributed activation patterns in the human hippocampus and PHc reflect the rewards associated with individual events. Furthermore, we show that these activation patterns-which discriminate between reward conditions--may influence memory through the incorporation of information about motivational contexts into stored memory representations. PsycINFO Database Record (c) 2013 APA, all rights reserved.

  17. Antibacterial susceptibility patterns of methicillin resistant staphylococcus spp. from a tertiary reference hospital

    Directory of Open Access Journals (Sweden)

    Çiğdem Karabıçak

    2012-03-01

    Full Text Available Objectives: Methicillin resistant Staphylococcus strainsstill remain as an important reason of hospital acquiredinfections. The aim of this study to see the antimicrobialsensitivity patterns of these strains for effective empiricaltherapyMaterial and methods: Antibiotic susceptibility resultsof staphylococcus strains were investigated retrospectivelyfrom tertiary reference hospital. 276 methicillin resistantstaphylococcus species, which were isolated fromKırıkkale University Faculty of Medicine Department of InfectiousDisease and Clinical Microbiology laboratory betweenNovember 2009-2010 were enrolled in this study.Identification and antibiotic susceptibilities of the strainswere evaluated by using Vitek automated systems (bioMerieux.Results: Most of these strains were isolated from blood(49% and wound (40 % samples. There was no glycopeptideresistance established from 276 strains. Susceptibilitypercents of these strains to linezolid and erythromycinwere 97% and 16% respectively.Conclusions: we believe that, informing physiciansabout antibiotic susceptibility patterns of methicillin resistantstaphylococcus species will be helpful for effectivetreatment and control the spread of these infections. JClin Exp Invest 2012; 3(1: 71-74

  18. Selection for chlorpyrifos resistance in Liriomyza sativae Blanchard: Cross-resistance patterns, stability and biochemical mechanisms.

    Science.gov (United States)

    Askari-Saryazdi, Ghasem; Hejazi, Mir Jalil; Ferguson, J Scott; Rashidi, Mohammad-Reza

    2015-10-01

    The vegetable leafminer (VLM), Liriomyza sativae (Diptera: Agromyzidae) is a serious pest of vegetable crops and ornamentals worldwide. In cropping systems with inappropriate management strategies, development of resistance to insecticides in leafminers is probable. Chlorpyrifos is a commonly used pesticide for controlling leafminers in Iran, but resistance to this insecticide in leafminers has not been characterized. In order to develop strategies to minimize resistance in the field and greenhouse, a laboratory selected chlorpyrifos resistant strain of L. sativae was used to characterize resistance and determine the rate of development and stability of resistance. Selecting for resistance in the laboratory after 23 generations yielded a chlorpyrifos resistant selected strain (CRSS) with a resistance ratio of 40.34, determined on the larval stage. CRSS exhibited no cross-resistance to other tested insecticides except for diazinon. Synergism and biochemical assays indicated that esterases (EST) had a key role in metabolic resistance to chlorpyrifos, but glutathione S-transferase (GST) and mixed function oxidase (MFO) were not mediators in this resistance. In CRSS acetylcholinesterase (AChE) was more active than the susceptible strain, Sharif (SH). AChE in CRSS was also less sensitive to inhibition by propoxur. The kinetics parameters (Km and Vmax) of AChE indicated that affinities and hydrolyzing efficiencies of this enzyme in CRSS were higher than SH. Susceptibility to chlorpyrifos in L. sativae was re-gained in the absence of insecticide pressure. Synergism, biochemical and cross-resistance assays revealed that overactivity of metabolic enzymes and reduction in target site sensitivity are probably joint factors in chlorpyrifos resistance. An effective insecticide resistance management program is necessary to prevent fast resistance development in crop systems. Copyright © 2015 Elsevier Inc. All rights reserved.

  19. A global analysis of bird plumage patterns reveals no association between habitat and camouflage

    Directory of Open Access Journals (Sweden)

    Marius Somveille

    2016-11-01

    Full Text Available Evidence suggests that animal patterns (motifs function in camouflage. Irregular mottled patterns can facilitate concealment when stationary in cluttered habitats, whereas regular patterns typically prevent capture during movement in open habitats. Bird plumage patterns have predominantly converged on just four types—mottled (irregular, scales, bars and spots (regular—and habitat could be driving convergent evolution in avian patterning. Based on sensory ecology, we therefore predict that irregular patterns would be associated with visually noisy closed habitats and that regular patterns would be associated with open habitats. Regular patterns have also been shown to function in communication for sexually competing males to stand-out and attract females, so we predict that male breeding plumage patterns evolved in both open and closed habitats. Here, taking phylogenetic relatedness into account, we investigate ecological selection for bird plumage patterns across the class Aves. We surveyed plumage patterns in 80% of all avian species worldwide. Of these, 2,756 bird species have regular and irregular plumage patterns as well as habitat information. In this subset, we tested whether adult breeding/non-breeding plumages in each sex, and juvenile plumages, were associated with the habitat types found within the species’ geographical distributions. We found no evidence for an association between habitat and plumage patterns across the world’s birds and little phylogenetic signal. We also found that species with regular and irregular plumage patterns were distributed randomly across the world’s eco-regions without being affected by habitat type. These results indicate that at the global spatial and taxonomic scale, habitat does not predict convergent evolution in bird plumage patterns, contrary to the camouflage hypothesis.

  20. A global analysis of bird plumage patterns reveals no association between habitat and camouflage.

    Science.gov (United States)

    Somveille, Marius; Marshall, Kate L A; Gluckman, Thanh-Lan

    2016-01-01

    Evidence suggests that animal patterns (motifs) function in camouflage. Irregular mottled patterns can facilitate concealment when stationary in cluttered habitats, whereas regular patterns typically prevent capture during movement in open habitats. Bird plumage patterns have predominantly converged on just four types-mottled (irregular), scales, bars and spots (regular)-and habitat could be driving convergent evolution in avian patterning. Based on sensory ecology, we therefore predict that irregular patterns would be associated with visually noisy closed habitats and that regular patterns would be associated with open habitats. Regular patterns have also been shown to function in communication for sexually competing males to stand-out and attract females, so we predict that male breeding plumage patterns evolved in both open and closed habitats. Here, taking phylogenetic relatedness into account, we investigate ecological selection for bird plumage patterns across the class Aves. We surveyed plumage patterns in 80% of all avian species worldwide. Of these, 2,756 bird species have regular and irregular plumage patterns as well as habitat information. In this subset, we tested whether adult breeding/non-breeding plumages in each sex, and juvenile plumages, were associated with the habitat types found within the species' geographical distributions. We found no evidence for an association between habitat and plumage patterns across the world's birds and little phylogenetic signal. We also found that species with regular and irregular plumage patterns were distributed randomly across the world's eco-regions without being affected by habitat type. These results indicate that at the global spatial and taxonomic scale, habitat does not predict convergent evolution in bird plumage patterns, contrary to the camouflage hypothesis.

  1. Multi-drug resistance and molecular pattern of erythromycin and ...

    African Journals Online (AJOL)

    The appearance and dissemination of penicillin resistant and macrolide resistant Streptococcus pneumoniae strains has caused increasing concern worldwide. The aim of this study was to survey drug resistance and genetic characteristics of macrolide and penicillin resistance in S. pneumoniae. This is a cross-sectional ...

  2. [Factors associated with insulin resistence in rural populations].

    Science.gov (United States)

    Mendes, Larissa Loures; Gazzinelli, Andréa; Velásquez-Meléndez, Gustavo

    2009-04-01

    This study explores the relations of anthropometric, body composition assessments, biochemical and hemodynamic parameters with insulin resistance in two rural communities. Sample was composed by adults aged 18 or older, both sexes. Participants were excluded if pregnant and diabetic. Data collection included demographic lifestyle, hemodynamic, anthropometric and biochemical variables. From the 567 subjects, 50.4% were men and 49.6%, women. Most of the sample was non-white (75.7%), lived with partner (69.3%) and had low educational level. Overweight and obesity prevalences were 17.4% and 5.5%, respectively. Multivariate analysis found risk factors associated to insulin resistance for non-diabetic adults with low income and educational level: overweight, obesity, elevated waist-to-hip ratio, C-reactive protein and skin color.

  3. A model for investigating the influence of road surface texture and tyre tread pattern on rolling resistance

    Science.gov (United States)

    Hoever, Carsten; Kropp, Wolfgang

    2015-09-01

    The reduction of rolling resistance is essential for a more environmentally friendly road transportation sector. Both tyre and road design can be utilised to reduce rolling resistance. In both cases a reliable simulation tool is needed which is able to quantify the influence of design parameters on the rolling resistance of a tyre rolling on a specific road surface. In this work a previously developed tyre/road interaction model is extended to account for different tread patterns and for losses due to small-scale tread deformation. Calculated contact forces and tyre vibrations for tyre/road interaction under steady-state rolling are used to predict rolling losses in the tyre. Rolling resistance is calculated for a series of different tyre/road combinations. Results are compared with rolling resistance measurements. The agreement between simulations and measurements is generally very good. It is found that both the tyre structure and small-scale tread deformations contribute to the rolling losses. The small-scale contribution depends mainly on the road roughness profile. The mean profile depth of the road surface is identified to correlate very well with the rolling resistance. Additional calculations are performed for non-traditional rubberised road surfaces, however, with mixed results. This possibly indicates the existence of additional loss mechanisms for these surfaces.

  4. [Clinical significance of drug resistance-associated mutations in treatment of hepatitis C with direct-acting antiviral agents].

    Science.gov (United States)

    Li, Z; Chen, Z W; Ren, H; Hu, P

    2017-03-20

    Direct-acting antiviral agents (DAAs) achieve a high sustained virologic response rate in the treatment of chronic hepatitis C virus infection. However, drug resistance-associated mutations play an important role in treatment failure and have attracted more and more attention. This article elaborates on the clinical significance of drug resistance-associated mutations from the aspects of their definition, association with genotype, known drug resistance-associated mutations and their prevalence rates, the impact of drug resistance-associated mutations on treatment naive and treatment-experienced patients, and the role of clinical detection, in order to provide a reference for clinical regimens with DAAs and help to achieve higher sustained virologic response rates.

  5. Genome-Wide Association Study of Anthracnose Resistance in Andean Beans (Phaseolus vulgaris).

    Science.gov (United States)

    Zuiderveen, Grady H; Padder, Bilal A; Kamfwa, Kelvin; Song, Qijian; Kelly, James D

    2016-01-01

    Anthracnose is a seed-borne disease of common bean (Phaseolus vulgaris L.) caused by the fungus Colletotrichum lindemuthianum, and the pathogen is cosmopolitan in distribution. The objectives of this study were to identify new sources of anthracnose resistance in a diverse panel of 230 Andean beans comprised of multiple seed types and market classes from the Americas, Africa, and Europe, and explore the genetic basis of this resistance using genome-wide association mapping analysis (GWAS). Twenty-eight of the 230 lines tested were resistant to six out of the eight races screened, but only one cultivar Uyole98 was resistant to all eight races (7, 39, 55, 65, 73, 109, 2047, and 3481) included in the study. Outputs from the GWAS indicated major quantitative trait loci (QTL) for resistance on chromosomes, Pv01, Pv02, and Pv04 and two minor QTL on Pv10 and Pv11. Candidate genes associated with the significant SNPs were detected on all five chromosomes. An independent QTL study was conducted to confirm the physical location of the Co-1 locus identified on Pv01 in an F4:6 recombinant inbred line (RIL) population. Resistance was determined to be conditioned by the single dominant gene Co-1 that mapped between 50.16 and 50.30 Mb on Pv01, and an InDel marker (NDSU_IND_1_50.2219) tightly linked to the gene was developed. The information reported will provide breeders with new and diverse sources of resistance and genomic regions to target in the development of anthracnose resistance in Andean beans.

  6. Genome-Wide Association Study of Anthracnose Resistance in Andean Beans (Phaseolus vulgaris.

    Directory of Open Access Journals (Sweden)

    Grady H Zuiderveen

    Full Text Available Anthracnose is a seed-borne disease of common bean (Phaseolus vulgaris L. caused by the fungus Colletotrichum lindemuthianum, and the pathogen is cosmopolitan in distribution. The objectives of this study were to identify new sources of anthracnose resistance in a diverse panel of 230 Andean beans comprised of multiple seed types and market classes from the Americas, Africa, and Europe, and explore the genetic basis of this resistance using genome-wide association mapping analysis (GWAS. Twenty-eight of the 230 lines tested were resistant to six out of the eight races screened, but only one cultivar Uyole98 was resistant to all eight races (7, 39, 55, 65, 73, 109, 2047, and 3481 included in the study. Outputs from the GWAS indicated major quantitative trait loci (QTL for resistance on chromosomes, Pv01, Pv02, and Pv04 and two minor QTL on Pv10 and Pv11. Candidate genes associated with the significant SNPs were detected on all five chromosomes. An independent QTL study was conducted to confirm the physical location of the Co-1 locus identified on Pv01 in an F4:6 recombinant inbred line (RIL population. Resistance was determined to be conditioned by the single dominant gene Co-1 that mapped between 50.16 and 50.30 Mb on Pv01, and an InDel marker (NDSU_IND_1_50.2219 tightly linked to the gene was developed. The information reported will provide breeders with new and diverse sources of resistance and genomic regions to target in the development of anthracnose resistance in Andean beans.

  7. Antibiotic Resistance Pattern of Bacteria Causing Urinary Tract Infections in Children of Fasa During the years 2012 and 2014

    Directory of Open Access Journals (Sweden)

    alireza molazade

    2015-02-01

    Conclusion: Regarding the results, it is recommended to use Ciprofloxacin and Nitrofurantoin for outpatient treatment of UTI. Selecting proper antibiotics for UTI treatment should be on the basis of the local prevalence of pathogenic bacteria and antibiotic resistance pattern.

  8. Association between Dietary Patterns and the Indicators of Obesity among Chinese: A Cross-Sectional Study

    Directory of Open Access Journals (Sweden)

    Long Shu

    2015-09-01

    Full Text Available No previous study has investigated dietary pattern in association with obesity risk in a middle-aged Chinese population. The purpose of this study was to evaluate the associations between dietary patterns and the risk of obesity in the city of Hangzhou, the capital of Zhejiang Province, east China. In this cross-sectional study of 2560 subjects aged 45–60 years, dietary intakes were evaluated using a semi-quantitative food frequency questionnaire (FFQ. All anthropometric measurements were obtained using standardized procedures. The partial correlation analysis was performed to assess the associations between dietary patterns and body mass index (BMI, waist circumference (WC, and waist to hip ratio (WHR. Multivariate logistic regression analysis was used to examine the associations between dietary patterns and obesity, with adjustment for potential confounders. Four major dietary patterns were extracted by means of factor analysis: animal food, traditional Chinese, western fast-food, and high-salt patterns. The animal food pattern was positively associated with BMI (r = 0.082, 0.144, respectively, p < 0.05 and WC (r = 0.102, 0.132, respectively, p < 0.01, and the traditional Chinese pattern was inversely associated with BMI (r = −0.047, −0.116, respectively, p < 0.05 and WC (r = −0.067, −0.113, respectively, p < 0.05 in both genders. After controlling for potential confounders, subjects in the highest quartile of animal food pattern scores had a greater odds ratio for abdominal obesity (odds ratio (OR = 1.67; 95% confidence interval (CI: 1.188–2.340; p < 0.01, in comparison to those from the lowest quartile. Compared with the lowest quartile of the traditional Chinese pattern, the highest quartile had a lower odds ratio for abdominal obesity (OR = 0.63; 95% CI: 0.441–0.901, p < 0.05. Conclusions: Our findings indicated that the animal food pattern was associated with a higher risk of abdominal obesity, while the traditional Chinese

  9. Mutations inside rifampicin-resistance determining region of rpoB gene associated with rifampicin-resistance in Mycobacterium tuberculosis.

    Science.gov (United States)

    Zaw, Myo T; Emran, Nor A; Lin, Zaw

    2018-04-26

    Rifampicin (RIF) plays a pivotal role in the treatment of tuberculosis due to its bactericidal effects. Because the action of RIF is on rpoB gene encoding RNA polymerase β subunit, 95% of RIF resistant mutations are present in rpoB gene. The majority of the mutations in rpoB gene are found within an 81bp RIF-resistance determining region (RRDR). Literatures on RIF resistant mutations published between 2010 and 2016 were thoroughly reviewed. The most commonly mutated codons in RRDR of rpoB gene are 531, 526 and 516. The possibilities of absence of mutation in RRDR of rpoB gene in MDR-TB isolates in few studies was due to existence of other rare rpoB mutations outside RRDR or different mechanism of rifampicin resistance. Molecular methods which can identify extensive mutations associated with multiple anti-tuberculous drugs are in urgent need so that the research on drug resistant mutations should be extended. Copyright © 2018 The Authors. Published by Elsevier Ltd.. All rights reserved.

  10. Dietary patterns and lifestyle : Their determinants and associations with chronic disease burden

    NARCIS (Netherlands)

    Fransen, HP

    2016-01-01

    In this thesis we investigated in a Dutch cohort dietary patterns and other lifestyle habits in relation with disease burden. Our aim was to define the healthiest dietary pattern in the Netherlands and provide insight in the lifestyle factors that are associated with this pattern. Quality Adjusted

  11. Diagnosis and Treatment of Typhoid Fever and Associated Prevailing Drug Resistance in Northern Ethiopia.

    Science.gov (United States)

    Wasihun, Araya Gebreyesus; Wlekidan, Letemichael Negash; Gebremariam, Senay Aregawi; Welderufael, Abadi Luel; Muthupandian, Saravanan; Haile, Tadesse Dejenie; Dejene, Tsehaye Asmelash

    2015-06-01

    To determine diagnostic value of the Widal test, treatment pattern of febrile patients and antimicrobial drug susceptibility pattern of blood isolates. Using cross sectional methods, blood samples were collected for culture and Widal test from 502 febrile outpatients attending Mekelle hospital and Mekelle health center with similar symptoms to typhoid. Sensitivity, specificity for anti-TH and anti-TO titers using culture confirmed typhoid fever cases, and Kappa agreement between Titer and slide Widal tests were calculated. Treatment pattern of patients and antimicrobial susceptibility pattern of the blood isolates was assessed. From the 502 febrile patients, 8(1.6%) of them had culture-proven typhoid fever. However, patients who have results indicative of recent infection by O and H antigens of the Widal slide agglutination test were 343 (68.5%), with specificity and sensitivity of 33% and 100%, respectively. Over prescription of antibiotics was seen by Widal slide test for Ciprofloxacin 268 (76.1%), Amoxicillin- Clavulanic acid 9(2.6%), Amoxicillin 8(2.4%) and Chloranphenicol 8(2.4%). Tube titer positivity was seen in 23(5.3%) patients with 75% sensitivity and 95.8% specificity. Widal slide and Tube titer tests showed poor agreement for both antigens (kappa=0.02 for O) and (Kappa=0.09 for H). A single anti-TH titer of ≥ 1:160 and anti-TO titer ≥ 1:80 higher in our study showed an indication for typhoid fever infection. Drug resistance pattern of blood isolates ranges from 0-89.7% for gram positive and 0-100% for Gram negative, with an overall multi-drug resistance rate of 61.7%. Patients were wrongly diagnosed and treated for typhoid fever by Widal test. The tube titration method was relatively good but still had poor sensitivity. Blood isolates showed multi drug resistance, which may be due to the indiscriminate prescription as seen in this study. Based on our results, the slide Widal test is not helpful in the diagnosis of typhoid, hence other tests with

  12. Genome-Wide Association Mapping of Crown Rust Resistance in Oat Elite Germplasm.

    Science.gov (United States)

    Klos, Kathy Esvelt; Yimer, Belayneh A; Babiker, Ebrahiem M; Beattie, Aaron D; Bonman, J Michael; Carson, Martin L; Chong, James; Harrison, Stephen A; Ibrahim, Amir M H; Kolb, Frederic L; McCartney, Curt A; McMullen, Michael; Fetch, Jennifer Mitchell; Mohammadi, Mohsen; Murphy, J Paul; Tinker, Nicholas A

    2017-07-01

    Oat crown rust, caused by f. sp. , is a major constraint to oat ( L.) production in many parts of the world. In this first comprehensive multienvironment genome-wide association map of oat crown rust, we used 2972 single-nucleotide polymorphisms (SNPs) genotyped on 631 oat lines for association mapping of quantitative trait loci (QTL). Seedling reaction to crown rust in these lines was assessed as infection type (IT) with each of 10 crown rust isolates. Adult plant reaction was assessed in the field in a total of 10 location-years as percentage severity (SV) and as infection reaction (IR) in a 0-to-1 scale. Overall, 29 SNPs on 12 linkage groups were predictive of crown rust reaction in at least one experiment at a genome-wide level of statistical significance. The QTL identified here include those in regions previously shown to be linked with seedling resistance genes , , , , , and and also with adult-plant resistance and adaptation-related QTL. In addition, QTL on linkage groups Mrg03, Mrg08, and Mrg23 were identified in regions not previously associated with crown rust resistance. Evaluation of marker genotypes in a set of crown rust differential lines supported as the identity of . The SNPs with rare alleles associated with lower disease scores may be suitable for use in marker-assisted selection of oat lines for crown rust resistance. Copyright © 2017 Crop Science Society of America.

  13. Office workers' computer use patterns are associated with workplace stressors

    NARCIS (Netherlands)

    Eijckelhof, B.H.W.; Huysmans, M.A.; Blatter, B.M.; Leider, P.C.; Johnson, P.W.; van Dieen, J.H.; Dennerlein, J.T.; van der Beek, A.J.

    2014-01-01

    This field study examined associations between workplace stressors and office workers' computer use patterns. We collected keyboard and mouse activities of 93 office workers (68F, 25M) for approximately two work weeks. Linear regression analyses examined the associations between self-reported

  14. Antimicrobial resistance patterns of bovine Salmonella enterica isolates submitted to the Wisconsin Veterinary Diagnostic Laboratory: 2006-2015.

    Science.gov (United States)

    Valenzuela, J R; Sethi, A K; Aulik, N A; Poulsen, K P

    2017-02-01

    Salmonellosis on the dairy continues to have a significant effect on animal health and productivity and in the United States. Additionally, Salmonella enterica ssp. enterica causes an estimated 1.2 million cases of human illness annually. Contributing to the morbidity and mortality in both human and domestic animal species is emergence of antimicrobial resistance by Salmonella species and increased incidence of multidrug-resistant isolates. This study describes serotype distribution and the antimicrobial resistance patterns for various Salmonella serotypes isolated from bovine samples submitted to the Wisconsin Veterinary Diagnostic Laboratory (WVDL) over the past 10 yr. Salmonella serotyping and antimicrobial susceptibility testing data were obtained from the laboratory information management system at WVDL. Data from accessions were limited to bovine samples submitted to the WVDL between January 2006 and June 2015 and those that had both a definitive serotype and complete results for antimicrobial susceptibility testing. A total of 4,976 isolates were identified. Salmonella enterica ser. Dublin was the most prevalent serotype identified among bovine samples submitted to the WVDL, accounting for a total of 1,153 isolates (23% of total isolates) over the study period. Along with Dublin, Salmonella enterica ser. Cerro (795, 16%), Newport (720, 14%), Montevideo (421, 8%), Kentucky (419, 8%), and Typhimurium (202, 4%) comprised the top 6 most commonly isolated serotypes during that time. Overall, resistance of bovine Salmonella isolates in the study population remained stable, although decreases in resistance were noted for gentamicin, neomycin, and trimethoprim sulfamethoxazole during the study period. All isolates remained susceptible to enrofloxacin. These data show that antimicrobial susceptibility for bovine Salmonella has changed in the population served by WVDL in the past 10 yr. This information is important for understanding Salmonella disease ecology in

  15. HIV type-1 genotypic resistance profiles in vertically infected patients from Argentina reveal an association between K103N+L100I and L74V mutations.

    Science.gov (United States)

    Aulicino, Paula C; Rocco, Carlos A; Mecikovsky, Debora; Bologna, Rosa; Mangano, Andrea; Sen, Luisa

    2010-01-01

    Patterns and pathways of HIV type-1 (HIV-1) antiretroviral (ARV) drug resistance-associated mutations in clinical isolates are conditioned by ARV history and factors such as viral subtype and fitness. Our aim was to analyse the frequency and association of ARV drug resistance mutations in a group of long-term vertically infected patients from Argentina. Plasma samples from 71 patients (38 children and 33 adolescents) were collected for genotypic HIV-1 ARV resistance testing during the period between February 2006 and October 2008. Statistically significant pairwise associations between ARV resistance mutations in pol, as well as associations between mutations and drug exposure, were identified using Fisher's exact tests with Bonferroni and false discovery rate corrections. Phylogenetic analyses were performed for subtype assignment. In protease (PR), resistance-associated mutations M46I/L, I54M/L/V/A/S and V82A/F/T/S/M/I were associated with each other and with minor mutations at codons 10, 24 and 71. Mutations V82A/F/T/S/M/I were primarily selected by the administration of ritonavir (RTV) in an historical ARV regimen. In reverse transcriptase, thymidine analogue mutation (TAM)1 profile was more common than TAM2. The non-nucleoside K103N+L100I mutations were observed at high frequency (15.5%) and were significantly associated with the nucleoside mutation L74V in BF recombinants. Associations of mutations at PR sites reflect the frequent use of RTV at an early time in this group of patients and convergent resistance mechanisms driven by the high exposure to protease inhibitors, as well as local HIV-1 diversity. The results provide clinical evidence of a molecular interaction between K103N+L100I and L74V mutations at the reverse transcriptase gene in vivo, limiting the future use of second-generation non-nucleoside reverse transcriptase inhibitors such as etravirine.

  16. Diet patterns are associated with demographic factors and nutritional status in South Indian children.

    Science.gov (United States)

    Kehoe, Sarah H; Krishnaveni, Ghattu V; Veena, Sargoor R; Guntupalli, Aravinda M; Margetts, Barrie M; Fall, Caroline H D; Robinson, Sian M

    2014-01-01

    The burden of non-communicable chronic disease (NCD) in India is increasing. Diet and body composition 'track' from childhood into adult life and contribute to the development of risk factors for NCD. Little is known about the diet patterns of Indian children. We aimed to identify diet patterns and study associations with body composition and socio-demographic factors in the Mysore Parthenon Study cohort. We collected anthropometric and demographic data from children aged 9.5 years (n = 538). We also administered a food frequency questionnaire and measured fasting blood concentrations of folate and vitamin B12. Using principal component analysis, we identified two diet patterns. The 'snack and fruit' pattern was characterised by frequent intakes of snacks, fruit, sweetened drinks, rice and meat dishes and leavened breads. The 'lacto-vegetarian' pattern was characterised by frequent intakes of finger millet, vegetarian rice dishes, yoghurt, vegetable dishes and infrequent meat consumption. Adherence to the 'snack and fruit' pattern was associated with season, being Muslim and urban dwelling. Adherence to the lacto-vegetarian pattern was associated with being Hindu, rural dwelling and a lower maternal body mass index. The 'snack and fruit' pattern was negatively associated with the child's adiposity. The lacto-vegetarian pattern was positively associated with blood folate concentration and negatively with vitamin B12 concentration. This study provides new information on correlates of diet patterns in Indian children and how diet relates to nutritional status. Follow-up of these children will be important to determine the role of these differences in diet in the development of risk factors for NCD including body composition. © 2013 The Authors. Maternal and Child Nutrition published by John Wiley & Sons Ltd.

  17. Antidepressant-Resistant Depression and Antidepressant-Associated Suicidal Behaviour: The Role of Underlying Bipolarity

    Directory of Open Access Journals (Sweden)

    Zoltan Rihmer

    2011-01-01

    Full Text Available The complex relationship between the use of antidepressants and suicidal behaviour is one of the hottest topics of our contemporary psychiatry. Based on the literature, this paper summarizes the author's view on antidepressant-resistant depression and antidepressant-associated suicidal behaviour. Antidepressant-resistance, antidepressant-induced worsening of depression, antidepressant-associated (hypomanic switches, mixed depressive episode, and antidepressant-associated suicidality among depressed patients are relatively most frequent in bipolar/bipolar spectrum depression and in children and adolescents. As early age at onset of major depressive episode and mixed depression are powerful clinical markers of bipolarity and the manic component of bipolar disorder (and possible its biological background shows a declining tendency with age antidepressant-resistance/worsening, antidepressant-induced (hypomanic switches and “suicide-inducing” potential of antidepressants seem to be related to the underlying bipolarity.

  18. The association between ARV and TB drug resistance on TB treatment outcome among Kazakh TB/HIV patients.

    Science.gov (United States)

    Mishkin, Kathryn; Alaei, Kamiar; Alikeyeva, Elmira; Paynter, Christopher; Aringazina, Altyn; Alaei, Arash

    2018-02-26

    TB drug resistance poses a serious threat to the public health of Kazakhstan. This paper presents findings related to TB treatment outcome and drug resistant status among people coinfected with HIV and TB in Kazakhstan. Cohort study using data were provided by the Kazakhstan Ministry of Health's National Tuberculosis Program for 2014 and 2015. Chi-square and logistical regression were performed to understand factors associated with drug resistant TB status and TB treatment outcome. In bivariate analysis, drug resistant status was significantly associated with year of TB diagnosis (p=0.001) viral load (p=0.03). TB treatment outcome was significantly associated with age at diagnosis (p=01), ARV treatment (p <0.0001), and TB drug resistant status (p=0.02). In adjusted analysis, drug resistance was associated with increased odds of successful completion of treatment with successful result compared to treatment failure (OR 6.94, 95% CI: 1.39-34.44) CONCLUSIONS: Our results suggest that being drug resistant is associated with higher odds of completing treatment with successful outcome, even when controlling for receipt of ARV therapy. Copyright © 2018. Published by Elsevier Ltd.

  19. Antimicrobial Resistance Pattern in Escherichia coli Isolates Obtained from a Specialized Women and Children Hospital in Shiraz, Iran: A Prevalence Study

    Directory of Open Access Journals (Sweden)

    Mahtab Hadadi

    2016-10-01

    Full Text Available Abstract Background: Escherichia coli, known as a clinically significant bacteria, can cause a wide range of infections, including urinary tract infections (UTIs, blood stream infections (BSIs, and can frequently be isolated from various clinical specimens. Evaluation of antimicrobial resistant pattern is a necessary action, especially about such bacteria which are frequent and life threatening. The aim of this study was to determine the frequency and antimicrobial resistance pattern of E. coli isolates obtained from various clinical specimens. Methods: This retrospective study was performed within a seven month period from January 2015 to August 2015 at a specialized women and children hospital in Shiraz, Iran. E. coli isolates were obtained from various clinical specimens and identified using standard microbiological procedure. Antimicrobial susceptibility patterns were determined using disk diffusion method in accordance with CLSI recommendation. Results: Of the total 130 positive cultures, the majority of E. coli isolates were obtained from urine (96=73.8% and blood (11=8.5% specimens. Overall, gentamicin (70.8% was the effective antibiotic for the tested E. coli isolates. E. coli isolates obtained from urine specimens showed the highest resistance rates against ampicillin (84.4% and nalidixic acid (61.5%; while they showed the most sensitivity to gentamicin (79.2%, nitrofurantoin (70.8% and ciprofloxacin (66.7%. Moreover, the highest antibiotic resistance rates belonged to the isolates recovered from endotracheal tube (ETT. Conclusion: The results showed that gentamicin was the most effective antibiotic against E. coli infections. However, in addition to the gentamicin, we can recommend nitrofurantoin and ciprofloxacin as the other effective agents for UTIs

  20. Isolation, Biochemical and Molecular Identification, and In-Vitro Antimicrobial Resistance Patterns of Bacteria Isolated from Bubaline Subclinical Mastitis in South India.

    Directory of Open Access Journals (Sweden)

    P L Preethirani

    Full Text Available Buffaloes are the second largest source of milk. Mastitis is a major impediment for milk production, but not much information is available about bubaline mastitis, especially subclinical mastitis. The aim of this study was to (a investigate the application of various tests for the diagnosis of bubaline subclinical mastitis, (b identify the major bacteria associated with it, and (c evaluate the antibiotic resistance pattern of the bacteria. To this end, 190 quarter milk samples were collected from 57 domesticated dairy buffaloes from organized (64 samples and unorganized (126 samples sectors. Of these, 48.4%, 40.0%, 45.8%, 61.1%, and 61.6% were positive for subclinical mastitis by somatic cell count, electrical conductivity, California mastitis test, bromothymol blue test, and N-acetyl glucosaminidase test, respectively. As compared to the gold standard of somatic cell count, California mastitis test performed the best. However, a combination of the two methods was found to be the best option. Microbiological evaluation, both by biochemical methods as well as by monoplex and multiplex polymerase chain reaction, revealed that coagulase-negative staphylococci were the most predominant (64.8% bacteria, followed by streptococci (18.1%, Escherichia coli (9.8% and Staphylococcus aureus (7.3%. Most of the pathogens were resistant to multiple antibiotics, especially to β-lactam antibiotics. We propose that California mastitis test be combined with somatic cell count for diagnosis of subclinical mastitis in domestic dairy buffaloes. Further, our results reveal high resistance of the associated bacteria to the β-lactam class of antibiotics, and a possible major role of coagulase-negative staphylococci in causing the disease in India.

  1. Isolation, Biochemical and Molecular Identification, and In-Vitro Antimicrobial Resistance Patterns of Bacteria Isolated from Bubaline Subclinical Mastitis in South India.

    Science.gov (United States)

    Preethirani, P L; Isloor, Shrikrishna; Sundareshan, S; Nuthanalakshmi, V; Deepthikiran, K; Sinha, Akhauri Y; Rathnamma, D; Nithin Prabhu, K; Sharada, R; Mukkur, Trilochan K; Hegde, Nagendra R

    2015-01-01

    Buffaloes are the second largest source of milk. Mastitis is a major impediment for milk production, but not much information is available about bubaline mastitis, especially subclinical mastitis. The aim of this study was to (a) investigate the application of various tests for the diagnosis of bubaline subclinical mastitis, (b) identify the major bacteria associated with it, and (c) evaluate the antibiotic resistance pattern of the bacteria. To this end, 190 quarter milk samples were collected from 57 domesticated dairy buffaloes from organized (64 samples) and unorganized (126 samples) sectors. Of these, 48.4%, 40.0%, 45.8%, 61.1%, and 61.6% were positive for subclinical mastitis by somatic cell count, electrical conductivity, California mastitis test, bromothymol blue test, and N-acetyl glucosaminidase test, respectively. As compared to the gold standard of somatic cell count, California mastitis test performed the best. However, a combination of the two methods was found to be the best option. Microbiological evaluation, both by biochemical methods as well as by monoplex and multiplex polymerase chain reaction, revealed that coagulase-negative staphylococci were the most predominant (64.8%) bacteria, followed by streptococci (18.1%), Escherichia coli (9.8%) and Staphylococcus aureus (7.3%). Most of the pathogens were resistant to multiple antibiotics, especially to β-lactam antibiotics. We propose that California mastitis test be combined with somatic cell count for diagnosis of subclinical mastitis in domestic dairy buffaloes. Further, our results reveal high resistance of the associated bacteria to the β-lactam class of antibiotics, and a possible major role of coagulase-negative staphylococci in causing the disease in India.

  2. Antibiotic resistance patterns of coagulase-negative staphylococcus strains isolated from blood cultures of septicemic patients in Turkey.

    Science.gov (United States)

    Koksal, F; Yasar, H; Samasti, M

    2009-01-01

    The aim of this study is to determine antibiotic resistance patterns and slime production characteristics of coagulase-negative Staphylococci (CoNS) caused nosocomial bacteremia. A total of 200 CoNS strains were isolated from blood samples of patients with true bacteremia who were hospitalized in intensive care units and in other departments of Istanbul University Cerrahpasa Medical Hospital between 1999 and 2006. Among 200 CoNS isolates, Staphylococcus epidermidis was the most prevalent species (87) followed by Staphylococcus haemolyticus (23), Staphylococcus hominis (19), Staphylococcus lugdunensis (18), Staphylococcus capitis (15), Staphylococcus xylosus (10), Staphylococcus warneri (8), Staphylococcus saprophyticus (5), Staphylococcus lentus (5), Staphylococcus simulans (4), Staphylococcus chromogenes (3), Staphylococcus cohnii (1), Staphylococcus schleiferi (1), and Staphylococcus auricularis (1). Resistance to methicillin was detected in 67.5% of CoNS isolates. Methicillin-resistant CoNS strains were determined to be more resistant to antibiotics than methicillin-susceptible CoNS strains. Resistance rates of methicillin-resistant and methicillin-susceptible CoNS strains to the antibacterial agents, respectively, were as follows: gentamicin 90% and 17%, erythromycin 80% and 37%, clindamycin 72% and 18%, trimethoprim-sulfamethoxazole 68% and 38%, ciprofloxacin 67% and 23%, tetracycline 60% and 45%, chloramphenicol 56% and 13% and fusidic acid 25% and 15%. None of the strains were resistant to vancomycin and teicoplanin. Slime production was detected in 86 of 200 CoNS strains. Resistance to methicillin was found in 81% of slime-positive and in 57% of slime-negative strains. Our results indicated that there is a high level of resistance to widely used agents in causative methicillin-resistant CoNS strains. However fusidic acid has the smallest resistance ratio, with the exception of glycopeptides. Additionally, most S. epidermidis strains were slime

  3. Emergence of fluoroquinolone resistance among drug resistant tuberculosis patients at a tertiary care facility in Karachi, Pakistan.

    Science.gov (United States)

    Zaidi, Syed Mohammad Asad; Haseeb, Abdul; Habib, Shifa Salman; Malik, Amyn; Khowaja, Saira; SaifUllah, Nausheen; Rizvi, Nadeem

    2017-07-25

    Pakistan is classified as one of the high multi-drug resistant tuberculosis (MDR-TB) burden countries. A poorly regulated private sector, over-prescription of antibiotics and self-medication has led to augmented rates of drug-resistance in the country. Pakistan's first national anti-tuberculosis drug resistance survey identified high prevalence of fluoroquinolone resistance among MDR-TB patients. Further institutional evidence of fluoroquinolone drug-resistance can support re-evaluation of treatment regimens as well as invigorate efforts to control antibiotic resistance in the country. In this study, data for drug-susceptibility testing (DST) was retrospectively analyzed for a total of 133 patients receiving MDR-TB treatment at the Chest Department of Jinnah Postgraduate Medical Center, Karachi, Pakistan. Frequency analyses for resistance patterns was carried out and association of fluoroquinolone (ofloxacin) resistance with demographics and past TB treatment category were assessed. Within first-line drugs, resistance to isoniazid was detected in 97.7% of cases, followed by rifampicin (96.9%), pyrazinamide (86.4%), ethambutol (69.2%) and streptomycin (64.6%). Within second-line drugs, ofloxacin resistance was detected in 34.6% of cases. Resistance to ethionamide and amikacin was 2.3% and 1.6%, respectively. Combined resistance of oflaxacin and isoniazid was detected in 33.9% of cases. Age, gender and past TB treatment category were not significantly associated with resistance to ofloxacin. Fluoroquinolone resistance was observed in an alarmingly high proportion of MDR-TB cases. Our results suggest caution in their use for empirical management of MDR-TB cases and recommended treatment regimens for MDR-TB may require re-evaluation. Greater engagement of private providers and stringent pharmacy regulations are urgently required.

  4. Analysis of Acinetobacter baumannii resistance patterns in patients with chronic obstructive pulmonary disease (COPD in terms of choice of effective empiric antibiotic therapy

    Directory of Open Access Journals (Sweden)

    Aneta Grochowalska

    2017-06-01

    In the performed study, the infections caused by multi-resistant Acinetobacter baumannii, were observed in COPD, which should be taken into consideration in choosing empirical antibiotic therapy. Simultaneously, the local resistance patterns of multi-drug-resistant (MDR Gram-negative strains co-infecting COPD should be considered in empirical treatment. Moreover, both additional clinical complication and co-infections contribute to a more severe course of diseases. In this study, the mortality percent exceeded 29%.

  5. Effect of carbapenem consumption patterns on the molecular epidemiology and carbapenem resistance of Acinetobacter baumannii.

    Science.gov (United States)

    Mózes, Julianna; Ebrahimi, Fatemeh; Gorácz, Orsolya; Miszti, Cecília; Kardos, Gábor

    2014-12-01

    This study investigated the molecular epidemiology of Acinetobacter baumannii in the University of Debrecen in relation to antibiotic consumption. Overall and ward-specific antibiotic consumption was measured by the number of defined daily doses (DDD) per 100 bed-days between 2002 and 2012. Consumption was analysed against the number of A. baumannii positive patients per 100 bed-days, number of isolates per positive sample, and proportion of carbapenem resistant A. baumannii, using time-series analysis. Altogether 160 A. baumannii isolates from different wards were collected and analysed. Carbapenemase genes bla(OXA-23-like), bla(OXA-24-like), bla(OXA-48-like), bla(OXA-51-like), bla(OXA-58-like) and integrons were sought by PCR. Relatedness of isolates was assessed by PFGE. Prevalence and carbapenem resistance of A. baumannii were statistically associated with carbapenem consumption. Prevalence data followed carbapenem usage with three quarterly lags (r = 0.51-0.53, Pcarbapenem consumption was associated with the carbapenem-susceptible cluster, as well as with the carbapenem-susceptible isolates in the cluster with variable susceptibility. Wards with high carbapenem usage almost exclusively harboured isolates from carbapenem-resistant clusters. All clusters were dominated by isolates of one or two wards, but most wards were represented in multiple clusters. Increases in prevalence and carbapenem resistance of A. baumannii were associated with usage of meropenem and ertapenem but not of imipenem, which led to the spread of multiple clones in the University. © 2014 The Authors.

  6. Eosinophil inversely associates with type 2 diabetes and insulin resistance in Chinese adults.

    Directory of Open Access Journals (Sweden)

    Liying Zhu

    Full Text Available CONTEXT: Limited population-based study focused on relationship between eosinophil and type 2 diabetes (T2D. OBJECTIVES: We aimed to evaluate the relationship between peripheral eosinophil percentage and glucose metabolism and insulin resistance in a large sample size of Chinese population aged 40 and older. DESIGN AND METHODS: A cross-sectional study was performed among 9,111 Chinese adults including 3,561 men and 5,550 women. The glucose metabolism status was confirmed by 75-g oral glucose tolerance test. Homeostasis model assessment of insulin resistance index and serum insulin levels were used to evaluate insulin resistance. Homeostasis model assessment-B was used to evaluate β cell function. RESULTS: The average age of participants was 58.5 years. The prevalence of T2D decreased across the tertiles of eosinophil percentage (21.3%, 18.2% and 16.9%, P<0.0001. Each one tertile increase of eosinophil percentage inversely associated with risk of T2D when referred not only to normal glucose tolerance (NGT (odds ratio (OR 0.81, 95% CI 0.76-0.87, P< 0.0001, but also to impaired glucose regulation (OR 0.89, 95% CI 0.83-0.97, P = 0.006, respectively, after adjustment for the confounding factors. Compared with the first tertile, the third tertile of eosinophil percentage associated with a 23% decrease of insulin resistance in NGT participants after full adjustments (P = 0.005. Each 1-standard deviation of increment of eosinophil percentage associated with a 37% decrease of insulin resistance (P = 0.005. CONCLUSIONS: Higher peripheral eosinophil percentage was associated with decreased risk of T2D. The inverse relation to insulin resistance was detected in NGT participants.

  7. Ethnic Differences in the Food Intake Patterns and Its Associated Factors of Adolescents in Kelantan, Malaysia

    Directory of Open Access Journals (Sweden)

    Nurul-Fadhilah Abdullah

    2016-09-01

    Full Text Available Objective: The aim of the study was to identify the ethnic differences in dietary patterns and its association with socio-economic, dietary and lifestyle practices among adolescents in Kelantan, Malaysia. Methods: A population-based study of 454 adolescents aged 12 to 19 years was included. A validated food frequency questionnaire was used to assess dietary patterns and three dietary patterns were identified based on the principal component analysis method. Results: Malay adolescents had significantly higher scores for the Western-based food pattern and local-based food pattern, whereas Chinese adolescents showed higher scores for the healthy-based food pattern. Multivariate analyses show that age and physical activity (PA levels were positively associated with healthy-based food pattern in Malay (All, p < 0.001, whereas higher consumption of eating-out from home (EatOut (p = 0.014 and fast food (p = 0.041 were negatively associated. High weekly breakfast skipping (p < 0.001 and EatOut (p = 0.003 were positively associated with a Western-based pattern, whereas age (p < 0.001 and household income (p = 0.005 were negatively associated. Higher frequency of daily snacking (p = 0.013 was positively associated with local-based food pattern. For Chinese adolescents, age (p < 0.001, PA levels (p < 0.001 and maternal education level (p = 0.035 showed positive associations with the healthy-based pattern, whereas high EatOut (p = 0.001 and fast food intakes (p = 0.001 were negatively associated. Higher weekly consumption of EatOut (p = 0.007, fast food (p = 0.023 and carbonated beverages (p = 0.023, and daily snacking practice (p = 0.004 were positively associated with higher Western-based food pattern, whereas age (p = 0.004 was inversely associated. Conclusion: This study showed that there were significant differences in dietary patterns and its association factors between Malay and Chinese adolescents. More importantly, these findings suggest that

  8. Widespread acquisition of antimicrobial resistance among Campylobacter isolates from UK retail poultry and evidence for clonal expansion of resistant lineages.

    Science.gov (United States)

    Wimalarathna, Helen M L; Richardson, Judith F; Lawson, Andy J; Elson, Richard; Meldrum, Richard; Little, Christine L; Maiden, Martin C J; McCarthy, Noel D; Sheppard, Samuel K

    2013-07-15

    Antimicrobial resistance is increasing among clinical Campylobacter cases and is common among isolates from other sources, specifically retail poultry - a major source of human infection. In this study the antimicrobial susceptibility of isolates from a UK-wide survey of Campylobacter in retail poultry in 2001 and 2004-5 was investigated. The occurrence of phenotypes resistant to tetracycline, quinolones (ciprofloxacin and naladixic acid), erythromycin, chloramphenicol and aminoglycosides was quantified. This was compared with a phylogeny for these isolates based upon Multi Locus Sequence Typing (MLST) to investigate the pattern of antimicrobial resistance acquisition. Antimicrobial resistance was present in all lineage clusters, but statistical testing showed a non-random distribution. Erythromycin resistance was associated with Campylobacter coli. For all antimicrobials tested, resistant isolates were distributed among relatively distant lineages indicative of widespread acquisition. There was also evidence of clustering of resistance phenotypes within lineages; indicative of local expansion of resistant strains. These results are consistent with the widespread acquisition of antimicrobial resistance among chicken associated Campylobacter isolates, either through mutation or horizontal gene transfer, and the expansion of these lineages as a proportion of the population. As Campylobacter are not known to multiply outside of the host and long-term carriage in humans is extremely infrequent in industrialized countries, the most likely location for the proliferation of resistant lineages is in farmed chickens.

  9. Pattern recognition with parallel associative memory

    Science.gov (United States)

    Toth, Charles K.; Schenk, Toni

    1990-01-01

    An examination is conducted of the feasibility of searching targets in aerial photographs by means of a parallel associative memory (PAM) that is based on the nearest-neighbor algorithm; the Hamming distance is used as a measure of closeness, in order to discriminate patterns. Attention has been given to targets typically used for ground-control points. The method developed sorts out approximate target positions where precise localizations are needed, in the course of the data-acquisition process. The majority of control points in different images were correctly identified.

  10. Lung volumes and airway resistance in patients with a possible restrictive pattern on spirometry.

    Science.gov (United States)

    Schultz, Kenia; D'Aquino, Luiz Carlos; Soares, Maria Raquel; Gimenez, Andrea; Pereira, Carlos Alberto de Castro

    2016-01-01

    Many patients with proportional reductions in FVC and FEV1 on spirometry show no reduction in TLC. The aim of this study was to evaluate the role that measuring lung volumes and airway resistance plays in the correct classification of patients with a possible restrictive pattern on spirometry. This was a prospective study involving adults with reduced FVC and FEV1, as well as an FEV1/FV(C) ratio within the predicted range. Restrictive lung disease (RLD) was characterized by TLC below the 5th percentile, as determined by plethysmography. Obstructive lung disease (OLD) was characterized by high specific airway resistance, significant changes in post-bronchodilator FEV1, or an FEF25-75% espirometria não têm CPT reduzida. O objetivo deste estudo foi avaliar o papel da medida dos volumes pulmonares e da resistência das vias aéreas para a classificação correta de pacientes com possível restrição à espirometria. Estudo prospectivo de adultos com CVF e VEF1 reduzidos e relação VEF1/CV(F) na faixa prevista. Distúrbio ventilatório restritivo (DVR) foi definido por CPT espirometria. A obstrução ao fluxo aéreo é comum nesses casos.

  11. Putative resistance gene markers associated with quantitative trait loci for fire blight resistance in Malus ‘Robusta 5’ accessions

    Science.gov (United States)

    2012-01-01

    Background Breeding of fire blight resistant scions and rootstocks is a goal of several international apple breeding programs, as options are limited for management of this destructive disease caused by the bacterial pathogen Erwinia amylovora. A broad, large-effect quantitative trait locus (QTL) for fire blight resistance has been reported on linkage group 3 of Malus ‘Robusta 5’. In this study we identified markers derived from putative fire blight resistance genes associated with the QTL by integrating further genetic mapping studies with bioinformatics analysis of transcript profiling data and genome sequence databases. Results When several defined E.amylovora strains were used to inoculate three progenies from international breeding programs, all with ‘Robusta 5’ as a common parent, two distinct QTLs were detected on linkage group 3, where only one had previously been mapped. In the New Zealand ‘Malling 9’ X ‘Robusta 5’ population inoculated with E. amylovora ICMP11176, the proximal QTL co-located with SNP markers derived from a leucine-rich repeat, receptor-like protein ( MxdRLP1) and a closely linked class 3 peroxidase gene. While the QTL detected in the German ‘Idared’ X ‘Robusta 5’ population inoculated with E. amylovora strains Ea222_JKI or ICMP11176 was approximately 6 cM distal to this, directly below a SNP marker derived from a heat shock 90 family protein gene ( HSP90). In the US ‘Otawa3’ X ‘Robusta5’ population inoculated with E. amylovora strains Ea273 or E2002a, the position of the LOD score peak on linkage group 3 was dependent upon the pathogen strains used for inoculation. One of the five MxdRLP1 alleles identified in fire blight resistant and susceptible cultivars was genetically associated with resistance and used to develop a high resolution melting PCR marker. A resistance QTL detected on linkage group 7 of the US population co-located with another HSP90 gene-family member and a WRKY transcription factor

  12. Functional characterization of glutathione S-transferases associated with insecticide resistance in Tetranychus urticae

    NARCIS (Netherlands)

    Pavlidi, N.; Tseliou, V.; Riga, M.; Nauen, R.; Van Leeuwen, T.; Labrou, N.E.; Vontas, J.

    2015-01-01

    The two-spotted spider mite Tetranychus urticae is one of the most important agricultural pests world-wide. It is extremely polyphagous and develops resistance to acaricides. The overexpression of several glutathione S-transferases (GSTs) has been associated with insecticide resistance. Here, we

  13. Smartphone gaming and frequent use pattern associated with smartphone addiction.

    Science.gov (United States)

    Liu, Chun-Hao; Lin, Sheng-Hsuan; Pan, Yuan-Chien; Lin, Yu-Hsuan

    2016-07-01

    The aim of this study was to investigate the risk factors of smartphone addiction in high school students.A total of 880 adolescents were recruited from a vocational high school in Taiwan in January 2014 to complete a set of questionnaires, including the 10-item Smartphone Addiction Inventory, Chen Internet Addiction Scale, and a survey of content and patterns of personal smartphone use. Of those recruited, 689 students (646 male) aged 14 to 21 and who owned a smartphone completed the questionnaire. Multiple linear regression models were used to determine the variables associated with smartphone addiction.Smartphone gaming and frequent smartphone use were associated with smartphone addiction. Furthermore, both the smartphone gaming-predominant and gaming with multiple-applications groups showed a similar association with smartphone addiction. Gender, duration of owning a smartphone, and substance use were not associated with smartphone addiction.Our findings suggest that smartphone use patterns should be part of specific measures to prevent and intervene in cases of excessive smartphone use.

  14. Low back pain characterized by muscle resistance and occupational factors associated with nursing

    Directory of Open Access Journals (Sweden)

    Rafael de Souza Petersen

    2014-06-01

    Full Text Available OBJECTIVE: to identify the occupational factors associated with low back pain using a surveillance tool and to characterize the low back pain by the resistance of the extensor muscles of the vertebral column among nursing professionals at an Intensive Care Unit.METHODS: Cross-sectional study. The workers answered a questionnaire about occupational factors and participated in a resistance test of the extensor muscles of the vertebral column. Associations were established through Student's T-test or Mann-Whitney's U-test and correlations using Pearson's test.RESULTS: Out of 48 participants, 32 (67% suffered from low pain. For the resistance test, the subjects suffering from low back pain endured less time in comparison with asymptomatic subjects, but without significant differences (p=0.147. The duration of the pain episode showed a significant negative correlation (p=0.016 with the results of the resistance test though. The main factors identified as causes of low back pain were biomechanical and postural elements, conditions of the muscle structure and physical and organizational conditions.CONCLUSIONS: the main occupational factors associated with the low back pain were the posture and the characteristics of the physical and organizational conditions. In addition, the extensor muscles of the column showed a trend towards lesser resistance for workers in pain. This evidence is important when considering prevention and treatment strategies.

  15. Fludarabine-mediated circumvention of cytarabine resistance is associated with fludarabine triphosphate accumulation in cytarabine-resistant leukemic cells.

    Science.gov (United States)

    Yamamoto, Shuji; Yamauchi, Takahiro; Kawai, Yasukazu; Takemura, Haruyuki; Kishi, Shinji; Yoshida, Akira; Urasaki, Yoshimasa; Iwasaki, Hiromichi; Ueda, Takanori

    2007-02-01

    The combination of cytarabine (ara-C) with fludarabine is a common approach to treating resistant acute myeloid leukemia. Success depends on a fludarabine triphosphate (F-ara-ATP)-mediated increase in the active intracellular metabolite of ara-C, ara-C 5'-triphosphate (ara-CTP). Therapy-resistant leukemia may exhibit ara-C resistance, the mechanisms of which might induce cross-resistance to fludarabine with reduced F-ara-ATP formation. The present study evaluated the effect of combining ara-C and fludarabine on ara-C-resistant leukemic cells in vitro. Two variant cell lines (R1 and R2) were 8-fold and 10-fold more ara-C resistant, respectively, than the parental HL-60 cells. Reduced deoxycytidine kinase activity was demonstrated in R1 and R2 cells, and R2 cells also showed an increase in cytosolic 5'-nucleotidase II activity. Compared with HL-60 cells, R1 and R2 cells produced smaller amounts of ara-CTP. Both variants accumulated less F-ara-ATP than HL-60 cells and showed cross-resistance to fludarabine nucleoside (F-ara-A). R2 cells, however, accumulated much smaller amounts of F-ara-ATP and were more F-ara-A resistant than R1 cells. In HL-60 and R1 cells, F-ara-A pretreatment followed by ara-C incubation produced F-ara-ATP concentrations sufficient for augmenting ara-CTP production, thereby enhancing ara-C cytotoxicity. No potentiation was observed in R2 cells. Nucleotidase might preferentially degrade F-ara-A monophosphate over ara-C monophosphate, leading to reduced F-ara-ATP production and thereby compromising the F-ara-A-mediated potentiation of ara-C cytotoxicity in R2 cells. Thus, F-ara-A-mediated enhancement of ara-C cytotoxicity depended on F-ara-ATP accumulation in ara-C-resistant leukemic cells but ultimately was associated with the mechanism of ara-C resistance.

  16. Identification of genetic markers linked to anthracnose resistance in sorghum using association analysis.

    Science.gov (United States)

    Upadhyaya, Hari D; Wang, Yi-Hong; Sharma, Rajan; Sharma, Shivali

    2013-06-01

    Anthracnose in sorghum caused by Colletotrichum sublineolum is one of the most destructive diseases affecting sorghum production under warm and humid conditions. Markers and genes linked to resistance to the disease are important for plant breeding. Using 14,739 SNP markers, we have mapped eight loci linked to resistance in sorghum through association analysis of a sorghum mini-core collection consisting of 242 diverse accessions evaluated for anthracnose resistance for 2 years in the field. The mini-core was representative of the International Crops Research Institute for the Semi-Arid Tropics' world-wide sorghum landrace collection. Eight marker loci were associated with anthracnose resistance in both years. Except locus 8, disease resistance-related genes were found in all loci based on their physical distance from linked SNP markers. These include two NB-ARC class of R genes on chromosome 10 that were partially homologous to the rice blast resistance gene Pib, two hypersensitive response-related genes: autophagy-related protein 3 on chromosome 1 and 4 harpin-induced 1 (Hin1) homologs on chromosome 8, a RAV transcription factor that is also part of R gene pathway, an oxysterol-binding protein that functions in the non-specific host resistance, and homologs of menthone:neomenthol reductase (MNR) that catalyzes a menthone reduction to produce the antimicrobial neomenthol. These genes and markers may be developed into molecular tools for genetic improvement of anthracnose resistance in sorghum.

  17. An estrogen-associated dietary pattern and breast cancer risk in the Swedish Mammography Cohort.

    Science.gov (United States)

    Harris, Holly R; Bergkvist, Leif; Wolk, Alicja

    2015-11-01

    High endogenous hormone levels have been associated with breast cancer and dietary factors have the potential to influence breast cancer risk through effects on hormone levels. Dietary patterns derived from reduced rank regression provide a way to identify food groups correlated with hormones and subsequently examine food patterns that may be associated with breast cancer risk. We investigated whether a dietary pattern previously correlated with estradiol and estrone sulfate was associated with breast cancer in the prospective Swedish Mammography Cohort. Among 37,004 primarily postmenopausal women diet was assessed with a food frequency questionnaire. Cox proportional hazard models were used to calculate hazard ratios (HRs) and 95% confidence intervals (95% CIs). During 15 years of follow-up 1,603 cases of breast cancer were identified. A higher estrogen dietary pattern score was associated with an increased risk of breast cancer. Women in the highest quartile of estrogen pattern score had a 29% (95% CI = 1.08-1.55) increased risk of breast cancer compared to women in the lowest quartile (p(trend) = 0.006). When the association was examined by estrogen-receptor status, it was only significant for those with estrogen-receptor-positive tumors; however, in the competing risk analysis there were no significant differences in the effect estimates by receptor subtype (p(heterogeneity) = 0.65). Our findings suggest that a dietary pattern associated with higher estrogen levels may increase breast cancer risk. However, whether the influence of this dietary pattern is through a direct effect on estrogen levels deserves further study. © 2015 UICC.

  18. Association between circulating irisin and insulin resistance in non-diabetic adults: A meta-analysis.

    Science.gov (United States)

    Qiu, Shanhu; Cai, Xue; Yin, Han; Zügel, Martina; Sun, Zilin; Steinacker, Jürgen Michael; Schumann, Uwe

    2016-06-01

    Exogenous administration of recombinant irisin improves glucose metabolism. However, the association of endogenous circulating (plasma/serum) irisin with insulin resistance remains poorly delineated. This study was aimed to examine this association by meta-analyzing the current evidence without study design restriction in non-diabetic adults. Peer-reviewed studies written in English from 3 databases were searched to December 2015. Studies that reported the association between circulating irisin and insulin resistance (or its reverse, insulin sensitivity) in non-diabetic non-pregnant adults (mean ages ≥18years) were included. The pooled correlation coefficient (r) and 95% confidence intervals (CIs) were calculated using a random-effects model. Subgroup analyses and meta-regression were performed to explore potential sources of heterogeneity. Of the 195 identified publications, 17 studies from 15 articles enrolling 1912 participants reported the association between circulating irisin and insulin resistance. The pooled effect size was 0.15 (95% CI: 0.07 to 0.22) with a substantial heterogeneity (I(2)=55.5%). This association seemed to be modified by glycemic status (fasting blood glucose ≥6.1mmol/L versus insulin sensitivity (6 studies; r=-0.17, 95% CI: -0.25 to -0.09). Circulating irisin is directly and positively associated with insulin resistance in non-diabetic adults. However, this association is rather small and requires further clarification, in particular by well-designed large epidemiological studies with overall, race-, and sex-specific analyses. Copyright © 2016 The Authors. Published by Elsevier Inc. All rights reserved.

  19. Daptomycin resistance in enterococci is associated with distinct alterations of cell membrane phospholipid content.

    Directory of Open Access Journals (Sweden)

    Nagendra N Mishra

    Full Text Available The lipopeptide antibiotic, daptomycin (DAP interacts with the bacterial cell membrane (CM. Development of DAP resistance during therapy in a clinical strain of Enterococcus faecalis was associated with mutations in genes encoding enzymes involved in cell envelope homeostasis and phospholipid metabolism. Here we characterized changes in CM phospholipid profiles associated with development of DAP resistance in clinical enterococcal strains.Using two clinical strain-pairs of DAP-susceptible and DAP-resistant E. faecalis (S613 vs. R712 and E. faecium (S447 vs. R446 recovered before and after DAP therapy, we compared four distinct CM profiles: phospholipid content, fatty acid composition, membrane fluidity and capacity to be permeabilized and/or depolarized by DAP. Additionally, we characterized the cell envelope of the E. faecium strain-pair by transmission electron microscopy and determined the relative cell surface charge of both strain-pairs.Both E. faecalis and E. faecium mainly contained four major CM PLs: phosphatidylglycerol (PG, cardiolipin, lysyl-phosphatidylglycerol (L-PG and glycerolphospho-diglycodiacylglycerol (GP-DGDAG. In addition, E. faecalis CMs (but not E. faecium also contained: i phosphatidic acid; and ii two other unknown species of amino-containing PLs. Development of DAP resistance in both enterococcal species was associated with a significant decrease in CM fluidity and PG content, with a concomitant increase in GP-DGDAG. The strain-pairs did not differ in their outer CM translocation (flipping of amino-containing PLs. Fatty acid content did not change in the E. faecalis strain-pair, whereas a significant decrease in unsaturated fatty acids was observed in the DAP-resistant E. faecium isolate R446 (vs S447. Resistance to DAP in E. faecium was associated with distinct structural alterations of the cell envelope and cell wall thickening, as well as a decreased ability of DAP to depolarize and permeabilize the CM

  20. Association between breastfeeding and breathing pattern in children: a sectional study

    Directory of Open Access Journals (Sweden)

    Teresinha S.P. Lopes

    2014-07-01

    Full Text Available OBJECTIVE: to determine the prevalence of mouth breathing and to associate the history of breastfeeding with breathing patterns in children. METHODS: this was an observational study with 252 children of both genders, aged 30 to 48 months, who participated in a dental care program for mothers and newborns. As an instrument of data collection, a semi-structured questionnaire was administered to the children's mothers assessing the form and duration of breastfeeding and the oral habits of non-nutritive sucking. To determine the breathing patterns that the children had developed, medical history and clinical examination were used. Statistical analysis was conducted to examine the effects of exposure on the primary outcome (mouth breathing, and the prevalence ratio was calculated with a 95% confidence interval. RESULTS: of the total sample, 43.1% of the children were mouth breathers, 48.4% had been breastfed exclusively until six months of age or more, and 27.4% had non-nutritive sucking habits. Statistically significant associations were found for bottle-feeding (p < 0.001 and oral habits of non-nutritive sucking (p = 0.009, with an increased likelihood of children exhibiting a predominantly oral breathing pattern. A statistically significant association was also observed between a longer duration of exclusive breastfeeding and a nasal breathing pattern presented by children. CONCLUSION: an increased duration of exclusive breastfeeding lowers the chances of children exhibiting a predominantly oral breathing pattern.

  1. Genotypic and Phenotypic Markers of Livestock-Associated Methicillin-Resistant Staphylococcus aureus CC9 in Humans.

    Science.gov (United States)

    Ye, Xiaohua; Wang, Xiaolin; Fan, Yanping; Peng, Yang; Li, Ling; Li, Shunming; Huang, Jingya; Yao, Zhenjiang; Chen, Sidong

    2016-07-01

    Use of antimicrobials in industrial food animal production is associated with the presence of multidrug-resistant Staphylococcus aureus among animals and humans. The livestock-associated (LA) methicillin-resistant S. aureus (MRSA) clonal complex 9 (CC9) is associated with animals and related workers in Asia. This study aimed to explore the genotypic and phenotypic markers of LA-MRSA CC9 in humans. We conducted a cross-sectional study of livestock workers and controls in Guangdong, China. The study participants responded to a questionnaire and provided a nasal swab for S. aureus analysis. The resulting isolates were assessed for antibiotic susceptibility, multilocus sequence type, and immune evasion cluster (IEC) genes. Livestock workers had significantly higher rates of S. aureus CC9 (odds ratio [OR] = 30.98; 95% confidence interval [CI], 4.06 to 236.39) and tetracycline-resistant S. aureus (OR = 3.26; 95% CI, 2.12 to 5.00) carriage than controls. All 19 S. aureus CC9 isolates from livestock workers were MRSA isolates and also exhibited the characteristics of resistance to several classes of antibiotics and absence of the IEC genes. Notably, the interaction analyses indicated phenotype-phenotype (OR = 525.7; 95% CI, 60.0 to 4,602.1) and gene-environment (OR = 232.3; 95% CI, 28.7 to 1,876.7) interactions associated with increased risk for livestock-associated S. aureus CC9 carriage. These findings suggest that livestock-associated S. aureus and MRSA (CC9, IEC negative, and tetracycline resistant) in humans are associated with occupational livestock contact, raising questions about the potential for occupational exposure to opportunistic S. aureus This study adds to existing knowledge by giving insight into the genotypic and phenotypic markers of LA-MRSA. Our findings suggest that livestock-associated S. aureus and MRSA (CC9, IEC negative, and tetracycline resistant) in humans are associated with occupational livestock contact. Future studies should direct more

  2. Association mapping of seed and disease resistance traits in Theobroma cacao L.

    Science.gov (United States)

    Motilal, Lambert A; Zhang, Dapeng; Mischke, Sue; Meinhardt, Lyndel W; Boccara, Michel; Fouet, Olivier; Lanaud, Claire; Umaharan, Pathmanathan

    2016-12-01

    Microsatellite and single nucleotide polymorphism markers that could be used in marker assisted breeding of cacao were identified for number of filled seeds, black pod resistance and witches' broom disease resistance. An association mapping approach was employed to identify markers for seed number and resistance to black pod and witches' broom disease (WBD) in cacao (Theobroma cacao L.). Ninety-five microsatellites (SSRs) and 775 single nucleotide polymorphisms (SNPs) were assessed on 483 unique trees in the International Cocoa Genebank Trinidad (ICGT). Linkage disequilibrium (LD) and association mapping studies were conducted to identify markers to tag the phenotypic traits. Decay of LD occurred over an average 9.3 cM for chromosomes 1-9 and 2.5 cM for chromosome 10. Marker/trait associations were generally identified based on general linear models (GLMs) that incorporated principal components from molecular information on relatedness factor. Seven markers (mTcCIR 8, 66, 126, 212; TcSNP368, 697, 1370) on chromosomes 1 and 9 were identified for number of filled seeds (NSEED). A single marker was found for black pod resistance (mTcCIR280) on chromosome 3, whereas six markers on chromosomes 4, 5, 6, 8, and 10 were detected for WBD (mTcCIR91, 183; TcSNP375, 720, 1230 and 1374). It is expected that this association mapping study in cacao would contribute to the knowledge of the genetic determinism of cocoa traits and that the markers identified herein would prove useful in marker assisted breeding of cacao.

  3. Antimicrobial Chemicals Are Associated with Elevated Antibiotic Resistance Genes in the Indoor Dust Microbiome.

    Science.gov (United States)

    Hartmann, Erica M; Hickey, Roxana; Hsu, Tiffany; Betancourt Román, Clarisse M; Chen, Jing; Schwager, Randall; Kline, Jeff; Brown, G Z; Halden, Rolf U; Huttenhower, Curtis; Green, Jessica L

    2016-09-20

    Antibiotic resistance is increasingly widespread, largely due to human influence. Here, we explore the relationship between antibiotic resistance genes and the antimicrobial chemicals triclosan, triclocarban, and methyl-, ethyl-, propyl-, and butylparaben in the dust microbiome. Dust samples from a mixed-use athletic and educational facility were subjected to microbial and chemical analyses using a combination of 16S rRNA amplicon sequencing, shotgun metagenome sequencing, and liquid chromatography tandem mass spectrometry. The dust resistome was characterized by identifying antibiotic resistance genes annotated in the Comprehensive Antibiotic Resistance Database (CARD) from the metagenomes of each sample using the Short, Better Representative Extract Data set (ShortBRED). The three most highly abundant antibiotic resistance genes were tet(W), blaSRT-1, and erm(B). The complete dust resistome was then compared against the measured concentrations of antimicrobial chemicals, which for triclosan ranged from 0.5 to 1970 ng/g dust. We observed six significant positive associations between the concentration of an antimicrobial chemical and the relative abundance of an antibiotic resistance gene, including one between the ubiquitous antimicrobial triclosan and erm(X), a 23S rRNA methyltransferase implicated in resistance to several antibiotics. This study is the first to look for an association between antibiotic resistance genes and antimicrobial chemicals in dust.

  4. Microsatellite analysis of chloroquine resistance associated alleles and neutral loci reveal genetic structure of Indian Plasmodium falciparum

    Science.gov (United States)

    Mallick, Prashant K.; Sutton, Patrick L.; Singh, Ruchi; Singh, Om P.; Dash, Aditya P.; Singh, Ashok K.; Carlton, Jane M.; Bhasin, Virendra K.

    2013-01-01

    Efforts to control malignant malaria caused by Plasmodium falciparum are hampered by the parasite’s acquisition of resistance to antimalarial drugs, e.g., chloroquine. This necessitates evaluating the spread of chloroquine resistance in any malaria-endemic area. India displays highly variable malaria epidemiology and also shares porous international borders with malaria-endemic Southeast Asian countries having multi-drug resistant malaria. Malaria epidemiology in India is believed to be affected by two major factors: high genetic diversity and evolving drug resistance in P. falciparum. How transmission intensity of malaria can influence the genetic structure of chloroquine-resistant P. falciparum population in India is unknown. Here, genetic diversity within and among P. falciparum populations is analyzed with respect to their prevalence and chloroquine resistance observed in 13 different locations in India. Microsatellites developed for P. falciparum, including three putatively neutral and seven microsatellites thought to be under a hitchhiking effect due to chloroquine selection were used. Genetic hitchhiking is observed in five of seven microsatellites flanking the gene responsible for chloroquine resistance. Genetic admixture analysis and F-statistics detected genetically distinct groups in accordance with transmission intensity of different locations and the probable use of chloroquine. A large genetic break between the chloroquine-resistant parasite of the Northeast-East-Island group and Southwest group (FST = 0.253, P<0.001) suggests a long period of isolation or a possibility of different origin between them. A pattern of significant isolation by distance was observed in low transmission areas (r = 0.49, P=0.003, N = 83, Mantel test). An unanticipated pattern of spread of hitchhiking suggests genetic structure for Indian P. falciparum population. Overall, the study suggests that transmission intensity can be an efficient driver for genetic differentiation

  5. Polycystic ovary morphology is associated with insulin resistance in women with polycystic ovary syndrome.

    Science.gov (United States)

    Hong, So-Hyeon; Sung, Yeon-Ah; Hong, Young Sun; Jeong, Kyungah; Chung, Hyewon; Lee, Hyejin

    2017-10-01

    Polycystic ovary syndrome (PCOS) is a heterogeneous disorder characterized by chronic anovulation, hyperandrogenism, polycystic ovary morphology (PCOM) and metabolic disturbances including insulin resistance and type 2 diabetes mellitus. Although insulin resistance could be associated with PCOM, recent studies have shown controversial results. The aim of this study was to determine the relationship between PCOM and insulin resistance. This was a cross-sectional clinical study. A total of 679 women with PCOS who were diagnosed using the National Institute of Child Health and Human Disease (NICHD) criteria and 272 control women were analysed. We measured fasting glucose and insulin levels, 75 g oral glucose tolerance test-derived glucose and insulin levels, testosterone levels, ovarian volume and follicle number. Polycystic ovary morphology was described in 543 women (80.0%) with PCOS. Women with PCOS had significantly higher 2 hours postload glucose, fasting and 2 hours postload insulin levels, ovarian volume, ovarian follicle numbers and lower insulin sensitivity compared with those of the controls (all P<.01). In women with PCOS, ovarian volume and ovarian follicle number were negatively associated with the quantitative insulin sensitivity check index after adjusting for age, body mass index and total testosterone; however, this association was not observed in the controls. In the logistic regression analysis, increased ovarian follicle number was associated with decreased insulin sensitivity in women with PCOS. In PCOS, enlarged ovarian volume and follicle excess were associated with insulin resistance, and the number of ovarian follicles could be a predictor of insulin resistance. © 2017 John Wiley & Sons Ltd.

  6. [Antibiotic resistance pattern of 24, 526 strains of Vibrio cholerae O1 isolated in Mexico from 1991 to 1993].

    Science.gov (United States)

    Giono-Cerezo, S; Zárate, A; Gutiérrez, L; Valdespino, J L

    1994-01-01

    Profile of antimicrobial resistance by Kirby-Bauer method was performed on 24526 Vibrio cholerae O1 strains isolated in México (1991-1993) from fecal swabs in cholera cases and from asymptomatic carriers. Minimal inhibitory concentration (MIC) tests for tetracycline (Te) and doxycycline (D) were done on selected strains. Single antibiotic discs were used at concentrations of: Te, 30 micrograms; D, 30 micrograms; erythromycin (E), 15 micrograms; chloramphenicol (CM), 30 micrograms; ampicillin (AM), 10 micrograms; trimethoprim-sulfamethoxazole (SXT) 1.25 micrograms/23.75 micrograms. Strains whose halos were of a smaller diameter than the intermediate value were considered resistant. It is important to maintain surveillance on antimicrobial susceptibility as epidemiological marker on geographical selected areas in order to detect changes of resistant patterns.

  7. Genotypes of Mycobacterium tuberculosis in patients at risk of drug resistance in Bolivia.

    Science.gov (United States)

    Monteserin, Johana; Camacho, Mirtha; Barrera, Lucía; Palomino, Juan Carlos; Ritacco, Viviana; Martin, Anandi

    2013-07-01

    Bolivia ranks among the 10 Latin American countries with the highest rates of tuberculosis (TB) and multidrug resistant (MDR) TB. In view of this, and of the lacking information on the population structure of Mycobacterium tuberculosis in the country, we explored genotype associations with drug resistance and clustering by analyzing isolates collected in 2010 from 100 consecutive TB patients at risk of drug resistance in seven of the nine departments in which Bolivia is divided. Fourteen isolates were MDR, 29 had other drug resistance profiles, and 57 were pansusceptible. Spoligotype family distribution was: Haarlem 39.4%, LAM 26.3%, T 22.2%, S 2.0%, X 1.0%, orphan 9.1%, with very low intra-family diversity and absence of Beijing genotypes. We found 66 different MIRU-VNTR patterns; the most frequent corresponded to Multiple Locus Variable Analysis (MLVA) MtbC15 patterns 860, 372 and 873. Twelve clusters, each with identical MIRU-VNTR and spoligotypes, gathered 35 patients. We found no association of genotype with drug resistant or MDR-TB. Clustering associated with SIT 50 and the H3 subfamily to which it belongs (pBolivia. However, results should be taken cautiously because the sample is small and includes a particular subset of M. tuberculosis population. Copyright © 2013 Elsevier B.V. All rights reserved.

  8. Association analysis for disease resistance to Fusarium oxysporum in cape gooseberry (Physalis peruviana L).

    Science.gov (United States)

    Osorio-Guarín, Jaime A; Enciso-Rodríguez, Felix E; González, Carolina; Fernández-Pozo, Noé; Mueller, Lukas A; Barrero, Luz Stella

    2016-03-18

    Vascular wilt caused by Fusarium oxysporum is the most important disease in cape gooseberry (Physalis peruviana L.) in Colombia. The development of resistant cultivars is considered one of the most cost-effective means to reduce the impact of this disease. In order to do so, it is necessary to provide breeders with molecular markers and promising germplasm for introgression of different resistance loci as part of breeding schemes. Here we described an association mapping study in cape gooseberry with the goal to: (i) select promising materials for use in plant breeding and (ii) identify SNPs associated with the cape gooseberry resistance response to the F. oxysporum pathogen under greenhouse conditions, as potential markers for cape gooseberry breeding. We found a total of 21 accessions with different resistance responses within a diversity panel of 100 cape gooseberry accessions. A total of 60,663 SNPs were also identified within the same panel by means of GBS (Genotyping By Sequencing). Model-based population structure and neighbor-joining analyses showed three populations comprising the cape gooseberry panel. After correction for population structure and kinship, we identified SNPs markers associated with the resistance response against F. oxysporum. The identification of markers was based on common tags using the reference genomes of tomato and potato as well as the root/stem transcriptome of cape gooseberry. By comparing their location with the tomato genome, 16 SNPs were found in genes involved in defense/resistance response to pathogens, likewise when compared with the genome of potato, 12 markers were related. The work presented herein provides the first association mapping study in cape gooseberry showing both the identification of promising accessions with resistance response phenotypes and the identification of a set of SNP markers mapped to defense/resistance response genes of reference genomes. Thus, the work also provides new knowledge on candidate

  9. Potential relationship between phenotypic and molecular characteristics in revealing livestock-associated Staphylococcus aureus in Chinese humans without occupational livestock contact

    Directory of Open Access Journals (Sweden)

    Yanping Fan

    2016-09-01

    Full Text Available While some studies have defined Staphylococcus aureus based on its clonal complex and resistance pattern, few have explored the relations between the genetic lineages and antibiotic resistance patterns and immune evasion cluster (IEC genes. Our aim was to investigate the potential relationship between phenotypic and molecular characteristics so as to reveal livestock-associated S. aureus in humans. The study participants were interviewed, and they provided two nasal swabs for S. aureus analysis. All S. aureus and methicillin-resistant S. aureus (MRSA were tested for antibiotic susceptibility, multilocus sequence type and IEC genes. Of the 1162 participants, 9.3% carried S. aureus, including MRSA (1.4% and multidrug-resistant S. aureus (MDRSA, 2.8%. The predominant multidrug-resistant pattern among MDRSA isolates was nonsusceptibility to erythromycin, clindamycin and tetracycline. The most common S. aureus genotypes were ST7, ST6, ST188 and ST59, and the predominant MRSA genotype was ST7. Notably, the livestock-associated S. aureus isolates (IEC-negative CC9, IEC-negative tetracycline-resistant CC398, and IEC-negative tetracycline-resistant CC5 were found in people with no occupational livestock contact. These findings reveal a potential relationship between S. aureus CCs and IEC genes and antibiotic resistance patterns in defining livestock-associated S. aureus in humans and support growing concern about the potential livestock-to-human transmission of livestock-associated S. aureus by non-occupational livestock contact.

  10. A Western Dietary Pattern Is Associated with Poor Academic Performance in Australian Adolescents

    Directory of Open Access Journals (Sweden)

    Anett Nyaradi

    2015-04-01

    Full Text Available The aim of this study was to investigate cross-sectional associations between dietary patterns and academic performance among 14-year-old adolescents. Study participants were from the Western Australian Pregnancy Cohort (Raine Study. A food frequency questionnaire was administered when the adolescents were 14 years old, and from the dietary data, a ‘Healthy’ and a ‘Western’ dietary pattern were identified by factor analysis. The Western Australian Literacy and Numeracy Assessment (WALNA results from grade nine (age 14 were linked to the Raine Study data by The Western Australian Data Linkage Branch. Associations between the dietary patterns and the WALNA (mathematics, reading and writing scores were assessed using multivariate linear regression models adjusting for family and socioeconomic characteristics. Complete data on dietary patterns, academic performance and covariates were available for individuals across the different analyses as follows: n = 779 for mathematics, n = 741 for reading and n = 470 for writing. Following adjustment, significant negative associations between the ‘Western’ dietary pattern and test scores for mathematics (β = −13.14; 95% CI: −24.57; −1.76; p = 0.024 and reading (β = −19.16; 95% CI: −29.85; −8.47; p ≤ 0.001 were observed. A similar trend was found with respect to writing (β = −17.28; 95% CI: −35.74; 1.18; p = 0.066. ANOVA showed significant trends in estimated means of academic scores across quartiles for both the Western and Healthy patterns. Higher scores for the ‘Western’ dietary pattern are associated with poorer academic performance in adolescence.

  11. Tyrosine Is Associated with Insulin Resistance in Longitudinal Metabolomic Profiling of Obese Children

    Directory of Open Access Journals (Sweden)

    Christian Hellmuth

    2016-01-01

    Full Text Available In obese children, hyperinsulinaemia induces adverse metabolic consequences related to the risk of cardiovascular and other disorders. Branched-chain amino acids (BCAA and acylcarnitines (Carn, involved in amino acid (AA degradation, were linked to obesity-associated insulin resistance, but these associations yet have not been studied longitudinally in obese children. We studied 80 obese children before and after a one-year lifestyle intervention programme inducing substantial weight loss >0.5 BMI standard deviation scores in 40 children and no weight loss in another 40 children. At baseline and after the 1-year intervention, we assessed insulin resistance (HOMA index, fasting glucose, HbA1c, 2 h glucose in an oral glucose tolerance test, AA, and Carn. BMI adjusted metabolite levels were associated with clinical markers at baseline and after intervention, and changes with the intervention period were evaluated. Only tyrosine was significantly associated with HOMA (p<0.05 at baseline and end and with change during the intervention (p<0.05. In contrast, ratios depicting BCAA metabolism were negatively associated with HOMA at baseline (p<0.05, but not in the longitudinal profiling. Stratified analysis revealed that the children with substantial weight loss drove this association. We conclude that tyrosine alterations in association with insulin resistance precede alteration in BCAA metabolism. This trial is registered with ClinicalTrials.gov Identifier NCT00435734.

  12. Nosocomial transmission of community-associated methicillin-resistant Staphylococcus aureus in Danish Hospitals

    NARCIS (Netherlands)

    Hetem, D.J.; Westh, H.; Boye, K.; Jarlov, J.O.; Bonten, M.J.M.; Bootsma, M.C.J.

    2012-01-01

    Objectives The emergence of community-associated methicillin-resistant Staphylococcus aureus (CA-MRSA) has changed the epidemiology of MRSA infections worldwide. In contrast to hospital-associated MRSA (HA-MRSA), CA-MRSA more frequently affects healthy individuals, both with and without recent

  13. Resistant Hypertension and Obstructive Sleep Apnea

    Directory of Open Access Journals (Sweden)

    Akram Khan

    2013-01-01

    Full Text Available Hypertension (HTN is a modifiable, highly prevalent risk factor for cardiovascular morbidity and renal dysfunction worldwide. In the United States, HTN affects one in three adults, contributes to one out of every seven deaths and to nearly half of all cardiovascular disease-related deaths. HTN is considered resistant when the blood pressure remains above goal despite lifestyle modification and administration of three antihypertensive agents of different classes including a diuretic. Large population-based studies have suggested that obstructive sleep apnea (OSA is a risk factor for resistant HTN. The mechanism proposed is a pattern of intermittent hypoxia associated with hyperaldosteronism, increased sympathetic tone, endothelial dysfunction, and inflammation. In this review we discuss the association between OSA and resistant HTN, the physiologic mechanisms linking OSA with resistant HTN, and the effect of continuous positive airway pressure therapy (CPAP on blood pressure in patients with resistant HTN. While the reduction in blood pressure with CPAP is usually modest in patients with OSA, a decrease of only a few mmHg in blood pressure can significantly reduce cardiovascular risk. Patients presenting to a center specializing in management of hypertension should be screened and treated for OSA as a potentially modifiable risk factor.

  14. Circulating Zonulin, a Marker of Intestinal Permeability, Is Increased in Association with Obesity-Associated Insulin Resistance

    OpenAIRE

    Moreno-Navarrete, José María; Sabater, Mònica; Ortega, Francisco; Ricart, Wifredo; Fernández-Real, José Manuel

    2012-01-01

    Zonulin is the only physiological mediator known to regulate intestinal permeability reversibly by modulating intercellular tight junctions. To investigate the relationship between intestinal permeability and obesity-associated metabolic disturbances in humans, we aimed to study circulating zonulin according to obesity and insulin resistance. Circulating zonulin (ELISA) was measured in 123 caucasian men in association with inflammatory and metabolic parameters (including minimal model-measure...

  15. Molecular characterization of mutations associated with resistance to second-line tuberculosis drug among multidrug-resistant tuberculosis patients from high prevalence tuberculosis city in Morocco.

    Science.gov (United States)

    Oudghiri, Amal; Karimi, Hind; Chetioui, Fouad; Zakham, Fathiah; Bourkadi, Jamal Eddine; Elmessaoudi, My Driss; Laglaoui, Amin; Chaoui, Imane; El Mzibri, Mohammed

    2018-02-27

    The emergence of extensively drug-resistant tuberculosis (XDR-TB) has raised public health concern for global TB control. Although multi drug-resistant tuberculosis (MDR- TB) prevalence and associated genetic mutations in Morocco are well documented, scarce information on XDR TB is available. Hence, the evaluation of pre-XDR and XDR prevalence, as well as the mutation status of gyrA, gyrB, rrs, tlyA genes and eis promoter region, associated with resistance to second line drugs, is of great value for better management of M/XDR TB in Morocco. To evaluate pre-XDR and XDR prevalence, as well as the mutation status of gyrA, gyrB, rrs, tlyA genes and eis promoter region, associated with resistance to second line drug resistance, in 703 clinical isolates from TB patients recruited in Casablanca, and to assess the usefulness of molecular tools in clinical laboratories for better management of M/XDR TB in Morocco. Drug susceptibility testing (DST) was performed by the proportional method for first line drugs, and then the selected MDR isolates were tested for second line drugs (Ofloxacin, Kanamycin, Amikacin and Capreomycin). Along with DST, all samples were subjected to rpoB, katG and p-inhA mutation analysis by PCR and DNA sequencing. MDR isolates as well as 30 pan-susceptible strains were subjected to PCR and DNA sequencing of gyrA, gyrB, rrs, tlyA genes and eis promoter, associated with resistance to fluoroquinolones and injectable drugs. Among the 703 analysed strains, 12.8% were MDR; Ser531Leu and Ser315Thr being the most common recorded mutations within rpoB and katG genes associated with RIF and INH resistance respectively. Drug susceptibility testing for second line drugs showed that among the 90 MDR strains, 22.2% (20/90) were resistant to OFX, 2.22% (2/90) to KAN, 3.33% (3/90) to AMK and 1.11% (1/90) to CAP. Genotypic analysis revealed that 19 MDR strains harbored mutations in the gyrA gene; the most recorded mutation being Asp91Ala accounting for 47.6% (10

  16. Risk factors associated with multidrug-resistant tuberculosis in Espírito Santo, Brazil

    Directory of Open Access Journals (Sweden)

    Geisa Fregona

    Full Text Available ABSTRACT OBJECTIVE To analyze the prevalence and factors associated with multidrug-resistant tuberculosis in Espírito Santo, Brazil. METHODS This is a cross-sectional study of cases of tuberculosis tested for first-line drugs (isoniazid, rifampicin, pyrazinamide, ethambutol, and streptomycin in Espírito Santo between 2002 and 2012. We have used laboratory data and registration of cases of tuberculosis – from the Sistema Nacional de Agravos de Notificação and Sistema para Tratamentos Especiais de Tuberculose. Individuals have been classified as resistant and non-resistant and compared in relation to the sociodemographic, clinical, and epidemiological variables. Some variables have been included in a logistic regression model to establish the factors associated with resistance. RESULTS In the study period, 1,669 individuals underwent anti-tuberculosis drug susceptibility testing. Of these individuals, 10.6% showed resistance to any anti-tuberculosis drug. The rate of multidrug resistance observed, that is, to rifampicin and isoniazid, has been 5%. After multiple analysis, we have identified as independent factors associated with resistant tuberculosis: history of previous treatment of tuberculosis [recurrence (OR = 7.72; 95%CI 4.24–14.05 and re-entry after abandonment (OR = 3.91; 95%CI 1.81–8.43], smoking (OR = 3.93; 95%CI 1.98–7.79, and positive culture for Mycobacterium tuberculosis at the time of notification of the case (OR = 3.22; 95%CI 1.15–8.99. CONCLUSIONS The partnership between tuberculosis control programs and health teams working in the network of Primary Health Care needs to be strengthened. This would allow the identification and monitoring of individuals with a history of previous treatment of tuberculosis and smoking. Moreover, the expansion of the offer of the culture of tuberculosis and anti-tuberculosis drug susceptibility testing would provide greater diagnostic capacity for the resistant types in Espírito Santo.

  17. Pathogen-associated molecular pattern-triggered immunity and resistance to the root pathogen Phytophthora parasitica in Arabidopsis.

    Science.gov (United States)

    Larroque, Mathieu; Belmas, Elodie; Martinez, Thomas; Vergnes, Sophie; Ladouce, Nathalie; Lafitte, Claude; Gaulin, Elodie; Dumas, Bernard

    2013-09-01

    The cellulose binding elicitor lectin (CBEL) of the genus Phytophthora induces necrosis and immune responses in several plant species, including Arabidopsis thaliana. However, the role of CBEL-induced responses in the outcome of the interaction is still unclear. This study shows that some of CBEL-induced defence responses, but not necrosis, required the receptor-like kinase BAK1, a general regulator of basal immunity in Arabidopsis, and the production of a reactive oxygen burst mediated by respiratory burst oxidases homologues (RBOH). Screening of a core collection of 48 Arabidopsis ecotypes using CBEL uncovered a large variability in CBEL-induced necrotic responses. Analysis of non-responsive CBEL lines Ws-4, Oy-0, and Bla-1 revealed that Ws-4 and Oy-0 were also impaired in the production of the oxidative burst and expression of defence genes, whereas Bla-1 was partially affected in these responses. Infection tests using two Phytophthora parasitica strains, Pp310 and Ppn0, virulent and avirulent, respectively, on the Col-0 line showed that BAK1 and RBOH mutants were susceptible to Ppn0, suggesting that some immune responses controlled by these genes, but not CBEL-induced cell death, are required for Phytophthora parasitica resistance. However, Ws-4, Oy-0, and Bla-1 lines were not affected in Ppn0 resistance, showing that natural variability in CBEL responsiveness is not correlated to Phytophthora susceptibility. Overall, the results uncover a BAK1- and RBOH-dependent CBEL-triggered immunity essential for Phytophthora resistance and suggest that natural quantitative variation of basal immunity triggered by conserved general elicitors such as CBEL does not correlate to Phytophthora susceptibility.

  18. Antimicrobial resistance of Staphylococcus spp. from small ruminant mastitis in Brazil

    Directory of Open Access Journals (Sweden)

    Chirles A. França

    2012-08-01

    Full Text Available The study aimed to determine the antimicrobial resistance patterns and to identify molecular resistance markers in Staphylococcus spp. (n=210 isolated from small ruminant mastitis in Brazil. The antimicrobial resistance patterns were evaluated by the disk diffusion test and by detection of the presence of mecA, blaZ, ermA, ermB, ermC and msrA genes by PCR. The efflux pump test was performed using ethidium bromide and biofilm production was determined by Congo red agar test along with PCR for detection of the icaD gene. The isolates were most resistant to amoxicillin (50.0%, streptomycin (42.8%, tetracycline (40.4%, lincomycin (39.0% and erythromycin (33.8%. Pan-susceptibility to all tested drugs was observed in 71 (33.8% isolates and 41 Staphylococcus isolates were positive for the efflux pump. Although phenotypic resistance to oxacillin was observed in 12.8% of the isolates, none harbored the mecA gene. However, 45.7% of the isolates harbored blaZ indicating that beta-lactamase production was the main mechanism associated with staphylococci resistance to beta-lactams in the present study. The other determinants of resistance to antimicrobial agents ermA, ermB, ermC, and msrA were observed in 1.4%, 10.4%, 16.2%, and 0.9% of the isolates, respectively. In addition, the icaD gen was detected in 32.9% of the isolates. Seventy three isolates (54 from goats and 19 from sheep were negative for all resistance genes tested and 69 isolates presented two or more resistance genes. Association among blaZ, ermA, ermB, ermC and efflux pump were observed in 17 isolates, 14 of which originated from goats and three from sheep. The data obtained in this study show the resistance of the isolates to beta-lactamics, which may be associated with the use of antimicrobial drugs without veterinary control.

  19. E6-associated transcription patterns in human papilloma virus 16-positive cervical tissues.

    Science.gov (United States)

    Lin, Kezhi; Lu, Xulian; Chen, Jun; Zou, Ruanmin; Zhang, Lifang; Xue, Xiangyang

    2015-01-01

    The change in transcription pattern induced by post-transcriptional RNA splicing is an important mechanism in the regulation of the early gene expression of human papilloma virus (HPV). The present study was conducted to establish a method to specifically amplify HPV-16 E6-associated transcripts. The E6-related transcripts from 63 HPV-16-positive cervical tumor tissue samples were amplified, consisting of eight cases of low-risk intraepithelial lesions, 38 cases of high-risk intraepithelial lesions and 17 cases of cervical cancer (CxCa). The appropriate amplified segments were recovered following agarose gel electrophoresis, and subjected to further sequencing and sequence alignment analysis. Six groups of E6 transcription patterns were identified from HPV-16-positive cervical tumor tissue, including five newly-discovered transcripts. Different HPV-16 E6-associated transcription patterns were detected during the development of CxCa. Over the course of the progression of the low-grade squamous intraepithelial lesions to CxCa, the specific HPV-16 E6-associated transcription patterns and the dominant transcripts were all different. As indicated by this study, the transcription pattern of the E6 early gene of HPV-16 was closely associated with the stages of cervical carcinogenesis, and may also be involved in the development of CxCa.

  20. Susceptibility patterns and the role of extracellular DNA in Staphylococcus epidermidis biofilm resistance to physico-chemical stress exposure.

    Science.gov (United States)

    Olwal, Charles Ochieng'; Ang'ienda, Paul Oyieng'; Onyango, David Miruka; Ochiel, Daniel Otieno

    2018-05-02

    Over 65% of human infections are ascribed to bacterial biofilms that are often highly resistant to antibiotics and host immunity. Staphylococcus epidermidis is the predominant cause of recurrent nosocomial and biofilm-related infections. However, the susceptibility patterns of S. epidermidis biofilms to physico-chemical stress induced by commonly recommended disinfectants [(heat, sodium chloride (NaCl), sodium hypochlorite (NaOCl) and hydrogen peroxide (H 2 O 2 )] in domestic and human healthcare settings remains largely unknown. Further, the molecular mechanisms of bacterial biofilms resistance to the physico-chemical stresses remain unclear. Growing evidence demonstrates that extracellular DNA (eDNA) protects bacterial biofilms against antibiotics. However, the role of eDNA as a potential mechanism underlying S. epidermidis biofilms resistance to physico-chemical stress exposure is yet to be understood. Therefore, this study aimed to evaluate the susceptibility patterns of and eDNA release by S. epidermidis biofilm and planktonic cells to physico-chemical stress exposure. S. epidermidis biofilms exposed to physico-chemical stress conditions commonly recommended for disinfection [heat (60 °C), 1.72 M NaCl, solution containing 150 μL of waterguard (0.178 M NaOCl) in 1 L of water or 1.77 M H 2 O 2 ] for 30 and 60 min exhibited lower log reductions of CFU/mL than the corresponding planktonic cells (p chemical stress induced by the four commonly recommended disinfectants than the analogous planktonic cells. Further, S. epidermidis biofilms enhanced eDNA release in response to the sub-lethal heat and oxidative stress exposure than the corresponding planktonic cells suggesting a role of eDNA in biofilms resistance to the physico-chemical stresses.

  1. The changing pattern of antimicrobial resistance within 42,033 Escherichia coli isolates from nosocomial, community and urology patient-specific urinary tract infections, Dublin, 1999-2009.

    LENUS (Irish Health Repository)

    Cullen, Ivor M

    2012-04-01

    To investigate the changing pattern of antimicrobial resistance in Escherichia coli urinary tract infection over an eleven year period, and to determine whether E. coli antibiotic resistance rates vary depending on whether the UTI represents a nosocomial, community acquired or urology patient specific infection.

  2. Patterns of HIV-1 Drug Resistance After First-Line Antiretroviral Therapy (ART) Failure in 6 Sub-Saharan African Countries: Implications for Second-Line ART Strategies

    NARCIS (Netherlands)

    Hamers, Raph L.; Sigaloff, Kim C. E.; Wensing, Annemarie M.; Wallis, Carole L.; Kityo, Cissy; Siwale, Margaret; Mandaliya, Kishor; Ive, Prudence; Botes, Mariette E.; Wellington, Maureen; Osibogun, Akin; Stevens, Wendy S.; Rinke de Wit, Tobias F.; Schuurman, Rob; Siwale, M.; Njovu, C.; Labib, M.; Menke, J.; Botes, M. E.; Conradie, F.; Ive, P.; Sanne, I.; Wallis, C. L.; Letsoalo, E.; Stevens, W. S.; Hardman, M.; Wellington, M.; Luthy, R.; Mandaliya, K.; Abdallah, S.; Jao, I.; Dolan, M.; Namayanja, G.; Nakatudde, L.; Nankya, I.; Kiconco, M.; Abwola, M.; Mugyenyi, P.; Osibogun, A.; Akanmu, S.; Schuurman, R.; Wensing, A. M.; Straatsma, E.; Wit, F. W.; Dekker, J.; van Vugt, M.; Lange, J. M.

    2012-01-01

    Background. Human immunodeficiency virus type 1 (HIV-1) drug resistance may limit the benefits of antiretroviral therapy (ART). This cohort study examined patterns of drug-resistance mutations (DRMs) in individuals with virological failure on first-line ART at 13 clinical sites in 6 African

  3. Antibiotic Resistant Bacteria And Their Associated Resistance Genes in a Conventional Municipal Wastewater Treatment Plant

    KAUST Repository

    Aljassim, Nada I.

    2013-12-01

    With water scarcity as a pressing issue in Saudi Arabia and other Middle Eastern countries, the treatment and reuse of municipal wastewater is increasingly being used as an alternative water source to supplement country water needs. Standards are in place to ensure a safe treated wastewater quality, however they do not regulate pathogenic bacteria and emerging contaminants. Information is lacking on the levels of risk to public health associated with these factors, the efficiency of conventional treatment strategies in removing them, and on wastewater treatment in Saudi Arabia in general. In this study, a municipal wastewater treatment plant in Saudi Arabia is investigated to assess the efficiency of conventional treatment in meeting regulations and removing pathogens and emerging contaminants. The study found pathogenic bacterial genera, antibiotic resistance genes and antibiotic resistant bacteria, many of which were multi-resistant in plant discharges. It was found that although the treatments are able to meet traditional quality guidelines, there remains a risk from the discussed contaminants with wastewater reuse. A deeper understanding of this risk, and suggestions for more thorough guidelines and monitoring are needed.

  4. Effectiveness of simple control measures on methicillin-resistant Staphylococcus aureus infection status and characteristics with susceptibility patterns in a teaching hospital in Peshawar.

    Science.gov (United States)

    Rafiq, Muhammad Salman; Rafiq, Muhammad Imran; Khan, Taimur; Rafiq, Maria; Khan, Mah Muneer

    2015-09-01

    To determine the effectiveness of simple control measures on the infection status and characteristics of methicillin-resistant Staphylococcus aureus including susceptibility patterns among health professionals and patients in a teaching hospital. The cross-sectional study was conducted from September 2013 to January 2014, and comprised samples collected from healthcare personnel and patients in the various units of Khyber Teaching Hospital, Peshawar. The specimens were collected before and one month after the implementation of simple control measures for outbreak prevention of methicillin-resistant Staphylococcus aureus. These were tested for culture and antimicrobial susceptibility. Data about methicillin-sensitive and methicillin-resistant Staphylococcus aureus infection, wound characteristics and susceptibility patterns was collected and effectiveness of simple control measures was determined. SPSS 20 was used for statistical analysis. Of the total 390 isolates, 180(46.2%) were Staphylococcus aureus; 77(19.7%) from healthcare personnel and 103(26.4%) from patients. Of these, 164(42.1%) were methicillin-sensitive and 16(4.1%) were methicillin-resistant. Among the patients, 38(15.1%) methicillin-sensitive and 8(3.2%) methicillin-resistant isolates were recovered from wounds or skin and soft tissues. Pus with 33(13.1%) and 4(1.6%) cases respectively was the second most common source. Among methicillin-resistant isolates, resistance to Linezolid was 0%, all were resistant to Oxacillin, Cefoxitin, Amoxicillin, Cefotaxime and Cephradine, and resistance to both Co-Amoxiclav and Ciprofloxacin was 87.5%. After one month of implementation of simple control measures, the number of methicillin-resistant cases among healthcare professionals and patients dropped from 4(2.9%) and 7(10.8%) to 1(0.7%) and 5(2.7%), respectively. Methicillin-resistant and methicillin-sensitive Staphylococcus aureus differed in their anti-microbial susceptibility profiles. Selection of antibiotics

  5. Tenofovir-based regimens associated with less drug resistance in HIV-1-infected Nigerians failing first-line antiretroviral therapy.

    Science.gov (United States)

    Etiebet, Mary-Ann A; Shepherd, James; Nowak, Rebecca G; Charurat, Man; Chang, Harry; Ajayi, Samuel; Elegba, Olufunmilayo; Ndembi, Nicaise; Abimiku, Alashle; Carr, Jean K; Eyzaguirre, Lindsay M; Blattner, William A

    2013-02-20

    In resource-limited settings, HIV-1 drug resistance testing to guide antiretroviral therapy (ART) selection is unavailable. We retrospectively conducted genotypic analysis on archived samples from Nigerian patients who received targeted viral load testing to confirm treatment failure and report their drug resistance mutation patterns. Stored plasma from 349 adult patients on non-nucleoside reverse transcriptase inhibitor (NNRTI) regimens was assayed for HIV-1 RNA viral load, and samples with more than 1000 copies/ml were sequenced in the pol gene. Analysis for resistance mutations utilized the IAS-US 2011 Drug Resistance Mutation list. One hundred and seventy-five samples were genotyped; the majority of the subtypes were G (42.9%) and CRF02_AG (33.7%). Patients were on ART for a median of 27 months. 90% had the M184V/I mutation, 62% had at least one thymidine analog mutation, and 14% had the K65R mutation. 97% had an NNRTI resistance mutation and 47% had at least two etravirine-associated mutations. In multivariate analysis tenofovir-based regimens were less likely to have at least three nucleoside reverse transcriptase inhibitor (NRTI) mutations after adjusting for subtype, previous ART, CD4, and HIV viral load [P < 0.001, odds ratio (OR) 0.04]. 70% of patients on tenofovir-based regimens had at least two susceptible NRTIs to include in a second-line regimen compared with 40% on zidovudine-based regimens (P = 0.04, OR = 3.4). At recognition of treatment failure, patients on tenofovir-based first-line regimens had fewer NRTI drug-resistant mutations and more active NRTI drugs available for second-line regimens. These findings can inform strategies for ART regimen sequencing to optimize long-term HIV treatment outcomes in low-resource settings.

  6. Quantitative variables associated to the resistance to sugarcane brown rust disease

    Directory of Open Access Journals (Sweden)

    Joaquin Montalvan Delgado

    2017-01-01

    Full Text Available With the purpose to analyze the behavior of a group of sugarcane cultivars in the presence of brown rust (Puccinia melanocephala Sydow and P. Sydow in the area of the Territorial Sugarcane Research Station Oriental Center Camagüey, it was carried out an experiment with 11 sugarcane cultivars (C1051-73, C132-81, C266-70, C323-68, C86-12, C86-503, C86- 56, C88-380, C90-530, Co997, SP70-1284 and six patterns of well-known reaction PR980 (I grade, Ja64-11 (II grade, C334-64 (III grade, My5514 y Ja60-5 (IV grade y B4362 (V grade. The evaluations were made at the plant and ratoon shoot at the ages of three, four, five, six and nine months in the leaves +1, +3 y +5. The evaluated parameters were: length and the number of pustules, size of the biggest pustule, and the occupied area by pustules. It was made an analysis of variance and Tukey’s test to find the differences between the cultivars. The statistical packet SPSS such as the main additive and multiplicative interactions (AMMI Models were used. There are differences in every analyzed factors (cultivar, leaf and evaluation moment and in the analyzed variables (TPM and occupied area by pustule. The resistance patterns kept the expected behavior and the biggest cultivars percentage behaved like resistant.

  7. The Distribution of Stroma and Antral Follicles Differs between Insulin-Resistance and Hyperandrogenism-Related Polycystic Ovarian Syndrome

    Directory of Open Access Journals (Sweden)

    Carlo Alviggi

    2017-05-01

    Full Text Available IntroductionAlthough insulin resistance plays an important pathogenetic role in polycystic ovary syndrome (PCOS, no correlation between ultrasound PCOS pattern and insulin resistance has yet been reported. The aim of this retrospective observational study was to assess whether the ovarian ultrasonographic parameter differed between PCOS women with insulin resistance and those with a hyperandrogenic profile.Materials and methodsWomen who fulfilled the Rotterdam criteria for PCOS were retrospectively studied. Anthropometric, biochemical, and clinical data were recorded. Women were divided into two groups based on specific transvaginal ultrasound parameters: subjects with more than half of the follicles measuring between 5 and 9 mm in diameter, an ultrasonographic determined stroma/total area (S/A > 0.34 and a “necklace” sign of antral follicles (Group A; and subjects with more than half of the antral follicles measuring between 2 and 4 mm in diameter, an S/A ≤ 0.34; no “necklace” sign but ubiquitously distributed follicles determined by ultrasound (Group B. The association between these ultrasound patterns and the presence of insulin resistance was also evaluated.ResultsSeventy-eight patients were enrolled: 33 with ultrasound sound pattern A and 45 with pattern B. The latter pattern had a sensitivity of 88% and a specificity of 78% in predicting PCOS women with insulin resistance. There were no differences in age, Ferriman–Gallwey score, and serum gonadotropin or androgen levels between the two groups. Body mass index, the waist-to-hip ratio, and homeostasis model assessment were significantly higher in group B than in group A (p < 0.05. Conversely, sex hormone binding globulin levels and ovarian volume were significantly higher in group A (p < 0.05. Insulin resistance was more frequent in group B than in group A (36/41, 87.8% versus 7/32, 21.8%; p < 0.05.ConclusionThese results suggest that insulin resistance

  8. Convenience-based food purchase patterns: identification and associations with dietary quality, sociodemographic factors and attitudes.

    Science.gov (United States)

    Peltner, Jonas; Thiele, Silke

    2018-02-01

    The present study aimed to derive food purchase patterns considering the convenience level of foods. Associations between identified patterns and dietary quality were analysed, as well as household characteristics associated with the dietary patterns. A Convenience Food Classification Scheme (CFCS) was developed. After classifying basic food groups into the CFCS, the formed groups were used to apply a factor analysis to identify convenience-based food purchase patterns. For these patterns nutrient and energy densities were examined. Using regression analysis, associations between the adherence to the patterns and household characteristic and attitude variables were analysed. The study used representative German food purchase data from 2011. Approximately 12 million purchases of 13 131 households were recorded in these data. Three convenience-based patterns were identified: a low-convenience, a semi-convenience and a ready-to-eat food pattern. Tighter adherence to the semi-convenience pattern was shown to result in the lowest nutrient and highest energy densities. Important factors influencing adherence to the patterns were household size, presence of children and attitudes. Working full-time was negatively associated with adherence to the low-convenience pattern and positively with the ready-to-eat pattern. Convenience foods were an important part of households' food baskets which in some cases led to lower nutritional quality. Therefore, it is important to offer convenience foods higher in nutrient density and lower in energy density. Interventions targeted on enhancing cooking skills could be an effective strategy to increase purchases of unprocessed foods, which, in turn, could also contribute to an improved diet quality.

  9. Prevalence and Antibiotic susceptibility pattern of Panton-Valentine ...

    African Journals Online (AJOL)

    Staphylococcal cassette chromosome mec typing by two standard multiplex PCR assay, revealed an uncharacterized resistance element. Overall antibiotic susceptibility pattern showed relatively high degree of susceptibility,however 1 isolate demostrated multidrug resistant pattern, 37(74.0%)resistant to only penicillin, 5 to ...

  10. Identification of a dietary pattern prospectively associated with bone mass in Australian young adults.

    Science.gov (United States)

    van den Hooven, Edith H; Ambrosini, Gina L; Huang, Rae-Chi; Mountain, Jenny; Straker, Leon; Walsh, John P; Zhu, Kun; Oddy, Wendy H

    2015-11-01

    Relatively little is known about the relations between dietary patterns and bone health in adolescence, which is a period of substantial bone mass accrual. We derived dietary patterns that were hypothesized to be related to bone health on the basis of their protein, calcium, and potassium contents and investigated their prospective associations with bone mineral density (BMD), bone area, and bone mineral content (BMC) in a cohort of young adults. The study included 1024 young adults born to mothers who were participating in the Western Australian Pregnancy Cohort (Raine) Study. Dietary information was obtained from food-frequency questionnaires at 14 and 17 y of age. Dietary patterns were characterized according to protein, calcium, and potassium intakes with the use of reduced-rank regression. BMD, bone area, and BMC were estimated with the use of a total body dual-energy X-ray absorptiometry scan at 20 y of age. We identified 2 major dietary patterns. The first pattern was positively correlated with intakes of protein, calcium, and potassium and had high factor loadings for low-fat dairy products, whole grains, and vegetables. The second pattern was positively correlated with protein intake but negatively correlated with intakes of calcium and potassium and had high factor loadings for meat, poultry, fish, and eggs. After adjustment for anthropometric, sociodemographic, and lifestyle factors, a higher z score for the first pattern at 14 y of age was positively associated with BMD and BMC at 20 y of age [differences: 8.6 mg/cm(2) (95% CI: 3.0, 14.1 mg/cm(2)) and 21.9 g (95% CI: 6.5, 37.3 g), respectively, per SD increase in z score]. The z score for this same pattern at 17 y of age was not associated with bone outcomes at 20 y of age. The second pattern at 14 or 17 y of age was not associated with BMD, BMC, or bone area. A dietary pattern characterized by high intakes of protein, calcium, and potassium in midadolescence was associated with higher BMD and BMC at 20

  11. Antibiotic resistance & pathogen profile in ventilator-associated pneumonia in a tertiary care hospital in India

    Directory of Open Access Journals (Sweden)

    Abhijit Chaudhury

    2016-01-01

    Results: VAP rates of 44.1, 43.8 and 26.3 were seen in 2011, 2012 and 2013, respectively. In all the three years, non-fermentative Gram-negative bacilli were the predominant organisms, followed by Pseudomonas spp. and Klebsiella spp. Staphylococcus aureus exhibited a downwards trend in prevalence from 50.0 per cent in 2011 to 34.9 per cent in 2013. An increase in vancomycin-resistant enterococci was seen from 4.3 per cent in 2012 to 8.3 per cent in 2013, while methicillin resistance amongst the S. aureus crossed the 50 per cent mark in 2013. An increasing trend in resistance was shown by Pseudomonas spp. for piperacillin-tazobactam (PTZ, amikacin and imipenem (IPM. For the non-fermenters, resistance frequency remained very high except for IPM (33.1% and polymyxin-B (2.4%. Interpretation & conclusions: Our findings show VAP as an important problem in the ICU setting. The incidence of multidrug-resistant pathogens was on the rise. The resistance pattern of these pathogens can help an institution to formulate effective antimicrobial policy. To have a comprehensive pan-India picture, multicentric studies are needed.

  12. Mortality and hospital stay associated with resistant Staphylococcus aureus and Escherichia coli bacteremia: estimating the burden of antibiotic resistance in Europe.

    Directory of Open Access Journals (Sweden)

    Marlieke E A de Kraker

    2011-10-01

    Full Text Available The relative importance of human diseases is conventionally assessed by cause-specific mortality, morbidity, and economic impact. Current estimates for infections caused by antibiotic-resistant bacteria are not sufficiently supported by quantitative empirical data. This study determined the excess number of deaths, bed-days, and hospital costs associated with blood stream infections (BSIs caused by methicillin-resistant Staphylococcus aureus (MRSA and third-generation cephalosporin-resistant Escherichia coli (G3CREC in 31 countries that participated in the European Antimicrobial Resistance Surveillance System (EARSS.The number of BSIs caused by MRSA and G3CREC was extrapolated from EARSS prevalence data and national health care statistics. Prospective cohort studies, carried out in hospitals participating in EARSS in 2007, provided the parameters for estimating the excess 30-d mortality and hospital stay associated with BSIs caused by either MRSA or G3CREC. Hospital expenditure was derived from a publicly available cost model. Trends established by EARSS were used to determine the trajectories for MRSA and G3CREC prevalence until 2015. In 2007, 27,711 episodes of MRSA BSIs were associated with 5,503 excess deaths and 255,683 excess hospital days in the participating countries, whereas 15,183 episodes of G3CREC BSIs were associated with 2,712 excess deaths and 120,065 extra hospital days. The total costs attributable to excess hospital stays for MRSA and G3CREC BSIs were 44.0 and 18.1 million Euros (63.1 and 29.7 million international dollars, respectively. Based on prevailing trends, the number of BSIs caused by G3CREC is likely to rapidly increase, outnumbering the number of MRSA BSIs in the near future.Excess mortality associated with BSIs caused by MRSA and G3CREC is significant, and the prolongation of hospital stay imposes a considerable burden on health care systems. A foreseeable shift in the burden of antibiotic resistance from Gram

  13. Nationwide survey of Helicobacter pylori antibiotic resistance in Thailand.

    Science.gov (United States)

    Vilaichone, Ratha-Korn; Gumnarai, Pornpen; Ratanachu-Ek, Thawee; Mahachai, Varocha

    2013-12-01

    The objectives of this study are to survey the antibiotic-resistant pattern of Helicobacter pylori infection in different geographical locations in Thailand and to determine factors associated with antibiotic resistance. Dyspeptic patients undergoing upper gastrointestinal endoscopy from the Northern, Northeastern, Central, and Southern regions of Thailand between January 2004 and December 2012 were enrolled in this study. Two antral gastric biopsies were obtained for culture; susceptibility tests were performed using E-test. A total of 3964 were enrolled, and 1350 patients (34.1%) were infected with H. pylori as identified by rapid urease test. Cultures were positive in 619 isolates. E-test for amoxicillin, clarithromycin, metronidazole, and tetracycline were successful in 400 isolates and for levofloxacin and ciprofloxacin in 208 isolates. Antibiotic resistance was present in 50.3% including amoxicillin 5.2%, tetracycline 1.7%, clarithromycin 3.7%, metronidazole 36%, ciprofloxacin 7.7%, levofloxacin 7.2%, and multi-drugs in 4.2%. Clarithromycin resistance was significantly more common in those older than 40 years (i.e., 100% versus 0%; P = 0.04). The prevalence of metronidazole resistant in Southern Thailand was significantly higher than in the Northeastern region (66.7% versus 33.3% P = 0.04). Metronidazole resistance remains the most common antibiotic resistant type of H. pylori in Thailand. The pattern of H. pylori antibiotic resistance over 9 years demonstrated a fall in clarithromycin resistance such that currently age >40 years is a predictor for clarithromycin resistance in Thailand. Quinolone resistance is a growing problem. © 2013.

  14. MICROBIAL PROFILE AND ANTIBIOTIC SUSCEPTIBILITY PATTERNS OF PATHOGENS CAUSING VENTILATOR- ASSOCIATED PNEUMONIA AT INTENSIVE CARE UNIT, SESTRE MILOSRDNICE UNIVERSITY HOSPITAL CENTER, ZAGREB, CROATIA.

    Science.gov (United States)

    Turković, Tihana Magdić; Grginić, Ana Gverić; Cucujić, Branka Đuras; Gašpar, Božena; Širanović, Mladen; Perić, Mladen

    2015-06-01

    Ventilator-associated pneumonia (VAP) is very common in many intensive care Units, but there are still many uncertainties about VAP, especially about the choice of initial empiric antibiotics. The incidence of specific pathogens with different susceptibility patterns causing VAP varies from hospital to hospital. This is the reason why empiric initial antibiotic treatment for VAP should be based not only on general guidelines (that recommend therapy according to the presence of risk factors for multidrug-resistant bacteria), but also on up-to-date information on local epidemiology. The aim of this study was to determine the microbial profile of pathogens causing VAP and their antibiotic susceptibility patterns. The study was conducted in the 15-bed surgical and neurosurgical Intensive Care Unit, Department of Anesthesiology and Intensive Care, Sestre milosrdnice University Hospital Center, Zagreb, Croatia. Retrospective data were collected from September 2009 to March 2013. All patients that developed VAP during the study period were eligible for the study. According to study results, the incidence of VAP was 29.4%. The most commonly isolated bacterium was Staphylococcus aureus (21.1%), followed by Pseudomonas aeruginosa (19.0%) and Acinetobacter species (13.6%). All Staphylococcus aureus isolates were susceptible to vancomycin and linezolid. Pseudomonas aeruginosa showed 100% susceptibility to cefepime and very high susceptibility to pip'eracillin-tazobactam (96%), ceftazidime (93%) and ciprofloxacin (89%). Ampicillin-sulbactam was highly effective for Acinetobacter species, showing resistance in only 8% of isolates. In conclusion, according to study data, appropriate empiric antibiotic therapy for patients with VAP without risk factors for multidrug-resistant bacteria is ceftriaxone and for patients with risk factors for multidrug-resistant bacteria ampicillin-sulbactam plus cefepime plus vancomycin or linezolid.

  15. Dietary pattern trajectories during 15 years of follow-up and HbA1c, insulin resistance and diabetes prevalence among Chinese adults.

    Science.gov (United States)

    Batis, Carolina; Mendez, Michelle A; Sotres-Alvarez, Daniela; Gordon-Larsen, Penny; Popkin, Barry

    2014-08-01

    Most research on dietary patterns and health outcomes does not include longitudinal exposure data. We used an innovative technique to capture dietary pattern trajectories and their association with haemoglobin A1c (HbA1c), homeostasis model of insulin resistance (HOMA-IR) and prevalence of newly diagnosed diabetes. We included 4096 adults with 3-6 waves of diet data (1991-2006) and biomarkers measured in 2009 from the China Health and Nutrition Survey. Diet was assessed with three 24-h recalls and a household food inventory. We used a dietary pattern previously identified with reduced rank regression that positively predicted diabetes in 2006 (high in wheat products and soy milk and low in rice, legumes, poultry, eggs and fish). We estimated a score for this dietary pattern for each subject at each wave. Using latent class trajectory analysis, we grouped subjects with similar dietary pattern score trajectories over time into five classes. Three trajectory classes were stable over time, and in two classes the diet became unhealthier over time (upward trend in dietary pattern score). Among two classes with similar scores in 2006, the one with the lower (healthier) initial score had an HbA1c 1.64% lower (-1.64 (95% CI -3.17 to -0.11)) and non-significantly a HOMA-IR 6.47% lower (-6.47 (-17.37 to 4.42)) and lower odds of diabetes (0.86 (0.44 to 1.67)). Our findings suggest that dietary pattern trajectories with healthier scores longitudinally had a lower HbA1c compared with those with unhealthier scores, even when the trajectories had similar scores in the end point. Published by the BMJ Publishing Group Limited. For permission to use (where not already granted under a licence) please go to http://group.bmj.com/group/rights-licensing/permissions.

  16. Comparison of Detection Rate and Mutational Pattern of Drug-Resistant Mutations Between a Large Cohort of Genotype B and Genotype C Hepatitis B Virus-Infected Patients in North China.

    Science.gov (United States)

    Li, Xiaodong; Liu, Yan; Xin, Shaojie; Ji, Dong; You, Shaoli; Hu, Jinhua; Zhao, Jun; Wu, Jingjing; Liao, Hao; Zhang, Xin-Xin; Xu, Dongping

    2017-06-01

    The study aimed to investigate the association of prevalent genotypes in China (HBV/C and HBV/B) with HBV drug-resistant mutations. A total of 13,847 nucleos(t)ide analogue (NA)-treated patients with chronic HBV infection from North China were enrolled. HBV genotypes and resistant mutations were determined by direct sequencing and confirmed by clonal sequencing if necessary. HBV/B, HBV/C, and HBV/D occupied 14.3%, 84.9%, and 0.8% across the study population, respectively. NA usage had no significant difference between HBV/B- and HBV/C-infected patients. Lamivudine-resistant mutations were more frequently detected in HBV/C-infected patients, compared with HBV/B-infected patients (31.67% vs. 25.26%, p M250 V/I/L substitution (0.67% vs. 1.46%, p < 0.01). Multidrug-resistant mutations (defined as coexistence of mutation to nucleoside and nucleotide analogues) were detected in 104 patients. HBV/C-infected patients had a higher detection rate of multidrug-resistant mutation than HBV/B-infected patients (0.83% vs. 0.35%, p < 0.05). The study for the first time clarified that HBV/C-infected patients had a higher risk to develop multidrug-resistant mutations, compared with HBV/B-infected patients; and HBV/C- and HBV/B-infected patients had different inclinations in the ETV-resistant mutational pattern.

  17. Translocation of integron-associated resistance in a natural system: Acquisition of resistance determinants by Inc P and Inc W Plasmids from Salmonella enterica Typhimurium DT104

    DEFF Research Database (Denmark)

    Sandvang, Dorthe; Diggle, M.; Platt, D.J.

    2002-01-01

    to determinate the genetic content. Translocation to R751 and R388 was associated with the loss of the indigenous trimethoprim cassette to both plasmids and also acquisition of sulfonamide resistance by R751 and RP4::Tn7, which indicated movement of the 3' terminus of one or both of the DT104 integrons......Salmonella enterica Typhimurium DT104, 961368, a veterinary field isolate that encodes a chromosomal cluster of resistance genes as well as two integrons, was used to study the mobility of resistance cassettes (aadA2 and pse-1) and nonintegron-associated resistance determinants (chloramphenicol...... and tetracycline). A range of natural plasmids was used as targets for the translocation of resistance. Plasmids that acquired resistance from the DT104 chromosome were segregated by conjugation into Escherichia coli K12. Plasmids R751, R388, and RP4::Tn7 acquired several combinations of resistance determinant...

  18. Does cardiorespiratory fitness modify the association between birth weight and insulin resistance in adult life?

    Directory of Open Access Journals (Sweden)

    Tomoko Aoyama

    Full Text Available OBJECTIVE: Lower birth weight is associated with higher insulin resistance in later life. The aim of this study was to determine whether cardiorespiratory fitness modifies the association of birth weight with insulin resistance in adults. METHODS: The subjects were 379 Japanese individuals (137 males, 242 females aged 20-64 years born after 1943. Insulin resistance was assessed using a homeostasis model assessment of insulin resistance (HOMA-IR, which is calculated from fasting blood glucose and insulin levels. Cardiorespiratory fitness (maximal oxygen uptake, VO2max was assessed by a maximal graded exercise test on a cycle ergometer. Birth weight was reported according to the Maternal and Child Health Handbook records or the subject's or his/her mother's memory. RESULTS: The multiple linear regression analysis revealed that birth weight was inversely associated with HOMA-IR (β = -0.141, p = 0.003, even after adjustment for gender, age, current body mass index, mean blood pressure, triglycerides, HDL cholesterol, and smoking status. Further adjustments for VO2max made little difference in the relationship between birth weight and HOMA-IR (β = -0.148, p = 0.001, although VO2max (β = -0.376, p<0.001 was a stronger predictor of HOMA-IR than birth weight. CONCLUSIONS: The results showed that the association of lower birth weight with higher insulin resistance was little modified by cardiorespiratory fitness in adult life. However, cardiorespiratory fitness was found to be a stronger predictor of insulin resistance than was birth weight, suggesting that increasing cardiorespiratory fitness may have a much more important role in preventing insulin resistance than an individual's low birth weight.

  19. Identifying genomic changes associated with insecticide resistance in the dengue mosquito Aedes aegypti by deep targeted sequencing

    Science.gov (United States)

    Faucon, Frederic; Dusfour, Isabelle; Gaude, Thierry; Navratil, Vincent; Boyer, Frederic; Chandre, Fabrice; Sirisopa, Patcharawan; Thanispong, Kanutcharee; Juntarajumnong, Waraporn; Poupardin, Rodolphe; Chareonviriyaphap, Theeraphap; Girod, Romain; Corbel, Vincent; Reynaud, Stephane; David, Jean-Philippe

    2015-01-01

    The capacity of mosquitoes to resist insecticides threatens the control of diseases such as dengue and malaria. Until alternative control tools are implemented, characterizing resistance mechanisms is crucial for managing resistance in natural populations. Insecticide biodegradation by detoxification enzymes is a common resistance mechanism; however, the genomic changes underlying this mechanism have rarely been identified, precluding individual resistance genotyping. In particular, the role of copy number variations (CNVs) and polymorphisms of detoxification enzymes have never been investigated at the genome level, although they can represent robust markers of metabolic resistance. In this context, we combined target enrichment with high-throughput sequencing for conducting the first comprehensive screening of gene amplifications and polymorphisms associated with insecticide resistance in mosquitoes. More than 760 candidate genes were captured and deep sequenced in several populations of the dengue mosquito Ae. aegypti displaying distinct genetic backgrounds and contrasted resistance levels to the insecticide deltamethrin. CNV analysis identified 41 gene amplifications associated with resistance, most affecting cytochrome P450s overtranscribed in resistant populations. Polymorphism analysis detected more than 30,000 variants and strong selection footprints in specific genomic regions. Combining Bayesian and allele frequency filtering approaches identified 55 nonsynonymous variants strongly associated with resistance. Both CNVs and polymorphisms were conserved within regions but differed across continents, confirming that genomic changes underlying metabolic resistance to insecticides are not universal. By identifying novel DNA markers of insecticide resistance, this study opens the way for tracking down metabolic changes developed by mosquitoes to resist insecticides within and among populations. PMID:26206155

  20. Associating co-authorship patterns with publications in high-impact journals.

    Science.gov (United States)

    Bales, Michael E; Dine, Daniel C; Merrill, Jacqueline A; Johnson, Stephen B; Bakken, Suzanne; Weng, Chunhua

    2014-12-01

    To develop a method for investigating co-authorship patterns and author team characteristics associated with the publications in high-impact journals through the integration of public MEDLINE data and institutional scientific profile data. For all current researchers at Columbia University Medical Center, we extracted their publications from MEDLINE authored between years 2007 and 2011 and associated journal impact factors, along with author academic ranks and departmental affiliations obtained from Columbia University Scientific Profiles (CUSP). Chi-square tests were performed on co-authorship patterns, with Bonferroni correction for multiple comparisons, to identify team composition characteristics associated with publication impact factors. We also developed co-authorship networks for the 25 most prolific departments between years 2002 and 2011 and counted the internal and external authors, inter-connectivity, and centrality of each department. Papers with at least one author from a basic science department are significantly more likely to appear in high-impact journals than papers authored by those from clinical departments alone. Inclusion of at least one professor on the author list is strongly associated with publication in high-impact journals, as is inclusion of at least one research scientist. Departmental and disciplinary differences in the ratios of within- to outside-department collaboration and overall network cohesion are also observed. Enrichment of co-authorship patterns with author scientific profiles helps uncover associations between author team characteristics and appearance in high-impact journals. These results may offer implications for mentoring junior biomedical researchers to publish on high-impact journals, as well as for evaluating academic progress across disciplines in modern academic medical centers. Copyright © 2014 Elsevier Inc. All rights reserved.

  1. Emerging Interaction Patterns in the Emiliania huxleyi-EhV System.

    Science.gov (United States)

    Ruiz, Eliana; Oosterhof, Monique; Sandaa, Ruth-Anne; Larsen, Aud; Pagarete, António

    2017-03-22

    Viruses are thought to be fundamental in driving microbial diversity in the oceanic planktonic realm. That role and associated emerging infection patterns remain particularly elusive for eukaryotic phytoplankton and their viruses. Here we used a vast number of strains from the model system Emiliania huxleyi /Emiliania huxleyi Virus to quantify parameters such as growth rate (µ), resistance (R), and viral production (Vp) capacities. Algal and viral abundances were monitored by flow cytometry during 72-h incubation experiments. The results pointed out higher viral production capacity in generalist EhV strains, and the virus-host infection network showed a strong co-evolution pattern between E. huxleyi and EhV populations. The existence of a trade-off between resistance and growth capacities was not confirmed.

  2. Diet index-based and empirically derived dietary patterns are associated with colorectal cancer risk.

    Science.gov (United States)

    Miller, Paige E; Lazarus, Philip; Lesko, Samuel M; Muscat, Joshua E; Harper, Gregory; Cross, Amanda J; Sinha, Rashmi; Ryczak, Karen; Escobar, Gladys; Mauger, David T; Hartman, Terryl J

    2010-07-01

    Previous studies have derived patterns by measuring compliance with preestablished dietary guidance or empirical methods, such as principal components analysis (PCA). Our objective was to examine colorectal cancer risk associated with patterns identified by both methods. The study included 431 incident colorectal cancer cases (225 men, 206 women) and 726 healthy controls (330 men, 396 women) participating in a population-based, case-control study. PCA identified sex-specific dietary patterns and the Healthy Eating Index-2005 (HEI-05) assessed adherence to the 2005 Dietary Guidelines for Americans. A fruits and vegetables pattern and a meat, potatoes, and refined grains pattern were identified among men and women; a third pattern (alcohol and sweetened beverages) was identified in men. The fruits and vegetables pattern was inversely associated with risk among men [odds ratio (OR) = 0.38, 95% CI = 0.21-0.69 for the highest compared with the lowest quartile] and women (OR = 0.35, 95% CI = 0.19-0.65). The meat, potatoes, and refined grains pattern was positively associated with risk in women (OR = 2.20, 95% CI = 1.08-4.50) and there was a suggestion of a positive association among men (OR = 1.56, 95% CI = 0.84-2.90; P-trend = 0.070). Men and women with greater HEI-05 scores had a significantly reduced risk of colorectal cancer (OR = 0.56, 95% CI = 0.31-0.99; OR = 0.44, 95% CI = 0.24-0.77, respectively). Following the Dietary Guidelines or a dietary pattern lower in meat, potatoes, high fat, and refined foods and higher in fruits and vegetables may reduce colorectal cancer risk.

  3. The triglyceride content in skeletal muscle is associated with hepatic but not peripheral insulin resistance in elderly twins

    DEFF Research Database (Denmark)

    Grunnet, L G; Laurila, Esa; Hansson, Ola

    2012-01-01

    Total muscle triglyceride (MT) content has been associated with insulin resistance. We investigated the predictors and impact of MT on relevant metabolic parameters including peripheral and hepatic insulin resistance in elderly twins.......Total muscle triglyceride (MT) content has been associated with insulin resistance. We investigated the predictors and impact of MT on relevant metabolic parameters including peripheral and hepatic insulin resistance in elderly twins....

  4. Association between HIV/AIDS and multi-drug resistance tuberculosis: a systematic review and meta-analysis.

    Directory of Open Access Journals (Sweden)

    Yonatan Moges Mesfin

    Full Text Available BACKGROUND: Human immunodeficiency virus (HIV, multi-drug resistant tuberculosis (MDR is emerging as major challenge facing tuberculosis control programs worldwide particularly in Asia and Africa. Findings from different studies on associations of HIV co-infection and drug resistance among patients with TB have been contradictory (discordant. Some institution based studies found strongly increased risks for multi-drug resistant TB (MDR TB among patients co-infected with TB and HIV, whereas other studies found no increased risk (it remains less clear in community based studies. The aim was to conduct a systematic review and meta-analysis of the association between multi-drug resistant tuberculosis and HIV infection. METHODS AND FINDINGS: Systematic review of the published literature of observational studies was conducted. Original studies were identified using databases of Medline/Pubmed, Google Scholar and HINARI. The descriptions of original studies were made using frequency and forest plot. Publication bias was assessed using Funnel plot graphically and Egger weighted and Begg rank regression tests statistically. Heterogeneity across studies was checked using Cochrane Q test statistic and I(2. Pool risk estimates of MDR-TB and sub-grouping analysis were computed to analyze associations with HIV. Random effects of the meta-analysis of all 24 observational studies showed that HIV is associated with a marginal increased risk of multi-drug resistant tuberculosis (estimated Pooled OR 1.24; 95%, 1.04-1.43. Subgroup analyses showed that effect estimates were higher (Pooled OR 2.28; 95%, 1.52-3.04 for primary multi-drug resistance tuberculosis and moderate association between HIV/AIDS and MDR-TB among population based studies and no significant association in institution settings. CONCLUSIONS: This study demonstrated that there is association between MDR-TB and HIV. Capacity for diagnosis of MDR-TB and initiating and scale up of antiretroviral

  5. Protease Inhibitors Drug Resistance Mutations in Turkish Patients with Chronic Hepatitis C.

    Science.gov (United States)

    Sargin Altunok, Elif; Sayan, Murat; Akhan, Sila; Aygen, Bilgehan; Yildiz, Orhan; Tekin Koruk, Suda; Mistik, Resit; Demirturk, Nese; Ural, Onur; Kose, Şükran; Aynioglu, Aynur; Korkmaz, Fatime; Ersoz, Gülden; Tuna, Nazan; Ayaz, Celal; Karakecili, Faruk; Keten, Derya; Inan, Dilara; Yazici, Saadet; Koculu, Safiye; Yildirmak, Taner

    2016-09-01

    Drug resistance development is an expected problem during treatment with protease inhibitors (PIs), this is largely due to the fact that Pls are low-genetic barrier drugs. Resistance-associated variants (RAVs) however may also occur naturally, and prior to treatment with Pls, the clinical impact of this basal resistance remains unknown. In Turkey, there is yet to be an investigation into the hepatitis C (HCV) drug associated resistance to oral antivirals. 178 antiviral-naïve patients infected with HCV genotype 1 were selected from 27 clinical centers of various geographical regions in Turkey and included in the current study. The basal NS3 Pls resistance mutations of these patients were analyzed. In 33 (18.5%) of the patients included in the study, at least one mutation pattern that can cause drug resistance was identified. The most frequently detected mutation pattern was T54S while R109K was the second most frequently detected. Following a more general examination of the patients studied, telaprevir (TVR) resistance in 27 patients (15.2%), boceprevir (BOC) resistance in 26 (14.6%) patients, simeprevir (SMV) resistance in 11 (6.2%) patients and faldaprevir resistance in 13 (7.3%) patients were detected. Our investigation also revealed that rebound developed in the presence of a Q80K mutation and amongst two V55A mutations following treatment with TVR, while no response to treatment was detected in a patient with a R55K mutation. We are of the opinion that drug resistance analyses can be beneficial and necessary in revealing which variants are responsible for pre-treatment natural resistance and which mutations are responsible for the viral breakthrough that may develop during the treatment. Copyright © 2016 The Authors. Published by Elsevier Ltd.. All rights reserved.

  6. Eating patterns and portion size associated with obesity in a Swedish population.

    Science.gov (United States)

    Berg, Christina; Lappas, Georgios; Wolk, Alicja; Strandhagen, Elisabeth; Torén, Kjell; Rosengren, Annika; Thelle, Dag; Lissner, Lauren

    2009-02-01

    The objective of this study was to describe the association between meal pattern and obesity. The study is based on data from the INTERGENE research programme, and the study population consists of randomly selected women and men, aged 25-74, living in the Västra Götaland Region in Sweden. A total of 3610 were examined. Participants with measured BMI> or =30 were compared with others (BMIportions. Odds ratios (OR) with 95% confidence intervals were adjusted for age, sex, smoking and physical activity in logistic regression models. Being obese was significantly associated with omitting breakfast, OR 1.41 (1.05-1.90), omitting lunch OR 1.31 (1.04-1.66) and eating at night OR 1.62 (1.10-2.39). Obesity was also related to significantly larger self-reported portion sizes of main meals. No statistically significant relationship with intake of total energy was revealed. Thus, the results indicate that examination of meal patterns and portion sizes might tell us more about obesogenic food patterns than traditional nutrient analyses of food frequencies. Being obese was associated with a meal pattern shifted to later in the day and significantly larger self-reported portions of main meals.

  7. High TUG1 expression is associated with chemotherapy resistance and poor prognosis in esophageal squamous cell carcinoma.

    Science.gov (United States)

    Jiang, Lin; Wang, Wenchao; Li, Guoli; Sun, Canlin; Ren, Zhenqin; Sheng, Haihui; Gao, Hengjun; Wang, Chaofu; Yu, Hong

    2016-08-01

    Long noncoding RNAs (lncRNAs) play critical roles in diverse biological processes such as tumorigenesis and metastasis. Taurine upregulated gene 1 (TUG1) is a cancer-related lncRNA that is associated with chromatin-modifying complexes and plays an important role in gene regulation. In this study, we determined the expression patterns of TUG1 in esophageal squamous cell carcinoma (ESCC) and evaluated its clinical significance. The expression level of TUG1 was examined in 218 pairs of ESCC and adjacent non-cancerous tissues by using quantitative real-time polymerase chain reaction. The relationship between TUG1 expression and clinical features and prognosis was statistically analyzed. The expression level of TUG1 was significantly upregulated in ESCC tissues compared with paired adjacent normal tissues. High TUG1 expression was significantly correlated with chemotherapy resistance. Survival analysis showed that patients with high TUG1 expression had poor prognosis, especially for cases with well and moderate differentiation, ulcerative type, smaller size, and chemotherapy-sensitive tumors. Our findings suggest that elevated TUG1 expression is related to chemotherapy resistance and may help predict a poor prognostic outcome of ESCC. TUG1 may provide a potential therapeutic target for ESCC.

  8. Genotypic and Phenotypic Markers of Livestock-Associated Methicillin-Resistant Staphylococcus aureus CC9 in Humans

    OpenAIRE

    Ye, Xiaohua; Wang, Xiaolin; Fan, Yanping; Peng, Yang; Li, Ling; Li, Shunming; Huang, Jingya; Yao, Zhenjiang; Chen, Sidong

    2016-01-01

    Use of antimicrobials in industrial food animal production is associated with the presence of multidrug-resistant Staphylococcus aureus among animals and humans. The livestock-associated (LA) methicillin-resistant S. aureus (MRSA) clonal complex 9 (CC9) is associated with animals and related workers in Asia. This study aimed to explore the genotypic and phenotypic markers of LA-MRSA CC9 in humans. We conducted a cross-sectional study of livestock workers and controls in Guangdong, China. The ...

  9. Isozyme patterns of powdery mildew resistant wheat mutants

    International Nuclear Information System (INIS)

    Xia Wengau; Li Zhengkui; Wang Kefeng

    1989-01-01

    Full Text: Wheat mutants induced by gamma irradiation and showing improved resistance to powdery mildew were analysed for isozymes. The peroxidase band 3A could be related to the disease reaction. The band 3A is absent in resistant mutants, the higher the activity of band 3A the greater the susceptibility. (author)

  10. Resistance of catheter-associated urinary tract infections to antibacterials

    Directory of Open Access Journals (Sweden)

    Verhaz Antonija

    2005-01-01

    Full Text Available Introduction. Catheter-associated urinary tract infections (CAUTI are the most common nosocomial infections. The worldwide data show the increasing resistance to conventional antibiotics among urinary tract pathogens. Aim. To evaluate the adequacy of initial antimicrobial therapy in relation to the antimicrobial resistance of pathogens responsible for CAUTI in Clinical Center of Banja Luka. Methods. A retrospective study on major causes of CAUTI, antibiotic resistance and treatment principles was conducted at four departments of the Clinical Center of Banja Luka from January 1st, 2000 to April 1st, 2003. Results. The results showed that 265 patients had developed CAUTI. The seven most commonly isolated microorganisms were, in descending order: E. coli (31.0%, Pseudomonas aeruginosa (13.8%, Proteus mirabilis (12.9%, Gr. Klebsiella-Enterobacter (12.3%, Enterococcus spp. (5.2%, Pseudomonas spp. (4.3%, Serratia spp. (4.0%. The most common pathogens were highly resistant to ampicillin (64−100%, gentamycin (63−100%, and trimethoprim-sulfamethoxazole (68−100%, while some bacterias, like Pseudomonas aeruginosa and Serratia spp. showed rates of ciprofloxacin resistance as high as 42.8% and 72.7%, respectively. In 55.5% of the cases, the initial antibiotic therapy was inadequate, and was corrected latter on. There were no standard therapeutic protocols for this type of nosocomial infections. Conclusion. The results of this study emphasized an urgency of the prevention and introduction of clinical protocols for better management of CAUTI. Treatment principles should better correspond to the antibiotic sensitivity of uropathogens.

  11. Unraveling the dynamics of community-associated methicillin-resistant Staphylococcus aureus

    NARCIS (Netherlands)

    Bootsma, M.C.; Bonten, M.J.M.

    2013-01-01

    Since the first description of the community-associated methicillin-resistant Staphylococcus aureus (CA-MRSA) strain USA300 [1] in the 1990s, this pathogen has emerged worldwide [2]. Within a decade, USA300 has become the most prevalent cause of community-acquired S. aureus infections in many

  12. Immunofluorescence pattern of antinuclear antibody and its association with autoantibody profile in systemic lupus erythematosus

    Directory of Open Access Journals (Sweden)

    Sadia Sharmin

    2016-08-01

    Full Text Available Background: Antinuclear antibody (ANA is useful in the diagnosis of systemic lupus erythematosus (SLE. Association of specific autoantibodies with the immunofluorescence pattern of ANA in SLE as noted in Western literature has been taken as reference in all over the world. However, in Bangladesh such research work or data correlating the autoantibodies and their ANA patterns is inadequate. Objective: To identify an association between immunofluorescence patterns of antinuclear antibody on HEp-2 cell and more specific antinuclear reactivities (e.g. anti-dsDNA and anti-extractable nuclear antigen in the serum samples of SLE patients.Methods: Serum samples of 37 SLE patients who were diagnosed by ARA (American Rheumatism Association classification criteria and laboratory tests, attending at lupus clinic of Bangabandhu Sheikh Mujib Medical University (BSMMU during the study period of six months were subjected for ANA testing by Indirect Imrnunofluorescence (IIF on HEp-2 cell, anti-dsDNA by ELISA and anti- extractable nuclear antigen (anti-ENA by Dot Immunoblot. Dot blot strips were tested for anti-Sm, anti-RNP, anti-SSA/Ro, and anti-SSB/La. Results: Out of 37 SLE patients 32 (86.5% cases were ANA positive by IIF on HEp-2 cell. ANA positive sera exhibited three fluorescence patterns such as speckled (43.7%, peripheral (34.3% and homogenous pattern (21.8%. Peripheral pattern (100% was strongly associated with anti-dsDNA (p<0.05 and homogenous pattern (85.7% was also predominantly associated with anti-dsDNA (p<0.05. Speckled pattern (85.6% was significantly associated with anti-ENA (p<0.05. Anti-dsDNA was positive in 75% of SLE cases and majority (45.8% of which showed peripheral pattern whereas anti-ENA was positive in 48.6% cases and majority (70.5% of which showed speckled pattern. The most commonly identified antinuclear autoreactivity was directed towards anti-RNP (22.2% then anti-Sm (16.6%, anti-SSA (16.6% and anti-SSB (11.1 %. Multiple anti

  13. Training spiking neural networks to associate spatio-temporal input-output spike patterns

    OpenAIRE

    Mohemmed, A; Schliebs, S; Matsuda, S; Kasabov, N

    2013-01-01

    In a previous work (Mohemmed et al., Method for training a spiking neuron to associate input–output spike trains) [1] we have proposed a supervised learning algorithm based on temporal coding to train a spiking neuron to associate input spatiotemporal spike patterns to desired output spike patterns. The algorithm is based on the conversion of spike trains into analogue signals and the application of the Widrow–Hoff learning rule. In this paper we present a mathematical formulation of the prop...

  14. Altered placental DNA methylation patterns associated with maternal smoking: current perspectives

    Directory of Open Access Journals (Sweden)

    Maccani JZ

    2015-05-01

    Full Text Available Jennifer ZJ Maccani, Matthew A Maccani Penn State Tobacco Center of Regulatory Science, College of Medicine, Department of Public Health Sciences, Hershey, PA, USA Abstract: The developmental origins of health and disease hypothesis states that adverse early life exposures can have lasting, detrimental effects on lifelong health. Exposure to maternal cigarette smoking during pregnancy is associated with morbidity and mortality in offspring, including increased risks for miscarriage, stillbirth, low birth weight, preterm birth, asthma, obesity, altered neurobehavior, and other conditions. Maternal cigarette smoking during pregnancy interferes with placental growth and functioning, and it has been proposed that this may occur through the disruption of normal and necessary placental epigenetic patterns. Epigenome-wide association studies have identified a number of differentially methylated placental genes that are associated with maternal smoking during pregnancy, including RUNX3, PURA, GTF2H2, GCA, GPR135, and HKR1. The placental methylation status of RUNX3 and NR3C1 has also been linked to adverse infant outcomes, including preterm birth and low birth weight, respectively. Candidate gene analyses have also found maternal smoking-associated placental methylation differences in the NR3C1, CYP1A1, HTR2A, and HSD11B2 genes, as well as in the repetitive elements LINE-1 and AluYb8. The differential methylation patterns of several genes have been confirmed to also exhibit altered gene expression patterns, including CYP1A1, CYP19A1, NR3C1, and HTR2A. Placental methylation patterns associated with maternal smoking during pregnancy may be largely gene-specific and tissue-specific and, to a lesser degree, involve global changes. It is important for future research to investigate the mechanistic roles that these differentially methylated genes may play in mediating the association between maternal smoking during pregnancy and disease in later life, as well

  15. Association between phage types and antimicrobial resistance among bovine Staphylococcus aureus from 10 countries

    DEFF Research Database (Denmark)

    Vintov, J.; Aarestrup, Frank Møller; Zinn, C. E.

    2003-01-01

    This study was conducted to investigate the diversity of phage types and associations between penicillin resistance and phage types among 815 Staphylococcus aureus isolates from bovine mastitis in nine European countries and USA. All isolates were examined for susceptibility to antimicrobial agents...... associated with penicillin resistance in contrast to phage group I (P = 0.0023) and phage complex-80 (P = 0.0066). This study confirms that a large number of phage types of S. aureus cause bovine mastitis, but that some types predominate. In addition, these findings could indicate that the use of penicillin...... in the bovine environment has selected for specific types of S. aureus in countries with a high frequency of resistance....

  16. Memory resistive switching in CeO2-based film microstructures patterned by a focused ion beam

    DEFF Research Database (Denmark)

    Velichko, A.; Boriskov, P.; Grishin, A.

    2014-01-01

    ) with insulating properties and a semiconducting ormetallic lowresistance state (ON) with resistance ratios up to 104. The influence of micro-scaling and defects formed at the cell boundaries during etching on its electrical characteristics has been analyzed. The appearance of a switching channel at the moment......Heteroepitaxial CeO2 (80 nm)/La0.5Sr0.5CoO3 (500 nm) film structure has been pulsed laser deposited on a sapphire substrate. The Ag/CeO2 microjunctions patterned by a focused ion beam on a La0.5Sr0.5CoO3 film exhibit reproducible reversible switching between a high resistance state (OFF...... of the electrical forming, responsible for the memory effect, has been proved, along with a mechanism of a self-healing electrical breakdown. © 2014 Elsevier B.V. All rights reserved....

  17. Association of insulin resistance and coronary artery remodeling: an intravascular ultrasound study

    OpenAIRE

    Kim, Sang-Hoon; Moon, Jae-Youn; Lim, Yeong Min; Kim, Kyung Ho; Yang, Woo-In; Sung, Jung-Hoon; Yoo, Seung Min; Kim, In Jai; Lim, Sang-Wook; Cha, Dong-Hun; Cho, Seung-Yun

    2015-01-01

    Background There are few studies that investigated the correlation between insulin resistance (IR) and the coronary artery remodeling. The aim of the study is to investigate the association of IR measured by homeostasis model assessment of insulin resistance (HOMA-IR) and coronary artery remodeling evaluated by intravascular ultrasound (IVUS). Methods A total of 298 consecutive patients who received percutaneous coronary interventions under IVUS guidance were retrospectively enrolled. The val...

  18. A new concept of vertically integrated pattern recognition associative memory

    International Nuclear Information System (INIS)

    Liu, Ted; Hoff, Jim; Deptuch, Grzegorz; Yarema, Ray

    2011-01-01

    Hardware-based pattern recognition for fast triggering on particle tracks has been successfully used in high-energy physics experiments for some time. The CDF Silicon Vertex Trigger (SVT) at the Fermilab Tevatron is an excellent example. The method used there, developed in the 1990's, is based on algorithms that use a massively parallel associative memory architecture to identify patterns efficiently at high speed. However, due to much higher occupancy and event rates at the LHC, and the fact that the LHC detectors have a much larger number of channels in their tracking detectors, there is an enormous challenge in implementing fast pattern recognition for a track trigger, requiring about three orders of magnitude more associative memory patterns than what was used in the original CDF SVT. Scaling of current technologies is unlikely to satisfy the scientific needs of the future, and investments in transformational new technologies need to be made. In this paper, we will discuss a new concept of using the emerging 3D vertical integration technology to significantly advance the state-of-the-art for fast pattern recognition within and outside HEP. A generic R and D proposal based on this new concept, with a few institutions involved, has recently been submitted to DOE with the goal to design and perform the ASIC engineering necessary to realize a prototype device. The progress of this R and D project will be reported in the future. Here we will only focus on the concept of this new approach.

  19. The association between TNF-α and insulin resistance in euglycemic women.

    LENUS (Irish Health Repository)

    Walsh, Jennifer M

    2013-10-01

    Chronic low levels of inflammation have links to obesity, diabetes and insulin resistance. We sought to assess the relationship between cytokine tumor necrosis factor (TNF-α) and insulin resistance in a healthy, euglycemic population. This is a prospective study of 574 non-diabetic mother and infant pairs. Maternal body mass index (BMI), TNF-α, glucose and insulin were measured in early pregnancy and at 28 weeks. Insulin resistance was calculated by HOMA index. At delivery birthweight was recorded and cord blood analysed for fetal C-peptide and TNF-α. In a multivariate model, maternal TNF-α in early pregnancy was predicted by maternal insulin resistance at the same time-point, (β=0.54, p<0.01), and maternal TNF-α at 28 weeks was predicted by maternal insulin resistance in early pregnancy (β=0.24, p<0.01) and at 28 weeks (β=0.39, p<0.01). These results, in a large cohort of healthy, non-diabetic women have shown that insulin resistance, even at levels below those diagnostic of gestational diabetes, is associated with maternal and fetal inflammatory response. These findings have important implications for defining the pathways of fetal programming of later metabolic syndrome and childhood obesity.

  20. Identification of a putative protein-profile associating with tamoxifen therapy-resistance in breast cancer

    NARCIS (Netherlands)

    A. Umar (Arzu); J.W.M. Martens (John); J.A. Foekens (John); L. Paša-Tolić (Ljiljana); H. Kang; A.M. Timmermans (Mieke); M.P. Look (Maxime); M.E. Meijer van Gelder (Marion); N. Jaitly (Navdeep); M.A. den Bakker (Michael)

    2009-01-01

    textabstractTamoxifen-resistance is a major cause of death in patients with recurrent breast cancer. Current clinical parameters can correctly predict therapy response in only half of the treated patients. Identification of proteins that associate with tamoxifen-resistance is a first step towards

  1. Associations between pathogen-specific clinical mastitis and somatic cell count patterns

    NARCIS (Netherlands)

    Haas, de Y.; Veerkamp, R.F.; Barkema, H.W.; Gröhn, Y.T.; Schukken, Y.H.

    2004-01-01

    Associations were estimated between pathogen-specific cases of clinical mastitis (CM) and somatic cell count (SCC) patterns based on deviations from the typical curve for SCC during lactation and compared with associations between pathogen-specific CM and lactation average SCC. Data from 274 Dutch

  2. Uric acid concentrations are associated with insulin resistance and birthweight in normotensive pregnant women.

    Science.gov (United States)

    Laughon, S Katherine; Catov, Janet; Roberts, James M

    2009-12-01

    We sought to investigate whether uric acid concentrations are increased in pregnant women with insulin resistance and to correlate both with fetal growth. Uric acid, glucose, and insulin were measured in plasma at 20.4 (+/-2.0) weeks' gestation in 263 women. The association between uric acid and insulin resistance, as estimated using the homeostasis model assessment (HOMA), was analyzed and related to birthweights. In 212 (80.6%) women who remained normotensive throughout pregnancy, HOMA increased 1.23 U per 1-mg/dL increase in uric acid (95% confidence interval, 1.07-1.42; P=.003). Infants born to normotensive women in the upper quartile of uric acid and lowest HOMA quartile weighed 435.6 g less than infants of women with highest uric acid and HOMA quartiles (Pinsulin resistance in midpregnancy. Hyperuricemia was associated with lower birthweight in normotensive women, and this effect was attenuated by insulin resistance.

  3. Track recognition with an associative pattern memory

    International Nuclear Information System (INIS)

    Bok, H.W. den; Visschers, J.L.; Borgers, A.J.; Lourens, W.

    1991-01-01

    Using Programmable Gate Arrays (PGAs), a prototype for a fast Associative Pattern Memory module has been realized. The associative memory performs the recognition of tracks within the hadron detector data acquisition system at NIKHEF-K. The memory matches the detector state with a set of 24 predefined tracks to identify the particle tracks that occur during an event. This information enables the trigger hardware to classify and select or discriminate the event. Mounted on a standard size (6U) VME board, several PGAs together form an associative memory. The internal logic architecture of the Gate Array is used in such a way as to minimize signal propagation delay. The memory cells, containing a binary representation of the particle tracks, are dynamically loadable through a VME bus interface, providing a high level of flexibility. The hadron detector and its readout system are briefly described and our track representation method is presented. Results from measurements under experimental conditions are discussed. (orig.)

  4. Conjunctival bacterial flora and antibiotic resistance pattern in patients undergoing cataract surgery

    Directory of Open Access Journals (Sweden)

    Arantes Tiago Eugênio Faria e

    2006-01-01

    Full Text Available PURPOSE: To evaluate the conjunctival bacterial flora and its antibiotic resistance pattern in eyes of patients undergoing cataract surgery. METHODS: From August to October 2004, 50 patients undergoing cataract surgery in the "Fundação Altino Ventura", Recife, Brazil, were prospectively evaluated. Conjunctival material was obtained on the day of surgery, before the application of topical anesthetic, antibiotic or povidone-iodine. The collected material was inoculated and bacterioscopic analysis was carried out. In the cases where there was bacterial growth, antibiotic susceptibility tests and cultures, for isolation and identification of the bacteria, were performed. RESULTS: Of the 50 eyes, 43 (86.0% had positive cultures. The coagulase-negative Staphylococcus (CNS, found in 27 (54.0% eyes, was the most frequent organism. More than 90% of the isolates of this bacterium were susceptible to cephalotin, vancomycin, chloramphenicol, ofloxacin and gatifloxacin; 70 to 90% were susceptible to gentamicin, cefotaxime, oxacillin and ciprofloxacin; and less than 70% were sensible to neomycin. Four (10.5% of the bacterial isolates were resistant to four or more antibiotics, two of them were CNS. CONCLUSION: The most frequent bacterium in the conjunctival flora is the coagulase-negative Staphylococcus. The isolates of this organism showed low susceptibility rate to neomycin, and high susceptibility rates to cephalotin, vancomycin, chloramphenicol, ofloxacin and gatifloxacin.

  5. Emerging antimicrobial resistance pattern of Helicobacter pylori in central Gujarat

    Directory of Open Access Journals (Sweden)

    H B Pandya

    2014-01-01

    Full Text Available Background: Antimicrobial resistance is a growing problem in H. pylori treatment. The study was intended to evaluate the prevalence of resistance amongst 80 H.pylori isolates cultured from biopsy taken during routine endoscopies in 2008-2011. Materials and Methods: 855 gastro duodenal biopsies were collected and cultured on H.pylori selective medium (containing Brucella agar and Columbia agar (Hi media, with Skirrow′s supplement (antibiotic supplement and 7% human blood cells. H.pylori was isolated from 80 specimens. The antimicrobial susceptibility of H.pylori isolates was carried out by the Kirby Bauer technique against metronidazole (5 µg, clarithromycin (15 µg, ciprofloxacin (5 µg, amoxicillin (10 µg, tetracycline (30 µg, erythromycin (15 µg, levofloxacin (5 µg, and furazolidone (50 µg (Sigma- Aldrich, MO. Results: 83.8% isolates were resistant to metronidazole, 58.8% were resistant to Clarithromycin 72.5% were resistant to Amoxicillin, 50% to Ciprofloxacin and 53.8% to tetracycline. furazolidone, erythromycin and Levofloxacin showed only 13.8% resistance to H.pylori. Multi drug resistance with metronidazole+ clarithromycin+ tetracycline was 85%. For all the drugs Antimicrobial resistance rate was found higher in males compare to females. Metronidazole and amoxicillin resistance was found noteworthy in patients with duodenal ulcer (p = 0.018, gastritis (P = 0.00, and in reflux esophagitis (P = 0.00. clarithromycin and tetracycline resistance was suggestively linked with duodenitis (P = 0.018, while furazolidone, erythromycin and levofloxacin showed excellent sensitivity in patients with duodenitis (P value- 0.018, gastritis (P= 0.00 and reflux esophagitis (P = 0.00. Resistance with metronidazole (P = 0.481, clarithromycin (P= 0.261, amoxicillin (P = 0.276, tetracycline (P = 0.356, ciprofloxacin (P = 0.164 was not correlated well with Age-group and Gender of the patients. Conclusion: A very high percentage of patients were infected

  6. Emergence of antibiotic-resistant bacteria in patients with Fournier gangrene.

    Science.gov (United States)

    Lin, Wei-Ting; Chao, Chien-Ming; Lin, Hsin-Lan; Hung, Ming-Chran; Lai, Chih-Cheng

    2015-04-01

    This study was conducted to investigate the bacteriology and associated patterns of antibiotic resistance Fournier gangrene. Patients with Fournier's gangrene from 2008 to 2012 were identified from the computerized database in a medical center in southern Taiwan. The medical records of all patients with Fournier's gangrene were reviewed retrospectively. There were 61 microorganisms, including 60 bacteria and one Candida spp, isolated from clinical wound specimens from 32 patients. The most common isolates obtained were Streptococcus spp. (n=12), Peptoniphilus spp. (n=8), Staphylococcus aureus (n=7), Escherichia coli (n=7), and Klebsiella pneumoniae (n=7). Among 21 strains of gram-negative bacilli, five (23.8%) were resistant to fluoroquinolones, and three isolates were resistant to ceftriaxone. Two E. coli strains produced extended-spectrum beta-lactamase. Four of the seven S. aureus isolates were methicillin-resistant. Among 15 anaerobic isolates, nine (60%) were resistant to penicillin, and eight (53.3%) were resistant to clindamycin. Four (26.7%) isolates were resistant to metronidazole. The only independent risk factor associated with mortality was inappropriate initial antibiotic treatment (p=0.021). Antibiotic-resistant bacteria are emerging in the clinical setting of Fournier gangrene. Clinicians should use broad-spectrum antibiotics initially to cover possible antibiotic-resistant bacteria.

  7. Genome-Wide Association Study of Septoria tritici Blotch Resistance in Ethiopian Durum Wheat Landraces

    Directory of Open Access Journals (Sweden)

    Yosef G. Kidane

    2017-09-01

    Full Text Available Septoria tritici blotch (STB is a devastating fungal disease affecting durum and bread wheat cultivation worldwide. The identification, development, and employment of resistant wheat genetic material is the key to overcoming costs and limitations of fungicide treatments. The search for resistance sources in untapped genetic material may speed up the deployment of STB genetic resistance in the field. Ethiopian durum wheat landraces represent a valuable source of such diversity. In this study, 318 Ethiopian durum wheat genotypes, for the most part traditional landraces, were phenotyped for resistance to different aspects of STB infection. Phenology, yield and yield component traits were concurrently measured the collection. Here we describe the distribution of STB resistance traits in modern varieties and in landraces, and the relation existing between STB resistance and other agronomic traits. STB resistance sources were found in landraces as well as in modern varieties tested, suggesting the presence of alleles of breeding relevance. The genetic material was genotyped with more than 16 thousand genome-wide polymorphic markers to describe the linkage disequilibrium and genetic structure existing within the panel of genotypes, and a genome-wide association (GWA study was run to allow the identification of genomic loci involved in STB resistance. High diversity and low genetic structure in the panel allowed high efficiency GWA. The GWA scan detected five major putative QTL for STB resistance, only partially overlapping those already reported in the wheat literature. We report four putative loci for Septoria resistance with no match in previous literature: two highly significant ones on Chr 3A and 5A, and two suggestive ones on Chr 4B and 5B. Markers underlying these QTL explained as much as 10% of the phenotypic variance for disease resistance. We found three cases in which putative QTL for agronomic traits overlapped marker trait association

  8. Genome-Wide Association Mapping for Resistance to Leaf and Stripe Rust in Winter-Habit Hexaploid Wheat Landraces.

    Directory of Open Access Journals (Sweden)

    Albert Kertho

    Full Text Available Leaf rust, caused by Puccinia triticina (Pt, and stripe rust, caused by P. striiformis f. sp. tritici (Pst, are destructive foliar diseases of wheat worldwide. Breeding for disease resistance is the preferred strategy of managing both diseases. The continued emergence of new races of Pt and Pst requires a constant search for new sources of resistance. Here we report a genome-wide association analysis of 567 winter wheat (Triticum aestivum landrace accessions using the Infinium iSelect 9K wheat SNP array to identify loci associated with seedling resistance to five races of Pt (MDCL, MFPS, THBL, TDBG, and TBDJ and one race of Pst (PSTv-37 frequently found in the Northern Great Plains of the United States. Mixed linear models identified 65 and eight significant markers associated with leaf rust and stripe rust, respectively. Further, we identified 31 and three QTL associated with resistance to Pt and Pst, respectively. Eleven QTL, identified on chromosomes 3A, 4A, 5A, and 6D, are previously unknown for leaf rust resistance in T. aestivum.

  9. Current Trends of Drug Resistance Patterns of Acinetobacter baumannii Infection in Blood Transfusion-dependent Thalassemia Patients.

    Science.gov (United States)

    Almani, Suhail Ahmed; Naseer, Ali; Maheshwari, Sanjay Kumar; Maroof, Pir; Naseer, Raza; Khoharo, Haji Khan

    2017-01-01

    The present study aimed to evaluate the current trends of drug resistance patterns of Acinetobacter baumannii infection in blood transfusion-dependent thalassemia patients. This study was a cross sectional study, conducted at the Liaquat University of Medical and Health Sciences, Jamshoro/Hyderabad, Sindh, Pakistan from October 2014 to January 2016. Of 921 blood samples, A. baumannii strains were isolated from 100 blood samples. Blood samples were processed for the isolation, identification, and drugs sensitivity as per the Clinical and Laboratory Standards Institute. A. baumannii strains were identified by microbiological methods and Gram's staining. API 20 E kit (Biomeriuex, USA) was also used for identification. Data were analyzed on Statisti × 8.1 (USA). Mean ± standard deviation age was 11.5 ± 2.8 years. Nearly 70% were male and 30% were female ( P = 0.0001). Of 921 blood transfusion-dependent thalassemia patients, 100 (10.8%) patients showed growth of A. baumannii . Drug resistance was observed against the ceftazidime, cefixime, cefepime, imipenem, meropenem, amikacin, minocycline, tigecycline, and tazocin except for the colistin. The present study reports drug-resistant A. baumannii in blood transfusion-dependent thalassemia patients. National multicenter studies are recommended to estimate the size of the problem.

  10. Retrospective study of necrotizing fasciitis and characterization of its associated Methicillin-resistant Staphylococcus aureus in Taiwan

    Directory of Open Access Journals (Sweden)

    Changchien Chih-Hsuan

    2011-10-01

    Full Text Available Abstract Background Methicillin-resistant Staphylococcus aureus (MRSA has emerged as a prevalent pathogen of necrotizing fasciitis (NF in Taiwan. A four-year NF cases and clinical and genetic differences between hospital acquired (HA- and community-acquired (CA-MRSA infection and isolates were investigated. Methods A retrospective study of 247 NF cases in 2004-2008 and antimicrobial susceptibilities, staphylococcal chromosomal cassette mec (SCCmec types, pulsed field gel electrophoresis (PFGE patterns, virulence factors, and multilocus sequence typing (MLST of 16 NF-associated MRSA in 2008 were also evaluated. Results In 247 cases, 42 microbial species were identified. S. aureus was the major prevalent pathogen and MRSA accounted for 19.8% of NF cases. Most patients had many coexisting medical conditions, including diabetes mellitus, followed by hypertension, chronic azotemia and chronic hepatic disease in order of decreasing prevalence. Patients with MRSA infection tended to have more severe clinical outcomes in terms of amputation rate (p S. aureus or non-S. aureus infection. NF patients infected by HA-MRSA had a significantly higher amputation rate, comorbidity, C-reactive protein level, and involvement of lower extremity than those infected by CA-MRSA. In addition to over 90% of MRSA resistant to erythromycin and clindamycin, HA-MRSA was more resistant than CA-MRSA to trimethoprim-sulfamethoxazole (45.8% vs. 4%. ST59/pulsotype C/SCCmec IV and ST239/pulsotype A/SCCmec III isolates were the most prevalent CA- and HA-MRSA, respectively in 16 isolates obtained in 2008. In contrast to the gene for γ-hemolysin found in all MRSA, the gene for Panton-Valentine leukocidin was only identified in ST59 MRSA isolates. Other three virulence factors TSST-1, ETA, and ETB were occasionally identified in MRSA isolates tested. Conclusion NF patients with MRSA infection, especially HA-MRSA infection, had more severe clinical outcomes than those infected by

  11. Widespread molecular patterns associated with drug sensitivity in breast cancer cell lines, with implications for human tumors.

    Directory of Open Access Journals (Sweden)

    Chad J Creighton

    Full Text Available BACKGROUND: Recent landmark studies have profiled cancer cell lines for molecular features, along with measuring the corresponding growth inhibitory effects for specific drug compounds. These data present a tool for determining which subsets of human cancer might be more responsive to particular drugs. To this end, the NCI-DREAM-sponsored DREAM7: Drug Sensitivity Prediction Challenge (sub-challenge 1 set out to predict the sensitivities of 18 breast cancer cell lines to 31 previously untested compounds, on the basis of molecular profiling data and a training subset of cell lines. METHODS AND RESULTS: With 47 teams submitting blinded predictions, team Creighton scored third in terms of overall accuracy. Team Creighton's method was simple and straightforward, incorporated multiple expression data types (RNA-seq, gene array, RPPA, and incorporated all profiled features (not only the "best" predictive ones. As an extension of the approach, cell line data, from public datasets of expression profiling coupled with drug sensitivities (Barretina, Garnett, Heiser were used to "predict" the drug sensitivities in human breast tumors (using data from The Cancer Genome Atlas. Drug sensitivity correlations within human breast tumors showed differences by expression-based subtype, with many associations in line with the expected (e.g. Lapatinib sensitivity in HER2-enriched cancers and others inviting further study (e.g. relative resistance to PI3K inhibitors in basal-like cancers. CONCLUSIONS: Molecular patterns associated with drug sensitivity are widespread, with potentially hundreds of genes that could be incorporated into making predictions, as well as offering biological clues as to the mechanisms involved. Applying the cell line patterns to human tumor data may help generate hypotheses on what tumor subsets might be more responsive to therapies, where multiple cell line datasets representing various drugs may be used, in order to assess consistency of

  12. Associative-memory representations emerge as shared spatial patterns of theta activity spanning the primate temporal cortex.

    Science.gov (United States)

    Nakahara, Kiyoshi; Adachi, Ken; Kawasaki, Keisuke; Matsuo, Takeshi; Sawahata, Hirohito; Majima, Kei; Takeda, Masaki; Sugiyama, Sayaka; Nakata, Ryota; Iijima, Atsuhiko; Tanigawa, Hisashi; Suzuki, Takafumi; Kamitani, Yukiyasu; Hasegawa, Isao

    2016-06-10

    Highly localized neuronal spikes in primate temporal cortex can encode associative memory; however, whether memory formation involves area-wide reorganization of ensemble activity, which often accompanies rhythmicity, or just local microcircuit-level plasticity, remains elusive. Using high-density electrocorticography, we capture local-field potentials spanning the monkey temporal lobes, and show that the visual pair-association (PA) memory is encoded in spatial patterns of theta activity in areas TE, 36, and, partially, in the parahippocampal cortex, but not in the entorhinal cortex. The theta patterns elicited by learned paired associates are distinct between pairs, but similar within pairs. This pattern similarity, emerging through novel PA learning, allows a machine-learning decoder trained on theta patterns elicited by a particular visual item to correctly predict the identity of those elicited by its paired associate. Our results suggest that the formation and sharing of widespread cortical theta patterns via learning-induced reorganization are involved in the mechanisms of associative memory representation.

  13. Identification of Loci Associated with Drought Resistance Traits in Heterozygous Autotetraploid Alfalfa (Medicago sativa L.) Using Genome-Wide Association Studies with Genotyping by Sequencing.

    Science.gov (United States)

    Zhang, Tiejun; Yu, Long-Xi; Zheng, Ping; Li, Yajun; Rivera, Martha; Main, Dorrie; Greene, Stephanie L

    2015-01-01

    Drought resistance is an important breeding target for enhancing alfalfa productivity in arid and semi-arid regions. Identification of genes involved in drought tolerance will facilitate breeding for improving drought resistance and water use efficiency in alfalfa. Our objective was to use a diversity panel of alfalfa accessions comprised of 198 cultivars and landraces to identify genes involved in drought tolerance. The panel was selected from the USDA-ARS National Plant Germplasm System alfalfa collection and genotyped using genotyping by sequencing. A greenhouse procedure was used for phenotyping two important traits associated with drought tolerance: drought resistance index (DRI) and relative leaf water content (RWC). Marker-trait association identified nineteen and fifteen loci associated with DRI and RWC, respectively. Alignments of target sequences flanking to the resistance loci against the reference genome of M. truncatula revealed multiple chromosomal locations. Markers associated with DRI are located on all chromosomes while markers associated with RWC are located on chromosomes 1, 2, 3, 4, 5, 6 and 7. Co-localizations of significant markers between DRI and RWC were found on chromosomes 3, 5 and 7. Most loci associated with DRI in this work overlap with the reported QTLs associated with biomass under drought in alfalfa. Additional significant markers were targeted to several contigs with unknown chromosomal locations. BLAST search using their flanking sequences revealed homology to several annotated genes with functions in stress tolerance. With further validation, these markers may be used for marker-assisted breeding new alfalfa varieties with drought resistance and enhanced water use efficiency.

  14. Association of fasting glucagon and proinsulin concentrations with insulin resistance

    DEFF Research Database (Denmark)

    Ferrannini, E; Muscelli, E; Natali, A

    2007-01-01

    AIMS/HYPOTHESIS: Hyperproinsulinaemia and relative hyperglucagonaemia are features of type 2 diabetes. We hypothesised that raised fasting glucagon and proinsulin concentrations may be associated with insulin resistance (IR) in non-diabetic individuals. METHODS: We measured IR [by a euglycaemic......, controlling for known determinants of insulin sensitivity (i.e. sex, age, BMI and glucose tolerance) as well as factors potentially affecting glucagon and proinsulin (i.e. fasting plasma glucose and C-peptide concentrations), glucagon and proinsulin were still positively associated, and adiponectin...

  15. Dietary patterns of adolescents in Germany - Associations with nutrient intake and other health related lifestyle characteristics

    Science.gov (United States)

    2012-01-01

    Background The aim of this study was to identify dietary patterns among a representative sample of German adolescents and their associations with energy and nutrient intake, socioeconomic and lifestyle characteristics, and overweight status. Methods In the analysis, data from the German Health Interview and Examination Survey for Children and Adolescents were used. The survey included a comprehensive dietary history interview conducted among 1272 adolescents aged 12 to 17 years. Dietary patterns were determined with principal component analysis (PCA) based on 48 food groups, for boys and girls separately. Results Three dietary patterns among boys and two among girls were identified. Among boys, high adherence to the 'western' pattern was associated with higher age, lower socioeconomic status (SES), and lower physical activity level (PA). High adherence to the 'healthy' pattern among boys, but not among girls, was associated with higher SES, and higher PA. Among boys, high adherence to the 'traditional' pattern was associated with higher age. Among girls, high adherence to the 'traditional and western' pattern was associated with lower age, lower SES and more hours watching TV per day. The nutrient density of several vitamins and minerals, particularly of B-vitamins and calcium, increased with increasing scores of the 'healthy' pattern among both sexes. Conversely, with increasing scores of the 'western' pattern among boys, most nutrient densities decreased, particularly of fibre, beta-carotene, vitamin D, biotin and calcium. Among girls with higher scores of the 'traditional and western' pattern, nutrient densities of vitamin A, C, E, K and folate decreased. Among boys, high adherence to the 'traditional' pattern was correlated with higher densities of vitamin B12 and vitamin D and lower densities of fibre, magnesium and iron. No significant associations between dietary patterns and overweight were found. Conclusions Higher scores for dietary patterns characterized

  16. Socio-economic status and ethnicity are independently associated with dietary patterns: the HELIUS-Dietary Patterns study

    NARCIS (Netherlands)

    Dekker, L.H.; Nicolau, M.; Dam, van R.M.; Vries, de J.H.M.

    2015-01-01

    Background: Differences in dietary patterns between ethnic groups have often been observed. These differences may partially be a reflection of differences in socio-economic status (SES) or may be the result of differences in the direction and strength of the association between SES and diet.

  17. Antibiotic susceptibility pattern of staphylococcus aureus and methicillin-resistant staphylococcus aureus in a tertiary care hospital

    Directory of Open Access Journals (Sweden)

    CP Bhatt

    2014-04-01

    Full Text Available Background: Methicillin resistant Staphylococcus aureushas emerged as one of the most important nosocomial pathogens. It invokes a tremendous financial burden and enhanced morbidity and mortality due to difficult to treat systemic infections.Aim of this study was to determine antibiotic susceptibility pattern of Staphylococcus aureus and Methicillin resistant Staphylococcus aureus. Materials and Methods: Different clinical specimens were collected and processed for routine culture and antibiotic sensitivity test by standard microbiology techniques. Results: Out of 1173 samples received for microbiological examination, 100 were found to be S. aureus with 19% cases were Methicillin resistant Staphylococcus aureus (MRSA. Fourteen MRSA were found from inpatient and 5 were from outpatient. MRSA was found higher in female than male and maximum number (31.5% was found in age group 0-10 years. Staphylococcus aureus was 100% sensitive to Vancomycin followed by Amikacin (90%, Gentamycin (83%, and tetracycline (81%. On urine isolates Nitrofurantoin(91.6% was drug of choice. All the isolates were resistant to Penicillin G. In case of Methicillin resistant Staphylococcus aureus showed 100% sensitive to Vancomycin followed by Amikacin (84.2%, Tetracycline (63.1%, Ciprofloxacin (42% and Gentamycin (36.8%. Among urine isolates Nitrofutantoin showed 87.5% sensitive followed by Norfloxacin (75%. Conclusion: Methicillin resistant Staphylococcus aureus was found 19% of Staphylococcus aureus isolates. It was most common in females, hospitalized patients and young age group. Vancomycin seems to be drug of choice followed by Amikacin. It would be helpful to formulating and monitoring the antibiotic policy and ensure proper empiric treatment. DOI: http://dx.doi.org/10.3126/jpn.v4i7.10297 Journal of Pathology of Nepal (2014 Vol. 4, 548-551   

  18. Multi drug resistance tuberculosis: pattern seen in last 13 years

    International Nuclear Information System (INIS)

    Iqbal, R.; Shabbir, I.; Munir, K.; Tabassum, M.N.; Khan, S.U.; Khan, M.Z.U.

    2011-01-01

    Background: Drug resistance in tuberculosis is a serious problem throughout the world especially, after the emergence of multi drug resistant TB strains. Objectives: To estimate drug resistance in TB patients and compare it with previous studies to see the changing trends. Materials and Methods: The PMRC Research Centre receives sputum samples from all the leading hospitals of Lahore. This retrospective analysis was done from 1996 to 2008 on the multi drug resistant TB strains that were seen during these years. Five first lines anti tuberculosis drugs were tested on Lowenstein Jensen medium using standard proportion method. Results: A total of 2661 confirmed isolates of Mycobacterium tuberculosis were seen over the past 13 years. Of the total, 2182 were pulmonary and 479 were extra pulmonary specimens. The patients comprised of those with and without history of previous treatment. These specimens were subjected to drug susceptibility testing. Almost half of the patient had some resistance; multiple drug resistance was seen in 12.3% and 23.0% cases without and with history of previous treatment respectively. Overall resistance to rifampicin was 26.4%, isoniazid 24.1% streptomycin 21.6% ethambutol 13.4% and pyrazinamide 28.4% respectively. Statistically significant difference was seen between primary and acquired resistance. When compared with the reports from previous studies from the same area, there was a trend of gradual increase of drug resistance. Conclusions Resistance to anti tuberculosis drugs is high. Policy message. TB Control Program should start 'DOTS Plus' schemes for which drug susceptibility testing facilities should be available for correctly managing the patients. (author)

  19. Multi drug resistance tuberculosis: pattern seen in last 13 years

    Energy Technology Data Exchange (ETDEWEB)

    Iqbal, R; Shabbir, I; Munir, K [King Edward Medical University Hospital, Lahore (Pakistan). Dept. of Research Centre; Tabassum, M N; Khan, S U; Khan, M Z.U. [King Edward Medical University Hospital, Lahore (Pakistan). Dept. of Chest Medicine

    2011-01-15

    Background: Drug resistance in tuberculosis is a serious problem throughout the world especially, after the emergence of multi drug resistant TB strains. Objectives: To estimate drug resistance in TB patients and compare it with previous studies to see the changing trends. Materials and Methods: The PMRC Research Centre receives sputum samples from all the leading hospitals of Lahore. This retrospective analysis was done from 1996 to 2008 on the multi drug resistant TB strains that were seen during these years. Five first lines anti tuberculosis drugs were tested on Lowenstein Jensen medium using standard proportion method. Results: A total of 2661 confirmed isolates of Mycobacterium tuberculosis were seen over the past 13 years. Of the total, 2182 were pulmonary and 479 were extra pulmonary specimens. The patients comprised of those with and without history of previous treatment. These specimens were subjected to drug susceptibility testing. Almost half of the patient had some resistance; multiple drug resistance was seen in 12.3% and 23.0% cases without and with history of previous treatment respectively. Overall resistance to rifampicin was 26.4%, isoniazid 24.1% streptomycin 21.6% ethambutol 13.4% and pyrazinamide 28.4% respectively. Statistically significant difference was seen between primary and acquired resistance. When compared with the reports from previous studies from the same area, there was a trend of gradual increase of drug resistance. Conclusions Resistance to anti tuberculosis drugs is high. Policy message. TB Control Program should start 'DOTS Plus' schemes for which drug susceptibility testing facilities should be available for correctly managing the patients. (author)

  20. Association of paraoxonase-1 gene polymorphisms with insulin resistance in South Indian population.

    Science.gov (United States)

    Gomathi, Panneerselvam; Iyer, Anandi Chandramouli; Murugan, Ponniah Senthil; Sasikumar, Sundaresan; Raj, Nancy Bright Arul Joseph; Ganesan, Divya; Nallaperumal, Sivagnanam; Murugan, Maruthamuthu; Selvam, Govindan Sadasivam

    2018-04-15

    Insulin resistance plays a crucial role in the pathogenesis of type 2 diabetes and cardiovascular diseases. Recently, paraoxonase-1(PON1) is reported to have an ability to reduce insulin resistance by promoting glucose transporter-4 (GLUT-4) expression in vitro. Single nucleotide polymorphism (SNP) in PON1 is associated with variability in enzyme activity and concentration. Based on this we aimed to investigate the association of PON1 (Q192R and L55M) polymorphisms with the risk of developing insulin resistance in adult South Indian population. Two hundred and eighty seven (287) Type 2 diabetes patients and 293 healthy controls were enrolled in this study. All the study subjects were genotyped for PON1 (Q192R and L55M) missense polymorphisms using polymerase chain reaction-restriction fragment length polymorphism (PCRRFLP) method. Fasting serum insulin level was measured by ELISA. The distribution of QR/RR and LM/MM genotypes were significantly higher in type 2 diabetes patients compared with healthy controls. Moreover, the R and M alleles were significantly associated with type 2 diabetes with an Odds Ratio of 1.68 (P  R genotypes were found to be significantly associated with higher BMI, cholesterol, triglycerides, LDL, fasting serum insulin and HOMA-IR. Further, the mutant allele or genotypes of PON1 L55M were associated with higher BMI, triglycerides, VLDL, fasting serum insulin and HOMA-IR among adult type 2 diabetes patients. PON1 (Q192R and L55M) polymorphisms may play a crucial role in pathogenesis and susceptibility of insulin resistance thus leads to the development of type 2 diabetes in South Indian population. Copyright © 2018 Elsevier B.V. All rights reserved.

  1. Patterns of infections, aetiological agents and antimicrobial resistance at a tertiary care hospital in northern Tanzania.

    Science.gov (United States)

    Kumburu, Happiness Houka; Sonda, Tolbert; Mmbaga, Blandina Theophil; Alifrangis, Michael; Lund, Ole; Kibiki, Gibson; Aarestrup, Frank M

    2017-04-01

    To determine the causative agents of infections and their antimicrobial susceptibility at a tertiary care hospital in Moshi, Tanzania, to guide optimal treatment. A total of 590 specimens (stool (56), sputum (122), blood (126) and wound swabs (286)) were collected from 575 patients admitted in the medical and surgical departments. The bacterial species were determined by conventional methods, and disc diffusion was used to determine the antimicrobial susceptibility pattern of the bacterial isolates. A total of 249 (42.2%) specimens were culture-positive yielding a total of 377 isolates. A wide range of bacteria was isolated, the most predominant being Gram-negative bacteria: Proteus spp. (n = 48, 12.7%), Escherichia coli (n = 44, 11.7%), Pseudomonas spp. (n = 40, 10.6%) and Klebsiella spp (n = 38, 10.1%). Wound infections were characterised by multiple isolates (n = 293, 77.7%), with the most frequent being Proteus spp. (n = 44, 15%), Pseudomonas (n = 37, 12.6%), Staphylococcus (n = 29, 9.9%) and Klebsiella spp. (n = 28, 9.6%). All Staphylococcus aureus tested were resistant to penicillin (n = 22, 100%) and susceptible to vancomycin. Significant resistance to cephalosporins such as cefazolin (n = 62, 72.9%), ceftriaxone (n = 44, 51.8%) and ceftazidime (n = 40, 37.4%) was observed in Gram-negative bacteria, as well as resistance to cefoxitin (n = 6, 27.3%) in S. aureus. The study has revealed a wide range of causative agents, with an alarming rate of resistance to the commonly used antimicrobial agents. Furthermore, the bacterial spectrum differs from those often observed in high-income countries. This highlights the imperative of regular generation of data on aetiological agents and their antimicrobial susceptibility patterns especially in infectious disease endemic settings. The key steps would be to ensure the diagnostic capacity at a sufficient number of sites and implement structures to routinely exchange, compare, analyse and report data. Sentinel sites

  2. Demonstrated brain insulin resistance in Alzheimer’s disease patients is associated with IGF-1 resistance, IRS-1 dysregulation, and cognitive decline

    Science.gov (United States)

    Talbot, Konrad; Wang, Hoau-Yan; Kazi, Hala; Han, Li-Ying; Bakshi, Kalindi P.; Stucky, Andres; Fuino, Robert L.; Kawaguchi, Krista R.; Samoyedny, Andrew J.; Wilson, Robert S.; Arvanitakis, Zoe; Schneider, Julie A.; Wolf, Bryan A.; Bennett, David A.; Trojanowski, John Q.; Arnold, Steven E.

    2012-01-01

    While a potential causal factor in Alzheimer’s disease (AD), brain insulin resistance has not been demonstrated directly in that disorder. We provide such a demonstration here by showing that the hippocampal formation (HF) and, to a lesser degree, the cerebellar cortex in AD cases without diabetes exhibit markedly reduced responses to insulin signaling in the IR→IRS-1→PI3K signaling pathway with greatly reduced responses to IGF-1 in the IGF-1R→IRS-2→PI3K signaling pathway. Reduced insulin responses were maximal at the level of IRS-1 and were consistently associated with basal elevations in IRS-1 phosphorylated at serine 616 (IRS-1 pS616) and IRS-1 pS636/639. In the HF, these candidate biomarkers of brain insulin resistance increased commonly and progressively from normal cases to mild cognitively impaired cases to AD cases regardless of diabetes or APOE ε4 status. Levels of IRS-1 pS616 and IRS-1 pS636/639 and their activated kinases correlated positively with those of oligomeric Aβ plaques and were negatively associated with episodic and working memory, even after adjusting for Aβ plaques, neurofibrillary tangles, and APOE ε4. Brain insulin resistance thus appears to be an early and common feature of AD, a phenomenon accompanied by IGF-1 resistance and closely associated with IRS-1 dysfunction potentially triggered by Aβ oligomers and yet promoting cognitive decline independent of classic AD pathology. PMID:22476197

  3. The role of lipopolysaccharide and peptidoglycan, two glycosylated bacterial microbe-associated molecular patterns (MAMPs), in plant innate immunity

    DEFF Research Database (Denmark)

    Erbs, Gitte; Newman, Mari-Anne

    2012-01-01

    innate immune system through the action of pattern recognition receptors (PRRs). A greater insight into the mechanisms of MAMP recognition and the description of PRRs for different microbial glycoconjugates will have considerable impact on the improvement of plant health and disease resistance. Here...... to as ‘innate immunity’. Innate immunity is the first line of defence against invading microorganisms in vertebrates and the only line of defence in invertebrates and plants. Bacterial glycoconjugates, such as lipopolysaccharides (LPSs) from the outer membrane of Gram-negative bacteria and peptidoglycan (PGN......) from the cell walls of both Gram-positive and Gram-negative bacteria, have been found to act as elicitors of plant innate immunity. These conserved, indispensable, microbe-specific molecules are also referred to as ‘microbe-associated molecular patterns’ (MAMPs). MAMPs are recognized by the plant...

  4. Association between Dietary Patterns and the Risk of Hypertension among Chinese: A Cross-Sectional Study

    Directory of Open Access Journals (Sweden)

    Pei-Fen Zheng

    2016-04-01

    Full Text Available Epidemiological studies of different dietary patterns and the risk of hypertension among a middle-aged Chinese population remain extremely scare. Thus, the aim of this study was to identify dietary patterns and investigate the relationship between dietary patterns and the risk of hypertension among Chinese adults aged 45–60 years. The present cross-sectional study includes 2560 participants who reported their dietary intake using a validated food frequency questionnaire (FFQ. Dietary patterns were identified using factor analysis. Anthropometric measurements were obtained using standardized procedures. We used log-binomial regression analysis to examine the associations between dietary patterns and hypertension risk. Four major dietary patterns were identified and labeled as traditional Chinese, animal food, western fast-food, and high-salt patterns. After adjusting for potential confounders, participants in the highest quartile of animal food pattern scores had a greater prevalence ratio (PR for hypertension (PR = 1.26; 95% confidence interval (CI: 1.064–1.727; p < 0.05 in comparison to those from the lowest quartile. Compared with the lowest quartile of high-salt pattern, the highest quartile had a higher prevalence ratio for hypertension (PR = 1.12; 95% CI: 1.013–1.635; p < 0.05. Conclusions: Our findings indicated that animal food and high-salt patterns were associated with increased risk of hypertension, while traditional Chinese and western fast-food patterns were not associated with the risk of hypertension. Further prospective studies are warranted to confirm these findings.

  5. Salmonella Typhimurium ST213 is associated with two types of IncA/C plasmids carrying multiple resistance determinants.

    Science.gov (United States)

    Wiesner, Magdalena; Calva, Edmundo; Fernández-Mora, Marcos; Cevallos, Miguel A; Campos, Freddy; Zaidi, Mussaret B; Silva, Claudia

    2011-01-11

    Salmonella Typhimurium ST213 was first detected in the Mexican Typhimurium population in 2001. It is associated with a multi-drug resistance phenotype and a plasmid-borne blaCMY-2 gene conferring resistance to extended-spectrum cephalosporins. The objective of the current study was to examine the association between the ST213 genotype and blaCMY-2 plasmids. The blaCMY-2 gene was carried by an IncA/C plasmid. ST213 strains lacking the blaCMY-2 gene carried a different IncA/C plasmid. PCR analysis of seven DNA regions distributed throughout the plasmids showed that these IncA/C plasmids were related, but the presence and absence of DNA stretches produced two divergent types I and II. A class 1 integron (dfrA12, orfF and aadA2) was detected in most of the type I plasmids. Type I contained all the plasmids carrying the blaCMY-2 gene and a subset of plasmids lacking blaCMY-2. Type II included all of the remaining blaCMY-2-negative plasmids. A sequence comparison of the seven DNA regions showed that both types were closely related to IncA/C plasmids found in Escherichia, Salmonella, Yersinia, Photobacterium, Vibrio and Aeromonas. Analysis of our Typhimurium strains showed that the region containing the blaCMY-2 gene is inserted between traA and traC as a single copy, like in the E. coli plasmid pAR060302. The floR allele was identical to that of Newport pSN254, suggesting a mosaic pattern of ancestry with plasmids from other Salmonella serovars and E. coli. Only one of the tested strains was able to conjugate the IncA/C plasmid at very low frequencies (10-7 to 10-9). The lack of conjugation ability of our IncA/C plasmids agrees with the clonal dissemination trend suggested by the chromosomal backgrounds and plasmid pattern associations. The ecological success of the newly emerging Typhimurium ST213 genotype in Mexico may be related to the carriage of IncA/C plasmids. We conclude that types I and II of IncA/C plasmids originated from a common ancestor and that the

  6. Identification of large-scale meteorological patterns associated with extreme precipitation in the US northeast

    Science.gov (United States)

    Agel, Laurie; Barlow, Mathew; Feldstein, Steven B.; Gutowski, William J.

    2018-03-01

    Patterns of daily large-scale circulation associated with Northeast US extreme precipitation are identified using both k-means clustering (KMC) and Self-Organizing Maps (SOM) applied to tropopause height. The tropopause height provides a compact representation of the upper-tropospheric potential vorticity, which is closely related to the overall evolution and intensity of weather systems. Extreme precipitation is defined as the top 1% of daily wet-day observations at 35 Northeast stations, 1979-2008. KMC is applied on extreme precipitation days only, while the SOM algorithm is applied to all days in order to place the extreme results into the overall context of patterns for all days. Six tropopause patterns are identified through KMC for extreme day precipitation: a summertime tropopause ridge, a summertime shallow trough/ridge, a summertime shallow eastern US trough, a deeper wintertime eastern US trough, and two versions of a deep cold-weather trough located across the east-central US. Thirty SOM patterns for all days are identified. Results for all days show that 6 SOM patterns account for almost half of the extreme days, although extreme precipitation occurs in all SOM patterns. The same SOM patterns associated with extreme precipitation also routinely produce non-extreme precipitation; however, on extreme precipitation days the troughs, on average, are deeper and the downstream ridges more pronounced. Analysis of other fields associated with the large-scale patterns show various degrees of anomalously strong moisture transport preceding, and upward motion during, extreme precipitation events.

  7. Lipoprotein subclass patterns in women with polycystic ovary syndrome (PCOS) compared with equally insulin-resistant women without PCOS.

    LENUS (Irish Health Repository)

    Phelan, N

    2012-02-01

    OBJECTIVES: Women with polycystic ovary syndrome (PCOS) are more insulin resistant and display an atherogenic lipid profile compared with normal women of similar body mass index (BMI). Insulin resistance (IR) at least partially underlies the dyslipidemia of PCOS, but it is unclear whether PCOS status per se confers additional risk. RESEARCH DESIGN AND METHODS: Using a case-control design, we compared plasma lipids and lipoprotein subclasses (using polyacrylamide gel tube electrophoresis) in 70 women with PCOS (National Institutes of Health criteria) and 70 normal women pair matched for age, BMI, and IR (homeostasis model assessment-IR, quantitative insulin sensitivity check index, and the Avignon Index). Subjects were identified as having a (less atherogenic) type A pattern consisting predominantly of large low-density lipoprotein (LDL) subfractions or a (more atherogenic) non-A pattern consisting predominantly of small-dense LDL subfractions. RESULTS: Total, high-density lipoprotein, or LDL cholesterol, or triacylglycerol did not differ between the groups, but very low-density lipoprotein levels (P<0.05) were greater in women with PCOS, whereas a non-A LDL profile was seen in 12.9% compared with 2.9% of controls (P<0.05, chi2). Multiple regression analysis revealed homeostasis model assessment-IR and waist circumference to be independent predictors of very low-density lipoprotein together explaining 40.2% of the overall variance. Logistic regression revealed PCOS status to be the only independent determinant of a non-A LDL pattern (odds ratio 5.48 (95% confidence interval 1.082-27.77; P<0.05). CONCLUSIONS: Compared with women matched for BMI and IR, women with PCOS have potentially important differences in lipid profile with greater very low-density lipoprotein levels and increased rates of a more atherogenic non-A LDL pattern.

  8. Whole genome sequencing reveals complex evolution patterns of multidrug-resistant Mycobacterium tuberculosis Beijing strains in patients.

    Directory of Open Access Journals (Sweden)

    Matthias Merker

    Full Text Available Multidrug-resistant (MDR Mycobacterium tuberculosis complex (MTBC strains represent a major threat for tuberculosis (TB control. Treatment of MDR-TB patients is long and less effective, resulting in a significant number of treatment failures. The development of further resistances leads to extensively drug-resistant (XDR variants. However, data on the individual reasons for treatment failure, e.g. an induced mutational burst, and on the evolution of bacteria in the patient are only sparsely available. To address this question, we investigated the intra-patient evolution of serial MTBC isolates obtained from three MDR-TB patients undergoing longitudinal treatment, finally leading to XDR-TB. Sequential isolates displayed identical IS6110 fingerprint patterns, suggesting the absence of exogenous re-infection. We utilized whole genome sequencing (WGS to screen for variations in three isolates from Patient A and four isolates from Patient B and C, respectively. Acquired polymorphisms were subsequently validated in up to 15 serial isolates by Sanger sequencing. We determined eight (Patient A and nine (Patient B polymorphisms, which occurred in a stepwise manner during the course of the therapy and were linked to resistance or a potential compensatory mechanism. For both patients, our analysis revealed the long-term co-existence of clonal subpopulations that displayed different drug resistance allele combinations. Out of these, the most resistant clone was fixed in the population. In contrast, baseline and follow-up isolates of Patient C were distinguished each by eleven unique polymorphisms, indicating an exogenous re-infection with an XDR strain not detected by IS6110 RFLP typing. Our study demonstrates that intra-patient microevolution of MDR-MTBC strains under longitudinal treatment is more complex than previously anticipated. However, a mutator phenotype was not detected. The presence of different subpopulations might confound phenotypic and

  9. Homology modelling of Drosophila cytochrome P450 enzymes associated with insecticide resistance.

    Science.gov (United States)

    Jones, Robert T; Bakker, Saskia E; Stone, Deborah; Shuttleworth, Sally N; Boundy, Sam; McCart, Caroline; Daborn, Phillip J; ffrench-Constant, Richard H; van den Elsen, Jean M H

    2010-10-01

    Overexpression of the cytochrome P450 gene Cyp6g1 confers resistance against DDT and a broad range of other insecticides in Drosophila melanogaster Meig. In the absence of crystal structures of CYP6G1 or complexes with its substrates, structural studies rely on homology modelling and ligand docking to understand P450-substrate interactions. Homology models are presented for CYP6G1, a P450 associated with resistance to DDT and neonicotinoids, and two other enzymes associated with insecticide resistance in D. melanogaster, CYP12D1 and CYP6A2. The models are based on a template of the X-ray structure of the phylogenetically related human CYP3A4, which is known for its broad substrate specificity. The model of CYP6G1 has a much smaller active site cavity than the template. The cavity is also 'V'-shaped and is lined with hydrophobic residues, showing high shape and chemical complementarity with the molecular characteristics of DDT. Comparison of the DDT-CYP6G1 complex and a non-resistant CYP6A2 homology model implies that tight-fit recognition of this insecticide is important in CYP6G1. The active site can accommodate differently shaped substrates ranging from imidacloprid to malathion but not the pyrethroids permethrin and cyfluthrin. The CYP6G1, CYP12D1 and CYP6A2 homology models can provide a structural insight into insecticide resistance in flies overexpressing P450 enzymes with broad substrate specificities.

  10. Prevalence and patterns of antimicrobial resistance of fecal Escherichia coil among pigs on 47 farrow-to-finish farms with different in-feed medication policies in Ontario and British Columbia.

    Science.gov (United States)

    Akwar, Holy T; Poppe, Cornelis; Wilson, Jeff; Reid-Smith, Richard J; Dyck, Monica; Waddington, Josh; Shang, Dayue; McEwen, Scott A

    2008-01-01

    The main objectives of this study were to determine the prevalence and patterns of antimicrobial resistance in pigs on farms that medicated swine ration and those that did not. A total of 940 isolates of Escherichia coli from 188 pooled fecal samples obtained from weaner and finisher pigs on 47 farrow-to-finish swine farms (34 farms used in-feed medication and 13 did not) were tested for susceptibility to 21 antimicrobials using a breakpoint concentration method. The prevalence of resistance varied widely (0.0% to 81.3%) among the antimicrobials tested. Ninety percent of all the isolates tested were resistant to one or more antimicrobials. The most common multi-drug resistance patterns were to 2 to 6 antimicrobials. Resistance was significantly more frequent (P pigs compared to finisher pigs. These findings indicate that resistance to a broad range of antimicrobials was prevalent among fecal E. coli isolates of pigs on study farms, and that this constitutes a potential reservoir for resistance genes that could spread to pathogens. The findings also provide further evidence that use of medication in swine rations provides selective pressure for antimicrobial resistance in E. coli in pigs.

  11. Identification of molecular markers associated with Verticillium wilt resistance in alfalfa (Medicago sativa L.) using high-resolution melting.

    Science.gov (United States)

    Zhang, Tiejun; Yu, Long-Xi; McCord, Per; Miller, David; Bhamidimarri, Suresh; Johnson, David; Monteros, Maria J; Ho, Julie; Reisen, Peter; Samac, Deborah A

    2014-01-01

    Verticillium wilt, caused by the soilborne fungus, Verticillium alfalfae, is one of the most serious diseases of alfalfa (Medicago sativa L.) worldwide. To identify loci associated with resistance to Verticillium wilt, a bulk segregant analysis was conducted in susceptible or resistant pools constructed from 13 synthetic alfalfa populations, followed by association mapping in two F1 populations consisted of 352 individuals. Simple sequence repeat (SSR) and single nucleotide polymorphism (SNP) markers were used for genotyping. Phenotyping was done by manual inoculation of the pathogen to replicated cloned plants of each individual and disease severity was scored using a standard scale. Marker-trait association was analyzed by TASSEL. Seventeen SNP markers significantly associated with Verticillium wilt resistance were identified and they were located on chromosomes 1, 2, 4, 7 and 8. SNP markers identified on chromosomes 2, 4 and 7 co-locate with regions of Verticillium wilt resistance loci reported in M. truncatula. Additional markers identified on chromosomes 1 and 8 located the regions where no Verticillium resistance locus has been reported. This study highlights the value of SNP genotyping by high resolution melting to identify the disease resistance loci in tetraploid alfalfa. With further validation, the markers identified in this study could be used for improving resistance to Verticillium wilt in alfalfa breeding programs.

  12. Herd-level association between antimicrobial use and antimicrobial resistance in bovine mastitis Staphylococcus aureus isolates on Canadian dairy farms.

    Science.gov (United States)

    Saini, V; McClure, J T; Scholl, D T; DeVries, T J; Barkema, H W

    2012-04-01

    Surveillance of antimicrobial use and resistance is needed to manage antimicrobial resistance in bacteria. In this study, data were collected on antimicrobial use and resistance in Staphylococcus aureus (n=562), isolated from intramammary infections and (sub)clinical mastitis cases on 89 dairy farms in 4 regions of Canada [Alberta, Ontario, Québec, and the Maritime Provinces (Prince Edward Island, Nova Scotia, and New Brunswick)]. Dairy producers were asked to deposit empty drug containers into specially provided receptacles, and antimicrobial drug use rate was calculated to quantify antimicrobial use. Minimum inhibitory concentrations were determined using the Sensititer bovine mastitis plate system (TREK Diagnostic Systems Inc., Cleveland, OH), containing antimicrobials commonly used for mastitis treatment and control. Multivariable logistic regression models were built to determine herd-level risk factors of penicillin, ampicillin, pirlimycin, penicillin-novobiocin combination, tetracycline and sulfadimethoxine resistance in Staph. aureus isolates. Intramammary administration of the penicillin-novobiocin combination for dry cow therapy was associated with penicillin and ampicillin resistance [odds ratio (OR): 2.17 and 3.10, respectively]. Systemic administration of penicillin was associated with penicillin resistance (OR: 1.63). Intramammary administration of pirlimycin for lactating cow mastitis treatment was associated with pirlimycin resistance as well (OR: 2.07). Average herd parity was associated with ampicillin and tetracycline resistance (OR: 3.88 and 0.02, respectively). Average herd size was also associated with tetracycline resistance (OR: 1.02). Dairy herds in the Maritime region had higher odds of penicillin and lower odds of ampicillin resistance than dairy herds in Québec (OR: 2.18 and 0.19, respectively). Alberta dairy herds had lower odds of ampicillin and sulfadimethoxine resistance than dairy herds in Québec (OR: 0.04 and 0.08, respectively

  13. Abdominal adiposity largely explains associations between insulin resistance, hyperglycemia and subclinical atherosclerosis: the NEO study

    NARCIS (Netherlands)

    Gast, Karin B.; Smit, Johannes W. A.; den Heijer, Martin; Middeldorp, Saskia; Rippe, Ralph C. A.; le Cessie, Saskia; de Koning, Eelco J. P.; Jukema, J. W.; Rabelink, Ton J.; de Roos, Albert; Rosendaal, Frits R.; de Mutsert, Renée; Rosendaal, F. R.; de Mutsert, R.; Rabelink, T. J.; Smit, J. W. A.; Romijn, J. A.; Rabe, K. F.; de Roos, A.; le Cessie, S.; Hiemstra, P. S.; Kloppenburg, M.; Huizinga, T. W. J.; Pijl, H.; Tamsma, J. T.; de Koning, E. J. P.; Assendelft, W. J. J.; Reitsma, P. H.; van Dijk, K. Willems; de Vries, A. P. J.; Lamb, H. J.; Jazet, I. M.; Dekkers, O. M.; Biermasz, N. R.; Cobbaert, C. M.; Heijer, M. den; Dekker, J. M.; Penninx, B. W.

    2013-01-01

    The relative importance of insulin resistance and hyperglycemia to the development of atherosclerosis remains unclear. Furthermore, adiposity may be responsible for observed associations. Our aim was to study the relative contributions of adiposity, insulin resistance and hyperglycemia to

  14. Prevalence of genotypic HIV-1 drug resistance in Thailand, 2002

    Directory of Open Access Journals (Sweden)

    Watitpun Chotip

    2003-03-01

    Full Text Available Abstract Background The prices of reverse transcriptase (RT inhibitors in Thailand have been reduced since December 1, 2001. It is expected that reduction in the price of these inhibitors may influence the drug resistance mutation pattern of HIV-1 among infected people. This study reports the frequency of HIV-1 genetic mutation associated with drug resistance in antiretroviral-treated patients from Thailand. Methods Genotypic resistance testing was performed on samples collected in 2002 from 88 HIV-1 infected individuals. Automated DNA sequencing was used to genotype the HIV-1 polymerase gene isolated from patients' plasma. Results Resistance to protease inhibitors, nucleoside and non-nucleoside reverse transcriptase inhibitors were found in 10 (12%, 42 (48% and 19 (21% patients, respectively. The most common drug resistance mutations in the protease gene were at codon 82 (8%, 90 (7% and 54 (6%, whereas resistant mutations at codon 215 (45%, 67 (40%, 41 (38% and 184 (27% were commonly found in the RT gene. This finding indicates that genotypic resistance to nucleoside reverse transcriptase inhibitors was prevalent in 2002. The frequency of resistant mutations corresponding to non-nucleoside reverse transcriptase inhibitors was three times higher-, while resistant mutation corresponding to protease inhibitors was two times lower than those frequencies determined in 2001. Conclusion This study shows that the frequencies of RT inhibitor resistance mutations have been increased after the reduction in the price of RT inhibitors since December 2001. We believe that this was an important factor that influenced the mutation patterns of HIV-1 protease and RT genes in Thailand.

  15. Organisms cultured and resistance patterns seen in a secondary ...

    African Journals Online (AJOL)

    21% for piperacillin-tazobactam, 2% for ertapenem and. 19% for meropenem). When looking at individual species, it was noted that K. pneumoniae had high resistance to ampicillin (97%), moderate resistance to co-amoxiclav and ciprofloxacin ...

  16. Dietary Patterns After the Weaning and Lactation Period Are Associated With Celiac Disease Autoimmunity in Children.

    Science.gov (United States)

    Barroso, Monica; Beth, Sytske A; Voortman, Trudy; Jaddoe, Vincent W V; van Zelm, Menno C; Moll, Henriette A; Kiefte-de Jong, Jessica C

    2018-06-01

    There have been many studies of associations between infant feeding practices and development of celiac disease during childhood, but few studies have focused on overall diets of young children after the weaning period. We aimed to examine the association between common dietary patterns in infants and the occurrence of celiac disease autoimmunity during childhood. We performed a prospective analysis of data from the Generation R Study that comprised 1997 children born from April 2002 through January 2006 in Rotterdam, the Netherlands. Food consumption around 1 year of age was assessed with a validated food-frequency questionnaire. Dietary data were examined using a priori (based on existing guidelines) and a posteriori (principal component analysis and reduced rank regression) dietary pattern analyses. Five dietary patterns were compared. Celiac disease autoimmunity, determined on the basis of serum concentration of transglutaminase-2 autoantibody (ie, TG2A) below or above 7 U/mL, was evaluated at 6 years. Associations between dietary pattern adherence scores and celiac disease autoimmunity were examined using multivariable logistic regression models. Higher adherence to the a posteriori-derived prudent dietary pattern (high intake of vegetables, vegetable oils, pasta, and grains and low consumption of refined cereals and sweet beverages) at 1 year was significantly associated with lower odds of celiac disease autoimmunity at 6 years (odds ratio, 0.67; 95% confidence interval, 0.53-0.84). No significant associations were found for the 4 remaining dietary patterns. In a prospective study of dietary patterns of young children in the Netherlands, we associated a dietary pattern characterized by high consumption of vegetables and grains and low consumption of refined cereals and sweet beverages, with lower odds of celiac disease autoimmunity. Early-life dietary patterns might therefore be involved in the development of celiac disease during childhood. Copyright

  17. The Association of Quantitative Facial Color Features with Cold Pattern in Traditional East Asian Medicine

    Directory of Open Access Journals (Sweden)

    Sujeong Mun

    2017-01-01

    Full Text Available Introduction. Facial diagnosis is a major component of the diagnostic method in traditional East Asian medicine. We investigated the association of quantitative facial color features with cold pattern using a fully automated facial color parameterization system. Methods. The facial color parameters of 64 participants were obtained from digital photographs using an automatic color correction and color parameter calculation system. Cold pattern severity was evaluated using a questionnaire. Results. The a⁎ values of the whole face, lower cheek, and chin were negatively associated with cold pattern score (CPS (whole face: B=-1.048, P=0.021; lower cheek: B=-0.494, P=0.007; chin: B=-0.640, P=0.031, while b⁎ value of the lower cheek was positively associated with CPS (B=0.234, P=0.019. The a⁎ values of the whole face were significantly correlated with specific cold pattern symptoms including cold abdomen (partial ρ=-0.354, P<0.01 and cold sensation in the body (partial ρ=-0.255, P<0.05. Conclusions. a⁎ values of the whole face were negatively associated with CPS, indicating that individuals with increased levels of cold pattern had paler faces. These findings suggest that objective facial diagnosis has utility for pattern identification.

  18. Female pattern hair loss.

    Science.gov (United States)

    Herskovitz, Ingrid; Tosti, Antonella

    2013-10-01

    Female pattern hair loss (FPHL) also known as female androgenetic alopecia is a common condition afflicting millions of women that can be cosmetically disrupting. Prompt diagnosis and treatment are essential for obtaining optimal outcome. This review addresses the clinical presentation of female pattern hair loss, its differential diagnosis and treatment modalities. A) Diffuse thinning of the crown region with preservation of the frontal hairline (Ludwig's type) B) The "Christmas tree pattern" where the thinning is wider in the frontal scalp giving the alopecic area a triangular shaped figure resembling a christmas tree. C) Thinning associated with bitemporal recession (Hamilton type). Generally, FPHL is not associated with elevated androgens. Less commonly females with FPHL may have other skin or general signs of hyperandrogenism such as hirsutism, acne, irregular menses, infertility, galactorrhea and insulin resistance. The most common endocrinological abnormality associated with FPHL is polycystic ovarian syndrome (PCOS). The most important diseases to consider in the differential diagnosis of FPHL include Chronic Telogen Effluvium (CTE), Permanent Alopecia after Chemotherapy (PAC), Alopecia Areata Incognito (AAI) and Frontal Fibrosing Alopecia (FFA). This review describes criteria for distinguishing these conditions from FPHL. The only approved treatment for FPHL, which is 2% topical Minoxidil, should be applied at the dosage of 1ml twice day for a minimum period of 12 months. This review will discuss off-label alternative modalities of treatment including 5-alfa reductase inhibitors, antiandrogens, estrogens, prostaglandin analogs, lasers, light treatments and hair transplantation.

  19. Abdominal adiposity largely explains associations between insulin resistance, hyperglycemia and subclinical atherosclerosis: the NEO study

    NARCIS (Netherlands)

    Gast, K.B.; Smit, J.W.A.; Heijer, M. den; Middeldorp, S.; Rippe, R.C.; Cessie, S. le; Koning, E.J. de; Jukema, J.W.; Rabelink, T.J.; Roos, A. de; Rosendaal, F.R.; Mutsert, R. de; Assendelft, P.; et al.,

    2013-01-01

    OBJECTIVE: The relative importance of insulin resistance and hyperglycemia to the development of atherosclerosis remains unclear. Furthermore, adiposity may be responsible for observed associations. Our aim was to study the relative contributions of adiposity, insulin resistance and hyperglycemia to

  20. Bacterial profile and their antimicrobial resistance pattern in an intensive care unit of a tertiary care hospital in Dhaka

    Directory of Open Access Journals (Sweden)

    Lovely Barai

    2010-07-01

    Full Text Available Critically ill patients admitted in intensive care units (ICU are always at a higher risk of developing infections with various antibiotic resistant organisms. The objective of this study was to know the antibiotic resistance pattern of the common isolates from blood, urine, respiratory secretions and pus/wound swab of patients admitted in ICU at BIRDEM (Bangladesh Institute of Research and Rehabilitation in Diabetes, Endocrine and Metabolic Disorder hospital, during a one year period from March 2006 to February 2007. A total of 1660 samples were analyzed. Growth was obtained in 34% of the samples yielding 632 organisms. The major organism isolated were Pseudomonas sp. (29.1%, Acinetobacter sp. (27.5%, Candida sp. (12.8%, Escherichia coli (10.3% and Klebsiella sp. (9.7%. Staphylococcus aureus, Enterobacter sp, Citrobacter sp, Enterococcus sp, Providencia sp and Serratia sp accounted for 10.6% of the isolates. All the isolates were highly resistant (>80% to cephalosporins and fluoroquinolones. The frequency of third generation cephalosporin resistant E. coli, Klebsiella and imipenem resistant Pseudomonas and Acinetobacter were >50%. Acinetobacter was remarkably resistant to most antibiotics including imipenem (>70% resistant, but most of the members of the Enterobacteriacae group showed maximum sensitivity to imipenem (50%-94%. The findings of this study might help clinicians to formulate their first line empirical antibiotic treatment regimens for the patients admitted in ICUs. Ibrahim Med. Coll. J. 2010; 4(2: 66-69

  1. Patterns of Age-Associated Degeneration Differ in Shoulder Muscles

    Science.gov (United States)

    Raz, Yotam; Henseler, Jan F.; Kolk, Arjen; Riaz, Muhammad; van der Zwaal, Peer; Nagels, Jochem; Nelissen, Rob G. H. H.; Raz, Vered

    2015-01-01

    Shoulder complaints are common in the elderly and hamper daily functioning. These complaints are often caused by tears in the muscle-tendon units of the rotator cuff (RC). The four RC muscles stabilize the shoulder joint. While some RC muscles are frequently torn in shoulder complaints others remain intact. The pathological changes in RC muscles are poorly understood. We investigated changes in RC muscle pathology combining radiological and histological procedures. We measured cross sectional area (CSA) and fatty infiltration from Magnetic Resonance Imaging with Arthrography (MRA) in subjects without (N = 294) and with (N = 109) RC-tears. Normalized muscle CSA of the four RC muscles and the deltoid shoulder muscle were compared and age-associated patterns of muscle atrophy and fatty infiltration were constructed. We identified two distinct age-associated patterns: in the supraspinatus and subscapularis RC muscles CSAs continuously declined throughout adulthood, whereas in the infraspinatus and deltoid reduced CSA was prominent from midlife onwards. In the teres minor, CSA was unchanged with age. Most importantly, age-associated patterns were highly similar between subjects without RC tear and those with RC-tears. This suggests that extensive RC muscle atrophy during aging could contribute to RC pathology. We compared muscle pathology between torn infraspinatus and non-torn teres minor and the deltoid in two patients with a massive RC-tear. In the torn infraspinatus we found pronounced fatty droplets, an increase in extracellular collagen-1, a loss of myosin heavy chain-1 expression in myofibers and an increase in Pax7-positive cells. However, the adjacent intact teres minor and deltoid exhibited healthy muscle features. This suggests that satellite cells and the extracellular matrix may contribute to extensive muscle fibrosis in torn RC. We suggest that torn RC muscles display hallmarks of muscle aging whereas the teres minor could represent an aging

  2. Patterns of age-associated degeneration differ in shoulder muscles

    Directory of Open Access Journals (Sweden)

    Yotam eRaz

    2015-12-01

    Full Text Available Shoulder complaints are common in the elderly and hamper daily functioning. These complaints are often caused by tears in the muscle-tendon units of the rotator cuff (RC. The four RC muscles stabilize the shoulder joint. While some RC muscles are frequently torn in shoulder complaints others remain intact. The pathological changes in RC muscles are poorly understood. We investigated changes in RC muscle pathology combining radiological and histological procedures. We measured cross sectional area (CSA and fatty infiltration from Magnetic Resonance Imaging with Arthrography in subjects without (N=294 and with (N=109 RC-tears. Normalized muscle CSA of the four RC muscles and the deltoid shoulder muscle were compared and age-associated patterns of muscle atrophy and fatty infiltration were constructed. We identified two distinct age-associated patterns: in the supraspinatus and subscapularis RC muscles CSAs continuously declined throughout adulthood, whereas in the infraspinatus and deltoid reduced CSA was prominent from midlife onwards. In the teres minor, CSA was unchanged with age. Most importantly, age-associated patterns were highly similar between subjects without RC tear and those with RC-tears. This suggests that extensive RC muscle atrophy during aging could contribute to RC pathology. We compared muscle pathology between torn infraspinatus and non-torn teres minor and the deltoid in two patients with a massive RC-tear. In the torn infraspinatus we found pronounced fatty droplets, an increase in extracellular collagen-1, a loss of myosin heavy chain-1 expression in myofibers and an increase in Pax7-positive cells. However, the adjacent intact teres minor and deltoid exhibited healthy muscle features. This suggests that satellite cells and the extracellular matrix may contribute to extensive muscle fibrosis in torn RC. We suggest that torn RC muscles display hallmarks of muscle aging whereas the teres minor could represent an aging

  3. Mitochondrial damage-associated molecular patterns and vascular function†

    Science.gov (United States)

    Wenceslau, Camilla Ferreira; McCarthy, Cameron G.; Szasz, Theodora; Spitler, Kathryn; Goulopoulou, Styliani; Webb, R. Clinton

    2014-01-01

    Immune system activation occurs not only due to foreign stimuli, but also due to endogenous molecules. As such, endogenous molecules that are released into the circulation due to cell death and/or injury alarm the immune system that something has disturbed homeostasis and a response is needed. Collectively, these molecules are known as damage-associated molecular patterns (DAMPs). Mitochondrial DAMPs (mtDAMPs) are potent immunological activators due to the bacterial ancestry of mitochondria. Mitochondrial DAMPs are recognized by specific pattern recognition receptors of the innate immune system, some of which are expressed in the cardiovascular system. Cell death leads to release of mtDAMPs that may induce vascular changes by mechanisms that are currently not well understood. This review will focus on recently published evidence linking mtDAMPs and immune system activation to vascular dysfunction and cardiovascular disease. PMID:24569027

  4. Microbe-associated molecular pattern (MAMP) signatures, synergy, size and charge

    DEFF Research Database (Denmark)

    Aslam, Shazia N.; Erbs, Gitte; Morrissey, Kate L.

    2009-01-01

    Triggering of defences by microbes has mainly been investigated using single elicitors or microbe-associated molecular patterns (MAMPs), but MAMPs are released in planta as complex mixtures together with endogenous oligogalacturonan (OGA) elicitor. We investigated the early responses in Arabidops...

  5. Gender Difference on the Association between Dietary Patterns and Obesity in Chinese Middle-Aged and Elderly Populations.

    Science.gov (United States)

    Yuan, Ya-Qun; Li, Fan; Meng, Pai; You, Jie; Wu, Min; Li, Shu-Guang; Chen, Bo

    2016-07-23

    Dietary patterns are linked to obesity, but the gender difference in the association between dietary patterns and obesity remains unclear. We explored this gender difference in a middle-aged and elderly populations in Shanghai. Residents (n = 2046; aged ≥45 years; 968 men and 1078 women) who participated in the Shanghai Food Consumption Survey were studied. Factor analysis of data from four periods of 24-h dietary recalls (across 2012-2014) identified dietary patterns. Height, body weight, and waist circumference were measured to calculate the body mass index. A log binominal model examined the association between dietary patterns and obesity, stratified by gender. Four dietary patterns were identified for both genders: rice staple, wheat staple, snacks, and prudent patterns. The rice staple pattern was associated positively with abdominal obesity in men (prevalence ratio (PR) = 1.358; 95% confidence interval (CI) 1.132-1.639; p = 0.001), but was associated negatively with general obesity in women (PR = 0.745; 95% CI: 0.673-0.807; p = 0.031). Men in the highest quartile of the wheat staple pattern had significantly greater risk of central obesity (PR = 1.331; 95% CI: 1.094-1.627; p = 0.005). There may be gender differences in the association between dietary patterns and obesity in middle-aged and elderly populations in Shanghai, China.

  6. the current susceptibility pattern of methicillin resistant ...

    African Journals Online (AJOL)

    DJFLEX

    RESISTANT STAPHYLOCOCCUS AUREUS TO ANTIBIOTICS IN. PORT HARCOURT ... pneumonia, urinary tract infections, wound sepsis, septic arthritis, osteomyelitis and .... in a neonatal and pediatric intensive care unit. Peditric-Med. Clin.

  7. Clostridium difficile Infections: A Global Overview of Drug Sensitivity and Resistance Mechanisms

    Directory of Open Access Journals (Sweden)

    Saeed S. Banawas

    2018-01-01

    Full Text Available Clostridium difficile (C. difficile is the most prevalent causative pathogen of healthcare-associated diarrhea. Notably, over the past 10 years, the number of Clostridium difficile outbreaks has increased with the rate of morbidity and mortality. The occurrence and spread of C. difficile strains that are resistant to multiple antimicrobial drugs complicate prevention as well as potential treatment options. Most C. difficile isolates are still susceptible to metronidazole and vancomycin. Incidences of C. difficile resistance to other antimicrobial drugs have also been reported. Most of the antibiotics correlated with C. difficile infection (CDI, such as ampicillin, amoxicillin, cephalosporins, clindamycin, and fluoroquinolones, continue to be associated with the highest risk for CDI. Still, the detailed mechanism of resistance to metronidazole or vancomycin is not clear. Alternation in the target sites of the antibiotics is the main mechanism of erythromycin, fluoroquinolone, and rifamycin resistance in C. difficile. In this review, different antimicrobial agents are discussed and C. difficile resistance patterns and their mechanism of survival are summarized.

  8. Antituberculosis drug resistance patterns in adults with tuberculous meningitis

    DEFF Research Database (Denmark)

    Senbayrak, Seniha; Ozkutuk, Nuri; Erdem, Hakan

    2015-01-01

    BACKGROUND: Tuberculous meningitis (TBM) caused by Mycobacterium tuberculosis resistant to antituberculosis drugs is an increasingly common clinical problem. This study aimed to evaluate drug resistance profiles of TBM isolates in adult patients in nine European countries involving 32 centers...

  9. Identification of Group G Streptococcal Isolates from Companion Animals in Japan and Their Antimicrobial Resistance Patterns.

    Science.gov (United States)

    Tsuyuki, Yuzo; Kurita, Goro; Murata, Yoshiteru; Goto, Mieko; Takahashi, Takashi

    2017-07-24

    In this study, we conducted a species-level identification of group G streptococcal (GGS) isolates from companion animals in Japan and analyzed antimicrobial resistance (AMR) patterns. Strains were isolated from sterile and non-sterile specimens collected from 72 animals with clinical signs or symptoms in April-May, 2015. We identified the strain by 16S rRNA sequencing, mass spectrometry (MS), and an automated method based on their biochemical properties. Antimicrobial susceptibility was determined using the broth microdilution method and E-test. AMR determinants (erm(A), erm(B), mef(A), tet(M), tet(O), tet(K), tet(L), and tet(S)) in corresponding resistant isolates were amplified by PCR. The 16S rRNA sequencing identified the GGS species as Streptococcus canis (n = 68), Streptococcus dysgalactiae subsp. equisimilis (n = 3), and S. dysgalactiae subsp. dysgalactiae (n = 1). However, there were discrepancies between the sequencing data and both the MS and automated identification data. MS and the automated biochemical technique identified 18 and 37 of the 68 sequencing-identified S. canis strains, respectively. The AMR rates were 20.8% for tetracycline and 5.6% for clarithromycin, with minimum inhibitory concentrations (MIC) 50 -MIC 90 of 2-64 and ≤ 0.12-0.25μg/mL, respectively. AMR genotyping showed single or combined genotypes: erm(B) or tet(M)-tet(O)-tet(S). Our findings show the unique characteristics of GGS isolates from companion animals in Japan in terms of species-level identification and AMR patterns.

  10. Identification of dietary patterns associated with obesity in a nationally representative survey of Canadian adults: application of a priori, hybrid, and simplified dietary pattern techniques.

    Science.gov (United States)

    Jessri, Mahsa; Wolfinger, Russell D; Lou, Wendy Y; L'Abbé, Mary R

    2017-03-01

    Background: Analyzing the effects of dietary patterns is an important approach for examining the complex role of nutrition in the etiology of obesity and chronic diseases. Objectives: The objectives of this study were to characterize the dietary patterns of Canadians with the use of a priori, hybrid, and simplified dietary pattern techniques, and to compare the associations of these patterns with obesity risk in individuals with and without chronic diseases (unhealthy and healthy obesity). Design: Dietary recalls from 11,748 participants (≥18 y of age) in the cross-sectional, nationally representative Canadian Community Health Survey 2.2 were used. A priori dietary pattern was characterized with the use of the previously validated 2015 Dietary Guidelines for Americans Adherence Index (DGAI). Weighted partial least squares (hybrid method) was used to derive an energy-dense (ED), high-fat (HF), low-fiber density (LFD) dietary pattern with the use of 38 food groups. The associations of derived dietary patterns with disease outcomes were then tested with the use of multinomial logistic regression. Results: An ED, HF, and LFD dietary pattern had high positive loadings for fast foods, carbonated drinks, and refined grains, and high negative loadings for whole fruits and vegetables (≥|0.17|). Food groups with a high loading were summed to form a simplified dietary pattern score. Moving from the first (healthiest) to the fourth (least healthy) quartiles of the ED, HF, and LFD pattern and the simplified dietary pattern scores was associated with increasingly elevated ORs for unhealthy obesity, with individuals in quartile 4 having an OR of 2.57 (95% CI: 1.75, 3.76) and 2.73 (95% CI: 1.88, 3.98), respectively ( P -trend obesity ( P -trend dietary patterns with healthy obesity and unhealthy nonobesity were weaker, albeit significant. Conclusions: Consuming an ED, HF, and LFD dietary pattern and lack of adherence to the recommendations of the 2015 DGAI were associated with

  11. High Dietary Magnesium Intake Is Associated with Low Insulin Resistance in the Newfoundland Population

    Science.gov (United States)

    Shea, Jennifer; Wadden, Danny; Gulliver, Wayne; Randell, Edward; Vasdev, Sudesh; Sun, Guang

    2013-01-01

    Background Magnesium plays a role in glucose and insulin homeostasis and evidence suggests that magnesium intake is associated with insulin resistance (IR). However, data is inconsistent and most studies have not adequately controlled for critical confounding factors. Objective The study investigated the association between magnesium intake and IR in normal-weight (NW), overweight (OW) and obese (OB) along with pre- and post- menopausal women. Design A total of 2295 subjects (590 men and 1705 women) were recruited from the CODING study. Dietary magnesium intake was computed from the Willett Food Frequency Questionnaire (FFQ). Adiposity (NW, OW and OB) was classified by body fat percentage (%BF) measured by Dual-energy X-ray absorptiometry according to the Bray criteria. Multiple regression analyses were used to test adiposity-specific associations of dietary magnesium intake on insulin resistance adjusting for caloric intake, physical activity, medication use and menopausal status. Results Subjects with the highest intakes of dietary magnesium had the lowest levels of circulating insulin, HOMA-IR, and HOMA-ß and subjects with the lowest intake of dietary magnesium had the highest levels of these measures, suggesting a dose effect. Multiple regression analysis revealed a strong inverse association between dietary magnesium with IR. In addition, adiposity and menopausal status were found to be critical factors revealing that the association between dietary magnesium and IR was stronger in OW and OB along with Pre-menopausal women. Conclusion The results of this study indicate that higher dietary magnesium intake is strongly associated with the attenuation of insulin resistance and is more beneficial for overweight and obese individuals in the general population and pre-menopausal women. Moreover, the inverse correlation between insulin resistance and dietary magnesium intake is stronger when adjusting for %BF than BMI. PMID:23472169

  12. Comparative genomics and association mapping approaches for blast resistant genes in finger millet using SSRs.

    Science.gov (United States)

    Babu, B Kalyana; Dinesh, Pandey; Agrawal, Pawan K; Sood, S; Chandrashekara, C; Bhatt, Jagadish C; Kumar, Anil

    2014-01-01

    The major limiting factor for production and productivity of finger millet crop is blast disease caused by Magnaporthe grisea. Since, the genome sequence information available in finger millet crop is scarce, comparative genomics plays a very important role in identification of genes/QTLs linked to the blast resistance genes using SSR markers. In the present study, a total of 58 genic SSRs were developed for use in genetic analysis of a global collection of 190 finger millet genotypes. The 58 SSRs yielded ninety five scorable alleles and the polymorphism information content varied from 0.186 to 0.677 at an average of 0.385. The gene diversity was in the range of 0.208 to 0.726 with an average of 0.487. Association mapping for blast resistance was done using 104 SSR markers which identified four QTLs for finger blast and one QTL for neck blast resistance. The genomic marker RM262 and genic marker FMBLEST32 were linked to finger blast disease at a P value of 0.007 and explained phenotypic variance (R²) of 10% and 8% respectively. The genomic marker UGEP81 was associated to finger blast at a P value of 0.009 and explained 7.5% of R². The QTLs for neck blast was associated with the genomic SSR marker UGEP18 at a P value of 0.01, which explained 11% of R². Three QTLs for blast resistance were found common by using both GLM and MLM approaches. The resistant alleles were found to be present mostly in the exotic genotypes. Among the genotypes of NW Himalayan region of India, VHC3997, VHC3996 and VHC3930 were found highly resistant, which may be effectively used as parents for developing blast resistant cultivars in the NW Himalayan region of India. The markers linked to the QTLs for blast resistance in the present study can be further used for cloning of the full length gene, fine mapping and their further use in the marker assisted breeding programmes for introgression of blast resistant alleles into locally adapted cultivars.

  13. Diurnal patterns and associations among salivary cortisol, DHEA and alpha-amylase in older adults.

    Science.gov (United States)

    Wilcox, Rand R; Granger, Douglas A; Szanton, Sarah; Clark, Florence

    2014-04-22

    Cortisol and dehydroepiandrosterone (DHEA) are considered to be valuable markers of the hypothalamus-pituitary-adrenal (HPA) axis, while salivary alpha-amylase (sAA) reflects the autonomic nervous system. Past studies have found certain diurnal patterns among these biomarkers, with some studies reporting results that differ from others. Also, some past studies have found an association among these three biomarkers while other studies have not. This study investigates these patterns and associations in older adults by taking advantage of modern statistical methods for dealing with non-normality, outliers and curvature. Basic characteristics of the data are reported as well, which are relevant to understanding the nature of any patterns and associations. Boxplots were used to check on the skewness and presence of outliers, including the impact of using simple transformations for dealing with non-normality. Diurnal patterns were investigated using recent advances aimed at comparing medians. When studying associations, the initial step was to check for curvature using a non-parametric regression estimator. Based on the resulting fit, a robust regression estimator was used that is designed to deal with skewed distributions and outliers. Boxplots indicated highly skewed distributions with outliers. Simple transformations (such as taking logs) did not deal with this issue in an effective manner. Consequently, diurnal patterns were investigated using medians and found to be consistent with some previous studies but not others. A positive association between awakening cortisol levels and DHEA was found when DHEA is relatively low; otherwise no association was found. The nature of the association between cortisol and DHEA was found to change during the course of the day. Upon awakening, cortisol was found to have no association with sAA when DHEA levels are relatively low, but otherwise there is a negative association. DHEA was found to have a positive association with s

  14. Association between male pattern baldness and prostate disease: A meta-analysis.

    Science.gov (United States)

    Jin, Tao; Wu, Tao; Luo, Zhumei; Duan, Xi; Deng, Shi; Tang, Yin

    2018-02-01

    Male pattern baldness (MPB) has been associated with an increased risk of prostate cancer (PC) as well as benign prostatic hyperplasia (BPH). We performed a meta-analysis to quantitatively determine the level of risk of PC and BPH in individuals with baldness. A systematic literature search was conducted using several databases. We calculated pooled odds ratios (OR) and 95% CIs. In total, 17 studies comprising 68,448 participants were eligible for the meta-analysis and showed that MPB is associated with an increased risk of aggressive PC (OR = 1.59; 95% CI: 1.36-1.86; Pbaldness and PC (OR = 1.18; 95% CI: 1.05-1.32; P = 0.006). No statistically significant association between vertex, frontal plus vertex hair loss pattern, and BPH were identified. MPB is associated with an increased risk of PC and BPH. Despite our findings, further studies, preferably prospective cohort studies, are required to better elucidate these relationships and to advance knowledge in this field. Copyright © 2018 Elsevier Inc. All rights reserved.

  15. Association between dietary patterns and mental disorders in pregnant women in Southern Brazil

    Directory of Open Access Journals (Sweden)

    Jéssica T.A. Paskulin

    2017-03-01

    Full Text Available Objective: To evaluate the association between dietary patterns and mental disorders among pregnant women in southern Brazil. Methods: Cross-sectional study with 712 pregnant women recruited from the Study of Food Intake and Eating Behaviors in Pregnancy (ECCAGe. Food intake assessment was performed using the Food Frequency Questionnaire. Dietary patterns were identified by cluster analysis. The Primary Care Evaluation of Mental Disorders (PRIME-MD was used to evaluate participants’ mental health. Poisson regression models with robust variance were fitted to estimate prevalence ratios (PR. Results: In the adjusted models, there was a high prevalence of major depressive disorder among women with low fruit intake (43%, PR 1.43, 95%CI 1.04-1.95 and high sweets and sugars intake (91%, PR 1.91, 95%CI 1.19-3.07. Women with a common-Brazilian dietary pattern had higher prevalence of major depressive disorder compared to those with a varied consumption pattern (PR 1.43, 95%CI 1.01-2.02. Low intake of beans was significantly associated with generalized anxiety disorder (PR 1.40, 95%CI 1.01-1.93. Conclusions: Low consumption of fruits and beans and intake of the common-Brazilian dietary pattern during pregnancy were associated with higher prevalence of mental disorders. These results reinforce the importance of an adequate dietary intake to ensure better mental health in pregnancy.

  16. Macular detachment associated with an optic pit: optical coherence tomography patterns and surgical outcomes.

    Science.gov (United States)

    Skaat, Alon; Moroz, Iris; Moisseiev, Joseph

    2013-01-01

    To describe the different optical coherence tomography (OCT) patterns in macular detachment associated with an optic disc pit and their long-term evolution following vitrectomy.
 The data of 5 patients (9-43 years of age) with unilateral macular detachment associated with an optic disc pit, who had at least 1 year of follow-up, were compiled. Pars plana vitrectomy combined with gas tamponade was performed as the primary procedure in all patients. The OCT scans, best-corrected visual acuity (BCVA), and anatomic outcomes were documented.
 Two main OCT patterns were identified: a multilayer schisis pattern and a serous detachment pattern. Patients with multilayer schisis pattern were older and demonstrated worse mean preoperative (20/160) and postoperative (20/50) BCVA compared to serous detachment pattern patients (20/30 and 20/20, respectively). An average of 2.3 procedures per patient was needed in the multilayer schisis pattern compared to just one procedure in the serous detachment pattern. In 3 patients, additional pneumatic retinopexy was performed with full resolution of the subretinal fluid achieved.
 Two distinct OCT patterns were observed in eyes with macular detachments with an optic pit, with different clinical features and prognoses. Excellent final visual acuity was obtained in all eyes, including those that required several surgical procedures.

  17. Association between dietary pattern scores and the prevalence of colorectal adenoma considering population subgroups.

    Science.gov (United States)

    Haslam, Alyson; Wagner Robb, Sara; Hébert, James R; Huang, Hanwen; Ebell, Mark H

    2018-04-01

    The purpose of the current study is to examine the dietary patterns in a diverse cohort of individuals and to see if the identified dietary patterns predict the prevalence of adenoma in a cross-sectional study. Factor analysis was used to derive both sex- and population subgroup-specific dietary patterns among participants in the screening arm of the Prostate, Lung, Colorectal, and Ovarian Cancer Screening Trial. Logistic regression was used to assess associations between identified factor scores and colorectal adenoma (CRA) in sex-specific subgroups. Three diet patterns were observed in this cohort: 'Fruits and vegetables', 'Western' and 'Sweet and salty'. Foods that loaded on each factor were similar between the racial subgroups. In men, being in the highest quintile of 'Western' dietary scores was associated with higher odds of any (adjusted odds ratio (aOR) = 1.21; 95% confidence interval (CI) = 1.03-1.42), advanced (aOR = 1.32; 95% CI = 1.07-1.63) or multiple (>1; aOR = 1.51; 95% CI = 1.17-1.94) adenoma, compared to those in the lowest quintile. These results were most notably seen in Caucasian men. In women, having a 'Fruits and vegetable' score in the highest quintile was associated with lower odds of multiple adenoma (>1; aOR = 0.53; 95% CI = 0.28-1.00). Of the three dietary factors, the 'Western' diet pattern was most strongly associated with prevalent CRA in Caucasian men. Further research is needed to examine the association between dietary factor scores and adenomas in the proximal colon, where there are larger racial disparities in prevalence. © 2017 Dietitians Association of Australia.

  18. Different Bleeding Patterns with the Use of Levonorgestrel Intrauterine System: Are They Associated with Changes in Uterine Artery Blood Flow?

    Directory of Open Access Journals (Sweden)

    Carlo Bastianelli

    2014-01-01

    Full Text Available Objective. Evaluate if different bleeding patterns associated with the use of the levonorgestrel intrauterine system (LNG-IUS are associated with different uterine and endometrial vascularization patterns, as evidenced by ultrasound power Doppler analysis. Methodology. A longitudinal study, with each subject acting as its own control was conducted between January 2010 and December 2012. Healthy volunteers with a history of heavy but cyclic and regular menstrual cycles were enrolled in the study. Ultrasonographic examination was performed before and after six months of LNG-IUS placement: uterine volume, endometrial thickness, and subendometrial and myometrial Doppler blood flow patterns have been evaluated. Results. A total of 32 women were enrolled out of 186 initially screened. At six months of follow-up, all subjects showed a reduction in menstrual blood loss; for analysis, they were retrospectively divided into 3 groups: normal cycling women (Group I, amenorrheic women (Group II, and women with prolonged bleedings (Group III. Intergroup analysis documented a statistically significant difference in endometrial thickness among the three groups; in addition, mean pulsatility index (PI and resistance index (RI in the spiral arteries were significantly lower in Group I and Group III compared to Group II. This difference persisted also when comparing—within subjects of Group III—mean PI and RI mean values before and after insertion. Conclusions. The LNG-IUS not only altered endometrial thickness, but—in women with prolonged bleedings—also significantly changed uterine artery blood flow. Further studies are needed to confirm these results and enable gynecologists to properly counsel women, improving initial continuation rates.

  19. Dietary Patterns during Pregnancy Are Associated with Risk of Gestational Diabetes Mellitus.

    Science.gov (United States)

    Shin, Dayeon; Lee, Kyung Won; Song, Won O

    2015-11-12

    Maternal dietary patterns before and during pregnancy play important roles in the development of gestational diabetes mellitus (GDM). We aimed to identify dietary patterns during pregnancy that are associated with GDM risk in pregnant U.S. women. From a 24 h dietary recall of 253 pregnant women (16-41 years) included in the National Health and Nutrition Examination Survey (NHANES) 2003-2012, food items were aggregated into 28 food groups based on Food Patterns Equivalents Database. Three dietary patterns were identified by reduced rank regression with responses including prepregnancy body mass index (BMI), dietary fiber, and ratio of poly- and monounsaturated fatty acids to saturated fatty acid: "high refined grains, fats, oils and fruit juice", "high nuts, seeds, fat and soybean; low milk and cheese", and "high added sugar and organ meats; low fruits, vegetables and seafood". GDM was diagnosed using fasting plasma glucose levels ≥5.1 mmol/L for gestation education, family poverty income ratio, marital status, prepregnancy BMI, gestational weight gain, energy intake, physical activity, and log-transformed C-reactive protein (CRP). All statistical analyses accounted for the appropriate survey design and sample weights of the NHANES. Of 249 pregnant women, 34 pregnant women (14%) had GDM. Multivariable AOR (95% CIs) of GDM for comparisons between the highest vs. lowest tertiles were 4.9 (1.4-17.0) for "high refined grains, fats, oils and fruit juice" pattern, 7.5 (1.8-32.3) for "high nuts, seeds, fat and soybean; low milk and cheese" pattern, and 22.3 (3.9-127.4) for "high added sugar and organ meats; low fruits, vegetables and seafood" pattern after controlling for maternal sociodemographic variables, prepregnancy BMI, gestational weight gain, energy intake and log-transformed CRP. These findings suggest that dietary patterns during pregnancy are associated with risk of GDM after controlling for potential confounders. The observed connection between a high

  20. Soft lithography of ceramic patterns

    NARCIS (Netherlands)

    Göbel, Ole; Nedelcu, M.; Steiner, U.

    2007-01-01

    Polymer-based precursor solutions are patterned using a soft-lithographic patterning technique to yield sub-micrometer-sized ceramic patterns. By using a polymer-metal-nitrate solution as a lithographic resist, we demonstrate a micromolding procedure using a simple rubber stamp that yields a