WorldWideScience

Sample records for resistance gentamicin resistance

  1. Correlation between apramycin and gentamicin use in pigs and an increasing reservoir of gentamicin-resistant Escherichia coli

    DEFF Research Database (Denmark)

    Jensen, Vibeke Frøkjær; Jakobsen, Lotte; Emborg, Hanne-Dorthe

    2006-01-01

    Objectives: Resistance towards the veterinary drug apramycin can be caused by the aac(3)-IV gene, which also confers resistance towards the important human antibiotic gentamicin. The objectives of this study were to investigate the temporal occurrence and the genetic background of apramycin...... and gentamicin resistance in Escherichia coli strains from pork, healthy pigs and diagnostic submissions from pigs and to investigate potential relationships to the use of apramycin and gentamicin at farm and national levels. Methods: Data on Danish E. coli isolates from healthy pigs (indicator bacteria......), diagnostic submissions from pigs (clinical isolates) and pork were obtained from the national surveillance of antimicrobial resistance and from routine diagnostic laboratories. Antimicrobial consumption data were obtained from the Danish Medicines Agency (1997-2000) and from the VetStat database (2001...

  2. Incidence of high-level gentamicin resistance in enterococci at ...

    African Journals Online (AJOL)

    gentamicin resistance (HLGR) in enterococcal isolates at. Johannesburg Hospital. Design. Survey of laboratory isolates. Setting. Academic hospitals. Bacterial strains. Consecutive samples of enterococcaf isolates. ... that for severe infections, particularly endocarditis and meningitis, bactericidal antimicrobial therapy is ...

  3. Novel pathways for ameliorating the fitness cost of gentamicin resistant small colony variants

    DEFF Research Database (Denmark)

    Vestergaard, Martin; Paulander, Wilhelm Erik Axel; Leng, Bingfeng

    2016-01-01

    Small colony variants (SCVs) of the human pathogen Staphylococcus aureus are associated with persistent infections. Phenotypically, SCVs are characterized by slow growth and they can arise upon interruption of the electron transport chain that consequently reduce membrane potential and thereby...... limit uptake of aminoglycosides (e.g., gentamicin). In this study, we have examined the pathways by which the fitness cost of SCVs can be ameliorated. Five gentamicin resistant SCVs derived from S. aureus JE2 were independently selected on agar plates supplemented with gentamicin. The SCVs carried...... mutations in the menaquinone and hemin biosynthesis pathways, which caused a significant reduction in exponential growth rates relative to wild type (WT; 0.59-0.72) and reduced membrane potentials. Fifty independent lineages of the low-fitness, resistant mutants were serially passaged for up to 500...

  4. Determinants of virulence and of resistance to ceftiofur, gentamicin, and spectinomycin in clinical Escherichia coli from broiler chickens in Québec, Canada.

    Science.gov (United States)

    Chalmers, Gabhan; Cormier, Ashley C; Nadeau, Marie; Côté, Geneviève; Reid-Smith, Richard J; Boerlin, Patrick

    2017-05-01

    Antimicrobials are frequently used for the prevention of avian colibacillosis, with gentamicin used for this purpose in Québec until 2003. Ceftiofur was also used similarly, but voluntarily withdrawn in 2005 due to increasing resistance. Spectinomycin-lincomycin was employed as a replacement, but ceftiofur use was partially reinstated in 2007 until its definitive ban by the poultry industry in 2014. Gentamicin resistance frequency increased during the past decade in clinical Escherichia coli isolates from broiler chickens in Québec, despite this antimicrobial no longer being used. Since this increase coincided with the use of spectinomycin-lincomycin, co-selection of gentamicin resistance through spectinomycin was suspected. Therefore, relationships between spectinomycin, gentamicin, and ceftiofur resistance determinants were investigated here. The distribution of 13 avian pathogenic E. coli virulence-associated genes and their association with spectinomycin resistance were also assessed. A sample of 586 E. coli isolates from chickens with colibacillosis in Québec between 2009 and 2013 was used. The major genes identified for resistance to ceftiofur, gentamicin, and spectinomycin were bla CMY , aac(3)-VI, and aadA, respectively. The aadA and aac(3)-VI genes were strongly associated and shown to be located on a modified class 1 integron. The aadA and bla CMY genes were negatively associated, but when present together, were generally located on the same plasmids. No statistical positive association was observed between aadA and virulence genes, and virulence genes were only rarely detected on plasmids encoding spectinomycin resistance. Thus, the use of spectinomycin-lincomycin may likely select for gentamicin but not ceftiofur resistance, nor for any of the virulence-associated genes investigated. Copyright © 2017 Elsevier B.V. All rights reserved.

  5. In vitro synergy of baicalein and gentamicin against vancomycin-resistant Enterococcus.

    Science.gov (United States)

    Chang, Ping Chin; Li, Hua Yu; Tang, Hung Jen; Liu, Jien Wei; Wang, Jhi Joung; Chuang, Yin Ching

    2007-02-01

    Little is known about the possible synergism of baicalein, a bioactive flavone of Scutellariae radix (a Chinese herb), when used in conjunction with other antimicrobial agents against vancomycin-resistant Enterococcus (VRE). This in vitro study examined the possible synergism of the combination of baicalein and gentamicin against VRE. Minimal inhibitory concentrations (MICs) of baicalein as well as gentamicin were determined against 39 clinical isolates of VRE by the agar dilution method. Synergistic activities were determined using the checkerboard method based on the fractional inhibitory concentration indices and also the time-kill method. Further time-kill studies were conducted with these two agents against one randomly chosen clinical isolate, VRE-096. Minimal concentrations inhibiting 50% (MIC(50)) and 90% (MIC(90)) of isolates for baicalein and gentamicin were all >256 microg/mL. Synergism between baicalein and gentamicin was demonstrated against four clinical isolates of VRE (VRE-70, VRE-940, VRE-096 and VRE-721). When approximately 5 x 10(5) colony-forming units/mL of VRE-096 was incubated with both baicalein at a concentration of 32 microg/mL (1/8 x MIC) and gentamicin at a concentration of 128 microg/mL (1/2 x MIC), there was an inhibitory effect against VRE that persisted for 48 h. At 48 h, the combination of baicalein and gentamicin at these respective concentrations resulted in a reduction of growth by approximately 2 orders of magnitude compared to that for the starting inoculum and by 3 orders of magnitude compared to that for baicalein alone, the more active single agent. This study demonstrated that baicalein and gentamicin can act synergistically in inhibiting VRE in vitro.

  6. Radiation sensitivity of Salmonella isolates relative to resistance to ampicillin, chloramphenicol or gentamicin

    Science.gov (United States)

    Niemira, Brendan A.; Lonczynski, Kelly A.; Sommers, Christopher H.

    2006-09-01

    Antibiotic resistance of inoculated bacteria is a commonly used selective marker. Bacteria resistant to the antibiotic nalidixic acid have been shown to have an increased sensitivity to irradiation. The purpose of this research was to screen a collection of Salmonella isolates for antibiotic resistance and determine the association, if any, of antibiotic resistance with radiation sensitivity. Twenty-four clinical isolates of Salmonella were screened for native resistance to multiple concentrations of ampicillin (Amp), chloramphenicol (Chl), or gentamicin (Gm). Test concentrations were chosen based on established clinical minimum inhibitory concentration (MIC) levels, and isolates were classified as either sensitive or resistant based on their ability to grow at or above the MIC. Salmonella cultures were grown overnight at (37 °C) in antibiotic-amended tryptic soy broth (TSB). Native resistance to Gm was observed with each of the 24 isolates (100%). Eight isolates (33%) were shown to be resistant to Amp, while seven isolates (29%) were shown to be resistant to Chl. In separate experiments, Salmonella cultures were grown overnight (37 °C) in TSB, centrifuged, and the cell pellets were re-suspended in phosphate buffer. The samples were then gamma irradiated at doses up to 1.0 kGy. The D10 values (the ionizing radiation dose required to reduce the viable number of microorganisms by 90%) were determined for the 24 isolates and they ranged from 0.181 to 0.359 kGy. No correlation was found between the D10 value of the isolate and its sensitivity or resistance to each of the three antibiotics. Resistance to Amp or Chl is suggested as appropriate resistance marker for Salmonella test strains to be used in studies of irradiation.

  7. Radiation sensitivity of Salmonella isolates relative to resistance to ampicillin, chloramphenicol or gentamicin

    International Nuclear Information System (INIS)

    Niemira, Brendan A.; Lonczynski, Kelly A.; Sommers, Christopher H.

    2006-01-01

    Antibiotic resistance of inoculated bacteria is a commonly used selective marker. Bacteria resistant to the antibiotic nalidixic acid have been shown to have an increased sensitivity to irradiation. The purpose of this research was to screen a collection of Salmonella isolates for antibiotic resistance and determine the association, if any, of antibiotic resistance with radiation sensitivity. Twenty-four clinical isolates of Salmonella were screened for native resistance to multiple concentrations of ampicillin (Amp), chloramphenicol (Chl), or gentamicin (Gm). Test concentrations were chosen based on established clinical minimum inhibitory concentration (MIC) levels, and isolates were classified as either sensitive or resistant based on their ability to grow at or above the MIC. Salmonella cultures were grown overnight at (37 o C) in antibiotic-amended tryptic soy broth (TSB). Native resistance to Gm was observed with each of the 24 isolates (100%). Eight isolates (33%) were shown to be resistant to Amp, while seven isolates (29%) were shown to be resistant to Chl. In separate experiments, Salmonella cultures were grown overnight (37 o C) in TSB, centrifuged, and the cell pellets were re-suspended in phosphate buffer. The samples were then gamma irradiated at doses up to 1.0 kGy. The D 10 values (the ionizing radiation dose required to reduce the viable number of microorganisms by 90%) were determined for the 24 isolates and they ranged from 0.181 to 0.359 kGy. No correlation was found between the D 10 value of the isolate and its sensitivity or resistance to each of the three antibiotics. Resistance to Amp or Chl is suggested as appropriate resistance marker for Salmonella test strains to be used in studies of irradiation

  8. Multidrug Resistance in Infants and Children

    Directory of Open Access Journals (Sweden)

    Gian Maria Pacifici

    2018-02-01

    Full Text Available Bacterial infections may cause disease and death. Infants and children are often subject to bacterial infections. Antimicrobials kill bacteria protecting the infected patients andreducing the risk of morbidity and mortality caused by bacteria. The antibiotics may lose their antibacterial activity when they become resistant to a bacteria. The resistance to different antibiotics in a bacteria is named multidrug-resistance. Gram-negative bacilli, especially Escherichia coli, Klebsiella, Enterobacter, Salmonella, Shigella, Pseudomonas, Streptococcus, and Haemophilus influenzae type b, may become resistant. Amikacin ampicillin, amoxicillin, amoxiclav, cefuroxime, cefotaxime, ceftazidime, cefoperazone tetracycline, chloramphenicol, ciprofloxacin, and gentamicin may cause bacterial-resistance. Resistance to bacteria for several pathogens makes complications in the treatment of infections caused by them. Salmonella strains may become resistant to ampicillin, cephalotin, ceftriaxone, gentamicin, amikacin, trimethoprim-sulfamethoxazole, chloramphenicol, and tetracycline. Shigella strains may become resistant to ampicillin, cotrimoxazole, chloramphenicol, and streptomycin. Multidrug-resistance of Streptococcus pneumoniae may be due to β-lactams, macrolides, tetracycline, chloramphenicol, and trimethoprim-sulfamethoxazole. Multidrug-resistance of Pseudomonas aeruginosa may become resistant to β-lactams, chloramphenicol, trimethoprim-sulfamethoxazole, and tetracycline. The antibacterial activity against Haemophilus strains may occur with ampicillin, sulbactam-ampicillin, trimethoprim-sulfamethoxazole, gentamicin, chloramphenicol, and ciprofloxacin. Multidrug-resistance of the Klebsiella species may be due with ampicillin, cefotaxime, cefuroxime, co-amxilav, mezlocillin, chloramphenicol, gentamicin, and ceftazidime. Multidrug-resistance of Escherichia coli may be caused by ampicillin, cotrimoxazole, chloramphenicol, ceftriaxone, and ceftazidime. Vibrio

  9. Occurrence of airborne vancomycin- and gentamicin-resistant bacteria in various hospital wards in Isfahan, Iran.

    Science.gov (United States)

    Mirhoseini, Seyed Hamed; Nikaeen, Mahnaz; Khanahmad, Hossein; Hassanzadeh, Akbar

    2016-01-01

    Airborne transmission of pathogenic resistant bacteria is well recognized as an important route for the acquisition of a wide range of nosocomial infections in hospitals. The aim of this study was to determine the prevalence of airborne vancomycin and gentamicin (VM and GM) resistant bacteria in different wards of four educational hospitals. A total of 64 air samples were collected from operating theater (OT), Intensive Care Unit (ICU), surgery ward, and internal medicine ward of four educational hospitals in Isfahan, Iran. Airborne culturable bacteria were collected using all glass impingers. Samples were analyzed for the detection of VM- and GM-resistant bacteria. The average level of bacteria ranged from 99 to 1079 CFU/m(3). The highest level of airborne bacteria was observed in hospital 4 (628 CFU/m(3)) and the highest average concentration of GM- and VM-resistant airborne bacteria were found in hospital 3 (22 CFU/m(3)). The mean concentration of airborne bacteria was the lowest in OT wards and GM- and VM-resistant airborne bacteria were not detected in this ward of hospitals. The highest prevalence of antibiotic-resistant airborne bacteria was observed in ICU ward. There was a statistically significant difference for the prevalence of VM-resistant bacteria between hospital wards (P = 0.012). Our finding showed that the relatively high prevalence of VM- and GM-resistant airborne bacteria in ICUs could be a great concern from the point of view of patients' health. These results confirm the necessity of application of effective control measures which significantly decrease the exposure of high-risk patients to potentially airborne nosocomial infections.

  10. Antimicrobial-Resistant Enterococci in Animals and Meat: A Human Health Hazard?

    DEFF Research Database (Denmark)

    Hammerum, A.M.; Lester, C.H.; Heuer, Ole Eske

    2010-01-01

    clones predominate in certain animal species. This may suggest that antimicrobial-resistant E. faecium from animals could be regarded less hazardous to humans; however, due to their excellent ability to acquire and transfer resistance genes, E. faecium of animal origin may act as donors of antimicrobial...... resistance genes for other more virulent enterococci. For E. faecalis, the situation appears different, as similar clones of, for example, vancomycin-and gentamicin-resistant E. faecalis have been obtained from animals and from human patients. Continuous surveillance of antimicrobial resistance...... of avoparcin, gentamicin, and virginiamycin for growth promotion and therapy in food animals has lead to the emergence of vancomycin-and gentamicin-resistant enterococci and quinupristin/dalfopristin-resistant E. faecium in animals and meat. This implies a potential risk for transfer of resistance genes...

  11. Characterisation, dissemination and persistence of gentamicin resistant Escherichia coli from a Danish university hospital to the waste water environment

    DEFF Research Database (Denmark)

    Jakobsen, Lotte; Sandvang, Dorthe; Hansen, Lars H

    2008-01-01

    The aim of the study was to investigate the potential spread of gentamicin resistant (GEN(R)) Escherichia coli isolates or GEN(R) determinants from a Danish university hospital to the waste water environment. Waste water samples were collected monthly from the outlets of the hospital bed wards...... (aac(3)-II, aac(3)-IV, ant(2'')-I, armA), phenotypic resistance pattern, and virulence genes (hlyA, chuA, sfaS, fogG, malX, traT, iutA, fyuA, iroN, cnf1) to investigate if the hospital and waste water could be reservoirs of antimicrobial resistance and virulence. The ability for GEN(R) determinants......, indicating a potential spread of the gene from patient isolates to waste water isolates. Regardless of origin, most isolates exhibited multi-resistance and contained several virulence genes. In conclusion, our study showed a possible spread of aac(3)-II from the hospital to the waste water. Most of the GEN...

  12. Antimicrobial resistance 1979-2009 at Karolinska hospital, Sweden: normalized resistance interpretation during a 30-year follow-up on Staphylococcus aureus and Escherichia coli resistance development.

    Science.gov (United States)

    Kronvall, Göran

    2010-09-01

    To utilize a material of inhibition zone diameter measurements from disc diffusion susceptibility tests between 1979 and 2009, an objective setting of epidemiological breakpoints was necessary because of methodological changes. Normalized resistance interpretation (NRI) met this need and was applied to zone diameter histograms for Staphylococcus aureus and Escherichia coli isolates. The results confirmed a slow resistance development as seen in Northern countries. The S. aureus resistance levels for erythromycin, clindamycin and fusidic acid in 2009 were 3.2%, 1.8% and 1.4% with denominator correction. A rise in resistance to four antimicrobials in 1983 was probably because of a spread of resistant Methicillin Susceptible Staphylococcus Aureus (MSSA). For E. coli, the denominator-corrected resistance levels in 2009 were 27% for ampicillin, around 3% for third-generation cephalosporins, 0.1% for imipenem, 2.5% for gentamicin, 19% for trimethoprim, 4.5% for co-trimoxazole, 1.2% for nitrofurantoin and 9% for ciprofloxacin. The temporal trends showed a rise in fluoroquinolone resistance from 1993, a parallel increase in gentamicin resistance, a substantial increase in trimethoprim and sulphonamide resistance in spite of decreased consumption, and a steady rise in ampicillin resistance from a constant level before 1989. A short review of global resistance surveillance studies is included.

  13. Investigation and Treatment of Fusidic Acid Resistance Among Methicillin-Resistant Staphylococcal Isolates from Egypt.

    Science.gov (United States)

    Abouelfetouh, Alaa; Kassem, Mervat; Naguib, Marwa; El-Nakeeb, Moustafa

    2017-01-01

    Methicillin resistance among staphylococci isolated from patients in northern Egypt has escalated alarmingly in the past decade. Data about the prevalence of fusidic acid (FA) resistance in Egyptian clinical isolates are limited. This work investigates the prevalence and mechanism of FA resistance among 81 methicillin-resistant staphylococcal isolates from major hospitals of Alexandria, Egypt. Some combinations for treating infections due to resistant isolates were studied. Twenty-six isolates (32.1%) were FA resistant (minimum inhibitory concentrations [MICs] = 2-1,024 μg/ml), and fusB and fusC genes coding for FA resistance were detected in 30.77% and 34.62% of the FA-resistant strains, respectively. One highly resistant isolate, S502 (MIC = 1,024 μg/ml), possessed both genes. Plasmid curing resulted in fusB loss and MIC decrease by 16-64 folds. Conjugation caused acquisition of FA resistance among susceptible isolates. Serial passages in subinhibitory FA concentrations produced mutants with increased MIC by 4-32 folds. The combination of FA with rifampin, gentamicin, or ampicillin/sulbactam, in a subinhibitory concentration, was synergistic against the isolates, including serial passage mutants, decreasing number of survivors by an average of 2-4 logs. A relatively moderate rate of FA resistance was detected in Alexandria hospitals. Combination therapy with gentamicin, rifampin, or ampicillin/sulbactam is crucial to preserve the effectiveness of FA.

  14. Gentamicin in Pseudomonas aeruginosa

    African Journals Online (AJOL)

    infections by Ps. aeruginosa is contra-indicated. In our study only 2,3 % of the Ps. aeruginosa strains were resistant to gentamicin (MIC 25 Ilg/ml). In view of the synergy reported for combined gentamicin and carbeni- cillin therapy," a combination of these two drugs may be recommended in the treatment of all Pseudomonas.

  15. Multiple antibiotics resistant among environmental isolates of ...

    African Journals Online (AJOL)

    In this study we assessed the functionality of integrons, melanin-like pigment and biofilm formation on multidrug resistance among environmental isolates of Stenotrophomonas maltophilia. Marked resistances were noted against aztreonam (60%), cefepime (68%), ceftazidime (77%), ciprofloxacin (72%), gentamicin (65%), ...

  16. Determination of antibiotic resistance of lactic acid bacteria isolated from traditional Turkish fermented dairy products.

    Science.gov (United States)

    Erginkaya, Z; Turhan, E U; Tatlı, D

    2018-01-01

    In this study, the antibiotic resistance (AR) of lactic acid bacteria (LAB) isolated from traditional Turkish fermented dairy products was investigated. Yogurt, white cheese, tulum cheese, cokelek, camız cream and kefir as dairy products were collected from various supermarkets. Lactic acid bacteria such as Lactobacillus spp., Streptococcus spp., Bifidobacterium spp., and Enterecoccus spp. were isolated from these dairy products. Lactobacillus spp. were resistant to vancomycin (58%), erythromycin (10.8%), tetracycline (4.3%), gentamicin (28%), and ciprofloxacin (26%). Streptococcus spp. were resistant to vancomycin (40%), erythromycin (10%), chloramphenicol (10%), gentamicin (20%), and ciprofloxacin (30%). Bifidobacterium spp. were resistant to vancomycin (60%), E 15 (6.6%), gentamicin (20%), and ciprofloxacin (33%). Enterococcus spp. were resistant to vancomycin (100%), erythromycin (100%), rifampin (100%), and ciprofloxacin (100%). As a result, LAB islated from dairy products in this study showed mostly resistance to vancomycin.

  17. Efficacy of Tigecycline Alone and in Combination with Gentamicin in the Treatment of Experimental Endocarditis Due to Linezolid-Resistant Enterococcus faecium

    OpenAIRE

    Pontikis, Konstantinos; Pefanis, Angelos; Tsaganos, Thomas; Tzepi, Ira-Maria; Carrer, Dionyssia-Pinelopi; Giamarellou, Helen

    2013-01-01

    We evaluated the efficacy of tigecycline in a rabbit model of experimental endocarditis caused by a linezolid-resistant clinical strain of Enterococcus faecium. Tigecycline-treated animals had a 2.8-log10-CFU/g reduction in microbial counts in excised vegetations compared with controls. Addition of gentamicin caused a further arithmetical reduction in colony counts. The therapeutic effect was sustained 5 days after completion of treatment, as shown by relapse studies performed in treatment gr...

  18. Efficacy of tigecycline alone and in combination with gentamicin in the treatment of experimental endocarditis due to linezolid-resistant Enterococcus faecium.

    Science.gov (United States)

    Pontikis, Konstantinos; Pefanis, Angelos; Tsaganos, Thomas; Tzepi, Ira-Maria; Carrer, Dionyssia-Pinelopi; Giamarellou, Helen

    2013-07-01

    We evaluated the efficacy of tigecycline in a rabbit model of experimental endocarditis caused by a linezolid-resistant clinical strain of Enterococcus faecium. Tigecycline-treated animals had a 2.8-log10-CFU/g reduction in microbial counts in excised vegetations compared with controls. Addition of gentamicin caused a further arithmetical reduction in colony counts. The therapeutic effect was sustained 5 days after completion of treatment, as shown by relapse studies performed in treatment groups.

  19. Characterization of resistance to tetracyclines and aminoglycosides of sheep mastitis pathogens: study of the effect of gene content on resistance.

    Science.gov (United States)

    Lollai, S A; Ziccheddu, M; Duprè, I; Piras, D

    2016-10-01

    Mastitis causes economic losses and antimicrobials are frequently used for mastitis treatment. Antimicrobial resistance surveys are still rare in the ovine field and characterization of strains is important in order to acquire information about resistance and for optimization of therapy. Bacterial pathogens recovered in milk samples from mastitis-affected ewes were characterized for resistance to tetracyclines and aminoglycosides, members of which are frequently used antimicrobials in small ruminants. A total of 185 strains of staphylococci, streptococci, and enterococci, common mastitis pathogens, were tested for minimal inhibitory concentration (MIC) to tetracycline, doxycycline, minocycline, gentamicin, kanamycin, streptomycin, and for resistance genes by PCR. Effects of different tet genes arrangements on MICs were also investigated. Staphylococci expressed the lowest MIC for tetracycline and tet(K) was the most common gene recovered; tet(M) and tet(O) were also found. Gene content was shown to influence the tetracycline MIC values. Enterococci and streptococci showed higher MICs to tetracyclines and nonsusceptible strains always harboured at least one ribosomal protection gene (MIC above 8 μg ml(-1) ). Streptococci often harboured two or more tet determinants. As regards the resistance to aminoglycosides, staphylococci showed the lowest gentamicin and kanamycin median MIC along with streptomycin high level resistant (HLR) strains (MIC >1024 μg ml(-1) ) all harbouring str gene. The resistance determinant aac(6')-Ie-aph(2″)-Ia was present in few strains. Streptococci were basically nonsusceptible to aminoglycosides but neither HLR isolates nor resistance genes were detected. Enterococci revealed the highest MICs for gentamicin; two str harbouring isolates were shown to be HLR to streptomycin. Evidence was obtained for the circulation of antimicrobial-resistant strains and genes in sheep dairy farming. Tetracycline MIC of 64 μg ml(-1) and high

  20. Antibiotic resistance and resistance genes in Escherichia coli from poultry farms, southwest Nigeria

    DEFF Research Database (Denmark)

    Adelowo, Olawale O.; Fagade, Obasola E.; Agersø, Yvonne

    2014-01-01

    %, ampicillin 36%, spectinomycin 28%, nalidixic acid 25%, chloramphenicol 22%, neomycin 14%, gentamicin 8%, amoxicillin-clavulanate, ceftiofur, cefotaxime, colistin, florfenicol and apramycin 0%. Resistance genes found among the isolates include bla-TEM (85%), sul2 (67%), sul3 (17%), aadA (65%), strA (70%), str...

  1. Genome-wide identification of antimicrobial intrinsic resistance determinants in Staphylococcus aureus

    Directory of Open Access Journals (Sweden)

    Martin Vestergaard

    2016-12-01

    Full Text Available The emergence of antimicrobial resistance severely threatens our ability to treat bacterial infections. While acquired resistance has received considerable attention, relatively little is known of intrinsic resistance that allows bacteria to naturally withstand antimicrobials. Gene products that confer intrinsic resistance to antimicrobial agents may be explored for alternative antimicrobial therapies, by potentiating the efficacy of existing antimicrobials. In this study, we identified the intrinsic resistome to a broad spectrum of antimicrobials in the human pathogen, Staphylococcus aureus. We screened the Nebraska Transposon Mutant Library of 1920 single-gene inactivations in S. aureus strain JE2, for increased susceptibility to the anti-staphylococcal antimicrobials (ciprofloxacin, oxacillin, linezolid, fosfomycin, daptomycin, mupirocin, vancomycin and gentamicin. 68 mutants were confirmed by E-test to display at least two-fold increased susceptibility to one or more antimicrobial agents. The majority of the identified genes have not previously been associated with antimicrobial susceptibility in S. aureus. For example, inactivation of genes encoding for subunits of the ATP synthase, atpA, atpB, atpG and atpH, reduced the minimum inhibitory concentration (MIC of gentamicin 16-fold. To elucidate the potential of the screen, we examined treatment efficacy in the Galleria mellonella infection model. Gentamicin efficacy was significantly improved, when treating larvae infected with the atpA mutant compared to wild type cells with gentamicin at a clinically relevant concentration. Our results demonstrate that many gene products contribute to the intrinsic antimicrobial resistance of S. aureus. Knowledge of these intrinsic resistance determinants provides alternative targets for compounds that may potentiate the efficacy of existing antimicrobial agents against this important pathogen.

  2. Surveillance for vancomycin resistant enterococci in a tertiary ...

    African Journals Online (AJOL)

    Three were resistant to Ampicillin and nine to Ciprofloxacin but all were susceptible to Linezolid. High-level resistance to Gentamicin was found in four VRE isolates. Conclusion: There is a low prevalence of VRE in Lagos University Teaching Hospital which may be spreading among patients in affected wards. Keywords: ...

  3. Structural Analysis of the Tobramycin and Gentamicin Clinical Resistome Reveals Limitations for Next-generation Aminoglycoside Design.

    Science.gov (United States)

    Bassenden, Angelia V; Rodionov, Dmitry; Shi, Kun; Berghuis, Albert M

    2016-05-20

    Widespread use and misuse of antibiotics has allowed for the selection of resistant bacteria capable of avoiding the effects of antibiotics. The primary mechanism for resistance to aminoglycosides, a broad-spectrum class of antibiotics, is through covalent enzymatic modification of the drug, waning their bactericidal effect. Tobramycin and gentamicin are two medically important aminoglycosides targeted by several different resistance factors, including aminoglycoside 2″-nucleotidyltransferase [ANT(2″)], the primary cause of aminoglycoside resistance in North America. We describe here two crystal structures of ANT(2″), each in complex with AMPCPP, Mn(2+), and either tobramycin or gentamicin. Together these structures outline ANT(2″)'s specificity for clinically used substrates. Importantly, these structures complete our structural knowledge for the set of enzymes that most frequently confer clinically observed resistance to tobramycin and gentamicin. Comparison of tobramycin and gentamicin binding to enzymes in this resistome, as well as to the intended target, the bacterial ribosome, reveals surprising diversity in observed drug-target interactions. Analysis of the diverse binding modes informs that there are limited opportunities for developing aminoglycoside analogs capable of evading resistance.

  4. Intramammary treatment with gentamicin in lactating cows with clinical and subclinical mastitis

    Directory of Open Access Journals (Sweden)

    Thamires Martins

    2016-04-01

    Full Text Available Abstract The study evaluated the microbiological profile of milk samples collected before and after mastitis treatment with gentamicin and investigated biofilms production and antimicrobial susceptibility of Staphylococcus spp. isolated. The presence of gentamicin residues in milk after the recommended withdrawal period was also evaluated. Antimicrobial residues were analyzed by Delvotest® SP NT over a period of 12 days beginning after 24 hours the last gentamicin application. Some of Staphylococcus spp. isolates were biofilm producers (19.05%. Staphylococcus spp. showed high levels of resistance to neomycin (16.95%, penicillin G (10.17%, and ampicillin (10.17%. Multidrug resistance to all antibiotics tested was observed in 1.69% of the Staphylococcus spp. isolates. Among 1440 mammary quarter milk samples 24.95% presented gentamicin residues after the withdrawal period. Gentamicin residues were also detected in 3.8% of samples from calibrated glass recorder jar (n=383 4.1 days after treatment. The indiscriminate use of antibiotics may lead to the emergence of multidrug-resistant strains as well as increasing the risk of presence of residues of these drugs in milk. These problems affect the milk quality and may become a public health problem.

  5. Drug Resistance Mechanisms of Mycoplasma pneumoniae to Macrolide Antibiotics

    Directory of Open Access Journals (Sweden)

    Xijie Liu

    2014-01-01

    Full Text Available Throat swabs from children with suspected Mycoplasma pneumoniae (M. pneumoniae infection were cultured for the presence of M. pneumoniae and its species specificity using the 16S rRNA gene. Seventy-six M. pneumoniae strains isolated from 580 swabs showed that 70 were erythromycin resistant with minimum inhibitory concentrations (MIC around 32–512 mg/L. Fifty M. pneumoniae strains (46 resistant, 4 sensitive were tested for sensitivity to tetracycline, ciprofloxacin, and gentamicin. Tetracycline and ciprofloxacin had some effect, and gentamicin had an effect on the majority of M. pneumoniae strains. Domains II and V of the 23S rRNA gene and the ribosomal protein L4 and L22 genes, both of which are considered to be associated with macrolide resistance, were sequenced and the sequences were compared with the corresponding sequences in M129 registered with NCBI and the FH strain. The 70 resistant strains all showed a 2063 or 2064 site mutation in domain V of the 23S rRNA but no mutations in domain II. Site mutations of L4 or L22 can be observed in either resistant or sensitive strains, although it is not known whether this is associated with drug resistance.

  6. Antibiotic resistance of canine Staphylococcus intermedius group (SIG)--practical implications.

    Science.gov (United States)

    Chrobak, D; Kizerwetter-Swida, M; Rzewuska, M; Binek, M

    2011-01-01

    A total of 221 SIG strains were isolated from clinical samples of canine origin submitted to the Diagnostic Laboratory of the Division of Bacteriology and Molecular Biology at the Warsaw University of Life Sciences in Warsaw during the period 2006-2010. The aim of the study was to investigate the frequency of prevalence of methicillin-resistant SIG strains and to determine the MIC values of cephalotin, amoxicillin/clavulanic acid, ciprofloxacin, clindamycin, gentamicin, chloramphenicol, mupirocin for a collection of randomly selected 79 strains belonging to Staphylococcus intermedius group (SIG), including 23 mecA-positive and 56 mecA-negative strains. All isolates were identified as belonging to SIG based on their phenotypic properties and PCR amplification of S. intermedius-specific fragment of the 16S rRNA gene. The mecA gene was detected in 26 (12%) of 221 SIG strains. All tested mecA-negative SIG strains were susceptible to amoxicillin/clavulanic acid and cephalotin. One of the 56 mecA-negative SIG strains was resistant to ciprofloxacin, six (11%) to gentamicin. It was found that sixteen (29%) of 56 mecA-negative SIG strains were resistant to clindamycin. Most of the mecA-positive SIG strains were resistant to ciprofloxacin (96%), clindamycin (96%), and gentamicin (96%). Only one MRSIG strain was resistant to chloramphenicol. All examined mecA-positive SIG strains were found to be susceptible to mupirocin. Our results imply that staphylococcal multidrug resistance has become more prevalent, which could lead to difficulties in effective treatment. With some resistant strains the only therapeutic possibility are antimicrobial agents important in human medicine. New regulations for veterinary medicine concerning appropriate therapy of infections caused by multidrug-resistat staphylococci are needed.

  7. Sublethal Triclosan Exposure Decreases Susceptibility to Gentamicin and Other Aminoglycosides in Listeria monocytogenes

    DEFF Research Database (Denmark)

    Christensen, Ellen Gerd; Gram, Lone; Kastbjerg, Vicky Gaedt

    2011-01-01

    (containing quaternary ammonium compound) in four consecutive cultures did not alter the frequency of antibiotic-tolerant isolates, as determined by plating on 2x the MIC for a range of antibiotics. Exposure of eight strains of L. monocytogenes to 1 and 4 µg/ml triclosan did not alter triclosan sensitivity...... resistance remained at a high level also after five subcultures without triclosan or gentamicin. Aminoglycoside resistance can be caused by mutations in the target site, the 16S rRNA gene. However, such mutations were not detected in the N53-1-resistant isolates. A combination of gentamicin and ampicillin...

  8. A biodegradable gentamicin-hydroxyapatite-coating for infection prophylaxis in cementless hip prostheses

    Directory of Open Access Journals (Sweden)

    D Neut

    2015-01-01

    Full Text Available A degradable, poly (lactic-co-glycolic acid (PLGA, gentamicin-loaded prophylactic coating for hydroxyapatite (HA-coated cementless hip prostheses is developed with similar antibacterial efficacy as offered by gentamicin-loaded cements for fixing traditional, cemented prostheses in bone. We describe the development pathway, from in vitro investigation of antibiotic release and antibacterial properties of this PLGA-gentamicin-HA-coating in different in vitro models to an evaluation of its efficacy in preventing implant-related infection in rabbits. Bone in-growth in the absence and presence of the coating was investigated in a canine model. The PLGA-gentamicin-HA-coating showed high-burst release, with antibacterial efficacy in agar-assays completely disappearing after 4 days, minimising risk of inducing antibiotic resistance. Gentamicin-sensitive and gentamicin-resistant staphylococci were killed by the antibiotic-loaded coating, in a simulated prosthesis-related interfacial gap. PLGA-gentamicin-HA-coatings prevented growth of bioluminescent staphylococci around a miniature-stem mounted in bacterially contaminated agar, as observed using bio-optical imaging. PLGA-gentamicin-HA-coated pins inserted in bacterially contaminated medullary canals in rabbits caused a statistically significant reduction in infection rates compared to HA-coated pins without gentamicin. Bone ingrowth to PLGA-gentamicin-HA-coated pins, in condylar defects of Beagle dogs was not impaired by the presence of the degradable, gentamicin-loaded coating. In conclusion, the PLGA-gentamicin-HA-coating constitutes an effective strategy for infection prophylaxis in cementless prostheses.

  9. Rapid emergence of ciprofloxacin-resistant enterobacteriaceae containing multiple gentamicin resistance-associated integrons in a Dutch hospital

    NARCIS (Netherlands)

    C. van der Schee (Cindy); N. Lemmens-den Toom (Nicole); M.C. Vos (Margreet); P.J. Lugtenburg (Pieternella); S. de Marie (Siem); H.A. Verbrugh (Henri); B. Löwenberg (Bob); W.H.F. Goessens (Wil); A.F. van Belkum (Alex); J.J. Cornelissen (Jan); H.P. Endtz (Hubert)

    2001-01-01

    textabstractIn a hematology unit in the Netherlands, the incidence of ciprofloxacin-resistant Enterobacter cloacae and Escherichia coli increased from from 1996 to 1999. Clonal spread of single genotypes of both ciprofloxacin-resistant E. coli and Enterobacter cloacae from

  10. Antibacterial susceptibility patterns and cross-resistance of methicillin resistant and sensitive Staphyloccus aureus isolated from the hospitalized patients in Shiraz, Iran

    Directory of Open Access Journals (Sweden)

    Aziz Japoni

    2010-10-01

    Full Text Available Nosocomial infections caused by methicillin-resistant staphylococci (MRSA pose a serious problem in many countries. This study aimed to determine the antibacterial susceptibility patterns of methicillin sensitive and resistant Staphylococcus aureus isolates from the hospitalized patients. Totally 356 isolates of Staphylococcus aureus (S. aureus including 200, 137 and 19 corresponding to MSSA, MRSA, and intermediate MRSA strains, respectively were isolated. Antibacterial susceptibility patterns of the isolates to 14 antibiotics were examined using Kirby-Bauer method. MICs of 15 antibiotics to 156 MRSA isolates were determined by E test method. Cross-resistances of MRSA isolates (137+19 to the other tested antibiotics were also determined. S.aureus with high frequencies were isolated from the blood, sputum and deep wound samples. All of 200 MSSA isolates were sensitive to oxacillin, vancomycin, tecoplanin, rifampin, linezolid, quinupristin/dalfopristin, mupirocin and fusidic acid. A gradient of reduced susceptibility of MSSA to cephalexin, co-trimoxazole, ciprofloxacin, clindamycin, tetracycline, erythromycin and gentamicin were evident. MRSA isolates were sensitive to vancomycin, tecoplanin, linezolid, quinupristin/dalfopristin, mupirocin and fusidic acid, while reduced susceptibility of them to rifampin, co-trimoxazole, clindamycin, cephalexin, tetracycline, ciprofloxacin, erythromycin and gentamicin were observed. MRSA isolates exhibited a high range of cross-resistance to the eight tested antibiotics. Overall, co-trimoxazole, ciprofloxacin, clindamycin, tetracycline, erythromycin and gentamicin showed low activity against MSSA and MRSA isolates which may indicate they are not suitable to be used in clinical practices. To preserve the effectiveness of antibiotics, rational prescription and concomitant application of preventive measures against the spread of MRSA are recommended.

  11. Induction of bacterial antibiotic resistance by mutagenic halogenated nitrogenous disinfection byproducts

    International Nuclear Information System (INIS)

    Lv, Lu; Yu, Xin; Xu, Qian; Ye, Chengsong

    2015-01-01

    Halogenated nitrogenous disinfection byproducts (N-DBPs) raise concerns regarding their mutagenicity and carcinogenicity threatening public health. However, environmental consequence of their mutagenicity has received less attention. In this study, the effect of halogenated N-DBPs on bacterial antibiotic resistance (BAR) was investigated. After exposure to bromoacetamide (BAcAm), trichloroacetonitrile (TCAN) or tribromonitromethane (TBNM), the resistance of Pseudomonas aeruginosa PAO1 to both individual and multiple antibiotics (ciprofloxacin, gentamicin, polymyxin B, rifampin, tetracycline, ciprofloxacin + gentamicin and ciprofloxacin + tetracycline) was increased, which was predominantly ascribed to the overexpression of efflux pumps. The mechanism of this effect was demonstrated to be mutagenesis through sequencing and analyzing antibiotic resistance genes. The same induction phenomena also appeared in Escherichia coli, suggesting this effect may be universal to waterborne pathogens. Therefore, more attention should be given to halogenated N-DBPs, as they could increase not only genotoxicological risks but also epidemiological risks of drinking water. - Highlights: • The halogenated N-DBPs could induce bacterial antibiotic resistance. • Both individual and multiple resistances could be induced. • Efflux mechanism played an important role in the induced antibiotic resistance. • The halogenated N-DBPs induced bacterial antibiotic resistance via mutagenesis. • Effects of N-DBPs on antibiotic resistance may be universal to waterborne pathogens. - Halogenated N-DBPs could increase antibiotic resistance, even multidrug resistance via mutagenesis, contributing to the enrichment of antibiotic resistant bacteria in drinking water

  12. Aminoglycoside resistance among isolates of nosocomial Enterobacteriaceae

    International Nuclear Information System (INIS)

    Botha, P.L.; Elisha, G.; Pratt, K.

    1981-01-01

    Fifty-seven gentamicin-resistant isolates of Enterobacteriaceae, obtained from patients attending hospital, were examined for the production of aminoglycoside-modifying enzymes. Of the 51 strains producing such enzymes, 34 were presumptively plasmid-mediated as indicated by conjugation experiments

  13. Usage of antimicrobials and occurrence of antimicrobial resistance among bacteria from mink

    DEFF Research Database (Denmark)

    Pedersen, Karl; Hammer, Anne Sofie; Sørensen, Charlotte Mark

    2009-01-01

    , whereas resistance to other antimicrobials was rare. All P aeruginosa were sensitive to gentamicin and colistin and sensitive or intermediate to enrofloxacin. whereas most isolates were resistant to all other antimicrobials. All P. multocida and haemolytic streptococci were sensitive to penicillin...

  14. Does antifouling paint select for antibiotic resistance?

    Science.gov (United States)

    Flach, Carl-Fredrik; Pal, Chandan; Svensson, Carl Johan; Kristiansson, Erik; Östman, Marcus; Bengtsson-Palme, Johan; Tysklind, Mats; Larsson, D G Joakim

    2017-07-15

    There is concern that heavy metals and biocides contribute to the development of antibiotic resistance via co-selection. Most antifouling paints contain high amounts of such substances, which risks turning painted ship hulls into highly mobile refuges and breeding grounds for antibiotic-resistant bacteria. The objectives of this study were to start investigate if heavy-metal based antifouling paints can pose a risk for co-selection of antibiotic-resistant bacteria and, if so, identify the underlying genetic basis. Plastic panels with one side painted with copper and zinc-containing antifouling paint were submerged in a Swedish marina and biofilms from both sides of the panels were harvested after 2.5-4weeks. DNA was isolated from the biofilms and subjected to metagenomic sequencing. Biofilm bacteria were cultured on marine agar supplemented with tetracycline, gentamicin, copper sulfate or zinc sulfate. Biofilm communities from painted surfaces displayed lower taxonomic diversity and enrichment of Gammaproteobacteria. Bacteria from these communities showed increased resistance to both heavy metals and tetracycline but not to gentamicin. Significantly higher abundance of metal and biocide resistance genes was observed, whereas mobile antibiotic resistance genes were not enriched in these communities. In contrast, we found an enrichment of chromosomal RND efflux system genes, including such with documented ability to confer decreased susceptibility to both antibiotics and biocides/heavy metals. This was paralleled by increased abundances of integron-associated integrase and ISCR transposase genes. The results show that the heavy metal-based antifouling paint exerts a strong selection pressure on marine bacterial communities and can co-select for certain antibiotic-resistant bacteria, likely by favoring species and strains carrying genes that provide cross-resistance. Although this does not indicate an immediate risk for promotion of mobile antibiotic resistance, the

  15. Resistance pattern of clinical isolates of staphylococcus aureus against five groups of antibiotics

    International Nuclear Information System (INIS)

    Farzana, K.; Hameed, A.

    2006-01-01

    Among the samples received in pathology laboratory, Pakistan institute of Medical Science, Islamabad, 5069 samples had bacterial growth, among these 2580 (51%) samples were Gram-positive cocci and 1688 were Staphylococcus aureus during a period of two years. Out of these Gram-positive cocci 56% were resistant to penicillin group, 27% were resistant to cephalosporin group, 22% were resistant to aminoglycoside group 15% were resistant to quinolone group and 31% were resistant to other antibiotics (cotrimaxazole, erythromycin, aztreonam, vancomycin, nitrofurantion and meropenam). Antibio-grams of Gram-positive cocci were determined against various antibiotics by disc diffusion method. The rate of resistance to most of the antibiotics such as ampicillin, piperacillin, carbenicillin, penicillin, cephradine, cefotaxime, erythromycin, ceclor, ofloxacin, pefloxacin, ciprofloxacin, cotrimexazole (septran), gentamicin, meropenem, ceftazidime, erythromycin, tobramycin, enoxacin was higher when tested against the isolates collected from pus as compared to those from blood and urine. Antibiotic resistant strains were more prevalent in pus samples than other clinical isolates (blood and urine). The randomly selected 155 strains of Staphylococcus aureus when tested against five groups of antibiotics showed resistance rate against ampicillin (92%), cephradine (92%), cephradine (60%), and gentamicin (58%). However intermediate resistance was found in case of vancomicin (38%), in hospitalized and non-hospitalized patients. (author)

  16. Prevalence of multiple drug resistant Streptococcus suis in and around Guwahati, India

    Directory of Open Access Journals (Sweden)

    Mrinalee Devi

    2017-05-01

    Full Text Available Aim: This study was conducted to determine the prevalence and antimicrobial susceptibility of Streptococcus suis and their resistance patterns isolated from both clinically healthy carriers and diseased pigs in and around Guwahati, Assam, India. Materials and Methods: A total of 497 samples were collected during October, 2012, to April, 2014, from clinically healthy (n=67 and diseased (n=230 pigs of varying age and either sex maintained under organized and unorganized farming systems. Samples were processed for isolation and identification of S. suis by biochemical characterization and polymerase chain reaction targeting the housekeeping gene glutamate dehydrogenase. In vitro antimicrobial susceptibility of the recovered isolates against nine antibiotic groups comprising 17 antimicrobial agents was studied by standard method. Results: Of the 497 samples examined, 7 (1.41% isolates were confirmed to be S. suis of which 5 (1.87% and 2 (0.87% were derived from clinically healthy and diseased pigs, respectively. All the isolates were susceptible to gentamicin, amikacin, and erythromycin (100% followed by the penicillin group and enrofloxacin (85.71%, ceftriaxone, doxycycline HCL, ofloxacin and chloramphenicol (71.43%, to kanamycin, clindamycin and co-trimoxazole (42.85%. The isolates showed least susceptibility to cefalexin, tetracycline and streptomycin (28.57%. All the five S. suis isolates from clinically healthy pigs were susceptible to penicillin G, amoxyclav, doxycycline HCl, gentamicin, amikacin and erythromycin, 80.00% isolates susceptible to ampicillin, enrofloxacin and ofloxacin, 60.00% to ceftriaxone, kanamycin and chloramphenicol, 40% to cefalexin, tetracycline, clindamycin and co-trimoxazole, respectively. Only 20.00% isolates were susceptible to streptomycin. Both the isolates recovered from diseased pigs were susceptible to ampicillin, ceftriaxone, gentamicin, amikacin, enrofloxacin, erythromycin, and clindamycin. On the other hand

  17. Antimicrobial Resistance and Resistance Genes in Aerobic Bacteria Isolated from Pork at Slaughter

    DEFF Research Database (Denmark)

    Li, Lili; Olsen, Rikke Heidemann; Ye, Lei

    2016-01-01

    The aim of this study was to investigate the phenotypic and genotypic antimicrobial resistance, integrons, and transferability of resistance markers in 243 aerobic bacteria recovered from pork at slaughter in the People's Republic of China. The organisms belonged to 22 genera of gram-negative bac......The aim of this study was to investigate the phenotypic and genotypic antimicrobial resistance, integrons, and transferability of resistance markers in 243 aerobic bacteria recovered from pork at slaughter in the People's Republic of China. The organisms belonged to 22 genera of gram......-negative bacteria (92.2%) and gram-positive bacteria (7.8%). High levels of resistance were detected to tetracycline, trimethoprim-sulfamethoxazole, and ampicillin (36.2 to 54.3%), and lower levels were detected to nitrofurantoin, cefotaxime, gentamicin, ciprofloxacin, and chloramphenicol (7.8 to 29.2%). Across.......6% of isolates contained class 1 integrons, and one isolate harbored class 2 integrons. Plasmid associated intI1 and androgen receptor– encoding genes were transferred into Escherichia coli J53 and E. coli DH5α by conjugation and transformation experiments, respectively. Our study highlights the importance...

  18. Gentamicin- and Ciprofloxacin-Resistant Enterobacteriaceae in Cattle Farms in Israel: Risk Factors for Carriage and the Effect of Microbiological Methodology on the Measured Prevalence.

    Science.gov (United States)

    Adler, Amos; Sturlesi, Na'ama; Fallach, Noga; Zilberman-Barzilai, Deniz; Hussein, Omar; Blum, Shlomo E; Klement, Eyal; Schwaber, Mitchell J; Carmeli, Yehuda

    2017-07-01

    Our objectives were to establish a methodology for surveillance of ciprofloxacin-resistant Enterobacteriaceae and gentamicin-resistant Enterobacteriaceae (CPRE and GNRE, respectively) in cattle and to study the prevalence and risk factors for carriage of these bacteria in a national survey. This was a point prevalence study conducted from July to October 2013 in Israel. Stool samples were collected from 1,226 cows in 123 sections of 40 farms of all production types. The number of CPRE- and GNRE-positive cows was highest in quarantine stations and fattening farms and was lowest in pasture farms (p 25 months) and highest in calves (<4 months) (p < 0.001). In bivariate analysis, other variables that were significant risk factors for CPRE and GNRE carriage included fewer troughs, crowding, lack of manure cleaning, and recent arrival of new calves. Antimicrobial prophylaxis was given almost exclusively to calves and was associated with a higher prevalence of carriers (p < 0.001). Compared to the use of nonselective media (MacConkey agar alone), the use of selective media (MacConkey agar with 10 μg/ml of ciprofloxacin or 5 μg/ml of gentamicin) increased the sensitivity of screening for CPRE and GNRE by 6.6- and 13.5-fold, respectively. CPRE and GNRE were identified in 609 (49.7%) and 840 (68.5%) samples, respectively. This study provides novel data regarding both the epidemiology of CPRE and GNRE carriage in livestock and the microbiological methodology for their surveillance.

  19. Antibiotic resistance and resistance genes in Escherichia coli from poultry farms, southwest Nigeria.

    Science.gov (United States)

    Adelowo, Olawale O; Fagade, Obasola E; Agersø, Yvonne

    2014-09-12

    This study investigated the mechanisms of resistance in 36 E. coli isolated from waste, litter, soil and water samples collected from poultry farms in Southwestern Nigeria. Minimum inhibitory concentration (MIC) distributions of the isolates were determined using the methods of the Clinical and Laboratory Standard Institute and resistance genes detected by PCR. A total of 30 isolates (94%) showed resistance to more than one antimicrobial. Percentage resistance was: tetracycline 81%, sulphamethoxazole 67%, streptomycin 56%, trimethoprim 47 %, ciprofloxacin 42%, ampicillin 36%, spectinomycin 28%, nalidixic acid 25%, chloramphenicol 22%, neomycin 14%, gentamicin 8%, amoxicillin-clavulanate, ceftiofur, cefotaxime, colistin, florfenicol and apramycin 0%. Resistance genes found among the isolates include bla-TEM (85%), sul2 (67%), sul3 (17%), aadA (65%), strA (70%), strB (61%), catA1 (25%), cmlA1 (13%), tetA (21%) and tetB (17%). Class 1 and 2 integrons were found in five (14%) and six (17%) isolates, respectively, while one isolate was positive for both classes of integrons. Seven out of eight isolates with resistance to ciprofloxacin and MIC ≤ 32 mg/L to nalidixic acid contained qnrS genes. Our findings provided additional evidence that the poultry production environment in Nigeria represents an important reservoir of antibiotic resistance genes such as qnrS that may spread from livestock production farms to human populations via manure and water.

  20. Antibiotics and common antibacterial biocides stimulate horizontal transfer of resistance at low concentrations.

    Science.gov (United States)

    Jutkina, J; Marathe, N P; Flach, C-F; Larsson, D G J

    2018-03-01

    There is a rising concern that antibiotics, and possibly other antimicrobial agents, can promote horizontal transfer of antibiotic resistance genes. For most types of antimicrobials their ability to induce conjugation below minimal inhibitory concentrations (MICs) is still unknown. Our aim was therefore to explore the potential of commonly used antibiotics and antibacterial biocides to induce horizontal transfer of antibiotic resistance. Effects of a wide range of sub-MIC concentrations of the antibiotics cefotaxime, ciprofloxacin, gentamicin, erythromycin, sulfamethoxazole, trimethoprim and the antibacterial biocides chlorhexidine digluconate, hexadecyltrimethylammoniumchloride and triclosan were investigated using a previously optimized culture-based assay with a complex bacterial community as a donor of mobile resistance elements and a traceable Escherichia coli strain as a recipient. Chlorhexidine (24.4μg/L), triclosan (0.1mg/L), gentamicin (0.1mg/L) and sulfamethoxazole (1mg/L) significantly increased the frequencies of transfer of antibiotic resistance whereas similar effects were not observed for any other tested antimicrobial compounds. This corresponds to 200 times below the MIC of the recipient for chlorhexidine, 1/20 of the MIC for triclosan, 1/16 of the MIC for sulfamethoxazole and right below the MIC for gentamicin. To our best knowledge, this is the first study showing that triclosan and chlorhexidine could stimulate the horizontal transfer of antibiotic resistance. Together with recent research showing that tetracycline is a potent inducer of conjugation, our results indicate that several antimicrobials including both common antibiotics and antibacterial biocides at low concentrations could contribute to antibiotic resistance development by facilitating the spread of antibiotic resistance between bacteria. Copyright © 2017 Elsevier B.V. All rights reserved.

  1. Resistência a antimicrobianos de Escherichia coli isolada de dejetos suínos em esterqueiras Antibiotic-resistance of Escherichia coli isolates from stored pig slurry

    Directory of Open Access Journals (Sweden)

    F.F.P. Silva

    2008-06-01

    Full Text Available The antimicrobial resistance of 96 Escherichia coli strains isolated from a stabilization pond system on a pig-breeding farm was evaluated. Strains were tested for their resistance against 14 antimicrobial using the agar diffusion method. E. coli strains showed resistance to tetracycline (82.3%, nalidixic acid (64%, ampicilin (41%, sulfamethoxazole/trimethoprin (36%, sulfonamide (34%, cloranphenicol (274%, ciprofloxacin (19%, cefaclor (16%, streptomicyn (7.3%, neomicyn (1%, amoxacilin/ clavulanic acid (1%, and amikacin (1%. No resistance was observed to gentamicin and tobramycin, and 37.5% of E. coli strains were resistant to four or more antimicrobials. The multiresistance pattern was found in strains isolated during all sampled period. Strains showed a high variability in the antimicrobial resistance pattern.

  2. Synergistic effects of the combination of galangin with gentamicin against methicillin-resistant Staphylococcus aureus.

    Science.gov (United States)

    Lee, Young-Seob; Kang, Ok-Hwa; Choi, Jang-Gi; Oh, You-Chang; Chae, Hee-Sung; Kim, Jong Hak; Park, Hyun; Sohn, Dong Hwan; Wang, Zheng-Tao; Kwon, Dong-Yeul

    2008-06-01

    The antimicrobial killing activity toward methicillin-resistant Staphylococcus aureus (MRSA) has been a serious emerging global issue. New effective antimicrobials and/or new approaches to settle this issue are urgently needed. The oriental herb, Alpinia officinarum, has been used in Korea for several hundreds of years to treat various infectious diseases. As it is well known, one of the active constituents of Alpinia officinarum is galangin. Against the 17 strains, the minimum inhibitory concentrations (MICs) of galangin (GAL) were in the range of 62.5 ~ 125 microg/ml, and the MICs of gentamicin (GEN) ranged from 1.9 microg/ml to 2,000 microg/ml. The fractional inhibitory concentrations (FICs) of GAL, in combination with GEN, against 3 test strains were 0.4, 3.9, and 250 microg/ml, and were all 15.62 microg/ml in GEN. The FIC index showed marked synergism in the value range of 0.19 to 0.25. By determining time-kill curves, also confirmed the low synergism of the GAL and GEN combination against 4 h, 8 h, 12 h, and 24 h cultured MRSA. The time-kill study results indicated a low synergistic effect against 3 test strains. Thus, the mixture of GAL and GEN could lead to the development of new combination antibiotics against MRSA infection.

  3. Resistance patterns of bacterial isolates to antimicrobials from 3 hospitals in the United Arab Emirates

    International Nuclear Information System (INIS)

    AlDhaheri, Ahmed S; AlNiyadi, Mohammed S; AlDhaheri Ahmed D; Bastaki, Salim M

    2009-01-01

    To compare the resistance pattern of common bacterial pathogens to commonly used drugs. Information and statistics of antimicrobial resistance for 1994 and 2005 were collected from the 3 hospital microbiology laboratories in the United Arab Emirates. The resistance patterns of Staphylococcus aureus, Escherichia coli, Klebsiella spp, and Pseudomonas aeruginosa to several front-line drugs were estimated. All laboratories used automatic machines (Vitek 2), which identifies and determines minimum inhibitory concentrations simultaneously. Increased resistance was observed for Staphylococcus aureus, (n=315, 2005) to erythromycin (approximately 6 fold, Al-Ain Hospital only), cloxacillin (Al-Ain Hospital), and gentamicin (more than 3-10 folds in all hospitals). Increased penicillin resistance was not observed. For the common Gram-negative organisms, there was a high resistance to ampicillin, gentamicin, ceftriaxone, ciprofloxacin, and imipenem, which seemed to increase for Escherichia coli, (by 4.2-200%, n=305, 2005); however, there was very little resistance to imipenem (0.4%) in Tawam Hospital. Variable resistance patterns were obtained for Pseudomonas aeruginosa (n=316, 2005) and Klebsiella spp,(n=316, 2005) against aminoglycosides, cephalosporins, ciprofloxacin, and norfloxacin. Overall, there was an obvious increase in resistance of bacteria and the prevalence rate to a number of drugs from 1-120 folds during the 11-year period. (author)

  4. Antibiotics resistance of Helicobacter pylori in children with upper gastrointestinal symptoms in Hangzhou, China.

    Science.gov (United States)

    Shu, Xiaoli; Yin, Guofeng; Liu, Mingnan; Peng, Kerong; Zhao, Hong; Jiang, Mizu

    2018-03-12

    The decreasing eradication rate of Helicobacter pylori is mainly because of the progressive increase in its resistance to antibiotics. Studies on antimicrobial susceptibility of H. pylori in children are limited. This study aimed to investigate the resistance rates and patterns of H. pylori strains isolated from children. Gastric mucosa biopsy samples obtained from children who had undergone upper gastrointestinal endoscopy were cultured for H. pylori, and susceptibility to six antibiotics (clarithromycin, amoxicillin, gentamicin, furazolidone, metronidazole, and levofloxacin) was tested from 2012-2014. A total of 545 H. pylori strains were isolated from 1390 children recruited. The total resistance rates of H. pylori to clarithromycin, metronidazole, and levofloxacin were 20.6%, 68.8%, and 9.0%, respectively. No resistance to amoxicillin, gentamicin, and furazolidone was detected. 56.1% strains were single resistance, 19.6% were resistant to more than one antibiotic, 16.7% for double resistance, and 2.9% for triple resistance in 413 strains against any antibiotic. And the H. pylori resistance rate increased significantly from 2012-2014. There was no significant difference in the resistance rates to clarithromycin, metronidazole, and levofloxacin between different gender, age groups, and patients with peptic ulcer diseases or nonulcer diseases. Antibiotic resistance was indicated in H. pylori strains isolated from children in Hangzhou, and it increased significantly during the 3 years. Our data strongly support current guidelines, which recommend antibiotic susceptibility tests prior to eradication therapy. © 2018 John Wiley & Sons Ltd.

  5. Genome-Wide Identification of Antimicrobial Intrinsic Resistance Determinants in Staphylococcus aureus

    DEFF Research Database (Denmark)

    Vestergaard, Martin; Leng, Bingfeng; Haaber, Jakob

    2016-01-01

    The emergence of antimicrobial resistance severely threatens our ability to treat bacterial infections. While acquired resistance has received considerable attention, relatively little is known of intrinsic resistance that allows bacteria to naturally withstand antimicrobials. Gene products...... that confer intrinsic resistance to antimicrobial agents may be explored for alternative antimicrobial therapies, by potentiating the efficacy of existing antimicrobials. In this study, we identified the intrinsic resistome to a broad spectrum of antimicrobials in the human pathogen, Staphylococcus aureus. We...... with the atpA mutant compared to wild type cells with gentamicin at a clinically relevant concentration. Our results demonstrate that many gene products contribute to the intrinsic antimicrobial resistance of S. aureus. Knowledge of these intrinsic resistance determinants provides alternative targets...

  6. Antimicrobial susceptibility and occurrence of resistance genes among Salmonella enterica serovar Weltevreden from different countries

    DEFF Research Database (Denmark)

    Aarestrup, Frank Møller; Lertworapreecha, M.; Evans, M.C.

    2003-01-01

    and gentamicin. All nine ampicillin-resistant isolates contained a sequence similar to the bla(TEM-1b) gene, one of the eight chloramphenicol-resistant isolates a sequence similar to the catA1 gene, all three neomycin-resistant isolates a sequence similar to the aphA-2 gene, 16 (73%) of the 22 streptomycin...... isolates were examined for susceptibility to antimicrobial agents, and resistant isolates were examined for the presence of selected resistance genes by PCR. Results: Only 48 (9.5%) of the isolates were resistant to one or more of the antimicrobial agents tested. A low frequency of resistance was found...

  7. Antibiotic resistant pattern of methicillin resistant and sensitive Staphylococcus aureus isolated from patients durining 2009-2010, Ahvaz, Iran.

    Directory of Open Access Journals (Sweden)

    N Parhizgari

    2013-12-01

    Full Text Available Abstract Background & aim: Staphylococcus aureus is one of the most important nosocomial infecting agents resistant to commonly used antibiotics. Nowadays, methicillin-resistant S. aureus (MRSA is considered one of the main causes of nosocomial infections. The aim of this study was to identify the antibiotic resistance pattern of methicicllin- resistant and susceptible strains in Ahwaz, Iran. Methods: In the present cross - sectional study, a number of 255 clinically suspected cases of Staphylococcus aureus were collected during a 19 month period. The bacteria were investigated using standard biochemical tests such as catalase, mannitol fermentation, coagulase and Dnase. Sensitive strains were confirmed by disk diffusion method compared to commonly used antibiotics. The collected data were analyzed using descriptive statistical tests. Results: of 255 suspected cases, 180 were confirmed as S.aureus, a total of 59 strains of S. aureus (2/37 percent were resistant to methicillin. Resistance to S. aureus strains resistant to methicillin included: chloramphenicol (3.38%, rifampin (45.76%, norfloxacin (89.83%, gentamicin (89.83%, ciprofloxacin, (91.52%, azithromycin, (88.13%, cotrimoxazole (86.44% and all isolates strains were sensitive to vancomycin and nitrofurantoin. A total of 10 different patterns of antibiotic resistance in methicillin-resistant Staphylococcus aureus strains were identified. Conclusion: Expression of new resistance factor in nosocomial infection is one of the major challenges in treating these infections. This study showed a high prevalence of resistance against some class of antibiotics in MRSA isolated from Imam Khomeini and Golestan hospital of Ahwaz, Iran. Key words: Nosocomial infection, Methicillin Resistant Staphylococcus aureus (MRSA, Antibiotic Resistant Pattern

  8. Species distribution, virulence factors, and antimicrobial resistance of Acinetobacter spp. isolates from dogs and cats: a preliminary study.

    Science.gov (United States)

    Kimura, Yui; Harada, Kazuki; Shimizu, Takae; Sato, Tomomi; Kajino, Akari; Usui, Masaru; Tamura, Yutaka; Tsuyuki, Yuzo; Miyamoto, Tadashi; Ohki, Asami; Watarai, Masahisa

    2018-05-12

    We investigated the prevalence of virulence factors and antimicrobial resistance among 67 Acinetobacter spp. isolates, consisting of 21 Acinetobacter baumannii and 46 non-baumannii Acinetobacter from companion animals. The PCR analysis showed that the most prevalent virulence gene was afa/draBC (29.9%), followed by papC (22.4%) and cvaC (20.9%). Antimicrobial susceptibility testing revealed that resistance to gentamicin (14.9%) and ciprofloxacin (11.9%) was relatively prevalent. Five gentamicin- and/or ciprofloxacin-resistant A. baumannii strains were assigned to ST25, ST149, ST164, ST203, and ST1198. All ciprofloxacin-resistant isolates harbored point mutations in gyrA and/or parC. This is the first preliminary monitoring of animal-origin Acinetobacter spp. in Japan. © 2018 The Societies and John Wiley & Sons Australia, Ltd.

  9. Sponge Microbiota are a Reservoir of Functional Antibiotic Resistance Genes

    DEFF Research Database (Denmark)

    Versluis, Dennis; de Evgrafov, Mari Cristina Rodriguez; Sommer, Morten Otto Alexander

    2016-01-01

    examined sponges as a reservoir of antibiotic resistance. Sponges could be important in this respect because they often contain diverse microbial communities that have the capacity to produce bioactive metabolites. Here, we applied functional metagenomics to study the presence and diversity of functional...... resistance genes in the sponges Aplysina aerophoba, Petrosia ficiformis, and Corticium candelabrum. We obtained 37 insert sequences facilitating resistance to D-cycloserine (n = 6), gentamicin (n = 1), amikacin (n = 7), trimethoprim (n = 17), chloramphenicol (n = 1), rifampicin (n = 2) and ampicillin (n = 3......-resistance-conferring β-lactamase was identified in the genus Pseudovibrio with 41% global amino acid identity to the closest β-lactamase with demonstrated functionality, and subsequently classified into a new family termed PSV. Taken together, our results show that sponge microbiota host diverse and novel resistance...

  10. Ampicillin Resistance and Outcome Differences in Acute Antepartum Pyelonephritis

    Directory of Open Access Journals (Sweden)

    Laura G. Greer

    2008-01-01

    Full Text Available Objective. To measure the incidence of ampicillin-resistant uropathogens in acute antepartum pyelonephritis and to determine if patients with resistant organisms had different clinical outcomes. Study design. This was a secondary analysis of a prospective cohort study of pregnant women admitted with pyelonephritis, diagnosed by standard clinical and laboratory criteria. All patients received ampicillin and gentamicin. Results. We identified 440 cases of acute pyelonephritis. Seventy-two percent (316 cases had urine cultures with identification of organism and antibiotic sensitivities. Fifty-one percent of uropathogens were ampicillin resistant. The patients with ampicillin-resistant organisms were more likely to be older and multiparous. There were no significant differences in hospital course (length of stay, days of antibiotics, ECU admission, or readmission. Patients with ampicillin-resistant organisms did not have higher complication rates (anemia, renal dysfunction, respiratory insufficiency, or preterm birth. Conclusion. A majority of uropathogens were ampicillin resistant, but no differences in outcomes were observed in these patients.

  11. Antibiotic resistance profiling and phenotyping of Aeromonas species isolated from aquatic sources

    Directory of Open Access Journals (Sweden)

    Olumide A. Odeyemi

    2017-01-01

    Full Text Available This study aimed to investigate antibiotics resistance pattern and phenotyping of Aeromonas species isolated from different aquatic sources in Melaka, Malaysia. A total of 53 Aeromonas species were isolated from the following sources: sediment (n = 13, bivalve (n = 10, sea cucumber (n = 16 and sea water (n = 14 and resistance to 12 antibiotics – Tetracycline (30 μg, Kanamycin (30 μg, Oxytetracycline (30 μg, Ampicillin (10 μg, Streptomycin (10 μg, Gentamicin (10 μg, Sulphamethoxazole (25 μg, Nalixidic acid (30 μg, Trimethoprim (1.25 μg, Novobiocin (5 μg, Penicilin (10 μg and Chloramphenicol (10 μg was tested. The results obtained from this study reveal multi drug resistance pattern among the isolates. All the isolates were completely resistant to Ampicillin, Novobiocin, Sulphamethoxazole and Trimethoprim, respectively but susceptible to Tetracycline (100%, Kanamycin (5.7%, Gentamicin (5.7% and Oxytetracycline (24.5%. Antibiotics phenotyping of the bacteria revealed 21 different phenotypes among the isolates.

  12. RAW TROPICAL OYSTERS AS VEHICLES FOR MULTIDRUG-RESISTANT Vibrio parahaemolyticus

    Directory of Open Access Journals (Sweden)

    Renata Albuquerque COSTA

    2015-06-01

    Full Text Available The following study aimed to determine the antimicrobial susceptibility profile of Vibrio parahaemolyticus strains from fresh and frozen oysters Crassostrea rhizophorae sold in Fortaleza-Brazil. An antibiogram was performed on 87 isolates using nine antibiotics: gentamicin (Gen 10 µg, ampicillin (Amp 10 µg, penicillin G (Pen 10U, ciprofloxacin (Cip 5 µg, chloramphenicol (Chl 30 µg, nalidixic acid (Nal 30 µg, tetracycline (Tet 30 µg, vancomycin (Van 30 µg and erythromycin (Ery 15 µg. All strains were resistant to at least one antibiotic, and 85 (97.7% were multi-resistant, with predominance of the Van+ Pen+Amp resistance profile (n = 46. Plasmid resistance to Pen, Amp and Ery was detected. Thus, the risk that raw oyster consumption poses to the health of consumers is highlighted, due to the fact that these bivalves may host antibacterial-resistant microorganisms.

  13. Empiric antibiotic therapy in urinary tract infection in patients with risk factors for antibiotic resistance in a German emergency department.

    Science.gov (United States)

    Bischoff, Sebastian; Walter, Thomas; Gerigk, Marlis; Ebert, Matthias; Vogelmann, Roger

    2018-01-26

    The aim of this study was to identify clinical risk factors for antimicrobial resistances and multidrug resistance (MDR) in urinary tract infections (UTI) in an emergency department in order to improve empirical therapy. UTI cases from an emergency department (ED) during January 2013 and June 2015 were analyzed. Differences between patients with and without resistances towards Ciprofloxacin, Piperacillin with Tazobactam (Pip/taz), Gentamicin, Cefuroxime, Cefpodoxime and Ceftazidime were analyzed with Fisher's exact tests. Results were used to identify risk factors with logistic regression modelling. Susceptibility rates were analyzed in relation to risk factors. One hundred thirty-seven of four hundred sixty-nine patients who met the criteria of UTI had a positive urine culture. An MDR pathogen was found in 36.5% of these. Overall susceptibility was less than 85% for standard antimicrobial agents. Logistic regression identified residence in nursing homes, male gender, hospitalization within the last 30 days, renal transplantation, antibiotic treatment within the last 30 days, indwelling urinary catheter and recurrent UTI as risk factors for MDR or any of these resistances. For patients with no risk factors Ciprofloxacin had 90%, Pip/taz 88%, Gentamicin 95%, Cefuroxime 98%, Cefpodoxime 98% and Ceftazidime 100% susceptibility. For patients with 1 risk factor Ciprofloxacin had 80%, Pip/taz 80%, Gentamicin 88%, Cefuroxime 78%, Cefpodoxime 78% and Ceftazidime 83% susceptibility. For 2 or more risk factors Ciprofloxacin drops its susceptibility to 52%, Cefuroxime to 54% and Cefpodoxime to 61%. Pip/taz, Gentamicin and Ceftazidime remain at 75% and 77%, respectively. We identified several risk factors for resistances and MDR in UTI. Susceptibility towards antimicrobials depends on these risk factors. With no risk factor cephalosporins seem to be the best choice for empiric therapy, but in patients with risk factors the beta-lactam penicillin Piperacillin with Tazobactam

  14. Antimicrobial resistance in community and nosocomial Escherichia coli urinary tract isolates, London 2005 – 2006

    Directory of Open Access Journals (Sweden)

    Wareham David W

    2008-06-01

    Full Text Available Abstract Background Escherichia coli is the commonest cause of community and nosocomial urinary tract infection (UTI. Antibiotic treatment is usually empirical relying on susceptibility data from local surveillance studies. We therefore set out to determine levels of resistance to 8 commonly used antimicrobial agents amongst all urinary isolates obtained over a 12 month period. Methods Antimicrobial susceptibility to ampicillin, amoxicillin/clavulanate, cefalexin, ciprofloxacin, gentamicin, nitrofurantoin, trimethoprim and cefpodoxime was determined for 11,865 E. coli urinary isolates obtained from community and hospitalised patients in East London. Results Nitrofurantoin was the most active agent (94% susceptible, followed by gentamicin and cefpodoxime. High rates of resistance to ampicillin (55% and trimethoprim (40%, often in combination were observed in both sets of isolates. Although isolates exhibiting resistance to multiple drug classes were rare, resistance to cefpodoxime, indicative of Extended spectrum β-lactamase production, was observed in 5.7% of community and 21.6% of nosocomial isolates. Conclusion With the exception of nitrofurantoin, resistance to agents commonly used as empirical oral treatments for UTI was extremely high. Levels of resistance to trimethoprim and ampicillin render them unsuitable for empirical use. Continued surveillance and investigation of other oral agents for treatment of UTI in the community is required.

  15. Parallel Evolution of High-Level Aminoglycoside Resistance in Escherichia coli Under Low and High Mutation Supply Rates

    Directory of Open Access Journals (Sweden)

    Claudia Ibacache-Quiroga

    2018-03-01

    Full Text Available Antibiotic resistance is a major concern in public health worldwide, thus there is much interest in characterizing the mutational pathways through which susceptible bacteria evolve resistance. Here we use experimental evolution to explore the mutational pathways toward aminoglycoside resistance, using gentamicin as a model, under low and high mutation supply rates. Our results show that both normo and hypermutable strains of Escherichia coli are able to develop resistance to drug dosages > 1,000-fold higher than the minimal inhibitory concentration for their ancestors. Interestingly, such level of resistance was often associated with changes in susceptibility to other antibiotics, most prominently with increased resistance to fosfomycin. Whole-genome sequencing revealed that all resistant derivatives presented diverse mutations in five common genetic elements: fhuA, fusA and the atpIBEFHAGDC, cyoABCDE, and potABCD operons. Despite the large number of mutations acquired, hypermutable strains did not pay, apparently, fitness cost. In contrast to recent studies, we found that the mutation supply rate mainly affected the speed (tempo but not the pattern (mode of evolution: both backgrounds acquired the mutations in the same order, although the hypermutator strain did it faster. This observation is compatible with the adaptive landscape for high-level gentamicin resistance being relatively smooth, with few local maxima; which might be a common feature among antibiotics for which resistance involves multiple loci.

  16. Antimicrobial Resistance in Invasive Bacterial Infections in Hospitalized Children, Cambodia, 2007-2016.

    Science.gov (United States)

    Fox-Lewis, Andrew; Takata, Junko; Miliya, Thyl; Lubell, Yoel; Soeng, Sona; Sar, Poda; Rith, Kolthida; McKellar, Gregor; Wuthiekanun, Vanaporn; McGonagle, Erin; Stoesser, Nicole; Moore, Catrin E; Parry, Christopher M; Turner, Claudia; Day, Nicholas P J; Cooper, Ben S; Turner, Paul

    2018-05-01

    To determine trends, mortality rates, and costs of antimicrobial resistance in invasive bacterial infections in hospitalized children, we analyzed data from Angkor Hospital for Children, Siem Reap, Cambodia, for 2007-2016. A total of 39,050 cultures yielded 1,341 target pathogens. Resistance rates were high; 82% each of Escherichia coli and Klebsiella pneumoniae isolates were multidrug resistant. Hospital-acquired isolates were more often resistant than community-acquired isolates; resistance trends over time were heterogeneous. K. pneumoniae isolates from neonates were more likely than those from nonneonates to be resistant to ampicillin-gentamicin and third-generation cephalosporins. In patients with community-acquired gram-negative bacteremia, third-generation cephalosporin resistance was associated with increased mortality rates, increased intensive care unit admissions, and 2.26-fold increased healthcare costs among survivors. High antimicrobial resistance in this setting is a threat to human life and the economy. In similar low-resource settings, our methods could be reproduced as a robust surveillance model for antimicrobial resistance.

  17. Investigation of antimicrobial resistance in Escherichia coli and enterococci isolated from Tibetan pigs.

    Directory of Open Access Journals (Sweden)

    Peng Li

    Full Text Available OBJECTIVES: This study investigated the antimicrobial resistance of Escherichia coli and enterococci isolated from free-ranging Tibetan pigs in Tibet, China, and analyzed the influence of free-ranging husbandry on antimicrobial resistance. METHODS: A total of 232 fecal samples were collected from Tibetan pigs, and the disk diffusion method was used to examine their antimicrobial resistance. Broth microdilution and agar dilution methods were used to determine minimum inhibitory concentrations for antimicrobial agents for which disks were not commercially available. RESULTS: A total of 129 E. coli isolates and 84 Enterococcus isolates were recovered from the fecal samples. All E. coli isolates were susceptible to amoxicillin/clavulanic acid, and 40.4% were resistant to tetracycline. A small number of isolates were resistant to florfenicol (27.9%, ampicillin (27.9%, sulfamethoxazole/trimethoprim (19.4%, nalidixic acid (19.4%, streptomycin (16.2% and ceftiofur (10.9%, and very low resistance rates to ciprofloxacin (7.8%, gentamicin (6.9%, and spectinomycin (2.3% were observed in E. coli. All Enterococcus isolates, including E. faecium, E. faecalis, E. hirae, and E. mundtii, were susceptible to amoxicillin/clavulanic acid and vancomycin, but showed high frequencies of resistance to oxacillin (92.8%, clindamycin (82.1%, tetracycline (64.3%, and erythromycin (48.8%. Resistance rates to florfenicol (17.9%, penicillin (6.0%, ciprofloxacin (3.6%, levofloxacin (1.2%, and ampicillin (1.2% were low. Only one high-level streptomycin resistant E. faecium isolate and one high-level gentamicin resistant E. faecium isolate were observed. Approximately 20% and 70% of E. coli and Enterococcus isolates, respectively, were defined as multidrug-resistant. CONCLUSIONS: In this study, E. coli and Enterococcus isolated from free-ranging Tibetan pigs showed relatively lower resistance rates than those in other areas of China, where more intensive farming practices are

  18. Antimicrobial drug resistance in Staphylococcus aureus isolated from cattle in Brazil.

    Science.gov (United States)

    Pereira, M S; Siqueira-Júnior, J P

    1995-06-01

    Isolates of Staphylococcus aureus obtained from apparently healthy cattle in the State of Paraiba, Brazil were characterized in relation to resistance to 21 antimicrobial agents. Among the 46 isolates obtained, resistance to penicillin was most frequent, followed by resistance to cadmium, streptomycin, arsenate, tetracycline, mercury, erythromycin and kanamycin/neomycin. All isolates were susceptible to fusidic acid, ethidium bromide, cetrimide, chloramphenicol, benzalkonium chloride, doxycycline, gentamicin, methicillin, minocycline, novobiocin, rifamycin, tylosin and vancomycin. Only six isolates were susceptible to all the drugs tested. With respect to the antibiotics, multi-resistant isolates were uncommon. These results are probably a consequence of the peculiarities of local drug usage pressures. In relation to metal ions, resistance to mercury was rare while resistance to arsenate was relatively frequent, which contrasts with the situation for human Staph. aureus strains. After treatment with ethidium bromide, elimination of resistance to penicillin, tetracycline, streptomycin, erythromycin and cadmium was observed, which was consistent with the genetic determinants being plasmid-borne.

  19. [Current antibiotic resistance profile of uropathogenic Escherichia coli strains and therapeutic consequences].

    Science.gov (United States)

    El Bouamri, M C; Arsalane, L; Kamouni, Y; Yahyaoui, H; Bennouar, N; Berraha, M; Zouhair, S

    2014-12-01

    Urinary tract infections (UTI) are a very common reason for consultation and prescription in current practice. Excessive or inappropriate use of antibiotics in treating urinary tract infections is responsible for the emergence and spread of multiresistant uropathogenic bacteria. To evaluate the isolation frequency and antibiotic resistance of uropathogenic Escherichia coli strains isolated at the Marrakech region. We conducted a retrospective study over a period of three years (from 1st January 2010 to 31 December 2012). It included all non-redundant uropathogenic E. coli strains isolated in the microbiology laboratory of the Avicenne hospital of Marrakech, Morocco. During this study, 1472 uropathogenic enterobacteriaceae were isolated including 924 non-repetitive E. coli strains, an overall isolation frequency of 63%. Antibiotic resistance of isolated E. coli strains showed resistance rates to amoxicillin (65%), sulfamethoxazole-triméthropime (55%), amoxicillin-clavulanic acid (43%), ciprofloxacin (22%), gentamicin (14%), nitrofurans (11%), amikacin (8%) and fosfomycin (7%). The number of E. coli strains resistant to C3G by ESBL production was 67, an average frequency of 4.5% of all isolated uropathogenic enterobacteria. The associated antibiotic resistance in the case of ESBL-producing E. coli were 82% for ciprofloxacin, 76% for sulfamethozole trimethoprim, 66% for gentamicin and 56% for amikacin. No resistance to imipenem was recorded for the isolated E. coli strains, which represents an imipenem sensitivity of 100%. Antibiotic resistance of uropathogenic E. coli strains limits treatment options and therefore constitutes a real public health problem. The regular updating of antibiotic susceptibility statistics of E. coli strains allows a better adaptation of the probabilistic antibiotic therapy to local epidemiological data. Copyright © 2014 Elsevier Masson SAS. All rights reserved.

  20. Antibiotic Resistance of Diverse Bacteria from Aquaculture in Borneo

    Directory of Open Access Journals (Sweden)

    M. M. Kathleen

    2016-01-01

    Full Text Available The administration of antimicrobials in aquaculture provides a selective pressure creating a reservoir of multiple resistant bacteria in the cultured fish and shrimps as well as the aquaculture environment. The objective of this study was to determine the extent of antibiotic resistance in aquaculture products and aquaculture’s surrounding environment in Sarawak, Malaysian Borneo. Ninety-four identified bacterial isolates constituted of 17 genera were isolated from sediment, water, and cultured organisms (fish and shrimp in selected aquaculture farms. These isolates were tested for their antibiotic resistance against 22 antibiotics from several groups using the disk diffusion method. The results show that the highest resistance was observed towards streptomycin (85%, n=20, while the lowest resistance was towards gentamicin (1.1%, n=90. The multiple antibiotic resistant (MAR index of the isolates tested ranged between 0 and 0.63. It was suggested that isolates with MAR index > 0.2 were recovered from sources with high risk of antibiotic resistant contamination. This study revealed low level of antibiotic resistance in the aquaculture bacterial isolates except for streptomycin and ampicillin (>50% resistance, n=94 which have been used in the aquaculture industry for several decades. Antibiotic resistant patterns should be continuously monitored to predict the emergence and widespread of MAR. Effective action is needed to keep the new resistance from further developing and spreading.

  1. Antibiotic resistance in Escherichia coli isolates from roof-harvested rainwater tanks and urban pigeon faeces as the likely source of contamination.

    Science.gov (United States)

    Chidamba, Lizyben; Korsten, Lise

    2015-07-01

    The objective of this study was to investigate the risks associated with the use of roof-harvested rainwater (RHRW) and the implication of pigeons as the most likely source of contamination by testing for antibiotic resistance profiles of Escherichia coli. A total of 239 E. coli were isolated from thirty fresh pigeon faecal samples (130 isolates), 11 RHRW tanks from three sites in Pretoria (78) and two in Johannesburg (31). E. coli isolates were tested against a panel of 12 antibiotics which included ampicillin, amoxicillin, amikacin, cefoxitin, ceftriaxone, chloramphenicol, ciprofloxacin, cotrimoxazole, enrofloxacin, gentamicin, nalidixic acid and tetracycline. In all samples, resistance to ampicillin (22.7.9%), gentamicin (23.6%), amikacin (24%), tetracycline (17.4) and amoxicillin (16.9%) were the most frequently encountered form of resistance. However, a relatively higher proportion of isolates from pigeon faeces (67.3%) were antibiotic resistant than those from RHRW (53.3%). The highest number of phenotypes was observed for single antibiotics, and no single antibiotic resistance was observed for chloramphenicol, ceftriaxone, gentamicin, cefoxitin, cotrimoxazole, although they were detected in multiple antibiotic resistance (MAR) phenotypes. The highest multiple antibiotic resistance (MAR) phenotypes were observed for a combination of four antibiotics, on isolates from JHB (18.8%), pigeon faeces (15.2%) and Pretoria (5.1%). The most abundant resistance phenotype to four antibiotics, Ak-Gm-Cip-T was dominated by isolates from pigeon faeces (6.8%) with Pretoria and Johannesburg isolates having low proportions of 1.3 and 3.1%, respectively. Future studies should target isolates from various environmental settings in which rainwater harvesting is practiced and the characterisation of the antibiotic resistance determinant genes among the isolates.

  2. Multiple drug resistance patterns in various phylogenetic groups of uropathogenic E.coli isolated from Faisalabad region of Pakistan

    Directory of Open Access Journals (Sweden)

    Saira Bashir

    2011-12-01

    Full Text Available The objective of this work was the phylogenetic characterization of local clinical isolates of uropathogenic E. coli with respect to drug resistance. A total of 59 uropathogenic E. coli responsible for community acquired urinary tract infections were included in this study. A triplex PCR was employed to segregate each isolate into four different phylogenetic groups (A, B1, B2 and D. Drug resistance was evaluated by disc diffusion method. The drugs used were ampicillin, aztreonam, cefixime, cefoperazone, ceftriaxone, cephradine among β-lactam group; amikacin, gentamicin, and streptomycin among aminoglycosides; nalidixic acid and ciprofloxacin from quinolones; trimethoprim-sulfomethoxazole, and tetracycline. Among 59 uropathogenic E. coli isolates majority belonged to phylogenetic group B2 (50% where as 19% each belonged to groups A and B1, and 12% to group D. All the isolates were multiple drug resistant (MDR. Most effective drugs against Group A, B1, and B2 were gentamicin, amikacin and cefixime; ceftriaxone and quinolones; and ceftriaxone and amikacin, respectively. Group D isolates were found to be highly resistant to all drugs. Our results have shown emergence of MDR isolates among uropathogenic E. coli with dominance of phylogenetic group B2. However, it was found that group D isolates were though less frequent, more drug resistant as compared with group B2. Groups A and B1 were relatively uncommon. Amikacin, ceftriaxone and gentamicin were the most effective drugs in general.

  3. Gentamicin release from commercially-available gentamicin-loaded PMMA bone cements in a prosthesis-related interfacial gap model and their antibacterial efficacy

    Directory of Open Access Journals (Sweden)

    van der Mei Henny C

    2010-11-01

    Full Text Available Abstract Background Around about 1970, a gentamicin-loaded poly (methylmethacrylate (PMMA bone cement brand (Refobacin Palacos R was introduced to control infection in joint arthroplasties. In 2005, this brand was replaced by two gentamicin-loaded follow-up brands, Refobacin Bone Cement R and Palacos R + G. In addition, another gentamicin-loaded cement brand, SmartSet GHV, was introduced in Europe in 2003. In the present study, we investigated differences in gentamicin release and the antibacterial efficacy of the eluent between these four cement brands. Methods 200 μm-wide gaps were made in samples of each cement and filled with buffer in order to measure the gentamicin release. Release kinetics were related to bone cement powder particle characteristics and wettabilities of the cement surfaces. Gaps were also inoculated with bacteria isolated from infected prostheses for 24 h and their survival determined. Gentamicin release and bacterial survival were statistically analysed using the Student's t-test. Results All three Palacos variants showed equal burst releases but each of the successor Palacos cements showed significantly higher sustained releases. SmartSet GHV showed a significantly higher burst release, while its sustained release was comparable with original Palacos. A gentamicin-sensitive bacterium did not survive in the high gentamicin concentrations in the interfacial gaps, while a gentamicin-resistant strain did, regardless of the type of cement used. Survival was independent of the level of burst release by the bone cement. Conclusions Although marketed as the original gentamicin-loaded Palacos cement, orthopaedic surgeons should be aware that the successor cements do not appear to have the same release characteristics as the original one. Overall, high gentamicin concentrations were reached inside our prosthesis-related interfacial gap model. These concentrations may be expected to effectively decontaminate the prosthesis

  4. Antibiotic-Resistant Enteric Bacteria in Environmental Waters

    Directory of Open Access Journals (Sweden)

    Lisa M. Casanova

    2016-11-01

    Full Text Available Sources of antibiotic resistant organisms, including concentrated animal feeding operations (CAFOs, may lead to environmental surface and groundwater contamination with resistant enteric bacteria of public health concern. The objective of this research is to determine whether Salmonella, Escherichia coli, Yersinia enterocolitica, and enterococci resistant to clinically relevant antibiotics are present in surface and groundwater sources in two eastern North Carolina counties, Craven and Wayne. 100 surface and groundwater sites were sampled for Salmonella, E. coli, and enterococci, and the bacteria isolated from these samples were tested for susceptibility to clinically relevant antibiotics. Salmonella were detected at low levels in some surface but not groundwater. E. coli were in surface waters but not ground in both counties. Enterococci were present in surface water and a small number of groundwater sites. Yersinia was not found. Bacterial densities were similar in both counties. For Salmonella in surface water, the most frequent type of resistance was to sulfamethoxazole. There was no ciprofloxacin resistance. There were a few surface water E. coli isolates resistant to chloramphenicol, gentamicin, and ampicillin. Enterococci in surface water had very low levels of resistance to vancomycin, chloramphenicol, ampicillin, and streptomycin. E. coli and enterococci are present more frequently and at higher levels in surface water than Salmonella, but groundwater contamination with any of these organisms was rare, and low levels of resistance can be found sporadically. Resistant bacteria are relatively uncommon in these eastern N.C. surface and groundwaters, but they could pose a risk of human exposure via ingestion or primary contact recreation.

  5. Rapid increase in resistance to third generation cephalosporins, imipenem and co-resistance in Klebsiella pneumoniae from isolated from 7,140 blood-cultures (2010-2014) using EARS-Net data in Spain.

    Science.gov (United States)

    Aracil-García, Belén; Oteo-Iglesias, Jesús; Cuevas-Lobato, Óscar; Lara-Fuella, Noelia; Pérez-Grajera, Isabel; Fernández-Romero, Sara; Pérez-Vázquez, María; Campos, José

    2017-10-01

    An analysis was made about the evolution of resistance to 3rd generation cephalosporins, imipenem, and other antibiotics in invasive isolates of Klebsiella pneumoniae (K. pneumoniae) according to the Spanish EARS-Net database (2010-2014). Forty-two hospitals from 16 Autonomous Communities with an approximate population coverage of 33% participated. A total 7,140 pneumoniae corresponding to the same number of patients were studied. Overall resistance percentages (I+R) were: cefotaxime 15.8%, ceftazidime 13.7%, imipenem 1.7%, ciprofloxacin 20.1%, tobramycin 14.1%, gentamicin 10.4%, and amikacin 1.9%. Resistance to 3rd generation cephalosporins increased from 9.8% (2010) to 19% (2014); to ciprofloxacin from 15.4% (2010) to 19.6% (2014); to gentamicin from 6.2% (2010) to 10.3% (2014) and to tobramycin from 7.1% (2010) to 14.2% (2014) (presistance to 3rd generation cephalosporins, ciprofloxacin, and aminoglycosides increased from 3.3% (2010) to 9.7% (2014) (pResistance to imipenem also increased from 0.27% (2010) to 3.46% (2014) (presistant to imipenem, of which 104 (86%) produced carbapenemases: 74 OXA-48, 14 VIM, 9 KPC (6 KPC-2 and 3 KPC-3), 6 IMP, and 1 GES. Over the 5 year period (2010-2014), resistance to 3rd generation cephalosporins in invasive K. pneumoniae in Spain has doubled. The combined resistance to 3rd generation cephalosporins, ciprofloxacin, and aminoglycosides has tripled, and imipenem resistance has increased almost 13 times, mostly due to the spread of carbapenemase-producing isolates. Copyright © 2016 Elsevier España, S.L.U. y Sociedad Española de Enfermedades Infecciosas y Microbiología Clínica. All rights reserved.

  6. Identification of aminoglycoside and β-lactam resistance genes from within an infant gut functional metagenomic library.

    Directory of Open Access Journals (Sweden)

    Fiona Fouhy

    Full Text Available The infant gut microbiota develops rapidly during the first 2 years of life, acquiring microorganisms from diverse sources. During this time, significant opportunities exist for the infant to acquire antibiotic resistant bacteria, which can become established and constitute the infant gut resistome. With increased antibiotic resistance limiting our ability to treat bacterial infections, investigations into resistance reservoirs are highly pertinent. This study aimed to explore the nascent resistome in antibiotically-naïve infant gut microbiomes, using a combination of metagenomic approaches. Faecal samples from 22 six-month-old infants without previous antibiotic exposure were used to construct a pooled metagenomic library, which was functionally screened for ampicillin and gentamicin resistance. Our library of ∼220Mb contained 0.45 ampicillin resistant hits/Mb and 0.059 gentamicin resistant hits/Mb. PCR-based analysis of fosmid clones and uncloned metagenomic DNA, revealed a diverse and abundant aminoglycoside and β-lactam resistance reservoir within the infant gut, with resistance determinants exhibiting homology to those found in common gut inhabitants, including Escherichia coli, Enterococcus sp., and Clostridium difficile, as well as to genes from cryptic environmental bacteria. Notably, the genes identified differed from those revealed when a sequence-driven PCR-based screen of metagenomic DNA was employed. Carriage of these antibiotic resistance determinants conferred substantial, but varied (2-512x, increases in antibiotic resistance to their bacterial host. These data provide insights into the infant gut resistome, revealing the presence of a varied aminoglycoside and β-lactam resistance reservoir even in the absence of selective pressure, confirming the infant resistome establishes early in life, perhaps even at birth.

  7. ORIGINAL ARTICLE: Multidrug Resistance and Phage Pattern of Staphylococcus aureus in Pyoderma Cases

    Directory of Open Access Journals (Sweden)

    Sanjay M. Wavare

    2012-01-01

    Full Text Available Background: Pyoderma is common in India and other tropical countries. Staphylococcus aureus is the commonest causative agent ofpyoderma. Aims and Objectives: To know the antibiotic susceptibility and bacteriophage pattern of Staphylococcus aureus isolated from pyoderma infection. Materials and Methods: One hundred clinically diagnosed pyoderma cases were investigated bacteriologically. A total of 59 isolates of S. aureus were subjected to antibioticsusceptibility testing by Kirby Bauer’s disk diffusion method and phage typing by routine test dilution X 100 bacteriophages. Results: Most of the strains were resistant to penicillin, ampicillin and were susceptible to gentamicin, streptomycin and erythromycin. Multidrug resistance was also high among these strains. Regarding the phage types, Phage type 52 (15 strains, 96 (8 strains and 71(16strains were predominant among the typed strains (55.95% of S. aureus. The most common group was mixed phage group (17% followed by phage group I (13.55%. Conclusion: Knowledge of antibioticsusceptibility pattern is essential to give proper antibiotic therapy and avoid unnecessary medication with non-effective drugs, which may increase resistance. Gentamicin, streptomycin and erythromycin are the drugs of choice in that order. Association of phage typing and antibiotic sensitivity of S. aureus showed the predominance of phage group III with greater frequency of penicillin resistance.

  8. Antimicrobial Resistance Percentages of Salmonella and Shigella in Seafood Imported to Jordan: Higher Percentages and More Diverse Profiles in Shigella.

    Science.gov (United States)

    Obaidat, Mohammad M; Bani Salman, Alaa E

    2017-03-01

    This study determined the prevalence and antimicrobial resistance of human-specific ( Shigella spp.) and zoonotic ( Salmonella enterica ) foodborne pathogens in internationally traded seafood. Sixty-four Salmonella and 61 Shigella isolates were obtained from 330 imported fresh fish samples from Egypt, Yemen, and India. The pathogens were isolated on selective media, confirmed by PCR, and tested for antimicrobial resistance. Approximately 79 and 98% of the Salmonella and Shigella isolates, respectively, exhibited resistance to at least one antimicrobial, and 8 and 49% exhibited multidrug resistance (resistance to three or more antimicrobial classes). Generally, Salmonella exhibited high resistance to amoxicillin-clavulanic acid, cephalothin, streptomycin, and ampicillin; very low resistance to kanamycin, tetracycline, gentamicin, chloramphenicol, nalidixic acid, sulfamethoxazole-trimethoprim, and ciprofloxacin; and no resistance to ceftriaxone. Meanwhile, Shigella spp. exhibited high resistance to tetracycline, amoxicillin-clavulanic acid, cephalothin, streptomycin, and ampicillin; low resistance to kanamycin, nalidixic acid, sulfamethoxazole-trimethoprim, and ceftriaxone; and very low resistance to gentamicin and ciprofloxacin. Salmonella isolates exhibited 14 resistance profiles, Shigella isolates 42. This study is novel in showing that a human-specific pathogen has higher antimicrobial resistance percentages and more diverse profiles than a zoonotic pathogen. Thus, the impact of antimicrobial use in humans is as significant as, if not more significant than, it is in animals in spreading antibiotic resistance through food. This study also demonstrates that locally derived antimicrobial resistance can spread and pose a public health risk worldwide through seafood trade and that high resistance would make a possible outbreak difficult to control. So, capacity building and monitoring harvest water areas are encouraged in fish producing countries.

  9. Antimicrobial Resistance and Resistance Genes in Aerobic Bacteria Isolated from Pork at Slaughter.

    Science.gov (United States)

    Li, Lili; Heidemann Olsen, Rikke; Ye, Lei; Yan, He; Nie, Qing; Meng, Hecheng; Shi, Lei

    2016-04-01

    The aim of this study was to investigate the phenotypic and genotypic antimicrobial resistance, integrons, and transferability of resistance markers in 243 aerobic bacteria recovered from pork at slaughter in the People's Republic of China. The organisms belonged to 22 genera of gram-negative bacteria (92.2%) and gram-positive bacteria (7.8%). High levels of resistance were detected to tetracycline, trimethoprim-sulfamethoxazole, and ampicillin (36.2 to 54.3%), and lower levels were detected to nitrofurantoin, cefotaxime, gentamicin, ciprofloxacin, and chloramphenicol (7.8 to 29.2%). Across species, genes conferring antimicrobial resistance were observed with the following frequencies: blaTEM, 40.7%; blaCMY-2, 15.2%; blaCTX-M, 11.5%; sul2, 27.2%; sul1, 14.4%; tet(A), 5.4%; tet(L), 5.4%; tet(M), 5.0%; tet(E), 3.7%; tet(C), 3.3%; tet(S), 2.5%; and tet(K), 0.8%. Various antimicrobial resistance genes were found in new carriers: blaTEM in Lactococcus garvieae, Myroides odoratimimus, Aeromonas hydrophila, Staphylococcus sciuri, Raoultella terrigena, Macrococcus caseolyticus, Acinetobacter ursingii, Sphingobacterium sp., and Oceanobacillus sp.; blaCMY-2 in Lactococcus lactis, Klebsiella oxytoca, Serratia marcescens, Acinetobacter baumannii, and Myroides phaeus; tet(L) in M. caseolyticus; sul1 in Vibrio cincinnatiensis; sul2 in Acinetobacter bereziniae, Acinetobacter johnsonii, and V. cincinnatiensis; and the class 1 integron and gene cassette aadA2 in V. cincinnatiensis. Approximately 6.6% of isolates contained class 1 integrons, and one isolate harbored class 2 integrons. Plasmid associated intI1 and androgen receptor- encoding genes were transferred into Escherichia coli J53 and E. coli DH5α by conjugation and transformation experiments, respectively. Our study highlights the importance of aerobic bacteria from pork as reservoirs for antimicrobial resistance genes and mobile genetic elements that can readily be transferred intra- and interspecies.

  10. Antimicrobial resistance and virulence profile of enterococci isolated from poultry and cattle sources in Nigeria.

    Science.gov (United States)

    Ngbede, Emmanuel Ochefije; Raji, Mashood Abiola; Kwanashie, Clara Nna; Kwaga, Jacob Kwada Paghi

    2017-03-01

    This study investigated the occurrence, antimicrobial resistance and virulence of Enterococcus from poultry and cattle farms. Three hundred and ninety samples: cloacal/rectal swabs (n = 260) and manure (n = 130] were processed for recovery of Enterococcus species. Standard bacteriological methods were used to isolate, identify and characterize Enterococcus species for antimicrobial susceptibility and expression of virulence traits. Detection of antibiotic resistance and virulence genes was carried out by polymerase chain reaction. Enterococcus was recovered from 167 (42.8%) of the 390 samples tested with a predominance of Enterococcus faecium (27.7%). Other species detected were Enterococcus gallinarum, Enterococcus faecalis, Enterococcus hirae, Enterococcus raffinosus, Enterococcus avium, Enterococcus casseliflavus, Enterococcus mundtii and Enterococcus durans. All the isolates tested were susceptible to vancomycin, but resistance to tetracycline, erythromycin, ampicillin and gentamicin was also observed among 61.0, 61.0, 45.1 and 32.7% of the isolates, respectively. Sixty (53.1%) of the isolates were multidrug resistant presenting as 24 different resistance patterns with resistance to gentamicin-erythromycin-streptomycin-tetracycline (CN-ERY-STR-TET) being the most common (n = 11) pattern. In addition to expression of virulence traits (haemolysin, gelatinase, biofilm production), antibiotic resistance (tetK, tetL, tetM, tetO and ermB) and virulence (asa1, gelE, cylA) genes were detected among the isolates. Also, in vitro transfer of resistance determinants was observed among 75% of the isolates tested. Our data revealed poultry, cattle and manure in this area are hosts to varying Enterococcus species harbouring virulence and resistance determinants that can be transferred to other organisms and also are important for causing nosocomial infection.

  11. Streptococcus pneumoniae Drugs Resistance in Acute Rhinosinusitis

    Directory of Open Access Journals (Sweden)

    Chong Jie Hao

    2016-03-01

    Full Text Available Background: Acute rhinosinusitis that usually caused by Streptococcus pneumoniae becomes the reason why patients seek for medical care. Drugs resistance in Streptococcus pneumoniae is increasing worldwide. This study was conducted to determine drugs resistance of Streptococcus pneumonia from acute rhinosinusitis in Dr. Hasan Sadikin General Hospital. Methods: A descriptive laboratory study was conducted in June–October 2014 at the Laboratory of Microbiology Faculty of Medicine Universitas Padjadjaran. The sample was taken using nasopharyngeal swabbing from 100 acute rhinosinusitis patients in Dr. Hasan Sadikin General Hospital and planted on tryptic soy agar containing 5% sheep blood and 5 μg/ml of gentamicin sulphate and then incubated in 5% CO2 incubator at 37°C for 24 hours. The identification of Streptococcus pneumonia was performed by optochin test. The susceptibility test against Streptococcus pneumoniae was done using disk diffusion method.The antibiotic disks were trimethoprim-sulfamethoxazole, oxacillin, levofloxacin, azithromycin, and doxycycline. Results: Out of 100 samples, 8 of them were tested positive for Streptococcus pneumoniae. Three of Streptococcus pneumoniae isolates died with unknown reason after it were stored at -80 .The drugs resistance test showed the resistance of Streptococcus pneumonia to oxacillin, azithromycin and trimethoprim were 6, whereas levofloxacin and doxycycline are 4. Conclusions: Streptococcus pneumonia drugs resistance in acute rhinosinusitis shows the resistance of Streptococcus pneumoniae to oxacillin, azithromycin and trimethoprim are 6, whereas the resistance to levofloxacin and doxycycline are 4.

  12. Correlations between Income inequality and antimicrobial resistance.

    Science.gov (United States)

    Kirby, Andrew; Herbert, Annie

    2013-01-01

    The aim of this study is to investigate if correlations exist between income inequality and antimicrobial resistance. This study's hypothesis is that income inequality at the national level is positively correlated with antimicrobial resistance within developed countries. Income inequality data were obtained from the Standardized World Income Inequality Database. Antimicrobial resistance data were obtained from the European antimicrobial Resistance Surveillance Network and outpatient antimicrobial consumption data, measured by Defined daily Doses per 1000 inhabitants per day, from the European Surveillance of antimicrobial Consumption group. Spearman's correlation coefficient (r) defined strengths of correlations of: > 0.8 as strong, > 0.5 as moderate and > 0.2 as weak. Confidence intervals and p values were defined for all r values. Correlations were calculated for the time period 2003-10, for 15 European countries. Income inequality and antimicrobial resistance correlations which were moderate or strong, with 95% confidence intervals > 0, included the following. Enterococcus faecalis resistance to aminopenicillins, vancomycin and high level gentamicin was moderately associated with income inequality (r= ≥0.54 for all three antimicrobials). Escherichia coli resistance to aminoglycosides, aminopenicillins, third generation cephalosporins and fluoroquinolones was moderately-strongly associated with income inequality (r= ≥0.7 for all four antimicrobials). Klebsiella pneumoniae resistance to third generation cephalosporins, aminoglycosides and fluoroquinolones was moderately associated with income inequality (r= ≥0.5 for all three antimicrobials). Staphylococcus aureus methicillin resistance and income inequality were strongly associated (r=0.87). As income inequality increases in European countries so do the rates of antimicrobial resistance for bacteria including E. faecalis, E. coli, K. pneumoniae and S. aureus. Further studies are needed to confirm these

  13. Antimicrobial resistance and virulence genes in enterococci from wild game meat in Spain.

    Science.gov (United States)

    Guerrero-Ramos, Emilia; Cordero, Jorge; Molina-González, Diana; Poeta, Patrícia; Igrejas, Gilberto; Alonso-Calleja, Carlos; Capita, Rosa

    2016-02-01

    A total of 55 enterococci (45 Enterococcus faecium, 7 Enterococcus faecalis, and three Enterococcus durans) isolated from the meat of wild game animals (roe deer, boar, rabbit, pheasant, and pigeon) in North-Western Spain were tested for susceptibility to 14 antimicrobials by the disc diffusion method. All strains showed a multi-resistant phenotype (resistance to between three and 10 antimicrobials). The strains exhibited high percentages of resistance to erythromycin (89.1%), tetracycline (67.3%), ciprofloxacin (92.7%), nitrofurantoin (67.3%), and quinupristin-dalfopristin (81.8%). The lowest values (9.1%) were observed for high-level resistance to gentamicin, kanamycin, and streptomycin. The average number of resistances per strain was 5.8 for E. faecium isolates, 7.9 for E. faecalis, and 5.7 for E. durans. Genes encoding antimicrobial resistance and virulence were studied by polymerase chain reaction. A total of 15 (57.7%) of the 26 vancomycin-resistant isolates harboured the vanA gene. Other resistance genes detected included vanB, erm(B) and/or erm(C), tet(L) and/or tet(M), acc(6')-aph(2″), and aph(3')-IIIa in strains resistant to vancomycin, erythromycin, tetracycline, gentamicin, and kanamycin, respectively. Specific genes of the Tn5397 transposon were detected in 54.8% of the tet(M)-positive enterococci. Nine virulence factors (gelE, agg, ace, cpd, frs, esp, hyl, efaAfs and efaAfm) were studied. All virulence genes, with the exception of the frs gene, were found to be present in the enterococcal isolates. At least one virulence gene was detected in 20.0% of E. faecium, 71.4% of E. faecalis and 33.3% of E. durans isolates, with ace and cpd being the most frequently detected genes (6 isolates each). This suggests that wild game meat might play a role in the spreading through the food chain of enterococci with antimicrobial resistance and virulence determinants to humans. Copyright © 2015 Elsevier Ltd. All rights reserved.

  14. Antimicrobial resistance of Staphylococcus species isolated from Lebanese dairy-based products.

    Science.gov (United States)

    Zouhairi, O; Saleh, I; Alwan, N; Toufeili, I; Barbour, E; Harakeh, S

    2012-12-04

    The study evaluated the antimicrobial resistance of molecularly characterized strains of Staphylococcus aureus and S. saprophyticus isolated from 3 Lebanese dairy-based food products that are sometimes consumed raw: kishk, shanklish and baladi cheese. Suspected Staphylococcus isolates were identified initially using standard biochemical tests, then strains that were confirmed by polymerase chain reaction (29 S. aureus and 17 S. saprophyticus) were evaluated for their susceptibility to different antimicrobials. The highest levels of contamination with staphylococci were in baladi cheese. Resistance rates ranged from 67% to gentamicin to 94% to oxacillin and clindamycin. The results suggest that these locally made dairy-based foods may act as vehicles for the transmission of antimicrobial-resistant Staphylococcus spp.

  15. Safety of gentamicin bladder irrigations in complex urological cases.

    Science.gov (United States)

    Defoor, William; Ferguson, Denise; Mashni, Susan; Creelman, Lisa; Reeves, Deborah; Minevich, Eugene; Reddy, Pramod; Sheldon, Curtis

    2006-05-01

    Recurrent urinary tract infections are common in complex pediatric urological cases, particularly those requiring clean intermittent catheterization. At our institution gentamicin bladder irrigations have been used for antimicrobial prophylaxis and to treat symptomatic bacteriuria, particularly when the infection does not involve the upper urinary tract. The purpose of this study was to assess the safety of this therapy. A retrospective study was performed of all children treated with gentamicin bladder irrigations from 1999 to 2004. The dose was 14 mg gentamicin in 30 ml saline instilled via catheter once or twice daily. Serum creatinine and random gentamicin levels were obtained according to a protocol based on risk of gentamicin toxicity. Patient demographics, laboratory results and outcomes were abstracted from the medical records. A total of 80 patients (38 males and 42 females) were identified. Median patient age was 10 years and median duration of treatment was 90 days. No patient had detectable serum gentamicin levels greater than 0.4 mg/dl. Small increases in serum creatinine were seen in 3 patients, all of whom had chronic renal insufficiency. A total of 21 patients (26%) had breakthrough UTIs, of which 5 (24%) were gentamicin resistant. No adverse events were documented. Gentamicin bladder irrigations are a helpful adjunct in the management of complex pediatric urological cases involving recurrent symptomatic bacteriuria. We no longer require intensive laboratory monitoring of low risk patients at our institution.

  16. CRISPRs: Molecular Markers for Tracking Antibiotic Resistant Strains of Salmonella Enterica

    Science.gov (United States)

    2014-01-01

    15.4 Sulfonamides 29.2 5.7 21.6 24.6 10.9 15.8 15.4 Trimethoprim 6.8 5 14.3 10.7 5.6 11.1 7.7 Gentamicin 4.8 7.5 7.1 4.6 2.8 4.8 7.7 Kanamycin 1.7 2.6...Historically, ampicillin and trimethoprim -sulfa have been used to treat salmonellosis. The latest NARMS Salmonella data extend through isolates analyzed... determinants that encode for resistance to widely used antibiotics (e.g. sulfonamide and tetracyclines). Historical data show that resistance to the older

  17. A multicenter surveillance of antimicrobial resistance in Serratia marcescens in Taiwan.

    Science.gov (United States)

    Liou, Bo-Huang; Duh, Ruay-Wang; Lin, Yi-Tsung; Lauderdale, Tsai-Ling Yang; Fung, Chang-Phone

    2014-10-01

    Serratia marcescens is an important nosocomial pathogen and the characteristic property of resistance conferred by extended-spectrum beta-lactamase or a novel AmpC cephalosporinase was not unusual in Taiwan. This study investigated the trends in antimicrobial resistance in S. marcescens from a nationwide surveillance in Taiwan. S. marcescens isolates were collected biennially between 2002 and 2010 from medical centers and regional hospitals throughout Taiwan, as part of the Taiwan Surveillance of Antimicrobial Resistance program. Minimal inhibitory concentrations were determined by the Clinical and Laboratory Standards Institute reference broth microdilution method. A total of 403 nonduplicate S. marcescens isolates were collected, mostly from respiratory samples (157, 39.0%), followed by the urinary tract samples (90, 22.3%). Overall, 99.3% isolates were susceptible to imipenem, 93.8% to ceftazidime, 89.2% to minocycline, 87.8% to amikacin, 86.8% to cefepime, 82.9% to aztreonam, 73.2% to ceftriaxone, 72.7% to levofloxacin, 63.8% to ciprofloxacin, 60.8% to trimethoprim/sulfamethoxazole (TMP/SMX), and 59.6% to gentamicin. A significantly increased susceptibility rate after 2004 was observed for the following antibiotics: amikacin (73.8% vs. 97.1%), gentamicin (40.0% vs. 72.4%), ciprofloxacin (53.8% vs. 70.4%), ceftriaxone (53.8% vs. 86.0%), cefepime (74.4% vs. 95.1%), aztreonam (72.5% vs. 89.7%), and TMP/SMX (41.3% vs. 73.7%). In this 8-year study, the susceptibility of S. marcescens to ceftazidime and imipenem remained consistently high in Taiwan. S. marcescens isolates demonstrated relatively higher resistance to ciprofloxacin and levofloxacin, and therefore continued surveillance of antimicrobial resistance, especially for fluoroquinolone, is warranted. Copyright © 2013. Published by Elsevier B.V.

  18. Sponge microbiota are a reservoir of functional antibiotic resistance genes

    Directory of Open Access Journals (Sweden)

    Dennis Versluis

    2016-11-01

    Full Text Available Wide application of antibiotics has contributed to the evolution of multi-drug resistant human pathogens, resulting in poorer treatment outcomes for infections. In the marine environment, seawater samples have been investigated as a resistance reservoir; however, no studies have methodically examined sponges as a reservoir of antibiotic resistance. Sponges could be important in this respect because they often contain diverse microbial communities that have the capacity to produce bioactive metabolites. Here, we applied functional metagenomics to study the presence and diversity of functional resistance genes in the sponges Aplysina aerophoba, Petrosia ficiformis and Corticium candelabrum. We obtained 37 insert sequences facilitating resistance to D-cycloserine (n=6, gentamicin (n=1, amikacin (n=7, trimethoprim (n=17, chloramphenicol (n=1, rifampicin (n=2 and ampicillin (n=3. Fifteen of 37 inserts harboured resistance genes that shared <90% amino acid identity with known gene products, whereas on 13 inserts no resistance gene could be identified with high confidence, in which case we predicted resistance to be mainly mediated by antibiotic efflux. One marine-specific ampicillin-resistance-conferring β-lactamase was identified in the genus Pseudovibrio with 41% global amino acid identity to the closest β-lactamase with demonstrated functionality, and subsequently classified into a new family termed PSV. Taken together, our results show that sponge microbiota host diverse and novel resistance genes that may be harnessed by phylogenetically distinct bacteria.

  19. Determination of antibiotic resistance profile in Klebsiella pneumonia strains isolated from urinary tract infections of patients hospitalized in Peyambaran hospital (Tehran-Iran

    Directory of Open Access Journals (Sweden)

    Marzieh Tavakol

    2017-04-01

    Full Text Available Background: Urinary tract infection (UTI is the second prevalent infection in human mostly caused by Escherichia coli and Klebsiella pneumonia. The aim of this study was to determine the antibiotic resistance profile and detect the prevalence of antibiotic resistance encoding genes in K .pneumoniae isolated from UTI. Materials and Methods: Fifty K. pneumonia strains isolated from 122 UTI samples of hospitalized patients in Payambaran Hospital (Tehran, Iran which were subjected to this study (2014 were confirmed by standard biochemical tests. Isolates were tested for susceptibility to 10 antimicrobial drugs by using disk diffusion method. Antibiotic resistance encoding genes frequently include the aadA1, aac(3-IV, sul1, blaSHV, Cat1, cmlA, tetA, tetB, dfrA1, CITM, qnr in isolates were determined by PCR. Results: The highest antibiotic resistance in K. pneumoniae isolates were for Tetracycline and the lowest resistance (2% for Gentamicin and Imipenem. To determine the frequency of antibiotic resistant genes, 64% and 4% of isolates had tetA and Gentamicin-(aac(3-IV resistant genes, respectively. Conclusion: Frequency of antibiotic resistance encoding genes may have important and basic role in the occurrence and transfer of antibiotic resistance which can be due to the indiscriminate use of antibiotics.

  20. Association of the novel aminoglycoside resistance determinant RmtF with NDM carbapenemase in Enterobacteriaceae isolated in India and the UK

    DEFF Research Database (Denmark)

    Hidalgo, Laura; Hopkins, Katie L; Gutierrez, Belen

    2013-01-01

    16S rRNA methyltransferases are an emerging mechanism conferring high-level resistance to clinically relevant aminoglycosides and have been associated with important mechanisms such as NDM-1. We sought genes encoding these enzymes in isolates highly resistant (MIC >200 mg/L) to gentamicin and ami...

  1. Alternating Mupirocin/Gentamicin is Associated with Increased Risk of Fungal Peritonitis as Compared with Gentamicin Alone - Results of a Randomized Open-Label Controlled Trial.

    Science.gov (United States)

    Wong, Ping-Nam; Tong, Gensy M W; Wong, Yuk-Yi; Lo, Kin-Yee; Chan, Shuk-Fan; Lo, Man-Wai; Lo, Kwok-Chi; Ho, Lo-Yi; Tse, Cindy W S; Mak, Siu-Ka; Wong, Andrew K M

    2016-01-01

    ♦ Catheter-related infection, namely exit-site infection (ESI) and peritonitis, is a major infectious complication and remains a main cause of technique failure for patients receiving peritoneal dialysis (PD). Topical application of antibiotic cream might reduce catheter-related infection but emergence of resistant or opportunistic organisms could be a concern. Optimal topical agents and regimens remain to be determined. We did a study to examine the effect of an alternating topical antibiotic regimen in preventing catheter-related infection. ♦ We performed a single-center, randomized, open-label study to compare daily topical application of gentamicin cream with a gentamicin/mupirocin alternate regimen to the exit site. Patients randomized to alternating regimen were asked to have daily application of gentamicin cream in odd months and mupirocin cream in even months. Primary outcomes were ESI and peritonitis. Secondary outcomes were catheter removal or death caused by catheter-related infection. A total of 146 patients (71, gentamicin group; 75, alternating regimen group) were enrolled with a total follow-up duration of 174 and 181 patient-years for gentamicin and alternating groups, respectively. All patients were followed up until catheter removal, death, transfer to another unit, transplantation or the end of the study on March 31, 2014. There were no significant differences in the age, sex, dialysis vintage, and rate of diabetes, helper-assisted dialysis and methicillin-resistant Staphylococcus aureus (MRSA) carriage state. ♦ No difference was seen in the time to first ESI or peritonitis. However, the time to first gram-negative peritonitis seemed longer for the gentamicin group (p = 0.055). The 2 groups showed a similar rate of ESI (0.17/yr vs 0.19/yr, p = 0.93) but P. aeruginosa ESI was less common in the gentamicin group (0.06/yr vs 0.11/yr, p Peritonitis rate was significantly lower in the gentamicin group (0.22/yr vs 0.32/yr, p peritonitis (0.08/yr

  2. Multidrug resistance in Pseudomonas aeruginosa isolated from nosocomial respiratory and urinary infections in Aleppo, Syria.

    Science.gov (United States)

    Mahfoud, Maysa; Al Najjar, Mona; Hamzeh, Abdul Rezzak

    2015-02-19

    Pseudomonas aeruginosa represents a serious clinical challenge due to its frequent involvement in nosocomial infections and its tendency towards multidrug resistance. This study uncovered antibiotic susceptibility patterns in 177 isolates from inpatients in three key hospitals in Aleppo, the largest city in Syria. Exceptionally low susceptibility to most routinely used antibiotics was uncovered; resistance to ciprofloxacin and gentamicin was 64.9% and 70.3%, respectively. Contrarily, susceptibility to colistin was the highest (89.1%). Multidrug resistance was rife, found at a rate of 53.67% among studied P. aeruginosa isolates.

  3. Defining the Relationship Between Phenotypic and Genotypic Resistance Profiles of Multidrug-Resistant Enterobacterial Clinical Isolates.

    Science.gov (United States)

    Galal, Lamis; Abdel Aziz, Neveen A; Hassan, Walaa M

    2018-05-11

    Fluoroquinolones and aminoglycosides offer effective therapy for extended-spectrum beta-lactamase (ESBL)-producing enterobacterial infections, but their usefulness is threatened by increasing resistant strains. This study was conducted to demonstrate the phenotypic outcomes of the coexistence of genetic determinants mediating resistance to extended-spectrum cephalosporins and quinolones in enterobacterial isolates collected from patients with health-care-associated infections in Egypt. ESBL phenotype was determined using double-disk synergy test (DDST). The PCR technique was used to detect the presence of the genes mediating quinolone resistance (qnr and aac(6')-Ib-cr) and coexistence with ESBL genes. We also examined the association between the genetic makeup of the isolates and their resistance profiles including effect on MIC results. Phenotypically ESBLs were detected in 60-82% of the enterobacterial isolates. ESBL, qnr and aac(6')-Ib-cr genes were detected with the following percentages in Citrobacter isolates (69%, 69%, and 43%, respectively), E.coli isolates (65%, 70%, and 45%, respectively), Enterobacter isolates (56%, 67%, and 33%, respectively), and finally Klebsiella isolates (42%, 66%, and 25%, respectively). The coexistence of these multiresistant genetic elements significantly increased the MIC values of the tested antibiotics from different classes. We suggest using blaTEM, blaCTX-M-15, qnr, and aac(6')-Ib-cr genes for better and faster prediction of suitable antibiotic therapy with effective doses against ESBL-producing isolates harboring plasmid-mediated quinolone resistance (PMQR) determinants. Amikacin, meropenem, gentamicin, and imipenem seem to be better choices of treatment for such life-threatening infections, because of their remaining highest activity.

  4. The effects of tertiary wastewater treatment on the prevalence of antimicrobial resistant bacteria

    DEFF Research Database (Denmark)

    Guardabassi, L.; Wong, Danilo Lo Fo; Dalsgaard, A.

    2002-01-01

    and anaerobically digested sludge by bacteriological counts on media selective for coliforms (MacConkey agar) and Acinetobacter spp. (Baumann agar). In addition, the level of antimicrobial susceptibility was determined by the disc-diffusion method in 442 Acinetobacter isolates identified by colony hybridisation......-resistant presumptive coliforms and Acinetobacter spp. in treated sewage and digested sludge were not significantly higher compared with raw sewage. On the contrary at one plant, statistically significant decreases were observed in the prevalence of ampicillin-resistant presumptive Acinetobacter spp. (p = 0.......0188) following sewage treatment, and in the prevalence of either ampicillin-resistant presumptive Acinetobacter spp. (p = 0.0013) or ampicillin- and gentamicin-resistant presumptive coliforms (p = 0.0273 and p = 0.0186) following sludge treatment. The results obtained by bacteriological counts were confirmed...

  5. Antimicrobial Resistance Pattern in Escherichia coli Isolates Obtained from a Specialized Women and Children Hospital in Shiraz, Iran: A Prevalence Study

    Directory of Open Access Journals (Sweden)

    Mahtab Hadadi

    2016-10-01

    Full Text Available Abstract Background: Escherichia coli, known as a clinically significant bacteria, can cause a wide range of infections, including urinary tract infections (UTIs, blood stream infections (BSIs, and can frequently be isolated from various clinical specimens. Evaluation of antimicrobial resistant pattern is a necessary action, especially about such bacteria which are frequent and life threatening. The aim of this study was to determine the frequency and antimicrobial resistance pattern of E. coli isolates obtained from various clinical specimens. Methods: This retrospective study was performed within a seven month period from January 2015 to August 2015 at a specialized women and children hospital in Shiraz, Iran. E. coli isolates were obtained from various clinical specimens and identified using standard microbiological procedure. Antimicrobial susceptibility patterns were determined using disk diffusion method in accordance with CLSI recommendation. Results: Of the total 130 positive cultures, the majority of E. coli isolates were obtained from urine (96=73.8% and blood (11=8.5% specimens. Overall, gentamicin (70.8% was the effective antibiotic for the tested E. coli isolates. E. coli isolates obtained from urine specimens showed the highest resistance rates against ampicillin (84.4% and nalidixic acid (61.5%; while they showed the most sensitivity to gentamicin (79.2%, nitrofurantoin (70.8% and ciprofloxacin (66.7%. Moreover, the highest antibiotic resistance rates belonged to the isolates recovered from endotracheal tube (ETT. Conclusion: The results showed that gentamicin was the most effective antibiotic against E. coli infections. However, in addition to the gentamicin, we can recommend nitrofurantoin and ciprofloxacin as the other effective agents for UTIs

  6. Identification, antimicrobial resistance and genotypic characterization of Enterococcus spp. isolated in Porto Alegre, Brazil

    Science.gov (United States)

    Bender, Eduardo André; de Freitas, Ana Lúcia Peixoto; Reiter, Keli Cristine; Lutz, Larissa; Barth, Afonso Luís

    2009-01-01

    In the past two decades the members of the genus Enterococcus have emerged as important nosocomial pathogens worldwide. In the present study, we evaluated the antimicrobial resistance and genotypic characteristics of 203 Enterococcus spp. recovered from different clinical sources from two hospitals in Porto Alegre, Rio Grande do Sul, Brazil. The species were identified by conventional biochemical tests and by an automated system. The genetic diversity of E. faecalis presenting high-level aminoglycoside resistance (HLAR) was assessed by pulsed-field gel electrophoresis of chromosomal DNA after SmaI digestion. The E. faecalis was the most frequent specie (93.6%), followed by E. faecium (4.4%). The antimicrobial resistance profile was: 2.5% to ampicillin, 0.5% to vancomycin, 0.5% teicoplanin, 33% to chloramphenicol, 2% to nitrofurantoin, 66.1% to erythromycin, 66.5% to tetracycline, 24.6% to rifampicin, 30% to ciprofloxacin and 87.2% to quinupristin-dalfopristin. A total of 10.3% of the isolates proved to be HLAR to both gentamicin and streptomycin (HLR-ST/GE), with 23.6% resistant only to gentamicin (HLR-GE) and 37.4% only to streptomycin (HLR-ST). One predominant clonal group was found among E. faecalis HLR-GE/ST. The prevalence of resistance among beta-lactam antibiotics and glycopeptides was very low. However, in this study there was an increased number of HLR Enterococcus which may be spreading intra and inter-hospital. PMID:24031416

  7. Impact of extensive antibiotic treatment on faecal carriage of antibiotic-resistant enterobacteria in children in a low resistance prevalence setting

    Science.gov (United States)

    Brandtzaeg, Petter; Høiby, E. Arne; Bohlin, Jon; Samuelsen, Ørjan; Steinbakk, Martin; Abrahamsen, Tore G.; Müller, Fredrik; Gammelsrud, Karianne Wiger

    2017-01-01

    We prospectively studied the consequences of extensive antibiotic treatment on faecal carriage of antibiotic-resistant enterobacteria in a cohort of children with cystic fibrosis (CF) and a cohort of children with cancer compared to healthy children with no or low antibiotic exposure. The study was conducted in Norway in a low resistance prevalence setting. Sixty longitudinally collected faecal samples from children with CF (n = 32), 88 samples from children with cancer (n = 45) and 127 samples from healthy children (n = 70) were examined. A direct MIC-gradient strip method was used to detect resistant Enterobacteriaceae by applying Etest strips directly onto agar-plates swabbed with faecal samples. Whole genome sequencing (WGS) data were analysed to identify resistance mechanisms in 28 multidrug-resistant Escherichia coli isolates. The prevalence of resistance to third-generation cephalosporins, gentamicin and ciprofloxacin was low in all the study groups. At inclusion the prevalence of ampicillin-resistant E. coli and trimethoprim-sulfamethoxazole-resistant E. coli in the CF group compared to healthy controls was 58.6% vs. 28.4% (p = 0.005) and 48.3% vs. 14.9% (p = 0.001), respectively, with a similar prevalence at the end of the study. The prevalence of resistant enterobacteria was not significantly different in the children with cancer compared to the healthy children, not even at the end of the study when the children with cancer had been treated with repeated courses of broad-spectrum antibiotics. Children with cancer were mainly treated with intravenous antibiotics, while the CF group mainly received peroral treatment. Our observations indicate that the mode of administration of antibiotics and the general level of antimicrobial resistance in the community may have an impact on emergence of resistance in intestinal enterobacteria during antibiotic treatment. The WGS analyses detected acquired resistance genes and/or chromosomal mutations that explained the

  8. Aquaculture can promote the presence and spread of antibiotic-resistant Enterococci in marine sediments.

    Directory of Open Access Journals (Sweden)

    Andrea Di Cesare

    Full Text Available Aquaculture is an expanding activity worldwide. However its rapid growth can affect the aquatic environment through release of large amounts of chemicals, including antibiotics. Moreover, the presence of organic matter and bacteria of different origin can favor gene transfer and recombination. Whereas the consequences of such activities on environmental microbiota are well explored, little is known of their effects on allochthonous and potentially pathogenic bacteria, such as enterococci. Sediments from three sampling stations (two inside and one outside collected in a fish farm in the Adriatic Sea were examined for enterococcal abundance and antibiotic resistance traits using the membrane filter technique and an improved quantitative PCR. Strains were tested for susceptibility to tetracycline, erythromycin, ampicillin and gentamicin; samples were directly screened for selected tetracycline [tet(M, tet(L, tet(O] and macrolide [erm(A, erm(B and mef] resistance genes by newly-developed multiplex PCRs. The abundance of benthic enterococci was higher inside than outside the farm. All isolates were susceptible to the four antimicrobials tested, although direct PCR evidenced tet(M and tet(L in sediment samples from all stations. Direct multiplex PCR of sediment samples cultured in rich broth supplemented with antibiotic (tetracycline, erythromycin, ampicillin or gentamicin highlighted changes in resistance gene profiles, with amplification of previously undetected tet(O, erm(B and mef genes and an increase in benthic enterococcal abundance after incubation in the presence of ampicillin and gentamicin. Despite being limited to a single farm, these data indicate that aquaculture may influence the abundance and spread of benthic enterococci and that farm sediments can be reservoirs of dormant antibiotic-resistant bacteria, including enterococci, which can rapidly revive in presence of new inputs of organic matter. This reservoir may constitute an

  9. Different phenotypic and molecular mechanisms associated with multidrug resistance in Gram-negative clinical isolates from Egypt

    Directory of Open Access Journals (Sweden)

    Helmy OM

    2017-12-01

    Full Text Available Omneya M Helmy, Mona T Kashef Department of Microbiology and Immunology, Faculty of Pharmacy, Cairo University, Cairo, Egypt Objectives: We set out to investigate the prevalence, different mechanisms, and clonal relatedness of multidrug resistance (MDR among third-generation cephalosporin-resistant Gram-negative clinical isolates from Egypt.Materials and methods: A total of 118 third-generation cephalosporin-resistant Gram-negative clinical isolates were included in this study. Their antimicrobial susceptibility pattern was determined using Kirby–Bauer disk diffusion method. Efflux pump-mediated resistance was tested by the efflux-pump inhibitor-based microplate assay using chlorpromazine. Detection of different aminoglycoside-, β-lactam-, and quinolone-resistance genes was done using polymerase chain reaction. The genetic diversity of MDR isolates was investigated using random amplification of polymorphic DNA.Results: Most of the tested isolates exhibited MDR phenotypes (84.75%. The occurrence of efflux pump-mediated resistance in the different MDR species tested was 40%–66%. Acinetobacter baumannii isolates showed resistance to most of the tested antibiotics, including imipenem. The blaOXA-23-like gene was detected in 69% of the MDR A. baumannii isolates. The MDR phenotype was detected in 65% of Pseudomonas aeruginosa isolates, of which only 23% exhibited efflux pump-mediated resistance. On the contrary, efflux-mediated resistance to piperacillin and gentamicin was recorded in 47.5% of piperacillin-resistant and 25% of gentamicin-resistant MDR Enterobacteriaceae. Moreover, the plasmid-mediated quinolone-resistance genes (aac(6’-Ib-cr, qnrB, and qnrS were detected in 57.6% and 83.33% of quinolone-resistant MDR Escherichia coli and Klebsiella pneumoniae isolates, respectively. The β-lactamase-resistance gene blaSHV-31 was detected for the first time in one MDR K. pneumoniae isolate from an endotracheal tube specimen in Egypt

  10. Increased Resistance of Skin Flora to Antimicrobial Prophylaxis in Patients Undergoing Hip Revision Arthroplasty.

    Science.gov (United States)

    Mühlhofer, Heinrich M L; Deiss, Lukas; Mayer-Kuckuk, Philipp; Pohlig, Florian; Harrasser, Norbert; Lenze, Ulrich; Gollwitzer, Hans; Suren, Christian; Prodinger, Peter; VON Eisenhart-Rothe, Rüdiger; Schauwecker, Johannes

    2017-01-01

    Prosthetic joint infection (PJI) remains a major complication after total joint replacement and is the primary indication for revision arthroplasty. Specifically, coagulase-negative Staphylococci (CNS) can cause low-grade infections. Despite the use of cephalosporin-based antimicrobial prophylaxis (AMP) and antiseptic treatment at the surgical site, evidence suggests that a significant number of cases of dermal CNS results in low-grade PJI. Thus, this study examined the bacterial colonization and resistance patterns at the surgical site. We hypothesized that the bacteria developed resistance to antibiotics that are frequently used in primary and revision total hip arthroplasty (THA) procedures. Ninety patients, including 63 primary and 27 revision THA patients, were enrolled in this study. For each patient, a single swab of the skin at the surgical site was subjected to clinical microbiology to assess bacterial colonization. Furthermore, resistance to a sentinel panel of antibiotics (benzylpenicillin, erythromycin, tetracycline, oxacillin, fusidic acid, clindamycin, gentamicin, levofloxacin/moxifloxacin, rifampicin, linezolid and vancomycin) was tested. In 96.7% of the patients, at least one bacterial strain was identified at the surgical site, with CNS strains comprising 93.1% of the total. The sentinel panel showed that 30.7% of the CNS strains exhibited maximal resistance to oxacillin, a commonly used cephalosporin. Additionally, oxacillin resistance increased 1.9-fold (p=0.042) between primary and revision THA. Notably, 8.1% of the CNS stains found on patients undergoing primary THA were resistant to gentamicin, an aminoglycoside, and this rate increased 4.7-fold (p=0.001) for patients undergoing revision THA. CNS strains have significant resistance to standard AMP, particularly in individuals undergoing revision THA. Copyright© 2017, International Institute of Anticancer Research (Dr. George J. Delinasios), All rights reserved.

  11. High Mortality from Blood Stream Infection in Addis Ababa, Ethiopia, Is Due to Antimicrobial Resistance.

    Directory of Open Access Journals (Sweden)

    Teshale Seboxa

    Full Text Available Managing blood stream infection in Africa is hampered by lack of bacteriological support needed for antimicrobial stewardship, and background data needed for empirical treatment. A combined pro- and retrospective approach was used to overcome thresholds in clinical research in Africa.Outcome and characteristics including age, HIV infection, pancytopenia and bacteriological results were studied in 292 adult patients with two or more SIRS criteria using univariate and confirming multivariate logistic regression models. Expected randomly distributed resistance covariation was compared with observed co-resistance among gram-negative enteric bacteria in 92 paediatric blood culture isolates that had been harvested in the same hospital during the same period of time.Mortality was fivefold increased among patients with positive blood culture results [50.0% vs. 9.8%; OR 11.24 (4.38-25.88, p < 0.0001], and for this group of patients mortality was significantly associated with antimicrobial resistance [OR 23.28 (3.3-164.4, p = 0.002]. All 11 patients with Enterobacteriaceae resistant to 3rd. generation cephalosporins died. Eighty-nine patients had pancytopenia grade 3-4. Among patients with negative blood culture results, mortality was significantly associated with pancytopenia [OR 3.12 (1.32-7.39, p = 0.01]. HIV positivity was not associated with increased mortality. Antimicrobial resistance that concerned gram-negative enteric bacteria, regardless of species, was characterized by co-resistance between third generation cephalosporins, gentamicin, chloramphenicol, and co-trimoxazole.Mortality was strongly associated with growth of bacteria resistant to empirical treatment, and these patients were dead or dying when bacteriological reports arrived. Because of co-resistance, alternative efficient antibiotics would not have been available in Ethiopia for 8/11 Enterobacteriaceae-infected patients with isolates resistant to third generation cephalosporins

  12. Fosfomycin Addition to Poly(D,L-Lactide Coating Does Not Affect Prophylaxis Efficacy in Rat Implant-Related Infection Model, But That of Gentamicin Does.

    Directory of Open Access Journals (Sweden)

    Anil Gulcu

    Full Text Available Gentamicin is the preferred antimicrobial agent used in implant coating for the prevention of implant-related infections (IRI. However, the present heavy local and systemic administration of gentamicin can lead to increased resistance, which has made its future use uncertain, together with related preventive technologies. Fosfomycin is an alternative antimicrobial agent that lacks the cross-resistance presented by other classes of antibiotics. We evaluated the efficacy of prophylaxis of 10% fosfomycin-containing poly(D,L-lactide (PDL coated K-wires in a rat IRI model and compared it with uncoated (Control 1, PDL-coated (Control 2, and 10% gentamicin-containing PDL-coated groups with a single layer of coating. Stainless steel K-wires were implanted and methicillin-resistant Staphylococcus aureus (ATCC 43300 suspensions (103 CFU/10 μl were injected into a cavity in the left tibiae. Thereafter, K-wires were removed and cultured in tryptic soy broth and then 5% sheep blood agar mediums. Sliced sections were removed from the tibiae, stained with hematoxylin-eosin, and semi-quantitatively evaluated with X-rays. The addition of fosfomycin into PDL did not affect the X-ray and histopathological evaluation scores; however, the addition of gentamicin lowered them. The addition of gentamicin showed a protective effect after the 28th day of X-ray evaluations. PDL-only coating provided no protection, while adding fosfomycin to PDL offered a 20% level protection and adding gentamicin offered 80%. Furthermore, there were 103 CFU level growths in the gentamicin-added group, while the other groups had 105. Thus, the addition of fosfomycin to PDL does not affect the efficacy of prophylaxis, but the addition of gentamicin does. We therefore do not advise the use of fosfomycin as a single antimicrobial agent in coating for IRI prophylaxis.

  13. Characterization of antibiotic resistant Escherichia coli in different poultry farming systems in the Eastern Province and Kigali City of Rwanda

    Directory of Open Access Journals (Sweden)

    R. Manishimwe

    2017-09-01

    Full Text Available Antibiotic resistance has become a global public health concern as a wide num­ber of resistant bacteria are continuously emerging. Animals have been pointed out as one of the sources of antibiotic-resistant bacteria that can be transferred to humans. To enrich the data on antibiotic resistance in animals in Rwanda, a cross-sectional study was carried out in the Eastern Province and in Kigali City to isolate Escherichia coli from free-range and commercial poultry farms. Fecal samples were collected from 294 poultry farms and E. coli strains were isolated and identified. In total 241 E. coli isolates were subjected to an antibi­otic sensitivity test using five antibiotics (gentamicin, streptomycin, rifampicin, doxycycline and erythromycin. Antibiotic use in poultry was low in free-range poultry farms (30.9% compared to layer and broiler production farms (100%. Among 151 farmers who reported using antibiotics in poultry, almost half (49.7% always used antibiotics with a veterinarian prescription. Out of 241 E. coli isolates, 43.2% had a multiple resistance to four of the five antibiotics tested. Almost all the isolates (98.8% were resistant to erythromycin, 78.8% were resistant to streptomycin, 77.6% were resistant to doxycycline, 69.3% were resistant to rifampicin and only a few were resistant to gentamicin (3.7%. No statistically significant difference was observed regarding isolate resistance against antibiotics according to the farming system type. However, resistance of isolates to doxycycline was significantly higher in farms where antibiotic use was reported (84% than in farms where antibiotic use was not reported (70%. The observed antibiotic resistance of E. coli shows the existence of a potential source of resistance that can be transferred to pathogenic bacteria and impact humans as well as animals.

  14. Antimicrobial resistance of fecal Salmonella spp. isolated from all phases of pig production in 20 herds in Alberta and Saskatchewan

    OpenAIRE

    Rosengren, Leigh B.; Waldner, Cheryl L.; Reid‐Smith, Richard J.; Checkley, Sylvia L.; McFall, Margaret E.; Rajíc, Andrijana

    2008-01-01

    Salmonella spp. (n = 468), isolated from the feces of sows, nursery, and grow‐finish pigs in 20 farrow‐to‐finish herds in Alberta and Saskatchewan, were tested for susceptibility to 16 antimicrobials. No resistance was identified to amikacin, amoxicillin‐clavulanic acid, ceftiofur, ceftriaxone, ciprofloxacin or nalidixic acid, and less than 1% of the isolates were resistant to cefoxitin and gentamicin. Isolates were most commonly resistant to tetracycline (35%) and sulfamethoxazole (27%). Ove...

  15. Phenotypic and genotypic profiling of antimicrobial resistance in enteric Escherichia coli communities isolated from finisher pigs in Australia.

    Science.gov (United States)

    Smith, M G; Jordan, D; Gibson, J S; Cobbold, R N; Chapman, T A; Abraham, S; Trott, D J

    2016-10-01

    To assess herd-to-herd variation in antimicrobial resistance phenotypes and associated antimicrobial resistance genes (ARGs) in faecal commensal Escherichia coli communities isolated from Australian slaughter-age pigs. Hydrophobic grid-membrane filtration (HGMF) was used to screen populations of E. coli isolated from faecal samples obtained from pigs prior to or at slaughter. Multiplex PCRs were applied to the pooled DNA extracted from the samples to identify specific ARGs. Pooled faecal samples from 30 finishers, from 72 different Australian pig farms, produced 5003 isolates for screening. HGMF techniques and image analysis were used to confirm E. coli resistance phenotypes to four antimicrobial agents (ampicillin, gentamicin, florfenicol and ceftiofur) using selective agars. Multiplex PCRs were performed on DNA from pooled samples for 35 ARGs associated with seven chemical classes. The prevalence of E. coli isolates showing no resistance to any of the drugs was 50.2% (95% confidence interval (CI) 41.8-58.6%). Ceftiofur resistance was very low (1.8%; CI 0.8-3.9%) and no ARGs associated with 3rd-generation cephalosporin resistance were detected. By contrast, ampicillin (29.4%, CI 22.8-37.0%), florfenicol (24.3%, CI 17.8-32.3%) and gentamicin (CI 17.5%, 10.7-27.2%) resistance prevalence varied greatly between farms and associated ARGs were common. The most common combined resistance phenotype was ampicillin-florfenicol. The use of registered antimicrobials in Australian pigs leads to the enteric commensal populations acquiring associated ARGs. However, despite a high intensity of sampling, ARGs imparting resistance to the critically important 3rd-generation cephalosporins were not detected. © 2016 Australian Veterinary Association.

  16. Multiple Antimicrobial Resistance of Escherichia coli Isolated from Chickens in Iran.

    Science.gov (United States)

    Talebiyan, Reza; Kheradmand, Mehdi; Khamesipour, Faham; Rabiee-Faradonbeh, Mohammad

    2014-01-01

    Antimicrobial agents are used extremely in order to reduce the great losses caused by Escherichia coli infections in poultry industry. In this study, 318 pathogenic Escherichia coli (APEC) strains isolated from commercial broiler flocks with coli-septicemia were examined for antimicrobials of both veterinary and human significance by disc diffusion method. Multiple resistances to antimicrobial agents were observed in all the isolates. Resistance to the antibiotics was as follows: Tylosin (88.68%), Erythromycin (71.70%), Oxytetracycline (43.40%), Sulfadimethoxine-Trimethoprim (39.62%), Enrofloxacin (37.74%), Florfenicol (35.85%), Chlortetracycline (33.96%), Doxycycline (16.98%), Difloxacin (32.08%), Danofloxacin (28.30%), Chloramphenicol (20.75%), Ciprofloxacin (7.55%), and Gentamicin (5.66%). This study showed resistance against the antimicrobial agents that are commonly applied in poultry, although resistance against the antibiotics that are only applied in humans or less frequently used in poultry was significantly low. This study emphasizes on the occurrence of multiple drug resistant E. coli among diseased broiler chickens in Iran. The data revealed the relative risks of using antimicrobials in poultry industry. It also concluded that use of antibiotics must be limited in poultry farms in order to reduce the antibiotic resistances.

  17. Multiple Antimicrobial Resistance of Escherichia coli Isolated from Chickens in Iran

    Directory of Open Access Journals (Sweden)

    Reza Talebiyan

    2014-01-01

    Full Text Available Antimicrobial agents are used extremely in order to reduce the great losses caused by Escherichia coli infections in poultry industry. In this study, 318 pathogenic Escherichia coli (APEC strains isolated from commercial broiler flocks with coli-septicemia were examined for antimicrobials of both veterinary and human significance by disc diffusion method. Multiple resistances to antimicrobial agents were observed in all the isolates. Resistance to the antibiotics was as follows: Tylosin (88.68%, Erythromycin (71.70%, Oxytetracycline (43.40%, Sulfadimethoxine-Trimethoprim (39.62%, Enrofloxacin (37.74%, Florfenicol (35.85%, Chlortetracycline (33.96%, Doxycycline (16.98%, Difloxacin (32.08%, Danofloxacin (28.30%, Chloramphenicol (20.75%, Ciprofloxacin (7.55%, and Gentamicin (5.66%. This study showed resistance against the antimicrobial agents that are commonly applied in poultry, although resistance against the antibiotics that are only applied in humans or less frequently used in poultry was significantly low. This study emphasizes on the occurrence of multiple drug resistant E. coli among diseased broiler chickens in Iran. The data revealed the relative risks of using antimicrobials in poultry industry. It also concluded that use of antibiotics must be limited in poultry farms in order to reduce the antibiotic resistances.

  18. Antimicrobial resistance and phylogenetic groups in isolates of Escherichia coli from seagulls at the Berlengas nature reserve.

    Science.gov (United States)

    Radhouani, H; Poeta, P; Igrejas, G; Gonçalves, A; Vinué, L; Torres, C

    2009-08-01

    Fifty-three faecal samples from yellow-legged gulls (Larus cachinnans) at the Berlengas nature reserve in Portugal were cultured on Levine agar plates not supplemented with antimicrobial agents, and one Escherichia coli colony was isolated and identified from each sample. The percentages of resistant isolates for each of the drugs were ampicillin (43.4 per cent), tetracycline (39.6 per cent), nalidixic acid (34.0 per cent), streptomycin (32.1 per cent), trimethoprim-sulfamethoxazole (SXT) (26.4 per cent), ciprofloxacin (18.9 per cent), chloramphenicol (18.9 per cent), gentamicin (7.5 per cent), tobramycin (7.5 per cent) amikacin (5.7 per cent) and amoxicillin-clavulanic acid (1.9 per cent). All the isolates were susceptible to cefoxitin, ceftazidime, cefotaxime, aztreonam and imipenem. The following resistance genes were detected: bla(TEM) (17 of 23 ampicillin-resistant isolates), tet(A) and/or tet(B) (18 of 21 tetracycline-resistant isolates), aadA (12 of 17 streptomycin-resistant isolates), cmlA (all chloramphenicol-resistant isolates), aac(3)-II with or without aac(3)-IV (all four gentamicin-resistant isolates), and sul1 and/or sul2 and/or sul3 (all 14 SXT-resistant isolates). The intI1 gene was detected in 10 of 14 SXT-resistant isolates, and three of them also contained class 2 integrons; four different gene cassette arrangements were identified among class 1 integrons (aadA, dfrA1+aadA1, dfrA12+orfF+aadA2 and sat+psp+aadA2) and one among the class 2 integrons (dfrA1+sat+aadA1). Ninety per cent of the isolates were included in the A or B1 phylogenetic groups.

  19. Vanillin selectively modulates the action of antibiotics against resistant bacteria.

    Science.gov (United States)

    Bezerra, Camila Fonseca; Camilo, Cicera Janaine; do Nascimento Silva, Maria Karollyna; de Freitas, Thiago Sampaio; Ribeiro-Filho, Jaime; Coutinho, Henrique Douglas Melo

    2017-12-01

    The treatment of infections caused by microorganisms that are resistant to antibiotics represent one of the main challenges of medicine today, especially due to the inefficacy of long-term drug therapy. In the search for new alternatives to treat these infections, many researchers have been looking for new substances derived from natural products to replace, or be used in combination with conventional antibiotics. Vanillin is a phenolic compound whose antimicrobial activity has been used in the elimination of pathogens present in fruits and vegetables. However, its antibacterial and modulating properties remain to be characterized. Therefore, this work aimed to evaluate the antibacterial activity and analyze the modulator activity of vanillin in association with conventional antibiotics. The antimicrobial activity of vanillin was evaluated using the microdilution method to determine the Minimum Inhibitory Concentration (MIC) Standard strains of Escherichia coli, Staphylococcus aureus, Pseudomonas aeruginosa, and multi-resistant strains of Escherichia coli 06, Staphylococcus aureus 10, Pseudomonas aeruginosa 24 were used in this study. The antibiotic modulating effect was analyzed by combining vanillin with Norfloxacin, Imipenem, Gentamicin, Erythromycin and Tetracycline against the following multiresistant bacteria strains: Escherichia coli 06, Staphylococcus aureus 10 and Pseudomonas aeruginosa 24. Data were analyzed using the ANOVA test of two tracks followed by the post hoc Bonferroni test. Vanillin presented CIMs ≥1024μg/mL against all tested strains demonstrating that it did not present significant antibacterial activity. However, modulated the activity of gentamicin and imipenem against S. aureus and E. coli, causing a synergistic effect, but did not affect the activity of norfloxacin, tetracycline and erythromycin against these same microorganisms. A synergistic effect was also obtained from the association of vanillin with norfloxacin against P

  20. Antibiotic resistance pattern of bacterial isolates in neonatal care unit

    Directory of Open Access Journals (Sweden)

    S Shrestha

    2010-12-01

    Full Text Available INTRODUCTION: Bacterial infections account for a huge proportion of neonatal deaths worldwide. The problem of antibiotic resistance among common bacterial pathogens mainly the gram negative bacteria is emerging globally which is of more serious concern in developing countries like Nepal. METHODS: A one year retrospective hospital based study was carried out to analyze the results of neonatal blood, cerebrospinal fluid, urine, stool and surface cultures and to look into the sensitivity pattern of the commonly used antibiotics. RESULTS: The positive yield of blood, urine, eye swab and CSF cultures were 19.56%, 38.5%, 60% and 0.36% respectively. The most common isolates in the blood culture were coagulase negative Staphylococcus, Acinetobacter, Enterobacter and non-haemolytic Streptococcus. A significant percent of the isolates were resistant to the first line antibiotics. Among the gram negative isolates more than 30% are resistant to cefotaxime and more than 50% are resistant to gentamicin. During the one year period we had Nursery outbreaks of methicillin resistant Staphylococcus aureus and Salmonella infections. With the help of environmental cultures we were able to trace the source and intervene appropriately. CONCLUSIONS: Continuous surveillance for antibiotic susceptibility, rational use of antibiotics and the strategy of antibiotic cycling can provide some answers to the emerging problem of antibiotic resistance.

  1. Antibiotic resistance pattern of bacterial isolates in neonatal care unit.

    Science.gov (United States)

    Shrestha, S; Adhikari, N; Rai, B K; Shreepaili, A

    2010-01-01

    Bacterial infections account for a huge proportion of neonatal deaths worldwide. The problem of antibiotic resistance among common bacterial pathogens mainly the gram negative bacteria is emerging globally which is of more serious concern in developing countries like Nepal. A one year retrospective hospital based study was carried out to analyze the results of neonatal blood, cerebrospinal fluid, urine, stool and surface cultures and to look into the sensitivity pattern of the commonly used antibiotics. The positive yield of blood, urine, eye swab and CSF cultures were 19.56%, 38.5%, 60% and 0.36% respectively. The most common isolates in the blood culture were coagulase negative Staphylococcus, Acinetobacter, Enterobacter and non-haemolytic Streptococcus. A significant percent of the isolates were resistant to the first line antibiotics. Among the gram negative isolates more than 30% are resistant to cefotaxime and more than 50% are resistant to gentamicin. During the one year period we had Nursery outbreaks of methicillin resistant Staphylococcus aureus and Salmonella infections. With the help of environmental cultures we were able to trace the source and intervene appropriately. Continuous surveillance for antibiotic susceptibility, rational use of antibiotics and the strategy of antibiotic cycling can provide some answers to the emerging problem of antibiotic resistance.

  2. Antibiotic resistance in Salmonella Enteritidis isolated from broiler carcasses Resistência antimicrobiana em Salmonella Enteritidis isoladas de carcaças de frango

    Directory of Open Access Journals (Sweden)

    Martha Oliveira Cardoso

    2006-09-01

    Full Text Available Eighty Salmonella Enteritidis strains isolated from broiler carcasses between May 1995 and April 1996 in the State of Rio Grande do Sul, Brazil, were tested for antibiotic susceptibility using the disk diffusion method. Resistance to colistin, novobiocin, erythromycin and tetracycline was observed in 100% of the isolates. The strains showed intermediate resistance at different levels to kanamycin (1.25%, enrofloxacin (3.75%, neomycin (3.75%, fosfomycin (20%, sulphonamides (86.25% and nitrofurantoin (90%. Resistance to ciprofloxacin, norfloxacin, gentamicin, polymyxin B, sulphametrim and sulphazotrim was not found. Since resistance to antibiotics especially those introduced in the last decades, was detected, it is recommended that their use must be based on the results of resistance tests or minimum inhibitory concentration tests.Oitenta amostras de Salmonella Enteritidis isoladas de carcaças de frango no período entre maio de 1995 a abril de 1996 no Estado do Rio Grande do Sul, Brasil foram testados para susceptibilidade antimicrobiana pelo método de antibiograma. O antibiograma das amostras apresentou 100% de resistência a colistina, novobiocina, eritromicina e tetraciclina. Tiveram resistência em diferentes níveis a canamicina (1,25%, enrofloxacina (3,75%, neomicina (3,75%, fosfomicina (20%, sulfonamida (86,25% e nitrofurantoína (90% e por outro lado não apresentaram resistência a ciprofloxacina, norfloxacina, gentamicina, polimixina B, sulfametrim e sulfazotrim. A constatação de resistência a antibióticos, inclusive àqueles introduzidos na última década, enfatiza a necessidade de uso responsável de antibióticos, e com base em antibiograma ou concentração inibitória mínima.

  3. Evaluation of Petrifilm™ Select E. coli Count Plate medium to discriminate antimicrobial resistant Escherichia coli

    Directory of Open Access Journals (Sweden)

    Jensen Lars

    2008-09-01

    Full Text Available Abstract Background Screening and enumeration of antimicrobial resistant Escherichia coli directly from samples is needed to identify emerging resistant clones and obtain quantitative data for risk assessment. Aim of this study was to evaluate the performance of 3M™ Petrifilm™ Select E. coli Count Plate (SEC plate supplemented with antimicrobials to discriminate antimicrobial-resistant and non-resistant E. coli. Method A range of E. coli isolates were tested by agar dilution method comparing the Minimal Inhibitory Concentration (MIC for eight antimicrobials obtained by Mueller-Hinton II agar, MacConkey agar and SEC plates. Kappa statistics was used to assess the levels of agreement when classifying strains as resistant, intermediate or susceptible. Results SEC plate showed that 74% of all strains agreed within ± 1 log2 dilution when comparing MICs with Mueller-Hinton II media. High agreement levels were found for gentamicin, ampicillin, chloramphenicol and cefotaxime, resulting in a kappa value of 0.9 and 100% agreement within ± 1 log2 dilution. Significant variances were observed for oxytetracycline and sulphamethoxazole. Further tests showed that the observed discrepancy in classification of susceptibility to oxytetracycline by the two media could be overcome when a plate-dependent breakpoint of 64 mg/L was used for SEC plates. For sulphamethoxazole, SEC plates provided unacceptably high MICs. Conclusion SEC plates showed good agreement with Mueller-Hinton II agar in MIC studies and can be used to screen and discriminate resistant E. coli for ampicillin, cephalothin, streptomycin, chloramphenicol, cefotaxime and gentamicin using CLSI standardized breakpoints, but not for sulphamethoxazole. SEC plates can also be used to discriminate oxytetracycline-resistant E. coli if a plate-dependent breakpoint value of 64 mg/L is used.

  4. In vitro antimicrobial susceptibility and genetic resistance determinants of Streptococcus agalactiae isolated from mastitic cows in Brazilian dairy herds

    Directory of Open Access Journals (Sweden)

    Juliana Rosa da Silva

    2017-08-01

    Full Text Available Streptococcus agalactiae is one of the main causative agents of bovine mastitis and is associated with several economic losses for producers. Few studies have evaluated antimicrobial susceptibility and the prevalence of genetic resistance determinants among isolates of this bacterium from Brazilian dairy cattle. This work aimed to evaluate the frequency of the antimicrobial resistance genes ermA, ermB, mefA, tetO, tetM, aphA3, and aad-6, and in vitro susceptibility to the antimicrobials amikacin, erythromycin, clindamycin, tetracycline, gentamicin, penicillin, ceftiofur, and cefalotin, and the associations between resistance genotypes and phenotypes among 118 S. agalactiae isolates obtained from mastitic cows in Brazilian dairy herds. Of the resistance genes examined, ermB was found in 19 isolates (16.1%, tetO in 23 (19.5%, and tetM in 24 (20.3%. The genes ermA, mefA, aphA3, and aad-6 were not identified. There was an association between the presence of genes ermB, tetM, and tetO and phenotypic resistance to erythromycin, clindamycin, and tetracycline. Rates of resistance to the tested antibiotics varied, as follows: erythromycin (19.5%, tetracycline (35.6%, gentamicin (9.3%, clindamycin (20.3%, penicillin (3.4%, and amikacin (38.1%; conversely, all isolates were susceptible to ceftiofur and cefalotin. Antimicrobial resistance testing facilitates the treatment decision process, allowing the most judicious choice of antibiotics. Moreover, it enables regional and temporal monitoring of the resistance dynamics of this pathogen of high importance to human and animal health.

  5. Characterization of pig-associated methicillin-resistant Staphylococcus aureus.

    Science.gov (United States)

    Li, Jun; Jiang, Nansong; Ke, Yuebin; Feßler, Andrea T; Wang, Yang; Schwarz, Stefan; Wu, Congming

    2017-03-01

    Livestock-associated methicillin-resistant Staphylococcus aureus (LA-MRSA) have been reported in various countries worldwide. However, although China is one of the biggest pig and pork producers, large-scale studies on pig-associated LA-MRSA from China are scarce. The aims of this study were to analyze 2420 non-duplicate samples collected from pigs at swine farms and slaughterhouses in different regions in China during 2014 for the prevalence of pig-associated MRSA and to determine the antimicrobial resistance pheno- and genotypes of the respective isolates. MRSA isolates were identified in 270 (11.2%) samples. The isolates were characterized by antimicrobial susceptibility testing, multilocus sequence typing (MLST), spa typing, pulsed-field gel electrophoresis (PFGE) and screening for resistance genes. All MRSA isolates belonged to the clonal complex 9 and spa type t899, but showed variable PFGE patterns. All isolates were non-susceptible to oxacillin, cefoxitin, clindamycin, chloramphenicol, florfenicol, ciprofloxacin, and valnemulin. High rates of resistance were also observed for tetracycline (99.6%), erythromycin (97.0%), quinupristin-dalfopristin (97.0%), and gentamicin (80.4%). Three linezolid-non-susceptible isolates containing the multi-resistance gene cfr and nine rifampicin-non-susceptible isolates with mutations in rpoB were detected. Resistance to β-lactams was exclusively associated with mecA, while phenicol resistance was mainly attributable to fexA, except in the three cfr-positive isolates. The pleuromutilin-lincosamide-streptogramin A resistance gene lsa(E) was identified in all MRSA isolates, and no other pleuromutilin resistance genes, except cfr in three isolates, were detected. Pigs are the most important hosts of LA-MRSA in China. Screening for pig-associated MRSA is necessary to monitor changes in epidemiology and characteristics of these important pathogens. Copyright © 2017 Elsevier B.V. All rights reserved.

  6. Pattern of antibiotic resistant mastitis in dairy cows

    Directory of Open Access Journals (Sweden)

    D. Chandrasekaran

    2014-06-01

    Full Text Available Aim: To study the prevalence of drug resistant mastitis and their pattern of antibiotic resistance in dairy cows from Tamil Nadu. Materials and Methods: Isolation and identification of resistant pathogens were performed from acute clinical mastitis samples. Based on culture, isolation and sensitivity tests, cows with resistant mastitis were grouped as; Group I: Escherichia coli (n=119, Group II: Staphylococcus aureus (n=104 and Group III: Methicillin-resistant Staphylococcal aureus (MRSA (n=12. The isolates were tested using agar disc diffusion method for their antimicrobial susceptibility and modified resazurin assay microdilution technique for minimum inhibitory concentration (MIC to 8 antimicrobial drugs. The organisms were also confirmed for their identity by performing PCR on the bacterial pellet targeting the specific genes such as 16s-23s rRNA, mecA and blaZ respectively for the resistant pathogens and also confirmed by sequencing. Results: Antibiotic resistant mastitis was detected in 235 out of 401 cows accounting to 56.1%. The predominant resistant causative pathogen was E. coli (50.64% followed by S. aureus (44.25% and MRSA (5.11%. In vitro antibiotic sensitivity test and MIC breakpoints, E. coli, S. aureus and MRSA organisms showed more sensitivity to enrofloxacin, amoxicillin + sulbactam, gentamicin and ceftriaxone and had highest resistant to penicillin followed by amoxicillin, oxytetracycline and methicillin. E. coli and S. aureus isolates were found to be resistant to 1 or 2 antimicrobials, whereas most of the MRSA isolates were found to be multi-drug resistant i.e resistance to 3 or more of antimicrobials. Out of 235 milk samples, the specific target gene 16s-23s rRNA (E. coli , 16s-23s rRNA (S. aureus and MRSA (mecA and blaZ could be amplified from 119, 104 and 12 isolates with a percentage positivity of 50.64 (119/235, 89.64 (104/116 and 10.34 (12/116 respectively. Conclusion: Prevalence of antimicrobial resistance (AMR in

  7. Resistência antimicrobiana em Salmonella Enteritidis isoladas de amostras clínicas e ambientais de frangos de corte e matrizes pesadas Antimicrobial resistance in Salmonella Enteritidis isolated from clinical and environmental broiler chickens and breeders broiler

    Directory of Open Access Journals (Sweden)

    A.R. Ribeiro

    2008-10-01

    Full Text Available The antimicrobial resistance of Salmonella Enteritidis strains isolated from clinical and environmental poultry samples in the Southern Brazil during the years of 1999, 2000 and 2001 was evaluated. Among the 79 isolated samples, 64 (81% were resistant to at least one of the antimicrobial agents tested, showing 22 different resistance patterns. Tetracycline showed the highest percentage (64,5% of resistance among the antimicrobial agents used. Resistance to drugs at different levels was found as the following: ampicillin (1.2%, kanamycin (1.2%, ciprofloxacin (2.5%, enrofloxacin (8.8%, gentamicin (21.5%, streptomycin (20.2%, nitrofurantoin (26.6%, and nalidixic acid (30.4%. None of the S. Enteritidis strains were resistant to chloramphenicol, norfloxacin, and polimycin B. Among the 64 S. Enteritidis strains that showed resistance, 43 (67.2% were resistant to two or more antimicrobial agents. Twenty-one (32.8% strains were resistant to only one of the antimicrobial agents, 14 to tetracycline, three to nalidixic acid, three to nitrofurantoin, and one to gentamycin. These antimicrobial resistance levels suggest a high occurrence of tetracycline resistant S. Enteritidis strains and resistance to two or more antimicrobial agents.

  8. RESISTENCIA MICROBIANA EN EL CARDIOCENTRO ERNESTO CHE GUEVARA. ESTUDIO DE 5 AÑOS / Microbial resistance at the “Ernesto Che Guevara” Cardiology Hospital. A five year study

    Directory of Open Access Journals (Sweden)

    Nérida Rodríguez Oliva

    2009-06-01

    Full Text Available Introduction and Objectives: The appearance of nosocomial bacterial resistance represents a problem of growing concern because it largely affects the evolution of patients and the health resources devoted to it. The objective of this work was to determine the most common germs and their microbial resistance. Methods: A descriptive retrospective study was carried out analyzing the result of the isolation of germs from all type of secretions and from the blood cultures of a group ofpatients hospitalized at the Ernesto Che Guevara Cardiology Hospital in a five year period. Results: A total of 397 strains were isolated, 77 Staphylococcus aureus and 320 Enterobacteriaceae. The former presented 100% resistance to penicillin. The Staphylococcus sensitive to methicillin presented 4.9 % resistance to cotrimoxazole and gentamicin. The strains resistant to methicillin or oxacillin had a high resistance to gentamicin (87.5 % and ciprofloxacin (81.2 %, a medium resistance to cefazolin and oxacilline (20.5 %, and just 12.5 percent resistance to cotrimoxazole. Conclusions: the Staphylococcus aureus and the Enterobacteriaceae were the most common germs. The preoperative prophylaxis in these patients must not be done with cefazolin as a routine because the staphylococci resistant to methicillin or oxacillin have influence on other antimicrobials.

  9. Comparative activities of telavancin combined with nafcillin, imipenem, and gentamicin against Staphylococcus aureus.

    Science.gov (United States)

    Leonard, Steven N; Supple, Megan E; Gandhi, Ronak G; Patel, Meghna D

    2013-06-01

    Beta-lactams enhance the killing activity of vancomycin. Due to structural and mechanistic similarities between vancomycin and telavancin, we investigated the activity of telavancin combined with nafcillin and imipenem compared to the known synergistic combination of telavancin and gentamicin. Thirty strains of Staphylococcus aureus, 10 methicillin-susceptible S. aureus (MSSA), 10 methicillin-resistant S. aureus (MRSA), and 10 heterogeneously vancomycin-intermediate S. aureus (hVISA), were tested for synergy by time-kill methodology. Six strains (2 each of MSSA, MRSA, and hVISA) were further evaluated in an in vitro pharmacokinetic/pharmacodynamic (PK/PD) model with simulated regimens of 10 mg/kg of body weight of telavancin once daily alone and combined with 2 g nafcillin every 4 h, 500 mg imipenem every 6 h, or 5 mg/kg gentamicin once daily over 72 h. In the synergy test, 67% of strains displayed synergy with the combination of telavancin and gentamicin, 70% with telavancin and nafcillin, and 63% with telavancin and imipenem. In the PK/PD model, the activities of all three combinations against MRSA and hVISA were superior to all individual drugs alone (P ≤ 0.002) and were similar to each other (P ≥ 0.187). The activities of all three combinations against MSSA were generally similar to each other except for one strain where the combination of telavancin and imipenem was superior to all other regimens (P ≤ 0.011). The activity of the combination of telavancin and beta-lactam agents was similar to that of telavancin and gentamicin against S. aureus, including resistant strains. Because beta-lactam combinations are less likely to be nephrotoxic than telavancin plus gentamicin, these beta-lactam combinations may have clinical utility.

  10. ANTIBIOTIC RESISTANCE IN LACTIC ACID BACTERIA ISOLATED FROM FERMENTED DAIRY PRODUCTS AND BOZA

    Directory of Open Access Journals (Sweden)

    Gamze Başbülbül

    2015-06-01

    Full Text Available In this study, the resistance of 83 strains of lactic acid bacteria isolated from Turkish cheese, yogurt, kefir and boza samples to 6 antibiotics (gentamicin, tetracycline, chloramphenicol, erythromycin, vancomycin and ciprofloxacin was evaluated. The 83 isolates were identified by 16S rRNA gene sequencing and according to BLAST comparisons with sequences in the data banks, those strains showing the highest similarities with the isolates were Enterococcus faecium (10, Lactococcus lactis subsp. Lactis (10, Lactobacillus fermentum (6, Lactobacillus plantarum (6, Lactobacillus coryniformis (7, Lactobacillus casei (13, Leuconostoc mesenteroides (14, Pediococcus pentosaceus (10, Weisella confusa (7. Antimicrobial resistance of strains to 6 antibiotics was determined using the agar dilution method. The antibiotic resistance among all the isolates was detected against chloramphenicol (31,3 % of the isolates, tetracycline (30,1 %, erythromycin (2,4 %, ciprofloxacin (2,41%, vancomycin (73,5 %, intrinsic resistance. Overall 19,3 % of the isolates showed resistance against multiple antibiotics. Antibiotic resistance genes were studied by PCR and the following genes were detected; tet(M gene in Lactobacillus fermentum (1, Lactobacillus plantarum (1, Pediococcus pentosaceus (5, Enterococcus faecium (2, Weisella confusa (4 and the vancomycin resistance gene van(A in one Weisella confusa strain.

  11. Comparison of E-test with other conventional susceptibility testing methods for ciprofloxacin and gentamicin against gram negative enteric bacilli.

    Science.gov (United States)

    Ogbolu, D O; Terry-Alli, O A; Daini, O A; Olabiyi, F A; Igharo, E A

    2012-06-01

    Increasing antibiotic resistance in Gram negative bacteria has led to the need for a faster and reliable method for determining antimicrobial susceptibility testing. In a resource poor setting like ours, it's also important to look for methods that will be clinically and economically beneficial to the patient. This study was aimed at evaluating the Epsilometer test (E-test) and conventional methods for determining antimicrobial susceptibility of isolates of Gram-negative enteric bacteria to ciprofloxacin and gentamicin. Disc diffusion, E-test, broth dilution and agar dilution methods were performed on 54 bacterial isolates. Using the E-test, 88.9% of bacterial isolates were resistant to ciprofloxacin, 92.6% were resistant using broth microdilution, 96.3% were resistant using agar dilution and 72.2% were resistant using disc diffusion. Minimum inhibitory concentration (MIC50) of isolates for gentamicin showed significant difference for all the techniques (p 0.05). Both E-test and broth dilution methods showed high levels of agreement (p > 0.05), there were low levels of agreement between E-test and agar dilution method (p < 0.05), especially at MIC50. The E-test can therefore be considered a reliable method to determine antimicrobial susceptibility testing and it gives results which are at least as accurate as those obtained by the broth dilution method.

  12. Rapid Aminoglycoside NP Test for Rapid Detection of Multiple Aminoglycoside Resistance in Enterobacteriaceae.

    Science.gov (United States)

    Nordmann, Patrice; Jayol, Aurélie; Dobias, Jan; Poirel, Laurent

    2017-04-01

    The rapid aminoglycoside NP (Nordmann/Poirel) test was developed to rapidly identify multiple aminoglycoside (AG) resistance in Enterobacteriaceae It is based on the detection of the glucose metabolism related to enterobacterial growth in the presence of a defined concentration of amikacin plus gentamicin. Formation of acid metabolites was evidenced by a color change (orange to yellow) of the red phenol pH indicator. The rapid aminoglycoside NP test was evaluated by using bacterial colonies of 18 AG-resistant isolates producing 16S rRNA methylases, 20 AG-resistant isolates expressing AG-modifying enzymes (acetyl-, adenyl-, and phosphotransferases), and 10 isolates susceptible to AG. Its sensitivity and specificity were 100% and 97%, respectively, compared to the broth dilution method, which was taken as the gold standard for determining aminoglycoside resistance. The test is inexpensive, rapid (<2 h), and implementable worldwide. Copyright © 2017 American Society for Microbiology.

  13. Antibiotic resistance monitoring in Vibrio spp. isolated from rearing environment and intestines of abalone Haliotis diversicolor.

    Science.gov (United States)

    Wang, R X; Wang, J Y; Sun, Y C; B L Yang; A L Wang

    2015-12-30

    546 Vibrio isolates from rearing seawater (292 strains) and intestines of abalone (254 strains) were tested to ten antibiotics using Kirby-Bauer diffusion method. Resistant rates of abalone-derived Vibrio isolates to chloramphenicol (C), enrofloxacin (ENX) and norfloxacin (NOR) were 40%) to kanamycin (KNA), furazolidone (F), tetracycline (TE), gentamicin (GM) and rifampin (RA). 332 isolates from seawater (n=258) and abalone (n=74) were resistant to more than three antibiotics. Peaked resistant rates of seawater-derived isolates to multiple antibiotics were overlapped in May and August. Statistical analysis showed that pH had an important effect on resistant rates of abalone-derived Vibrio isolates to RA, NOR, and ENX. Salinity and dissolved oxygen were negatively correlated with resistant rates of seawater-derived Vibrio isolates to KNA, RA, and PG. Copyright © 2015 Elsevier Ltd. All rights reserved.

  14. Antibiotic resistance of Clostridium perfringens isolates from broiler chickens in Egypt.

    Science.gov (United States)

    Osman, K M; Elhariri, M

    2013-12-01

    The use of antibiotic feed additives in broiler chickens results in a high prevalence of resistance among their enteric bacteria, with a consequent emergence of antibiotic resistance in zoonotic enteropathogens. Despite growing concerns about the emergence of antibiotic-resistant strains, which show varying prevalences in different geographic regions, little work has been done to investigate this issue in the Middle East. This study provides insight into one of the world's most common and financially crippling poultry diseases, necrotic enteritis caused by Clostridium perfringens. The study was designed to determine the prevalence of antibiotic resistance in C. perfringens isolates from clinical cases of necrotic enteritis in broiler chickens in Egypt. A total of 125 isolates were obtained from broiler flocks in 35 chicken coops on 17 farms and were tested using the disc diffusion method. All 125 isolates were resistant to gentamicin, streptomycin, oxolinic acid, lincomycin, erythromycin and spiramycin. The prevalence of resistance to other antibiotics was also high: rifampicin (34%), chloramphenicol (46%), spectinomycin (50%), tylosin-fosfomycin (52%), ciprofloxacin (58%), norfloxacin (67%), oxytetracycline (71%), flumequine (78%), enrofloxacin (82%), neomycin (93%), colistin (94%), pefloxacin (94%), doxycycline (98%) and trimethoprim-sulfamethoxazole (98%). It is recommended that C. perfringens infections in Egypt should be treated with antibiotics for which resistant isolates are rare at present; namely, amoxicillin, ampicillin, cephradine, fosfomycin and florfenicol.

  15. In vitro activity of tigecycline and comparators against carbapenem-susceptible and resistant Acinetobacter baumannii clinical isolates in Italy

    Directory of Open Access Journals (Sweden)

    Carattoli Alessandra

    2008-02-01

    Full Text Available Abstract Background In a recent multi-centre Italian survey (2003–2004, conducted in 45 laboratories throughout Italy with the aim of monitoring microorganisms responsible for severe infections and their antibiotic resistance, Acinetobacter baumannii was isolated from various wards of 9 hospitals as one of the most frequent pathogens. One hundred and seven clinically significant strains of A. baumannii isolates were included in this study to determine the in vitro activity of tigecycline and comparator agents. Methods Tests for the susceptibility to antibiotics were performed by the broth microdilution method as recommended by CLSI guidelines. The following antibiotics were tested: aztreonam, piperacillin/tazobactam, ampicillin/sulbactam, ceftazidime, cefepime, imipenem, meropenem tetracycline, doxycycline, tigecycline, gentamicin, amikacin, ciprofloxacin, colistin, and trimethoprim/sulphametoxazole. The PCR assay was used to determine the presence of OXA, VIM, or IMP genes in the carbapenem resistant strains. Results A. baumannii showed widespread resistance to ceftazidime, ciprofloxacin and aztreonam in more than 90% of the strains; resistance to imipenem and meropenem was 50 and 59% respectively, amikacin and gentamicin were both active against about 30% of the strains and colistin about 99%, with only one strain resistant. By comparison with tetracyclines, tigecycline and doxycycline showed a higher activity. In particular, tigecycline showed a MIC90 value of 2 mg/L and our strains displayed a unimodal distribution of susceptibility being indistinctly active against carbapenem-susceptible and resistant strains, these latter possessed OXA-type variant enzymes. Conclusion In conclusion, tigecycline had a good activity against the MDR A. baumannii strains while maintaining the same MIC90 of 2 mg/L against the carbapenem-resistant strains.

  16. Prevalence of Antibiotic-resistance Enterobacteriaceae strains Isolated from Chicken Meat at Traditional Markets in Surabaya, Indonesia

    Science.gov (United States)

    Yulistiani, R.; Praseptiangga, D.; Supyani; Sudibya; Raharjo, D.; Shirakawa, T.

    2017-04-01

    Antibiotic resistance in bacteria from the family Enterobacteriaceae is an important indicator of the emergence of resistant bacterial strains in the community. This study investigated the prevalence of antibiotic-resistant Enterobacteriaceae isolated from chicken meat sold at traditional markets in Surabaya Indonesia. In all, 203 isolates (43 Salmonella spp., 53 Escherichia coli, 16 Shigella spp., 22 Citrobacter spp., 13 Klebsiella spp, 24 Proteus spp., 15 Yersinia spp., 7 Enterobacter spp., 6 Serratia spp., 3 Edwardsiella spp. were resistant to tetracycline (69.95 %), nalidixid acid (54.19 %), sulfamethoxazole/sulfamethizole (42.36 %), chloramphenicol (12.81%), cefoxitin (6.40 %), gentamicin (5.91 %). Tetracycline was the antimicrobial that showed the highest frequency of resistance among Salmonella, E. coli, Citrobacter, Proteus and Erdwardsiella isolates, and nalidixid acid was second frequency of resistance. Overall, 124 (61.08 %) out of 203 isolates demonstrated multidrug resistance to at least two unrelated antimicrobial agents. The high rate of antimicrobial resistance in bacterial isolates from chicken meat may have major implications for human and animal health with adverse economic implications.

  17. Case Report of Urethritis in a Male Patient Infected with Two Different Isolates of Multiple Drug-Resistant Neisseria gonorrhoeae

    Directory of Open Access Journals (Sweden)

    Lamiaa Al-Madboly

    2017-11-01

    Full Text Available We report a brief description of a case suffering from bacterial urethritis, conjunctivitis, and arthritis, caused by two different isolates of multiple drug-resistant Neisseria gonorrhoeae. Initial diagnosis was dependent on the patient history, clinical findings, symptoms, and the bacteriological data. Polymerase chain reaction confirmed the identification of the pathogens. Random amplified polymorphic DNA revealed two different patterns. Susceptibility testing was performed using Kirby–Bauer disk diffusion method and the minimum inhibitory concentration was also determined. It revealed multiple drug resistance associated with β-lactamase production. Only gentamicin, rifampicin, and azithromycin were active against the test pathogens. A dual therapy was initiated using gentamicin as well as azithromycin to treat the possible co-infection with Chlamydia trachomatis. Complete recovery of the patient achieved with resolved symptoms a week later.

  18. Case Report of Urethritis in a Male Patient Infected with Two Different Isolates of Multiple Drug-Resistant Neisseria gonorrhoeae.

    Science.gov (United States)

    Al-Madboly, Lamiaa; Gheida, Shereen

    2017-01-01

    We report a brief description of a case suffering from bacterial urethritis, conjunctivitis, and arthritis, caused by two different isolates of multiple drug-resistant Neisseria gonorrhoeae . Initial diagnosis was dependent on the patient history, clinical findings, symptoms, and the bacteriological data. Polymerase chain reaction confirmed the identification of the pathogens. Random amplified polymorphic DNA revealed two different patterns. Susceptibility testing was performed using Kirby-Bauer disk diffusion method and the minimum inhibitory concentration was also determined. It revealed multiple drug resistance associated with β-lactamase production. Only gentamicin, rifampicin, and azithromycin were active against the test pathogens. A dual therapy was initiated using gentamicin as well as azithromycin to treat the possible co-infection with Chlamydia trachomatis . Complete recovery of the patient achieved with resolved symptoms a week later.

  19. Molecular Basis of Resistance to Selected Antimicrobial Agents in the Emerging Zoonotic Pathogen Streptococcus suis.

    Science.gov (United States)

    Gurung, Mamata; Tamang, Migma Dorji; Moon, Dong Chan; Kim, Su-Ran; Jeong, Jin-Ha; Jang, Geum-Chan; Jung, Suk-Chan; Park, Yong-Ho; Lim, Suk-Kyung

    2015-07-01

    Characterization of 227 Streptococcus suis strains isolated from pigs during 2010 to 2013 showed high levels of resistance to clindamycin (95.6%), tilmicosin (94.7%), tylosin (93.8%), oxytetracycline (89.4%), chlortetracycline (86.8%), tiamulin (72.7%), neomycin (70.0%), enrofloxacin (56.4%), penicillin (56.4%), ceftiofur (55.9%), and gentamicin (55.1%). Resistance to tetracyclines, macrolides, aminoglycosides, and fluoroquinolone was attributed to the tet gene, erm(B), erm(C), mph(C), and mef(A) and/or mef(E) genes, aph(3')-IIIa and aac(6')-Ie-aph(2″)-Ia genes, and single point mutations in the quinolone resistance-determining region of ParC and GyrA, respectively. Copyright © 2015, American Society for Microbiology. All Rights Reserved.

  20. Bactericidal Effect of Pterostilbene Alone and in Combination with Gentamicin against Human Pathogenic Bacteria.

    Science.gov (United States)

    Lee, Wee Xian; Basri, Dayang Fredalina; Ghazali, Ahmad Rohi

    2017-03-17

    The antibacterial activity of pterostilbene in combination with gentamicin against six strains of Gram-positive and Gram-negative bacteria were investigated. The minimum inhibitory concentration and minimum bactericidal concentration of pterostilbene were determined using microdilution technique whereas the synergistic antibacterial activities of pterostilbene in combination with gentamicin were assessed using checkerboard assay and time-kill kinetic study. Results of the present study showed that the combination effects of pterostilbene with gentamicin were synergistic (FIC index bacteria strains: Staphylococcus aureus ATCC 25923 , Escherichia coli O157 and Pseudomonas aeruginosa 15442 . However, the time-kill study showed that the interaction was indifference which did not significantly differ from the gentamicin treatment. Furthermore, time-kill study showed that the growth of the tested bacteria was completely attenuated with 2 to 8 h treatment with 0.5 × MIC of pterostilbene and gentamicin. The identified combinations could be of effective therapeutic value against bacterial infections. These findings have potential implications in delaying the development of bacterial resistance as the antibacterial effect was achieved with the lower concentrations of antibacterial agents.

  1. Phenotypic and Genotypic Antibiotic Resistance of Salmonella from Chicken Carcasses Marketed at Ibague, Colombia

    Directory of Open Access Journals (Sweden)

    D Cortes Vélez

    Full Text Available ABSTRACT Salmonella enterica is responsible for alimentary toxic infections associated with the consumption of contaminated poultry products and the antimicrobial resistant patterns of Salmonella circulating in the Tolima region are currently unknown. To address this issue, both the phenotype and genotype antibiotic resistance patterns of 47 Salmonella isolated from raw chicken carcasses sold at the Ibague city were analyzed by the disc diffusion, microdilution and PCR assays. All 47 Salmonella isolates showed resistance to five or more antimicrobial agents. Resistance to Ampicillin (AMP, Amikacin (AMK, Gentamicin (GEN, Tobramycin (TOB, Cefazoline (CFZ, Cefoxitin (FOX, Nitrofurantoin (NIT, Trimethoprim-Sulfamethoxazole (SXT, Tetracycline (TET, Ciprofloxacin (CIP and Enrofloxacin (ENR was observed in 42.35% of Salmonella isolates. All tested S. Paratyphi B var Java isolates showed resistance to at least 12 antibiotics. S. Hvittingfoss showed resistance to 5 antibiotics, whereas S. Muenster showed resistance to seven antibiotics. Amplification of a number of antibiotic resistance genes showed that blaTEM (100% correlated well with resistance to Ampicilin and Cephalosporin, whereas aadB (87% correlated well with resistance to Aminoglycosides. It is concluded that Salmonella isolated from raw chicken meat marketed at Ibague showed MDR by both phenotypic and genotypic methods and they may represent an important threat to human health. Additional studies are needed to establish the relationship between antibiotic resistance in Salmonella from poultry products and clinical isolates.

  2. Prevalence of Antibiotic-Resistant Escherichia coli in Drinking Water Sources in Hangzhou City

    Directory of Open Access Journals (Sweden)

    Zhaojun Chen

    2017-06-01

    Full Text Available This study investigated the distribution of antibiotic resistant Escherichia coli (E. coli and examined the possible relationship between water quality parameters and antibiotic resistance from two different drinking water sources (the Qiantang River and the Dongtiao Stream in Hangzhou city of China. E. coli isolates were tested for their susceptibility to 18 antibiotics. Most of the isolates were resistant to tetracycline (TE, followed by ampicillin (AM, piperacillin (PIP, trimethoprim/sulfamethoxazole (SXT, and chloramphenicol (C. The antibiotic resistance rate of E. coli isolates from two water sources was similar; For E. coli isolates from the Qiantang River, their antibiotic resistance rates decreased from up- to downstream. Seasonally, the dry and wet season had little impact on antibiotic resistance. Spearman's rank correlation revealed significant correlation between resistance to TE and phenicols or ciprofloxacin (CIP, as well as quinolones (ciprofloxacin and levofloxacin and cephalosporins or gentamicin (GM. Pearson's chi-square tests found certain water parameters such as nutrient concentration were strongly associated with resistance to some of the antibiotics. In addition, tet genes were detected from all 82 TE-resistant E. coli isolates, and most of the isolates (81.87% contained multiple tet genes, which displayed 14 different combinations. Collectively, this study provided baseline data on antibiotic resistance of drinking water sources in Hangzhou city, which indicates drinking water sources could be the reservoir of antibiotic resistance, potentially presenting a public health risk.

  3. Prevalence and antimicrobial susceptibility pattern of methicillin resistant Staphylococcus aureus: A multicentre study.

    Directory of Open Access Journals (Sweden)

    Rajaduraipandi K

    2006-01-01

    Full Text Available Purpose: Methicillin resistant Staphylococcus aureus (MRSA is an important nosocomial pathogen. We report the prevalence and antibiotic susceptibility pattern of MRSA in major southern districts of Tamilnadu. Methods: A total of 7172 clinical specimens and 1725 carrier screening samples were collected from different centers and subjected to MRSA screening using conventional microbiological methods. Subsequently the antibiotic sensitivity test was performed for the confirmed MRSA isolates. Results: Out of 906 strains of S. aureus isolated from clinical and carrier samples, 250 (31.1% and 39 (37.9% were found to be methicillin resistant respectively. Almost all clinical MRSA strains (99.6% were resistant to penicillin, 93.6% to ampicillin, and 63.2% towards gentamicin, co-trimoxazole, cephalexin, erythromycin, and cephotaxime. All MRSA strains (100% of carrier screening samples had resistance to penicillin and about 71.8% and 35.9% were resistant to ampicillin and co-trimoxazole respectively. Multidrug resistance was observed among 63.6% of clinical and 23% of carrier MRSA isolates. However, all strains of clinical and carrier subjects were sensitive to vancomycin. Conclusion: The determination of prevalence and antibiotic sensitivity pattern of MRSA will help the treating clinicians for first line treatment in referral hospitals.

  4. Occurrence of multidrug-resistant Salmonella enterica serovar Enteritidis isolates from poultry in Iran

    Directory of Open Access Journals (Sweden)

    Ghaderi, R.

    2016-03-01

    Full Text Available Salmonella enterica is recognized as one of the major food-borne pathogens with more than 2,500 serotypes worldwide. The present study addresses antimicrobial resistance of Salmonella enterica serovar Enteritidis isolates in Iran. A collection of 151 Salmonella spp. isolates collected from poultry were serotyped to identify Salmonella Enteritidis. Sixty-one Salmonella Enteritidis were subsequently tested against 30 antimicrobials. A high frequency of antimicrobial resistance was observed against nitrofurantoin (n=55, 90.2% followed by nalidixic acid (n=41, 67.2%, and cephalexin (n=23, 37.7%. Multi-drug resistance were observed in 35 (57.4% out of 61 isolates. Twenty-six antimicrobial resistance patterns were observed among the 61 Salmonella Enteritidis. All isolates were susceptible to ofloxacin, imipenem, enrofloxacin, chloramphenicol, gentamicin, and 3rd and 4th generation cephalosporins. In conclusion, our results revealed that implementing new policies toward overuse of antimicrobial drugs in Iranian poultry industry are of great importance.

  5. Microbiology and antimicrobial susceptibility of otitis externa: a changing pattern of antimicrobial resistance.

    Science.gov (United States)

    Heward, E; Cullen, M; Hobson, J

    2018-04-01

    Otitis externa is a common presentation to secondary care otolaryngology clinics. Despite this, few studies have investigated the microbiology and antimicrobial resistance of otitis externa. This study aimed to examine these issues. Analysis identified 302 swabs taken from 217 patients (100 male, 117 female), between 1 January 2015 and 30 March 2016, at our rapid access otolaryngology clinic. In total, 315 organisms were isolated; the most frequent was Pseudomonas aeruginosa (31.1 per cent), followed by candida species (22.9 per cent) and Staphylococcus aureus (11.7 per cent). P aeruginosa was sensitive to ciprofloxacin in 97.7 per cent of cases and to gentamicin in 78.4 per cent. Compared with studies worldwide, the relative proportions of different organisms causing otitis externa and the patterns of antimicrobial resistance differ. Increasing resistance of P aeruginosa to aminoglycosides demonstrates a changing pattern of antimicrobial resistance that has not been previously reported. Reassuringly, quinolone antibiotics remain highly effective when treating P aeruginosa.

  6. Detection and characterization of multidrug-resistant enterobacteria bearing aminoglycoside-modifying gene in a university hospital at Rio de Janeiro, Brazil, along three decades.

    Science.gov (United States)

    Dias-Gonçalves, Verônica; Bohrer-Lengruber, Françoise; Oliveira-Fonseca, Bianca; Santos-Pereira, Renata Meirelles; Barbosa de Melo, Luis Dione; Gazos-Lopes, Ulisses; Ribeiro-Bello, Alexandre; Adler-Pereira, José Augusto

    2015-01-01

    Multidrug-resistant Enterobacteriaceae, particularly those resistant to gentamicin, have become one of the most important causes of nosocomial infections. We sought to investigate the presence of genes conferring resistance to aminoglycosides, specially to gentamicin, in Klebsiella pneumoniae and Escherichia coli multidrug-resistant strains isolated from different clinical materials among patients hospitalized in a university hospital in Rio de Janeiro, Brazil. Ten colonization strains and 20 infection strains were evaluated during three decades (1980 to 2010) using selective media containing 8 µg/ml of gentamicin. Thirty strains were tested for antimicrobial susceptibility. Twenty two strains were subjected to plasmid DNA extraction and 12 to hybridization assays using as probe a 1.9 kb plasmid DNA fragment from one of the K. pneumoniae strains isolated from faecal samples. This fragment was sequenced and assigned to the GQ422439 GenBank record. PCR was also performed using oligonucleotides designed for aminoglycoside-modifying enzymes. An accC2 acetylase, besides transposons and insertion sequences, were evidenced. Twenty-four (80%) of the isolates were positive for the aacC2 gene in agreement with antibiotic susceptibility testing profiles, indicating the persistent presence of this gene throughout the three decades. We detected high molecular weight plasmids in 54,5% of the strains. Of the tested strains, 91% showed positive signal in the hybridization assays. A gene codifying for one specific aminoglycoside-modifying enzyme was detected all throughout the three decades. Our data back the adoption of preventive measures, such as a more conscious use of antimicrobial agents in hospital environments, which can contribute to control the dissemination of microorganisms harboring resistance gene plasmids.

  7. Vaginal versus Obstetric Infection Escherichia coli Isolates among Pregnant Women: Antimicrobial Resistance and Genetic Virulence Profile.

    Directory of Open Access Journals (Sweden)

    Emma Sáez-López

    Full Text Available Vaginal Escherichia coli colonization is related to obstetric infections and the consequent development of infections in newborns. Ampicillin resistance among E. coli strains is increasing, which is the main choice for treating empirically many obstetric and neonatal infections. Vaginal E. coli strains are very similar to extraintestinal pathogenic E. coli with regards to the virulence factors and the belonging to phylogroup B2. We studied the antimicrobial resistance and the genetic virulence profile of 82 E. coli isolates from 638 vaginal samples and 63 isolated from endometrial aspirate, placental and amniotic fluid samples from pregnant women with obstetric infections. The prevalence of E. coli in the vaginal samples was 13%, which was significant among women with associated risk factors during pregnancy, especially premature preterm rupture of membranes (p<0.0001. Sixty-five percent of the strains were ampicillin-resistant. The E. coli isolates causing obstetric infections showed higher resistance levels than vaginal isolates, particularly for gentamicin (p = 0.001. The most prevalent virulence factor genes were those related to the iron uptake systems revealing clear targets for interventions. More than 50% of the isolates belonged to the virulent B2 group possessing the highest number of virulence factor genes. The ampicillin-resistant isolates had high number of virulence factors primarily related to pathogenicity islands, and the remarkable gentamicin resistance in E. coli isolates from women presenting obstetric infections, the choice of the most appropriate empiric treatment and clinical management of pregnant women and neonates should be carefully made. Taking into account host-susceptibility, the heterogeneity of E. coli due to evolution over time and the geographical area, characterization of E. coli isolates colonizing the vagina and causing obstetric infections in different regions may help to develop interventions and avoid the

  8. Emergence and mechanism of carbapenem-resistant Escherichia coli in Henan, China, 2014

    Directory of Open Access Journals (Sweden)

    Wen-juan Liang

    2018-05-01

    Full Text Available The emergence and dissemination of carbapenem-resistant Escherichia coli (E. coli strains is a main risk for global public health, but little is known of carbapenemase producing E. coli in Henan, China. The study was undertaken to investigate the prevalence and mechanism of carbapenem-resistant E. coli strains in a hospital in Xinxiang, Henan, China, 2014. A total of 5 carbapenemase-producing E. coli strains were screened from 1014 isolates. We found that they were all resistant to meropenem and imipenem. Amikacin showed the best sensitivity, with gentamicin coming up next. The positive rate of blaNDM was 80% (4/5. The sequencing results showed that two isolates belonged to blaNDM-1 whereas other 2 isolates carried the blaNDM-5. Other carbapenemase genes including blaIMP, blaVIM, blaKPC and blaOXA-48 were not detected. The blaCTX-M-15, blaTEM-1, sul2, aad, and aac(6”–Ib–cr were also detected. MLST analysis showed that NDM-producing E. coli were sporadic. Conjugation test indicated blaNDM could be transferred. In conclusion, the blaNDM was the principal resistance mechanism of carbapenem-resistant E. coli in the hospital, Henan, China. Keywords: blaNDM, Carbapenem-resistant, Escherichia coli

  9. Characterization of antibiotic resistant enterococci isolated from untreated waters for human consumption in Portugal.

    Science.gov (United States)

    Macedo, Ana S; Freitas, Ana R; Abreu, Cristina; Machado, Elisabete; Peixe, Luísa; Sousa, João C; Novais, Carla

    2011-01-31

    Untreated drinking water is frequently overlooked as a source of antibiotic resistance in developed countries. To gain further insight on this topic, we isolated the indicator bacteria Enterococcus spp. from water samples collected in wells, fountains and natural springs supplying different communities across Portugal, and characterized their antibiotic resistance profile with both phenotypic and genetic approaches. We found various rates of resistance to seven antibiotic families. Over 50% of the isolates were resistant to at least ciprofloxacin, tetracyclines or quinupristin-dalfopristin and 57% were multidrug resistant to ≥3 antibiotics from different families. Multiple enterococcal species (E. faecalis, E. faecium, E. hirae, E. casseliflavus and other Enterococcus spp) from different water samples harbored genes encoding resistance to tetracyclines, erythromycin or gentamicin [tet(M)-46%, tet(L)-14%, tet(S)-5%, erm(B)-22%, aac(6´)-Ie-aph(2″)-12%] and putative virulence factors [gel-28%, asa1-16%]. The present study positions untreated drinking water within the spectrum of ecological niches that may be reservoirs of or vehicles for antibiotic resistant enterococci/genes. These findings are worthy of attention as spread of antibiotic resistant enterococci to humans and animals through water ingestion cannot be dismissed. Copyright © 2010 Elsevier B.V. All rights reserved.

  10. Antimicrobial resistance in E. coli and Salmonella spp. isolates from calves in southern Chile

    Directory of Open Access Journals (Sweden)

    Luis Hervé-Claude

    2017-09-01

    Full Text Available Objective: Description of antimicrobial resistance in E. coli and Salmonella spp. isolates from calves <30 days of age from southern Chile. Material and methods: Necropsy and microbiology reports of 107 calves <30 days of age received at the Animal Pathology Institute between 2002 and 2015 were considered. Additionally, an antimicrobial resistance score was generated to allow comparisons among isolates with different antimicrobial susceptibility profiles. Results: There was no clear trend in antimicrobial resistance during the study period, with similar levels of resistance for E. coli, β-hemolytic E. coli and Salmonella spp. Approximately 50% of isolates were sensitive to antimicrobials, and between 19 and 36% of samples showed possible extended- or pan- drug resistance. Multiple different antimicrobial resistance patterns were found, including 32 for E. coli, 17 for β-hemolytic E. coli and 10 for Salmonella spp. Conclusions: Overall, E. coli samples were most sensitive to ceftriaxone; β-hemolytic E. coli to florfenicol; and Salmonella spp. to gentamicin. In contrast, these agents were resistant to amoxicillin, ampicillin and oxytetracycline respectively. This study is unique in its approach and provides useful information for veterinarians and producers on the antibiotic resistance patterns of bacteria posing a serious threat to calves. These results can help field veterinarians to control and treat bacterial diarrhea in calves.

  11. Characterization of Antibiotic Resistance Genes from Lactobacillus Isolated from Traditional Dairy Products.

    Science.gov (United States)

    Guo, Huiling; Pan, Lin; Li, Lina; Lu, Jie; Kwok, Laiyu; Menghe, Bilige; Zhang, Heping; Zhang, Wenyi

    2017-03-01

    Lactobacilli are widely used as starter cultures or probiotics in yoghurt, cheese, beer, wine, pickles, preserved food, and silage. They are generally recognized as safe (GRAS). However, recent studies have shown that some lactic acid bacteria (LAB) strains carry antibiotic resistance genes and are resistant to antibiotics. Some of them may even transfer their intrinsic antibiotic resistance genes to other LAB or pathogens via horizontal gene transfer, thus threatening human health. A total of 33 Lactobacillus strains was isolated from fermented milk collected from different areas of China. We analyzed (1) their levels of antibiotic resistance using a standardized dilution method, (2) their antibiotic resistance gene profiles by polymerase chain reaction (PCR) using gene-specific primers, and (3) the transferability of some of the detected resistance markers by a filter mating assay. All Lactobacillus strains were found to be resistant to vancomycin, but susceptible to gentamicin, linezolid, neomycin, erythromycin, and clindamycin. Their susceptibilities to tetracycline, kanamycin, ciprofloxacin, streptomycin, quinupristin/dalfopristin, trimethoprim, ampicillin, rifampicin, and chloramphenicol was different. Results from our PCR analysis revealed 19 vancomycin, 10 ciprofloxacin, and 1 tetracycline-resistant bacteria that carried the van(X), van(E), gyr(A), and tet(M) genes, respectively. Finally, no transferal of the monitored antibiotic resistance genes was observed in the filter mating assay. Taken together, our study generated the antibiotic resistance profiles of some milk-originated lactobacilli isolates and preliminarily assessed their risk of transferring antibiotic gene to other bacteria. The study may provide important data concerning the safe use of LAB. © 2017 Institute of Food Technologists®.

  12. Gentamicin nephrotoxicity: Animal experimental correlate with human pharmacovigilance outcome

    Directory of Open Access Journals (Sweden)

    Olufunsho Awodele

    2015-04-01

    Full Text Available Background: National Agency for Food and Drugs Administration and Control (NAFDAC, which is responsible for pharmacovigilance activity in Nigeria, recently withdrew injection gentamicin 280 mg, used in the management of life-threatening and multidrug-resistant infections from circulation, due to reported toxicity. Thus, this study aimed to investigate the toxicity profile of the commonly used strengths (80 mg and 280 mg of gentamicin on kidney using animal models. Methods: Animals were divided into five groups of 16 rats each. For rats of groups 1 and 2, gentamicin (1.14 mg/kg each group was administered intramuscularly twice daily for 7 and 14 days, respectively, after which eight of them were sacrificed by cervical dislocation. Blood was collected via cardiac puncture and the kidneys were carefully removed and weighed immediately. The remaining eight animals were kept for reversibility study for another 7 and 14 days, respectively. For groups 3 and 4, gentamicin (4 mg/kg each group was administered as a single daily dose for 7 and 14 days, respectively, and eight animals from the groups were subjected to reversibility study for 7 and 14 days, respectively. Group 5, the control group animals, were given 10 ml/kg distilled water for 14 days. Histopathology of the kidneys, serum creatinine levels, and antioxidant enzyme activities were investigated. Results: Significant increase (p ≤ 0.001 in the level of creatinine of rats administered 4.0 mg/kg for 14 days was observed compared with all other groups. Significant (p ≤ 0.001 elevations in the lipid peroxidation in all gentamicin-administered animals and acute tubular necrosis in most of the gentamicin-administered animals were observed. Conclusion: Toxicity profile of gentamicin on the kidneys is dependent on both dose and duration of administration. The findings justify the decision made by NAFDAC to ban the use of high-dose inj. gentamicin 280 mg in Nigeria.

  13. Genetic characterization of antibiotic resistance in enteropathogenic Escherichia coli carrying extended-spectrum beta-lactamases recovered from diarrhoeic rabbits.

    Science.gov (United States)

    Poeta, P; Radhouani, H; Gonçalves, A; Figueiredo, N; Carvalho, C; Rodrigues, J; Igrejas, G

    2010-05-01

    A total of 52 Escherichia coli strains isolated from diarrhoeic rabbits were investigated for their enteropathogenic E. coli (EPEC) pathotype by PCR amplification of eae and bfp virulence genes. A total of 22 EPEC isolates were identified, serotyped and studied for antibiotic resistance and screened for the detection of extended-spectrum beta-lactamases (ESBLs). The EPEC isolates belonged to three serogroups (O26, O92 and O103). The most common serogroup (O103:K-:H2) was observed among 17 EPEC strains, the O92:K-serogroup in three isolates (the antibiotic sensitive ones) and the remaining O26:K-serogroup in two isolates (the ESBLs isolates). Resistances to ampicillin and tetracycline were the most frequent and detected followed by resistance to nalidixic acid, streptomycin, trimethoprim-sulphamethoxazole, cefoxitin, gentamicin and ciprofloxacin. All the isolates were sensitive for amikacin, ceftazidime, aztreonam, imipenem, chloramphenicol, tobramycin and amoxicillin + clavulanic acid. Two isolates recovered from two adult animals showed an intermediate susceptibility to cefotaxime, and a positive screening test for ESBL was demonstrated in both. The bla(TEM) gene was demonstrated in the majority of ampicillin-resistant isolates. The aac(3)-II or aac(3)-IV genes were detected in the four gentamicin-resistant isolates. In addition, the aadA gene was detected in 60% of streptomycin-resistant isolates. The tet(A) or tet(B) genes were identified in all tetracycline-resistant isolates. A total of nine EPEC isolates showed the phenotype SXT-resistant, and the sul1 and/or sul2 and/or sul3 genes were detected in all of them. Our findings showed that the molecular detection by the eae and bfp genes by PCR followed by serotyping is useful for monitoring trends in EPEC infections of rabbits allowing the identification of their possible reservoirs. The detection of genes involved in the resistance to antibiotics of different families in a relatively high proportion of faecal E

  14. Plasmid-Mediated Quinolone Resistance in Shigella flexneri Isolated From Macaques

    Directory of Open Access Journals (Sweden)

    Anthony J. Mannion

    2018-03-01

    Full Text Available Non-human primates (NHPs for biomedical research are commonly infected with Shigella spp. that can cause acute dysentery or chronic episodic diarrhea. These animals are often prophylactically and clinically treated with quinolone antibiotics to eradicate these possible infections. However, chromosomally- and plasmid-mediated antibiotic resistance has become an emerging concern for species in the family Enterobacteriaceae. In this study, five individual isolates of multi-drug resistant Shigella flexneri were isolated from the feces of three macaques. Antibiotic susceptibility testing confirmed resistance or decreased susceptibility to ampicillin, amoxicillin-clavulanic acid, cephalosporins, gentamicin, tetracycline, ciprofloxacin, enrofloxacin, levofloxacin, and nalidixic acid. S. flexneri isolates were susceptible to trimethoprim-sulfamethoxazole, and this drug was used to eradicate infection in two of the macaques. Plasmid DNA from all isolates was positive for the plasmid-encoded quinolone resistance gene qnrS, but not qnrA and qnrB. Conjugation and transformation of plasmid DNA from several S. flexneri isolates into antibiotic-susceptible Escherichia coli strains conferred the recipients with resistance or decreased susceptibility to quinolones and beta-lactams. Genome sequencing of two representative S. flexneri isolates identified the qnrS gene on a plasmid-like contig. These contigs showed >99% homology to plasmid sequences previously characterized from quinolone-resistant Shigella flexneri 2a and Salmonella enterica strains. Other antibiotic resistance genes and virulence factor genes were also identified in chromosome and plasmid sequences in these genomes. The findings from this study indicate macaques harbor pathogenic S. flexneri strains with chromosomally- and plasmid-encoded antibiotic resistance genes. To our knowledge, this is the first report of plasmid-mediated quinolone resistance in S. flexneri isolated from NHPs and warrants

  15. Ampicillin-resistant Enterococcus faecium clonal complex 17 is widespread in healthy dogs: anthropozoonosis or zooanthroponosis?

    DEFF Research Database (Denmark)

    Damborg, Peter Panduro; Williams, Nicola J; Willems, Rob

    2008-01-01

    %) or rifampicin (56%) was frequent. Only few isolates were resistant to gentamicin (5%), linezolid (14%) and quinopristin/dalfopristin (15%) and all were susceptible to vancomycin. Conclusion: This is the first report describing the occurrence of AREfm CC17 in dogs. The results suggest that dogs may contribute...

  16. Changes in antimicrobial resistance of clinical isolates of Acinetobacter baumannii group isolated in Greece, 2010-2015.

    Science.gov (United States)

    Dafopoulou, K; Tsakris, A; Pournaras, S

    2018-04-01

    In recent years, hospitals in southeastern Europe have faced dramatically high rates of antibiotic-resistant Acinetobacter baumannii. We analysed the evolution of resistance among clinical isolates of A. baumannii group obtained from nine tertiary hospitals throughout Greece over 6 years (2010-2015). Identification and antimicrobial susceptibility testing were performed using Vitek 2 or Microscan walkaway automated systems. Between 2010 and 2015, resistance to ampicillin/sulbactam increased from 46.2 to 88.2 % (P=0.021), resistance to gentamicin increased from 69.3 to 86.4 % (P=0.014) and resistance to tobramycin increased from 59.8 to 76.8 % (P=0.011). Imipenem resistance rates were consistently very high, ranging from 90.3 % in 2010 to 94.5 % in 2015 (P=0.198), while meropenem resistance rates increased from 82.6 % in 2010 to 94.8 % in 2015 (P=0.006). Resistance rates to trimethoprim/sulfamethoxazole showed a remarkable decreasing trend, declining from 90.2 % in 2010 to 69.1 % in 2015 (P=0.035). These evolutions render the treatment of A. baumannii infections particularly challenging and underline the need for enhanced infection control measures.

  17. Phenotypic and molecular characterization of antimicrobial resistance in Proteus mirabilis isolates from dogs.

    Science.gov (United States)

    Harada, Kazuki; Niina, Ayaka; Shimizu, Takae; Mukai, Yujiro; Kuwajima, Ken; Miyamoto, Tadashi; Kataoka, Yasushi

    2014-11-01

    Large-scale monitoring of resistance to 14 antimicrobial agents was performed using 103 Proteus mirabilis strains isolated from dogs in Japan. Resistant strains were analysed to identify their resistance mechanisms. Rates of resistance to chloramphenicol, streptomycin, enrofloxacin, trimethoprim/sulfamethoxazole, kanamycin, ampicillin, ciprofloxacin, cephalothin, gentamicin, cefoxitin and cefotaxime were 20.4, 15.5, 12.6, 10.7, 9.7, 8.7, 5.8, 2.9, 2.9, 1.9 and 1.9%, respectively. No resistance to ceftazidime, aztreonam or imipenem was found. Class 1 and 2 integrases were detected in 2.9 and 11.7% of isolates, respectively. Class 1 integrons contained aadB or aadB-catB-like-blaOXA10-aadA1, whereas those of class 2 contained sat-aadA1, dhfr1-sat-aadA1 or none of the anticipated resistance genes. Of five distinct plasmid-mediated quinolone-resistance (PMQR) genes, only qnrD gene was detected in 1.9% of isolates. Quinolone-resistance determining regions (QRDRs) of gyrA and parC from 13 enrofloxacin-intermediate and -resistant isolates were sequenced. Seven strains had double mutations and three had single mutations. Three of nine ampicillin-resistant isolates harboured AmpC-type β-lactamases (i.e. blaCMY-2, blaCMY-4 and blaDHA-1). These results suggest that canine Proteus mirabilis deserves continued surveillance as an important reservoir of antimicrobial resistance determinants. This is the first report, to our knowledge, describing integrons, PMQRs and QRDR mutations in Proteus mirabilis isolates from companion animals. © 2014 The Authors.

  18. In vitro susceptibility and resistance phenotypes in contemporary Enterobacter isolates in a university hospital in Crete, Greece.

    Science.gov (United States)

    Maraki, Sofia; Vardakas, Konstantinos Z; Samonis, George; Perdikis, Dimitrios; Mavromanolaki, Viktoria Eirini; Kofteridis, Diamantis P; Falagas, Matthew E

    2017-06-01

    To study the evolution in the susceptibility of Enterobacter spp. in Crete, Greece from 2010 to 2015. Non-duplicate isolates were studied using automated systems. Phenotypic confirmatory tests were applied. A total of 939 Enterobacter isolates were included. Colistin was the most active antibiotic (97.9%) followed by imipenem (96.1%), gentamicin (95.7%), tigecycline (91.8%), cefepime (89.4%), chloramphenicol (85.8%), fosfomycin (85.5%), trimethoprim/sulfamethoxazole (83.3%) and piperacillin/tazobactam (73.3%). Antibiotic resistance did not increase during the study period for most antibiotics. Lower susceptibility was observed among multidrug-resistant strains and carbapenem-nonsusceptible isolates. AmpC was the most common resistant mechanism (21%); carbapenemases (3.7%) and aminoglycoside-modifying enzymes (6.5%) were also detected. A significant proportion of Enterobacter spp. was resistant to several antibiotics, most notably β-lactams.

  19. Antimicrobial-resistant Klebsiella species isolated from free-range chicken samples in an informal settlement.

    Science.gov (United States)

    Fielding, Burtram C; Mnabisa, Amanda; Gouws, Pieter A; Morris, Thureyah

    2012-02-29

    Sub-therapeutic doses of antimicrobial agents are administered routinely to poultry to aid growth and to prevent disease, with prolonged exposure often resulting in bacterial resistance. Crossover of antibiotic resistant bacteria from poultry to humans poses a risk to human health. In this study, 17 chicken samples collected from a vendor operating in an informal settlement in the Cape Town Metropolitan area, South Africa were screened for antimicrobial-resistant Gram-negative bacilli using the Kirby Bauer disk diffusion assay. IN TOTAL, SIX ANTIBIOTICS WERE SCREENED: ampicillin, ciprofloxacin, gentamicin, nalidixic acid, tetracycline and trimethoprim. Surprisingly, Klebsiella ozaenae was identified in 96 and K. rhinoscleromatis in 6 (n=102) of the samples tested. Interestingly, ∼40% of the isolated Klebsiella spp. showed multiple resistance to at least three of the six antibiotics tested. Klebsiella ozaenae and K. rhinoscleromatis cause clinical chronic rhinitis and are almost exclusively associated with people living in areas of poor hygiene.

  20. Bactericidal Effect of Pterostilbene Alone and in Combination with Gentamicin against Human Pathogenic Bacteria

    Directory of Open Access Journals (Sweden)

    Wee Xian Lee

    2017-03-01

    Full Text Available The antibacterial activity of pterostilbene in combination with gentamicin against six strains of Gram-positive and Gram-negative bacteria were investigated. The minimum inhibitory concentration and minimum bactericidal concentration of pterostilbene were determined using microdilution technique whereas the synergistic antibacterial activities of pterostilbene in combination with gentamicin were assessed using checkerboard assay and time-kill kinetic study. Results of the present study showed that the combination effects of pterostilbene with gentamicin were synergistic (FIC index < 0.5 against three susceptible bacteria strains: Staphylococcus aureus ATCC 25923, Escherichia coli O157 and Pseudomonas aeruginosa 15442. However, the time-kill study showed that the interaction was indifference which did not significantly differ from the gentamicin treatment. Furthermore, time-kill study showed that the growth of the tested bacteria was completely attenuated with 2 to 8 h treatment with 0.5 × MIC of pterostilbene and gentamicin. The identified combinations could be of effective therapeutic value against bacterial infections. These findings have potential implications in delaying the development of bacterial resistance as the antibacterial effect was achieved with the lower concentrations of antibacterial agents.

  1. PREVALENCE AND ANTIBIOTIC RESISTANCE OF STAPHYLOCOCCI ISOLATED FROM KOLEA HOSPITAL (ALGERIA

    Directory of Open Access Journals (Sweden)

    M. N. Boukhatem

    2015-03-01

    Full Text Available The resurgence of multiple antibiotic resistances is a major public health problem, often causing therapeutic impasses. Our study was conducted at the Bacteriology Laboratory of Kolea (Tipaza, Algeria Hospital. The aim of this study was to determine the prevalence of staphylococci in clinical purulent, sepsis and urinary samples, and to test the sensitivity of the selected strains against a various antibiotic (ATB discs. The identification of Staphylococcus strains was performed by using classic biochemistry tests. Susceptibility against ATB was determined by the agar disk diffusion method. Among 1403 clinical samples obtained, only 61 was related to staphylococci infections (4.34%. Staphylococcus strains were found mainly in the purulent samples (60.65%. S.aureus was found to be the mainly isolated strains with a rate of 61.53% while the S. epidermidis strain was found predominantly in hospitalized patients (68.57%. The frequency of the overall resistance of S.aureus against penicillin G (80.6% and gentamicin (61.53% remains high. The multi-resistant Staphylococcus has become extremely widespread, hence the need for the accomplishment of a strategy to prevent the spread of this resistance.

  2. PREVALENCE AND ANTIBIOTIC RESISTANCE OF STAPHYLOCOCCI ISOLATED FROM KOLEA HOSPITAL (ALGERIA

    Directory of Open Access Journals (Sweden)

    M. N. Boukhatem

    2015-05-01

    Full Text Available The resurgence of multiple antibiotic resistances is a major public health problem, often causing therapeutic impasses. Our study was conducted at the Bacteriology Laboratory of Kolea (Tipaza, Algeria Hospital. The aim of this study was to determine the prevalence of staphylococci in clinical purulent, sepsis and urinary samples, and to test the sensitivity of the selected strains against a various antibiotic (ATB discs. The identification of Staphylococcus strains was performed by using classic biochemistry tests. Susceptibility against ATB was determined by the agar disk diffusion method. Among 1403 clinical samples obtained, only 61 was related to staphylococci infections (4.34%. Staphylococcus strains were found mainly in the purulent samples (60.65%. S.aureus was found to be the mainly isolated strains with a rate of 61.53% while the S. epidermidis strain was found predominantly in hospitalized patients (68.57%. The frequency of the overall resistance of S.aureus against penicillin G (80.6% and gentamicin (61.53% remains high. The multi-resistant Staphylococcus has become extremely widespread, hence the need for the accomplishment of a strategy to prevent the spread of this resistance.

  3. Alternative Evolutionary Paths to Bacterial Antibiotic Resistance Cause Distinct Collateral Effects.

    Science.gov (United States)

    Barbosa, Camilo; Trebosc, Vincent; Kemmer, Christian; Rosenstiel, Philip; Beardmore, Robert; Schulenburg, Hinrich; Jansen, Gunther

    2017-09-01

    When bacteria evolve resistance against a particular antibiotic, they may simultaneously gain increased sensitivity against a second one. Such collateral sensitivity may be exploited to develop novel, sustainable antibiotic treatment strategies aimed at containing the current, dramatic spread of drug resistance. To date, the presence and molecular basis of collateral sensitivity has only been studied in few bacterial species and is unknown for opportunistic human pathogens such as Pseudomonas aeruginosa. In the present study, we assessed patterns of collateral effects by experimentally evolving 160 independent populations of P. aeruginosa to high levels of resistance against eight commonly used antibiotics. The bacteria evolved resistance rapidly and expressed both collateral sensitivity and cross-resistance. The pattern of such collateral effects differed to those previously reported for other bacterial species, suggesting interspecific differences in the underlying evolutionary trade-offs. Intriguingly, we also identified contrasting patterns of collateral sensitivity and cross-resistance among the replicate populations adapted to the same drug. Whole-genome sequencing of 81 independently evolved populations revealed distinct evolutionary paths of resistance to the selective drug, which determined whether bacteria became cross-resistant or collaterally sensitive towards others. Based on genomic and functional genetic analysis, we demonstrate that collateral sensitivity can result from resistance mutations in regulatory genes such as nalC or mexZ, which mediate aminoglycoside sensitivity in β-lactam-adapted populations, or the two-component regulatory system gene pmrB, which enhances penicillin sensitivity in gentamicin-resistant populations. Our findings highlight substantial variation in the evolved collateral effects among replicates, which in turn determine their potential in antibiotic therapy. © The Author 2017. Published by Oxford University Press on

  4. Increasing antibiotic resistance among uropathogens isolated during years 2006-2009: impact on the empirical management

    Directory of Open Access Journals (Sweden)

    Hamid Mohammad-Jafari

    2012-02-01

    Full Text Available Urinary tract infections (UTI are one of the most common infections with an increasing resistance to antimicrobial agents. PURPOSE: Empirical initial antibiotic treatment of UTI must rely on susceptible data from local studies. MATERIALS AND METHODS: Retrospective analysis of isolated bacteria from children with UTIs was performed at the university hospital during years 2006-2009. The findings were compared with data collected in a similar study carried out in 2002- 2003. RESULTS: A total of 1439 uropathogens were isolated. Escherichia coli (E.coli was the leading cause, followed by Enterobacter, and other gram negative bacilli. It was observed resistance of E.coli to ceftriaxone, cefexime, amikacin, gentamycin, and nalidixic acid; Enterobacter to cefexime; and the resistance of gram negative bacilli to gentamicin and cefexime increased significantly. The highest effective antibiotic was Imipenem, ciprofloxacin, and amikacin with 96.7%, 95% and 91% sensitivity rates , respectively, followed by ceftriaxone 77.2%, gentamicin 77%, nitrofurantoin 76.4%, nalidixic acid 74.3% and cefexime with 70%. CONCLUSION: The use of nitrofurantoin or nalidixic acid as initial empirical antibacterial therapy for cystitis seems appropriate. For cases of simple febrile UTI, the use of initial parenteral therapies with amikacin or ceftriaxone followed by an oral third generation cephalosporin also seemed appropriated, and in cases of severely ill patients or complicated UTI, imipenem as monotherapy or, a combination of Ceftriaxone with an aminoglycoside, are recommended.

  5. CRISPR Typing and Antibiotic Resistance Correlates with Polyphyletic Distribution in Human Isolates of Salmonella Kentucky.

    Science.gov (United States)

    Vosik, Dorothy; Tewari, Deepanker; Dettinger, Lisa; M'ikanatha, Nkuchia M; Shariat, Nikki W

    2018-02-01

    Although infrequently associated with reported salmonellosis in humans, Salmonella enterica, subsp. enterica serovar Kentucky (ser. Kentucky) is the most common nonclinical, nonhuman serovar reported in the United States. The goal of this study was to use Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR)-multi-virulence-locus sequence typing (MVLST) to subtype a collection of human clinical isolates of ser. Kentucky submitted to the Pennsylvania Department of Health and to determine the extent of antibiotic resistance in these strains. This analysis highlighted the polyphyletic nature of ser. Kentucky, and separated our isolates into two groups, Group I and Group II, which were equally represented in our collection. Furthermore, antimicrobial susceptibility testing on all isolates using a National Antimicrobial Resistance Monitoring System (NARMS) panel of antibiotics demonstrated that resistance profiles could be divided into two groups. Group I isolates were resistant to cephems and penicillins, whereas Group II isolates were resistant to quinolones, gentamicin, and sulfisoxazole. Collectively, 50% of isolates were resistant to three or more classes of antibiotics and 30% were resistant to five or more classes. The correlation of antibiotic resistance with the two different lineages may reflect adaptation within two distinct reservoirs of ser. Kentucky, with differential exposure to antimicrobials.

  6. Reduced Susceptibility to Rifampicin and Resistance to Multiple Antimicrobial Agents among Brucella abortus Isolates from Cattle in Brazil.

    Science.gov (United States)

    Barbosa Pauletti, Rebeca; Reinato Stynen, Ana Paula; Pinto da Silva Mol, Juliana; Seles Dorneles, Elaine Maria; Alves, Telma Maria; de Sousa Moura Souto, Monalisa; Minharro, Silvia; Heinemann, Marcos Bryan; Lage, Andrey Pereira

    2015-01-01

    This study aimed to determine the susceptibility profile of Brazilian Brucella abortus isolates from cattle to eight antimicrobial agents that are recommended for the treatment of human brucellosis and to correlate the susceptibility patterns with origin, biotype and MLVA16-genotype of the strains. Screening of 147 B. abortus strains showed 100% sensitivity to doxycycline and ofloxacin, one (0.68%) strain resistant to ciprofloxacin, two strains (1.36%) resistant to streptomycin, two strains (1.36%) resistant to trimethoprim-sulfamethoxazole and five strains (3.40%) resistant to gentamicin. For rifampicin, three strains (2.04%) were resistant and 54 strains (36.73%) showed reduced sensitivity. Two strains were considered multidrug resistant. In conclusion, the majority of B. abortus strains isolated from cattle in Brazil were sensitive to the antimicrobials commonly used for the treatment of human brucellosis; however, a considerable proportion of strains showed reduced susceptibility to rifampicin and two strains were considered multidrug resistant. Moreover, there was no correlation among the drug susceptibility pattern, origin, biotype and MLVA16-genotypes of these strains.

  7. Vaginal versus Obstetric Infection Escherichia coli Isolates among Pregnant Women: Antimicrobial Resistance and Genetic Virulence Profile.

    Science.gov (United States)

    Sáez-López, Emma; Guiral, Elisabet; Fernández-Orth, Dietmar; Villanueva, Sonia; Goncé, Anna; López, Marta; Teixidó, Irene; Pericot, Anna; Figueras, Francesc; Palacio, Montse; Cobo, Teresa; Bosch, Jordi; Soto, Sara M

    2016-01-01

    Vaginal Escherichia coli colonization is related to obstetric infections and the consequent development of infections in newborns. Ampicillin resistance among E. coli strains is increasing, which is the main choice for treating empirically many obstetric and neonatal infections. Vaginal E. coli strains are very similar to extraintestinal pathogenic E. coli with regards to the virulence factors and the belonging to phylogroup B2. We studied the antimicrobial resistance and the genetic virulence profile of 82 E. coli isolates from 638 vaginal samples and 63 isolated from endometrial aspirate, placental and amniotic fluid samples from pregnant women with obstetric infections. The prevalence of E. coli in the vaginal samples was 13%, which was significant among women with associated risk factors during pregnancy, especially premature preterm rupture of membranes (pinfections showed higher resistance levels than vaginal isolates, particularly for gentamicin (p = 0.001). The most prevalent virulence factor genes were those related to the iron uptake systems revealing clear targets for interventions. More than 50% of the isolates belonged to the virulent B2 group possessing the highest number of virulence factor genes. The ampicillin-resistant isolates had high number of virulence factors primarily related to pathogenicity islands, and the remarkable gentamicin resistance in E. coli isolates from women presenting obstetric infections, the choice of the most appropriate empiric treatment and clinical management of pregnant women and neonates should be carefully made. Taking into account host-susceptibility, the heterogeneity of E. coli due to evolution over time and the geographical area, characterization of E. coli isolates colonizing the vagina and causing obstetric infections in different regions may help to develop interventions and avoid the aetiological link between maternal carriage and obstetric and subsequent puerperal infections.

  8. Detection and coexistence of six categories of resistance genes in Escherichia coli strains from chickens in Anhui Province, China

    Directory of Open Access Journals (Sweden)

    Lin Li

    2015-12-01

    Full Text Available The aim of this study was to characterise the prevalence of class 1 integrons and gene cassettes, tetracycline-resistance genes, phenicol-resistance genes, 16S rRNA methylase genes, extended-spectrum β-lactamase genes and plasmid-mediated fluoroquinolone resistance determinants in 184 Escherichia coli isolates from chickens in Anhui Province, China. Susceptibility to 15 antimicrobials was determined using broth micro-dilution. Polymerase chain reaction and DNA sequencing were used to characterise the molecular basis of the antibiotic resistance. High rates of antimicrobial resistance were observed; 131 out of the 184 (72.3% isolates were resistant to at least six antimicrobial agents. The prevalences of class 1 integrons, tetracycline-resistance genes, phenicol-resistance genes, 16S rRNA methylase genes, extended-spectrum β-lactamase genes and plasmid-mediated fluoroquinolone resistance determinants were 49.5, 17.4, 15.8, 0.5, 57.6 and 46.2%, respectively. In 82 isolates, 48 different kinds of coexistence of the different genes were identified. Statistical (χ2 analysis showed that the resistance to amoxicillin, doxycycline, florfenicol, ofloxacin and gentamicin had significant differences (P<0.01 or 0.01resistance genes, which showed a certain correlation between antimicrobial resistance and the presence of resistance genes.

  9. Antimicrobial Resistance Profile of Planktonic and Biofilm Cells of Staphylococcus aureus and Coagulase-Negative Staphylococci

    Directory of Open Access Journals (Sweden)

    Adilson de Oliveira

    2016-09-01

    Full Text Available The objective of the present study was to determine the antimicrobial resistance profile of planktonic and biofilm cells of Staphylococcus aureus and coagulase-negative staphylococci (CoNS. Two hundred Staphylococcus spp. strains were studied, including 50 S. aureus and 150 CoNS strains (50 S. epidermidis, 20 S. haemolyticus, 20 S. warneri, 20 S. hominis, 20 S. lugdunensis, and 20 S. saprophyticus. Biofilm formation was investigated by adherence to polystyrene plates. Positive strains were submitted to the broth microdilution method to determine the minimum inhibitory concentration (MIC for planktonic and biofilm cells and the minimal bactericidal concentration for biofilm cells (MBCB. Forty-nine Staphylococcus spp. strains (14 S. aureus, 13 S. epidermidis, 13 S. saprophyticus, 3 S. haemolyticus, 1 S. hominis, 3 S. warneri, and 2 S. lugdunensis were biofilm producers. These isolates were evaluated regarding their resistance profile. Determination of planktonic cell MIC identified three (21.4% S. aureus strains that were resistant to oxacillin and six (42.8% that were resistant to erythromycin. Among the CoNS, 31 (88.6% strains were resistant to oxacillin, 14 (40% to erythromycin, 18 (51.4% to gentamicin, and 8 (22.8% to sulfamethoxazole/trimethoprim. None of the planktonic isolates were resistant to vancomycin or linezolid. MICs were 2-, 4-, 8-, and up to 16-fold higher for biofilm cells than for planktonic cells. This observation was more common for vancomycin and erythromycin. The MBCB ranged from 8 to >256 µg/mL for oxacillin, 128 to >128 µg/mL for vancomycin, 256 to >256 µg/mL for erythromycin and gentamicin, >64 µg/mL for linezolid, and 32/608 to >32/608 µg/mL for sulfamethoxazole/trimethoprim. The results showed considerably higher MICs for S. aureus and CoNS biofilm cells compared to planktonic cells. Analysis of MBCM confirmed that even high concentrations of vancomycin were unable to eliminate the biofilms of S. aureus and Co

  10. [Susceptibility and resistence of Pseudomonas aeruginosa to antimicrobial agents].

    Science.gov (United States)

    Gamero Delgado, M C; García-Mayorgas, A D; Rodríguez, F; Ibarra, A; Casal, M

    2007-06-01

    Pseudomonas aeruginosa is an opportunistic microorganism that is frequently the cause of nosocomial infections. Multiple mechanisms are involved in its natural and acquired resistance to many of the antimicrobial agents commonly used in clinical practice. The objective of this study was to assess the susceptibility and resistance patterns of P. aeruginosa strains isolated in Hospital Reina Sofia between 2000 and 2005, as well as to analyze the differences between intrahospital and extrahospital isolates in 2005 and to compare the results with those obtained in other studies. A total of 3,019 strains of P. aeruginosa from different hospitals and nonhospital settings were evaluated, taking into consideration their degree of sensitivity to different antibiotics. The MICs were determined by means of the Wider I automated system (Soria Melguizo), taking into consideration the criteria of susceptibility and resistance recommended by MENSURA. Results of the analysis showed that P. aeruginosa maintained similar levels of antimicrobial susceptibility during the period 2000-2005, with increased susceptibility to amikacin, gentamicin and tobramycin. There were also important differences in the degree of susceptibility between intrahospital and extrahospital strains, except for imipenem and fosfomycin. The intrahospital difference in susceptibility was also evaluated, emphasizing the importance of periodically studying susceptibility and resistance patterns of P. aeruginosa in each setting in order to evaluate different therapeutic guidelines, as it is not always advisable to extrapolate data from different regions. These differences can be explained by the different use of antibiotics in each center and the geographic variations of the resistance mechanisms of P. aeruginosa.

  11. Pathogenicity determinants and antibiotic resistance profiles of enterococci from foods of animal origin in Turkey.

    Science.gov (United States)

    Elal Mus, Tulay; Cetinkaya, Figen; Cibik, Recep; Soyutemiz, Gul Ece; Simsek, Husniye; Coplu, Nilay

    2017-12-01

    In this study, the presence of genes responsible for the pathogenicity and antibiotic resistance profile of enterococci isolated from various foodstuffs of animal origin was investigated. The percentage prevalence of enterococci was 54.1% (203/375) and the average count was found to be 3.81 log cfu/ml-g. Species-specific primers revealed Enterococcus faecalis as the predominant species carrying one or more virulence-associated traits of efa, gelE, ace, esp and agg genetic markers. Only one E. faecium isolate (from milk) was positive for the esp gene. Regarding antibiotic resistance, the highest frequency of resistance was observed for tetracycline (21.7%), followed by quinupristin/dalfopristin (13.3%), ciprofloxacin (2.0%), penicillin (2.0%), linezolid (1.0%), ampicillin (1.0%), streptomycin (1.0%), and gentamicin (0.5%). Enterococcus faecalis showed a higher prevalence of antibiotic resistance than other enterococci. The percentage of multidrug resistance among the isolates was 3.4%. Twenty-nine E. faecalis isolates (26.6%) carrying one of the virulence-associated traits were at the same time resistant to at least one antibiotic. Our results show that foods of animal origin, including ready-to-eat products, may be reservoirs of antibiotic-resistant and potentially virulent enterococci.

  12. Multidrug Resistant Salmonella typhi in Asymptomatic Typhoid Carriers among Food Handlers in Namakkal District, Tamil Nadu

    Directory of Open Access Journals (Sweden)

    Senthilkumar B

    2005-01-01

    Full Text Available Purpose: to screen Salmonella typhi in asymptomatic typhoid carriers and to find out drug resistance and ability of the strains to transmit drug resistance to other bacteria. Methods: Cultural characters, biochemical tests, antibiotic sensitivity test (disc diffusion, agarose gel electrophoresis, and conjugation protocols were done. Thirty five stool samples were collected from the suspected food handlers for the study. Results: Among 35 samples, (17.14% yielded a positive result. Out of these 4 (20.0% were women and 2 (13.33% were men. The isolates were tested with a number of conventional antibiotics viz, amikacin, amoxicillin, ampicillin, chloramphenicol, ciprofloxacin, co-trimaxazole, rifampicin, gentamicin, nalidixic acid, ofloxacin and tetracycline. Five isolates were having the multidrug resistant character. Four (66.66% multidrug resistant isolates were found to have plasmids, while one (16.66% multidrug resistant isolate had no plasmid and the chromosome encoded the resistance. Only one strain (16.66% showed single antibiotic resistance in the study and had no plasmid DNA. The molecular weights of the plasmids were determined and found to be 120 kb.The mechanism of spreading of drug resistance through conjugation process was analyzed. In the conjugation studies, the isolates having R+ factor showed the transfer of drug resistance through conjugation, which was determined by the development of antibiotic resistance in the recipients. Conclusion: This study shows that drug resistant strains are able to transfer genes encoding drug resistance.

  13. Frequency and Antibiogram of Vancomycin Resistant Enterococcus in a Tertiary Care Hospital

    International Nuclear Information System (INIS)

    Babar, N.; Usman, J.; Munir, T.; Gill, M. M.; Anjum, R.; Gilani, M.; Latif, M.

    2014-01-01

    Objective: To determine the frequency of Vancomycin Resistant Enterococcus (VRE) in a tertiary care hospital of Rawalpindi, Pakistan. Study Design: Observational, cross-sectional study. Place and Duration of Study: Department of Microbiology, Army Medical College, Rawalpindi, from May 2011 to May 2012. Methodology: Vancomycin resistant Enterococcus isolated from the clinical specimens including blood, pus, double lumen tip, ascitic fluid, tracheal aspirate, non-directed bronchial lavage (NBL), cerebrospinal fluid (CSF), high vaginal swab (HVS) and catheter tips were cultured on blood agar and MacConkey agar, while the urine samples were grown on cystine lactose electrolyte deficient agar. Later the antimicrobial susceptibility testing of the isolates was carried out using the modified Kirby-Bauer disc diffusion method on Mueller Hinton agar. Results: A total of 190 enterococci were isolated. Of these, 22 (11.57%) were found to be resistant to vancomycin. The antimicrobial sensitivity pattern revealed maximum resistance against ampicillin (86.36%) followed by erythromycin (81.81%) and gentamicin (68.18%) while all the isolates were 100% susceptible to chloramphenicol and linezolid. Conclusion: The frequency of VRE was 11.57% with the highest susceptibility to linezolid and chloramphenicol. (author)

  14. Persistence of Escherichia coli clones and phenotypic and genotypic antibiotic resistance in recurrent urinary tract infections in childhood

    DEFF Research Database (Denmark)

    Kõljalg, Siiri; Truusalu, Kai; Vainumäe, Inga

    2009-01-01

    . Altogether, 78 urinary E. coli isolates from 27 children, who experienced recurrences during a 1-year follow-up after the first attack of acute pyelonephritis, were investigated. The MICs of sulfamethoxazole, trimethoprim-sulfamethoxazole (SXT), ampicillin, cefuroxime, cefotaxime, and gentamicin...... and the presence or absence of the intI gene for class 1 integrons and the sulfamethoxazole resistance-encoding genes sul1, sul2, and sul3 were determined. All E. coli strains were genotyped by pulsed-field gel electrophoresis. There were no significant differences in the prevalences of resistance to beta...

  15. Heavy metal and antibiotic resistance in bacteria isolated from the environment of swine farms

    International Nuclear Information System (INIS)

    Fan, Y.; Ping, C.; Mei, L.S.

    2014-01-01

    The aim of the present study was to determine the level of heavy metal resistance and antibiotic resistance patterns of bacterial isolates from environment of swine farms in China. A total of 284 bacteria were isolated, 158 from manure, 62 from soil and 64 from wastewater in different swine farm samples. All the isolates were tested for resistant against eight heavy metals. From the total of 284 isolates, maximum bacterial isolates were found to be resistant to Zn/sup 2+/ (98.6%) followed by Cu/sup 2+/ (97.5%), Cd/sup 2+/ (68.3%), Mn/sup 2+/ (60.2%), Pb/sup 2+/(51.4%), Ni/sup 2+/(41.5%) and Cr/sup 2+/(45.1%). However, most of the isolates were sensitive to Co/sup 2+/. Meanwhile,all the isolates were tested for sensitively to nine antibiotics. The results shows that most isolates were sensitive to cefoxitin and oxacillin, but resistance to tetracycline, ampicillin, gentamicin, amikacin, erythromycin, clindamycin were widespread. Multiple resistant to metals and antibiotics were also observed in this study. Most isolates were tolerant to different concentrations of various heavy metals and antibiotics. Our results confirmed that environment of swine farms in China has a significant proportion of heavy metal and antibiotic resistant bacteria, and these bacteria constitute a potential risk for swine health and public health. (author)

  16. Molecular serotyping and antimicrobial resistance profiles of Actinobacillus pleuropneumoniae isolated from pigs in South Korea.

    Science.gov (United States)

    Kim, Boram; Hur, Jin; Lee, Ji Yeong; Choi, Yoonyoung; Lee, John Hwa

    2016-09-01

    Actinobacillus pleuropneumoniae (APP) causes porcine pleuropneumonia (PP). Serotypes and antimicrobial resistance patterns in APP isolates from pigs in Korea were examined. Sixty-five APP isolates were genetically serotyped using standard and multiplex PCR (polymerase chain reaction). Antimicrobial susceptibilities were tested using the standardized disk-agar method. PCR was used to detect β-lactam, gentamicin and tetracycline-resistance genes. The random amplified polymorphic DNA (RAPD) patterns were determined by PCR. Korean pigs predominantly carried APP serotypes 1 and 5. Among 65 isolates, one isolate was sensitive to all 12 antimicrobials tested in this study. Sixty-two isolates was resistant to tetracycline and 53 isolates carried one or five genes including tet(B), tet(A), tet(H), tet(M)/tet(O), tet(C), tet(G) and/or tet(L)-1 markers. Among 64 strains, 9% and 26.6% were resistance to 10 and three or more antimicrobials, respectively. Thirteen different antimicrobial resistance patterns were observed and RAPD analysis revealed a separation of the isolates into two clusters: cluster II (6 strains resistant to 10 antimicrobials) and cluster I (the other 59 strains). Results show that APP serotypes 1 and 5 are the most common in Korea, and multi-drug resistant strains are prevalent. RAPD analysis demonstrated that six isolates resistant to 10 antimicrobials belonged to the same cluster.

  17. Staphylococcus aureus Adapts to Oxidative Stress by Producing H2O2-Resistant Small-Colony Variants via the SOS Response

    Science.gov (United States)

    Painter, Kimberley L.; Strange, Elizabeth; Bamford, Kathleen B.; Armstrong-James, Darius

    2015-01-01

    The development of chronic and recurrent Staphylococcus aureus infections is associated with the emergence of slow-growing mutants known as small-colony variants (SCVs), which are highly tolerant of antibiotics and can survive inside host cells. However, the host and bacterial factors which underpin SCV emergence during infection are poorly understood. Here, we demonstrate that exposure of S. aureus to sublethal concentrations of H2O2 leads to a specific, dose-dependent increase in the population frequency of gentamicin-resistant SCVs. Time course analyses revealed that H2O2 exposure caused bacteriostasis in wild-type cells during which time SCVs appeared spontaneously within the S. aureus population. This occurred via a mutagenic DNA repair pathway that included DNA double-strand break repair proteins RexAB, recombinase A, and polymerase V. In addition to triggering SCV emergence by increasing the mutation rate, H2O2 also selected for the SCV phenotype, leading to increased phenotypic stability and further enhancing the size of the SCV subpopulation by reducing the rate of SCV reversion to the wild type. Subsequent analyses revealed that SCVs were significantly more resistant to the toxic effects of H2O2 than wild-type bacteria. With the exception of heme auxotrophs, gentamicin-resistant SCVs displayed greater catalase activity than wild-type bacteria, which contributed to their resistance to H2O2. Taken together, these data reveal a mechanism by which S. aureus adapts to oxidative stress via the production of a subpopulation of H2O2-resistant SCVs with enhanced catalase production. PMID:25690100

  18. Antimicrobial Resistant Pattern of Escherichia Coli Strains Isolated from Pediatric Patients in Jordan

    Directory of Open Access Journals (Sweden)

    Mohammad Alshara

    2011-05-01

    Full Text Available The present study was conducted to investigate antimicrobial resistant pattern of Escherichia coli (E. coli strains isolated from clinical specimens of Jordanian pediatric patients during the period from January to December 2008. A total of 444 E. coli strains were isolated from clinical specimens and tested for their susceptibility to different antimicrobial drugs. Overall, high resistance rate was observed for ampicillin (84%, followed by amoxicillin-clavulanic acid (74.3%, cotrimoxazole (71%, nalidixic acid (47.3%, cephalothin (41%. Lower resistance rates were observed for amikacin (0% followed by Cefotaxime (11%, Ceftriaxone (11.7%, ciprofloxacin (14.5%, Norfloxacin (16.5%, gentamicin (17.3% cephalexin (20.9%, Ceftazidime (22.5%, cefixime (29.6%, and cefaclor (32.8%. Ampicillin, amoxicillin-clavulanic acid and cotrimoxazole were found to be ineffective at in vitro inhibition of the E. coli of pediatric origin. Amikacin was highly effective for E. coli with susceptibility rate of 100%. The majority of E. coli strains were susceptible to third generation cephalosporins and fluoroquinolones.

  19. Carbapenem Susceptibility and Multidrug-Resistance in Pseudomonas aeruginosa Isolates in Egypt.

    Science.gov (United States)

    Hashem, Hany; Hanora, Amro; Abdalla, Salah; Shawky, Alaa; Saad, Alaa

    2016-11-01

    Resistant Pseudomonas aeruginosa is a serious concern for antimicrobial therapy, as the common isolates exhibit variable grades of resistance, involving beta-lactamase enzymes, beside native defense mechanisms. The present study was designed to determine the occurrence of Metallo-β- Lactamases (MBL) and Amp C harboring P. aeruginosa isolates from Suez Canal university hospital in Ismailia, Egypt. A total of 147 P. aeruginosa isolates, recovered from 311 patients during a 10-month period, were collected between May 2013 and February 2014; the isolates were collected from urine, wound and sputum. Minimum inhibitory concentration (MIC) determined by agar dilution methods was ≥2 μg/mL for meropenem and imipenem. Identification of P. aeruginosa was confirmed using API 20NE. Metallo-β- Lactamases and Amp C were detected based on different phenotypic methods. Overall, 26.5% of P. aeruginosa isolates (39/147) were carbapenem resistant isolates. Furthermore, 64.1% (25/39) were MBL producers, these isolates were screened by the combined disc and disc diffusion methods to determine the ability of MBL production. Both MBL and Amp C harbored P. aeruginosa isolates were 28% (7/25). Sixty-four percent of P. aeruginosa isolates were multidrug resistant (MDR) (16/25). The sensitivity toward polymyxin, imipenem, norfloxacin, piperacillin-tazobactam and gentamicin was 99%, 91%, 88%, 82% and 78%, respectively. The resistance rate towards cefotaxime, ceftazidime, cefepime, aztreonam and meropenem was 98.6%, 86%, 71.4%, 34% and 30%, respectively. Multidrug resistance was significantly associated with MBL production in P. aeruginosa . Early detection of MBL-producing P. aeruginosa and hospital antibiotic policy prescription helps proper antimicrobial therapy and avoidance of dissemination of these multidrug resistance isolates.

  20. Genetic characterization of antimicrobial resistance in coagulase-negative staphylococci from bovine mastitis milk.

    Science.gov (United States)

    Frey, Yvonne; Rodriguez, Joan Peña; Thomann, Andreas; Schwendener, Sybille; Perreten, Vincent

    2013-04-01

    Coagulase-negative staphylococci (CNS; n=417) were isolated from bovine milk and identified by matrix-assisted laser desorption/ionization time-of-flight mass spectrometry. Nineteen different species were identified, and Staphylococcus xylosus, Staphylococcus chromogenes, Staphylococcus haemolyticus, and Staphylococcus sciuri were the most prevalent species. Resistance to oxacillin (47.0% of the isolates), fusidic acid (33.8%), tiamulin (31.9%), penicillin (23.3%), tetracycline (15.8%), streptomycin (9.6%), erythromycin (7.0%), sulfonamides (5%), trimethoprim (4.3%), clindamycin (3.4%), kanamycin (2.4%), and gentamicin (2.4%) was detected. Resistance to oxacillin was attributed to the mecA gene in 9.7% of the oxacillin-resistant isolates. The remaining oxacillin-resistant CNS did not contain the mecC gene or mecA1 promoter mutations. The mecA gene was detected in Staphylococcus fleurettii, Staphylococcus epidermidis, Staph. haemolyticus, and Staph. xylosus. Resistance to tetracycline was attributed to the presence of tet(K) and tet(L), penicillin resistance to blaZ, streptomycin resistance to str and ant(6)-Ia, and erythromycin resistance to erm(C), erm(B), and msr. Resistance to tiamulin and fusidic acid could not be attributed to an acquired resistance gene. In total, 15.1% of the CNS isolates were multidrug resistant (i.e., resistant to 2 or more antimicrobials). The remaining CNS isolates were susceptible to antimicrobials commonly used in mastitis treatment. Methicillin-resistant CNS isolates were diverse, as determined by mecA gene sequence analysis, staphylococcal cassette chromosome mec typing, and pulsed-field gel electrophoresis. Arginine catabolic mobile element types 1 and 3 were detected in both methicillin-resistant and methicillin-susceptible Staph. epidermidis and were associated with sequence types ST59 and ST111. Because this study revealed the presence of multidrug-resistant CNS in a heterogeneous CNS population, we recommend antibiogram analysis

  1. Antimicrobial resistance of F4+ Escherichia coli isolated from Swine in Italy.

    Science.gov (United States)

    Luppi, A; Bonilauri, P; Dottori, M; Gherpelli, Y; Biasi, G; Merialdi, G; Maioli, G; Martelli, P

    2015-02-01

    Four-hundred and forty-two F4+ pathogenic Escherichia coli were isolated in a period of 10 years (2002-2011), from pigs that were suffering from diarrhoea belonging to Italian swine herds. The strains were analysed for their susceptibility to 12 antimicrobials using the disc diffusion method. During the study period, a statistically significant proportion of isolates resistant to enrofloxacin (14.5-89.3%), marbofloxacin (5.4-60.7%), flumequine (49.1-92.9%), danofloxacin (21.6-80%), florfenicol (9.8-64.3%), thiamphenicol (50-92%) and cefquinome (3.8-44%) was recorded. An increase in resistance (not statistically significant) to gentamicin (63.6-85.7%), apramycin (61.8-82.1%), trimethoprim-sulphamethoxazole (75-89.3%), tetracycline (97-100%) and erythromycin (92.4-100%) was also observed. Based on antimicrobial multiresistance, the strains were collected into three groups: I. resistant to 2-5 antimicrobials; II. resistant to 6-8 antimicrobials; III. resistant to 9-12 antimicrobials. The number of isolates belonging to the first group showed a statistically significant decrease (P < 0.05; R(2)  = 0.896; r = -0.9608), while the isolates belonging to the second and third groups showed a statistically significant increase in resistance (P < 0.05; R(2)  = 0.753; r = 0.8890 and P < 0.05; R(2)  = 0.727; r = 0.8701, respectively) over the period of study. The results of this study suggest the need for continued monitoring of the development of resistance. © 2013 Blackwell Verlag GmbH.

  2. Resistant gram-negative bacilli and antibiotic consumption in zarqa, jordan

    International Nuclear Information System (INIS)

    Bataineh, H.A.; Alrashed, K.M.

    2007-01-01

    To investigate the prevalence of antibiotic resistance among gram-negative bacteria in relation to antibiotic use in Prince Hashem Hospital (PHH), Jordan. One hundred consecutive gram-negative bacterial isolates from different sites were collected from patients admitted to the ICU at PHH. The susceptibilities of the strains to 12 antibiotics were performed and interpreted. The quantities and the numbers of the patients discharged on antibiotics and the quantities consumed were obtained from the hospital pharmacy records. The most common isolate was P. aeruginosa (n=21) The most common site of isolation was the respiratory tract (65%), The highest susceptibility was to piperacillin/ tazobactam(78%), and the lowest was to cefuroxime(34%). The aminoglycosides gentamicin and amikacin were active against 71% and 73% of the isolates respectively, Ciprofloxacin was active against 75% of the isolates. The most frequently used antibiotics were the third-generation cephalosporins ceftriaxone and ceftazidime, followed by imipenem and amikacin. Antibiotic resistance surveillance programs associated with registration of antibiotic consumption are necessary to promote optimal use of antibiotics. Rational prescribing of antibiotics should be encouraged through educational programs, surveillance and audit. Proper infection control measures should be practiced to prevent horizontal transfer of drug-resistant organisms. (author)

  3. Prevalence of antimicrobial resistance of non-typhoidal Salmonella serovars in retail aquaculture products.

    Science.gov (United States)

    Zhang, Jianmin; Yang, Xiaowei; Kuang, Dai; Shi, Xianming; Xiao, Wenjia; Zhang, Jing; Gu, Zhen; Xu, Xuebin; Meng, Jianghong

    2015-10-01

    Aquaculture products can become sources of Salmonella by exposure to contaminated water or through processing practices, thus representing a public health hazard. A study was conducted on Salmonella contamination in aquaculture products sampled from marketplaces and retailers in Shanghai, China. A total of 730 samples (including fish, shellfish, bullfrog, clam, shrimp and others) were obtained from 2006 to 2011. Among them, 217 (29.7%) were positive for Salmonella. Thirty-eight serovars were identified in the 217 Salmonella isolates. The most prevalent were Salmonella Aberdeen (18.4%), S. Wandsworth (12.0%), S. Thompson (9.2%), S. Singapore (5.5%), S. Stanley (4.6%), S. Schwarzengrund (4.6%), S. Hvittingfoss (4.1%) and S. Typhimurium (4.1%). Many resistant isolates were detected, with 69.6% resistant to at least one antimicrobial drug. We observed high resistance to sulfonamides (56.5%), tetracycline (34.1%), streptomycin (28.6%), ampicillin (23.5%) and nalidixic acid (21.2%). Lower levels of resistance were found for gentamicin (3.2%), ciprofloxacin (2.3%), ceftiofur (1.3%), cefotaxime (0.9%), ceftazidime (0.5%) and cefepime (0.5%). A total of 43.3% of the Salmonella isolates were multidrug-resistant and 44 different resistance patterns were found. This study provided data on the prevalence, serovars and antimicrobial resistance of Salmonella from retail aquaculture products in Shanghai, and indicated the need for monitoring programs for microbiologic safety in such projects and for more prudent drug use in aquaculture production in order to reduce the risk of development and spread of antimicrobial resistance. Copyright © 2015 Elsevier B.V. All rights reserved.

  4. Distribution of phylogroups and co-resistance to antimicrobial agents in ampicillin resistant Escherichia coli isolated from healthy humans and from patients with bacteraemia

    DEFF Research Database (Denmark)

    Haugaard, A.; Hammerum, A. M.; Porsbo, Lone Jannok

    inhibitory concentration to antimicrobial agents and examined by PCR to determine their phylogroups. The phylotyping grouped the faecal samples into A (13%), B1 (10%), B2 (42%), D (19%), NT (16%) while the blood isolates grouped into A (16%), B1 (0%), B2 (48%), D (32%) and NT (3%). The frequency...... of resistance in faecal and blood isolates (F/B) was: tetracycline (48%/48%), gentamicin (0%/10%), ciprofloxacin (3%,13%), sulfonamide (68%/77%) and trimethoprim (39%/39%). Conclusion: B2 was the most prevalent phylogroup found both in faecal isolates collected from healthy humans and in blood isolates from...

  5. Global Phenotypic Characterization of Effects of Fluoroquinolone Resistance Selection on the Metabolic Activities and Drug Susceptibilities of Clostridium perfringens Strains

    Directory of Open Access Journals (Sweden)

    Miseon Park

    2014-01-01

    Full Text Available Fluoroquinolone resistance affects toxin production of Clostridium perfringens strains differently. To investigate the effect of fluoroquinolone resistance selection on global changes in metabolic activities and drug susceptibilities, four C. perfringens strains and their norfloxacin-, ciprofloxacin-, and gatifloxacin-resistant mutants were compared in nearly 2000 assays, using phenotype microarray plates. Variations among mutant strains resulting from resistance selection were observed in all aspects of metabolism. Carbon utilization, pH range, osmotic tolerance, and chemical sensitivity of resistant strains were affected differently in the resistant mutants depending on both the bacterial genotype and the fluoroquinolone to which the bacterium was resistant. The susceptibilities to gentamicin and erythromycin of all resistant mutants except one increased, but some resistant strains were less susceptible to amoxicillin, cefoxitin, ceftriaxone, chloramphenicol, and metronidazole than their wild types. Sensitivity to ethidium bromide decreased in some resistant mutants and increased in others. Microarray analysis of two gatifloxacin-resistant mutants showed changes in metabolic activities that were correlated with altered expression of various genes. Both the chemical structures of fluoroquinolones and the genomic makeup of the wild types influenced the changes found in resistant mutants, which may explain some inconsistent reports of the effects of therapeutic use of fluoroquinolones on clinical isolates of bacteria.

  6. Antimicrobial activity and synergy of antibiotics with two biphenyl compounds, protosappanins A and B from Sappan Lignum against methicillin-resistant Staphylococcus aureus strains.

    Science.gov (United States)

    Zuo, Guo-Ying; Han, Zong-Qi; Han, Jun; Hao, Xiao-Yan; Tang, Hua-Shu; Wang, Gen-Chun

    2015-10-01

    This study aims to investigate antimicrobial ingredients from Sappan Lignum and to evaluate their synergy on methicillin-resistant Staphylococcus aureus strains with antibiotics. Bioactivity-guided phytochemical procedures were used to screen the active compounds. Minimum inhibitory concentrations (MICs) and minimum bactericidal concentrations (MBCs) were assayed by broth microdilution. The synergy was evaluated through checkerboard microdilution and loss of viability assays. Protosappanins A (PsA) and B (PsB) were identified from Sappan Lignum extracts. They showed active against both S. aureus and MRSA with MIC or MIC50 at 64 (PsA) and 128 (PsB) mg/L alone. When they were used in combination with antibiotics, they showed best synergy with amikacin and gentamicin with MIC50 (mg/L) of amikacin reduced more significantly from 32 to four (with PsA) and eight (with PsB), and the fractional inhibitory concentration index (FICI) ranged between 0.078 and 0.500 (FICI50  = 0.375). Moreover, the resistance of MRSA towards amikacin and gentamicin could be reversed by the Clinical and Laboratory Standards Institute criteria. The combined bactericidal mode could as well be synergy. PsA and PsB showed very low cytotoxicity in comparison with their promising activity against MRSA. Protosappanins A and B showed both alone activities and resistance reversal effects of amikacin and gentamicin against MRSA, which warrant further investigations for potential combinatory therapy of MRSA infection. © 2015 Royal Pharmaceutical Society.

  7. DNA sequence analysis of plasmids from multidrug resistant Salmonella enterica serotype Heidelberg isolates.

    Directory of Open Access Journals (Sweden)

    Jing Han

    Full Text Available Salmonella enterica serovar Heidelberg is among the most detected serovars in swine and poultry, ranks among the top five serotypes associated with human salmonellosis and is disproportionately associated with invasive infections and mortality in humans. Salmonella are known to carry plasmids associated with antimicrobial resistance and virulence. To identify plasmid-associated genes in multidrug resistant S. enterica serovar Heidelberg, antimicrobial resistance plasmids from five isolates were sequenced using the 454 LifeSciences pyrosequencing technology. Four of the isolates contained incompatibility group (Inc A/C multidrug resistance plasmids harboring at least eight antimicrobial resistance genes. Each of these strains also carried a second resistance plasmid including two IncFIB, an IncHI2 and a plasmid lacking an identified Inc group. The fifth isolate contained an IncI1 plasmid, encoding resistance to gentamicin, streptomycin and sulfonamides. Some of the IncA/C plasmids lacked the full concert of transfer genes and yet were able to be conjugally transferred, likely due to the transfer genes carried on the companion plasmids in the strains. Several non-IncA/C resistance plasmids also carried putative virulence genes. When the sequences were compared to previously sequenced plasmids, it was found that while all plasmids demonstrated some similarity to other plasmids, they were unique, often due to differences in mobile genetic elements in the plasmids. Our study suggests that Salmonella Heidelberg isolates harbor plasmids that co-select for antimicrobial resistance and virulence, along with genes that can mediate the transfer of plasmids within and among other bacterial isolates. Prevalence of such plasmids can complicate efforts to control the spread of S. enterica serovar Heidelberg in food animal and human populations.

  8. [Resistance risk, cross-resistance and biochemical resistance mechanism of Laodelphax striatellus to buprofezin].

    Science.gov (United States)

    Mao, Xu-lian; Liu, Jin; Li, Xu-ke; Chi, Jia-jia; Liu, Yong-jie

    2016-01-01

    In order to investigate the resistance development law and biochemical resistance mechanism of Laodelphax striatellus to buprofezin, spraying rice seedlings was used to continuously screen resistant strains of L. striatellus and dipping rice seedlings was applied to determine the toxicity and cross-resistance of L. striatellus to insecticides. After 32-generation screening with buprofezin, L. striatellus developed 168.49 folds resistance and its reality heritability (h2) was 0.11. If the killing rate was 80%-90%, L. striatellus was expected to develop 10-fold resistance to buprofezin only after 5 to 6 generations breeding. Because the actual reality heritability of field populations was usually lower than that of the resistant strains, the production of field populations increasing with 10-fold resistance would need much longer time. The results of cross-resistance showed that resistant strain had high level cross-resistance with thiamethoxam and imidacloprid, low level cross-resistance with acetamiprid, and no cross-resistance with pymetrozine and chlorpyrifos. The activity of detoxification enzymes of different strains and the syergism of synergist were measured. The results showed that cytochrome P450 monooxygenase played a major role in the resistance of L. striatellus to buprofezin, the esterase played a minor role and the GSH-S-transferase had no effect. Therefore, L. striatellus would have high risk to develop resistance to buprofezin when used in the field and might be delayed by using pymetrozine and chlorpyrifos.

  9. Surveillance of antimicrobial resistance at a tertiary hospital in Tanzania

    Directory of Open Access Journals (Sweden)

    Mashurano Marcellina

    2004-10-01

    Full Text Available Abstract Background Antimicrobial resistance is particularly harmful to infectious disease management in low-income countries since expensive second-line drugs are not readily available. The objective of this study was to implement and evaluate a computerized system for surveillance of antimicrobial resistance at a tertiary hospital in Tanzania. Methods A computerized surveillance system for antimicrobial susceptibility (WHONET was implemented at the national referral hospital in Tanzania in 1998. The antimicrobial susceptibilities of all clinical bacterial isolates received during an 18 months' period were recorded and analyzed. Results The surveillance system was successfully implemented at the hospital. This activity increased the focus on antimicrobial resistance issues and on laboratory quality assurance issues. The study identified specific nosocomial problems in the hospital and led to the initiation of other prospective studies on prevalence and antimicrobial susceptibility of bacterial infections. Furthermore, the study provided useful data on antimicrobial patterns in bacterial isolates from the hospital. Gram-negative bacteria displayed high rates of resistance to common inexpensive antibiotics such as ampicillin, tetracycline and trimethoprim-sulfamethoxazole, leaving fluoroquinolones as the only reliable oral drugs against common Gram-negative bacilli. Gentamicin and third generation cephalosporins remain useful for parenteral therapy. Conclusion The surveillance system is a low-cost tool to generate valuable information on antimicrobial resistance, which can be used to prepare locally applicable recommendations on antimicrobial use. The system pinpoints relevant nosocomial problems and can be used to efficiently plan further research. The surveillance system also functions as a quality assurance tool, bringing attention to methodological issues in identification and susceptibility testing.

  10. Evolution of antimicrobial resistance of Salmonella enteritidis (1972–2005

    Directory of Open Access Journals (Sweden)

    Jermaine Khumalo

    2014-11-01

    Full Text Available With the extensive use of antibiotics in livestock production, surveillance revealed an increase in Salmonella resistance to the commonly used antimicrobials in veterinary and public health. This serious threat to health care is further exacerbated by the limited epidemiological information about the common zoonotic agent, Salmonella enteritidis, required to determine antibiotic therapy. The aim was to characterise the antimicrobial resistance patterns of S. enteritidis isolates across different timelines (1972–2005 with accompanying genetic changes being investigated. Thirty-seven stored S. enteritidis isolates were collected from the Central Veterinary Laboratory, Harare, with antimicrobial susceptibility determined against eight antibiotics. Plasmids were isolated to analyse any genetic variation. An overall significant increase in resistance (p < 0.05 to nalidixic acid (0% – 10%, ampicillin (14.3% – 50%, tetracycline (14.3% – 30% and erythromycin (71.4% – 100% was observed across the timeline. However, the highest rates of susceptibility were maintained for gentamicin, sulphamethoxazole-trimethoprim, kanamycin and chloramphenicol. We report an increase in multidrug resistance (MDR of 14.2% – 50% with an increase in resistotypes and plasmid profiles across the timeline. Eleven plasmid profiles were obtained in the 37 isolates studied with a minority of isolates (21.6%, 8/37 harbouring a 54 kb plasmid, commonly serovar-specific. A concerning increase in antimicrobial resistance to commonly administered drugs was observed across the timeline. The surge in MDR is of great concern and implies the need for consistent antimicrobial stewardship. No correlation was observed between the plasmid and antibiotic profiles.

  11. Antibiotic Resistance among Clinical Ureaplasma Isolates Recovered from Neonates in England and Wales between 2007 and 2013.

    Science.gov (United States)

    Beeton, Michael L; Chalker, Victoria J; Jones, Lucy C; Maxwell, Nicola C; Spiller, O Brad

    2016-01-01

    Ureaplasma spp. are associated with numerous clinical sequelae with treatment options being limited due to patient and pathogen factors. This report examines the prevalence and mechanisms of antibiotic resistance among clinical strains isolated from 95 neonates, 32 women attending a sexual health clinic, and 3 patients under investigation for immunological disorders, between 2007 and 2013 in England and Wales. MICs were determined by using broth microdilution assays, and a subset of isolates were compared using the broth microdilution method and the Mycoplasma IST2 assay. The underlying molecular mechanisms for resistance were determined for all resistant isolates. Three isolates carried the tet(M) tetracycline resistance gene (2.3%; confidence interval [CI], 0.49 to 6.86%); two isolates were ciprofloxacin resistant (1.5%; CI, 0.07 to 5.79%) but sensitive to levofloxacin and moxifloxacin, while no resistance was seen to any macrolides tested. The MIC values for chloramphenicol were universally low (2 μg/ml), while inherently high-level MIC values for gentamicin were seen (44 to 66 μg/ml). The Mycoplasma IST2 assay identified a number of false positives for ciprofloxacin resistance, as the method does not conform to international testing guidelines. While antibiotic resistance among Ureaplasma isolates remains low, continued surveillance is essential to monitor trends and threats from importation of resistant clones. Copyright © 2015, American Society for Microbiology. All Rights Reserved.

  12. [Identification of lactic acid bacteria in commercial yogurt and their antibiotic resistance].

    Science.gov (United States)

    Qin, Yuxuan; Li, Jing; Wang, Qiuya; Gao, Kexin; Zhu, Baoli; Lv, Na

    2013-08-04

    To identify lactic acid bacteria (LAB) in commercial yogurts and investigate their antibiotic resistance. LABs were cultured from 5 yogurt brands and the isolates were identified at the species level by 16S rRNA sequence. Genotyping was performed by repetitive extragenic palindromic PCR (rep-PCR). The sensitivity to 7 antibiotics was tested for all LAB isolates by Kirby-Bauer paper diffusion (K-B method). Meanwhile, 9 antibiotic resistance genes (ARGs), including erythromycin resistance genes (ermA and ermB) and tetracycline resistance genes (tetM, tetK, tetS, tetQ, tetO, tetL and tetW), were detected by PCR amplification in the identified LAB isolates. The PCR products were confirmed by sequencing. Total 100 LABs were isolated, including 23 Lactobacillus delbrueckii ssp. bulgaricus, 26 Lactobacillus casei, 30 Streptococcus thermophilus, 5 Lactobacillus acidophilus, 6 Lactobacillus plantarum, and 10 Lactobacillus paracasei. The drug susceptibility test shows that all 100 isolates were resistant to gentamicin and streptomycin, 42 isolates were resistant to vancomycin, and on the contrary all were sensitive to cefalexin, erythromycin, tetracycline and oxytetracycline. Moreover, 5 ARGs were found in the 28 sequencing confirmed isolates, ermB gene was detected in 8 isolates, tet K in 4 isolates, tetL in 2 isolates, tetM in 4 isolates, tetO in 2 isolates. erm A, tet S, tet Q and tet W genes were not detected in the isolates. Antibiotic resistance genes were found in 53.57% (15/28) sequenced isolates, 2 -3 antibiotic resistance genes were detected in 4 isolates of L. delbrueckii ssp. bulgaricus. Some LABs were not labeled in commercial yogurt products. Antibiotic resistance genes tend to be found in the starter culture of L. delbrueckii ssp. Bulgaricus and S. thermophilus. All the LAB isolates were sensitive to erythromycin and tetracycline, even though some carried erythromycin and/or tetracycline resistance genes. We proved again that LAB could carry antibiotic

  13. Antimicrobial resistance in Escherichia coli isolated from different parts of the digestive tract of sheep

    Directory of Open Access Journals (Sweden)

    E. Afshari-Safavi

    2017-09-01

    Full Text Available In order to evaluate differences in resistance patterns of Escherichia coli isolated from different parts of sheep digestive tract, the intestinal tracts of 24 sheep were sampled at various locations (duode-num, jejunum, caecum, colon and rectum after slaughter. Samples were cultured on MacConkey agar and obtained colonies were confirmed as E. coli based on the biochemical tests results. Isolates were tested for antimicrobial agent susceptibility to 10 antibiotics (colistin, gentamicin, oxytetracycline, trimethoprim-sulfamethoxazole, amoxicillin-clavulanic acid, enrofloxacin, ampicillin, cephotaxime, neomycin and florfenicol, using disc diffusion method. The tested E. coli resistant to colistin, ampicillin and amoxicillin-clavulanic acid were isolated more frequently from large intestine (rectum than from small intestine (duodenum (P<0.05. In conclusion, antimicrobial resistance pattern of generic E. coli inhabiting the intestinal tract of sheep depends on sampling location, which should be considered in interpreting the results of antimicrobial resistance tests of E. coli isolated from the faecal samples and generalising results to bacteria colonised in other parts of the digestive tract

  14. Antibiotic resistance and virulence traits in clinical and environmental Enterococcus faecalis and Enterococcus faecium isolates.

    Science.gov (United States)

    Rathnayake, I U; Hargreaves, M; Huygens, F

    2012-07-01

    This study compared virulence and antibiotic resistance traits in clinical and environmental Enterococcus faecalis and Enterococcus faecium isolates. E. faecalis isolates harboured a broader spectrum of virulence determinants compared to E. faecium isolates. The virulence traits Cyl-A, Cyl-B, Cyl-M, gel-E, esp and acm were tested and environmental isolates predominantly harboured gel-E (80% of E. faecalis and 31.9% of E. faecium) whereas esp was more prevalent in clinical isolates (67.8% of E. faecalis and 70.4% of E. faecium). E. faecalis and E. faecium isolated from water had different antibiotic resistance patterns compared to those isolated from clinical samples. Linezolid resistance was not observed in any isolates tested and vancomycin resistance was observed only in clinical isolates. Resistance to other antibiotics (tetracycline, gentamicin, ciprofloxacin and ampicillin) was detected in both clinical and water isolates. Clinical isolates were more resistant to all the antibiotics tested compared to water isolates. Multi-drug resistance was more prevalent in clinical isolates (71.2% of E. faecalis and 70.3% of E. faecium) compared to water isolates (only 5.7% E. faecium). tet L and tet M genes were predominantly identified in tetracycline-resistant isolates. All water and clinical isolates resistant to ciprofloxacin and ampicillin contained mutations in the gyrA, parC and pbp5 genes. A significant correlation was found between the presence of virulence determinants and antibiotic resistance in all the isolates tested in this study (pantibiotic resistant enterococci, together with associated virulence traits, in surface recreational water could be a public health risk. Copyright © 2012 Elsevier GmbH. All rights reserved.

  15. Prevalence of antimicrobial resistance among Salmonella isolates from chicken in China.

    Science.gov (United States)

    Lu, Yan; Wu, Cong-Ming; Wu, Guo-Juan; Zhao, Hong-Yu; He, Tao; Cao, Xing-Yuan; Dai, Lei; Xia, Li-Ning; Qin, Shang-Shang; Shen, Jian-Zhong

    2011-01-01

    We evaluated the antimicrobial resistance of Salmonella isolated in 2008 from a chicken hatchery, chicken farms, and chicken slaughterhouses in China. A total of 311 Salmonella isolates were collected from the three sources, and two serogroups of Salmonella were detected, of which 133 (42.8%) consisted of Salmonella indiana and 178 (57.2%) of Salmonella enteritidis. The lowest percentage of S. indiana isolates was found in the chicken hatchery (4.2%), followed by the chicken farms (54.9%) and the slaughterhouses (71.4%). More than 80% of the S. indiana isolates were highly resistant to ampicillin (97.7%), amoxicillin/clavulanic acid (87.9%), cephalothin (87.9%), ceftiofur (85.7%), chloramphenicol (84.9%), florfenicol (90.9%), tetracycline (97.7%), doxycycline (98.5%), kanamycin (90.2%), and gentamicin (92.5%). About 60% of the S. indiana isolates were resistant to enrofloxacin (65.4%), norfloxacin (78.9%), and ciprofloxacin (59.4%). Of the S. indiana isolates, 4.5% were susceptible to amikacin and 5.3% to colistin. Of the S. enteritidis isolates, 73% were resistant to ampicillin, 33.1% to amoxicillin/clavulanic acid, 66.3% to tetracycline, and 65.3% to doxycycline, whereas all of these isolates were susceptible to the other drugs used in the study. The S. indiana isolates showed resistance to 16 antimicrobial agents. Strains of Salmonella (n = 108) carrying the resistance genes floR, aac(6')-Ib-cr, and bla(TEM) were most prevalent among the 133 isolates of S. indiana, at a frequency of 81.2%. The use of pulsed-field gel electrophoresis to analyze the S. indiana isolates that showed similar antimicrobial resistance patterns and carried resistance genes revealed six genotypes of these organisms. Most of these isolates had the common pulsed-field gel electrophoresis patterns found in the chicken hatchery, chicken farms, and slaughterhouses, suggesting that many multidrug-resistant isolates of S. indiana prevailed in the three sources. Some of these isolates were

  16. Is Penicillin plus Gentamicin Synergistic against Clinical Group B Streptococcus isolates?: A in-vitro Study.

    Directory of Open Access Journals (Sweden)

    Corinne Ruppen

    2016-10-01

    Full Text Available Group B Streptococcus (GBS is increasingly causing invasive infections in nonpregnant adults. Elderly patients and those with comorbidities are at increased risk. On the basis of previous studies focusing on neonatal infections, penicillin plus gentamicin is recommended for infective endocarditis (IE and periprosthetic joint infections (PJI in adults. The purpose of this study was to investigate whether a synergism with penicillin and gentamicin is present in GBS isolates that caused IE and PJI. We used 5 GBS isolates, two clinical strains and three control strains, including one displaying high-level gentamicin resistance (HLGR. The results from the checkerboard and time-kill assays (TKAs were compared. For TKAs, antibiotic concentrations for penicillin were 0.048 and 0.2 mg/L, and for gentamicin 4 mg/L or 12.5 mg/L. In the checkerboard assay, the median fractional inhibitory concentration indices (FICIs of all isolates indicated indifference. TKAs for all isolates failed to demonstrate synergism with penicillin 0.048 or 0.2 mg/L, irrespective of gentamicin concentrations used. Rapid killing was seen with penicillin 0.048 mg/L plus either 4 mg/L or 12.5 mg/L gentamicin, from 2 h up to 8 h hours after antibiotic exposure. TKAs with penicillin 0.2 mg/L decreased the starting inoculum below the limit of quantification within 4 h to 6 h, irrespective of the addition of gentamicin. Fast killing was seen with penicillin 0.2 mg/L plus 12.5 mg/L gentamicin within the first 2 h. Our in vitro results indicate that the addition of gentamicin to penicillin contributes to faster killing at low penicillin concentrations, but only within the first few hours. Twenty-four hours after antibiotic exposure, PEN alone was bactericidal and synergism was not seen.

  17. Prevalence and Characterization of Integrons in Multidrug Resistant Acinetobacter baumannii in Eastern China: A Multiple-Hospital Study

    Directory of Open Access Journals (Sweden)

    Jing Chen

    2015-08-01

    Full Text Available Objective: The aim of this multiple-hospital study was to investigate the prevalence of integrons in multidrug-resistant Acinetobacter baumannii (MDRAB in Eastern China, and characterize the integron-integrase genes, so as to provide evidence for the management and appropriate antibiotic use of MDRAB infections. Methods: A total of 425 clinical isolates of A. baumannii were collected from 16 tertiary hospitals in 11 cities of four provinces (Fujian, Jiangsu, Zhejiang and Shandong from January 2009 to June 2012. The susceptibility of A. baumannii isolates to ampicillin/sulbactam, piperacillin/tazobactam, ceftazidime, ceftriaxone, cefepime, aztreonam, meropenem, amikacin, gentamicin, tobramycin, ciprofloxacin, levofloxacin, sulfamethoxazole/trimenthoprim, minocycline and imipenem was tested, and integrons and their gene cassettes were characterized in these isolates using PCR assay. In addition, integron-positive A. baumannii isolates were genotyped using pulsed-field gel electrophoresis (PFGE assay, and intI1 gene cassette was sequenced. Results: intI1 gene was carried in 69.6% of total A. baumannii isolates, while intI2 and intI3 genes were not detected. The prevalence of resistance to ampicillin/sulbactam, piperacillin/tazobactam, ceftazidime, ceftriaxone, cefepime, aztreonam, imipenem, meropenem, amikacin, gentamicin, tobramycin, ciprofloxacin, levofloxacin and sulfamethoxazole/trimenthoprim was significantly higher in integron-positive A. baumannii isolates than in negative isolates (all p values <0.05, while no significant difference was observed in the prevalence of minocycline resistance (p > 0.05. PFGE assay revealed 27 PFGE genotypes and 4 predominant genotypes, P1, P4, P7 and P19. The PFGE genotype P1 contained 13 extensive-drug resistant and 89 non-extensive-drug resistant A. baumannii isolates, while the genotype P4 contained 34 extensive-drug resistant and 67 non-extensive-drug resistant isolates, appearing a significant

  18. Campylobacter coli in Organic and Conventional Pig Production in France and Sweden: Prevalence and Antimicrobial Resistance

    Directory of Open Access Journals (Sweden)

    Isabelle Kempf

    2017-05-01

    Full Text Available The purpose of the study was to evaluate and compare the prevalence and antimicrobial resistance of Campylobacter coli in conventional and organic pigs from France and Sweden. Fecal or colon samples were collected at farms or at slaughterhouses and cultured for Campylobacter. The minimum inhibitory concentrations of ciprofloxacin, nalidixic acid, streptomycin, tetracycline, erythromycin, and gentamicin were determined by microdilution for a total of 263 French strains from 114 pigs from 50 different farms and 82 Swedish strains from 144 pigs from 54 different farms. Erythromycin resistant isolates were examined for presence of the emerging rRNA methylase erm(B gene. The study showed that within the colon samples obtained in each country there was no significant difference in prevalence of Campylobacter between pigs in organic and conventional productions [France: conventional: 43/58 (74%; organic: 43/56 (77% and Sweden: conventional: 24/36 (67%; organic: 20/36 (56%]. In France, but not in Sweden, significant differences of percentages of resistant isolates were associated with production type (tetracycline, erythromycin and the number of resistances was significantly higher for isolates from conventional pigs. In Sweden, the number of resistances of fecal isolates was significantly higher compared to colon isolates. The erm(B gene was not detected in the 87 erythromycin resistant strains tested.

  19. A resistência olha a resistência

    Directory of Open Access Journals (Sweden)

    Jorge Ponciano Ribeiro

    Full Text Available Resistência é um processo humano que acontece quando a pessoa se encontra sob algum tipo de ameaça. Não é essencialmente um acontecimento psicoterapêutico. Ocorre na terapia não como uma oposição a si mesmo ou ao terapeuta, mas como uma forma de se ajustar a uma nova situação. A resistência, é por natureza, a atualização do instinto de auto-preservação. E o organismo inteligentemente segue a lei da preferência. Resistência é uma forma de contato que não pode ser destruída, mas administrada, porque ela surge como uma defesa da totalidade vivenciada pela pessoa. A Resistência é, às vezes, resistência e awareness mais que ao contato. Ela revela mais o caminho seguido do que oculta a caminhada feita. A resistência é um processo natural, porque o corpo que não resiste, morre, mas falamos em processos de auto-regulação organísmica. Valorizamos mais o que mantêm a resistência funcionando do que à própria resistência. O terapeuta também resiste, ou seja, ele se auto-regula na sua relação com o cliente. Não questionamos a resistência, mas o processo que a mantêm. Trabalhamos com nove mecanismos de defesa, também tradicionalmente, chamados de resistência.

  20. Antimicrobial Resistance Profiles of Bacteria Isolated from the Nasal Cavity of Camels in Samburu, Nakuru, and Isiolo Counties of Kenya

    Directory of Open Access Journals (Sweden)

    J. M. Mutua

    2017-01-01

    Full Text Available This study was designed to determine antimicrobial resistance profiles of bacteria isolated from the nasal cavity of healthy camels. A total of 255 nasal samples (swabs were collected in Isiolo, Samburu, and Nakuru counties, Kenya, from which 404 bacterial isolates belonging to various genera and species were recovered. The bacterial isolates included Bacillus (39.60%, coagulase-negative Staphylococcus (29.95%, Streptococcus species other than Streptococcus agalactiae (25.74%, coagulase-positive Staphylococcus (3.96%, and Streptococcus agalactiae (0.74%. Isolates were most susceptible to Gentamicin (95.8%, followed by Tetracycline (90.5%, Kanamycin and Chloramphenicol (each at 85.3%, Sulphamethoxazole (84.2%, Co-trimoxazole (82.1%, Ampicillin (78.9%, and finally Streptomycin (76.8%. This translated to low resistance levels. Multidrug resistance was also reported in 30.5% of the isolates tested. Even though the antibiotic resistance demonstrated in this study is low, the observation is significant, since the few resistant normal flora could be harboring resistance genes which can be transferred to pathogenic bacteria within the animal, to other animals’ bacteria and, most seriously, to human pathogens.

  1. Incidence, aetiology and resistance of late-onset neonatal sepsis: a five-year prospective study.

    Science.gov (United States)

    Hammoud, Majeda S; Al-Taiar, Abdullah; Thalib, Lukman; Al-Sweih, Noura; Pathan, Seema; Isaacs, David

    2012-07-01

    Investigate the incidence, etiological pattern and the antimicrobial resistance of late-onset neonatal infections over a period of 5 years. Longitudinal audit of neonatal sepsis from January 2005 to December 2009, in the main maternity hospital in Kuwait. Late-onset neonatal infection was defined as the culture of a single potentially pathogenic organism from blood or cerebrospinal fluid from an infant older than 6 days in association with clinical or laboratory findings consistent with infection. The overall incidence was 16.9 (95% confidence interval: 15.8-18.0) episodes per 1000 live births. The commonest pathogen was coagulase-negative Staphylococcus, 339 (35.7%), while Klebsiella was the most common gram-negative infection, 178 (18.8%). Escherichia coli, Enterococcus and Enterobacter spp were each responsible for 6% of all infections. Candida caused 104 (11.0%) infections. The general pattern of infection remained unchanged over the study period. Case fatality was 11.7% (95% confidence interval: 9.7-13.9%) and was high for Pseudomonas (18.4%) and Candida (22.1%) infections. Approximately 24 and 20% of Klebsiella infections were resistant to cefotaxime and gentamicin, respectively, while 28 and 24% of Escherichia coli infections were resistant to cefotaxime and gentamicin, respectively. The incidence of late-onset infection in Kuwait is high, resembling that in resource-poor countries. The high incidence coupled with low case fatality provides an example for settings where tertiary care is introduced without strict measures against nosocomial infections. Prevention against nosocomial infections in neonatal units has the potential to further reduce neonatal mortality in these settings. © 2012 The Authors. Journal of Paediatrics and Child Health © 2012 Paediatrics and Child Health Division (Royal Australasian College of Physicians).

  2. Cross-class resistance to non-beta-lactam antimicrobials in extended-spectrum beta-lactamase-producing Klebsiella pneumoniae.

    Science.gov (United States)

    Procop, Gary W; Tuohy, Marion J; Wilson, Deborah A; Williams, Delisa; Hadziyannis, Emilia; Hall, Gerri S

    2003-08-01

    Extended spectrum beta-lactamases are modified beta-lactamase enzymes that impart resistance to third-generation cephalosporins and make all beta-lactam antibiotics and cephalosporins useless for therapy. We compared the antimicrobial susceptibility profiles of extended-spectrum beta-lactamase (ESBL)-producing and non-ESBL-producing isolates of Klebsiella pneumoniae. The ESBL producers had significantly diminished susceptibility compared with the non-ESBL producers for gentamicin (P < .001), tobramycin (P < .001), amikacin (P < .005), trimethoprim-sulfamethoxazole (P < .01), ciprofloxacin (P < .001), and nitrofurantoin (P < .001). All isolates were susceptible to imipenem. ESBL-producing K pneumoniae may also be resistant to non-beta-lactam antibiotics. Therefore, susceptibility testing of these isolates is critical for guiding therapy.

  3. Characterisation of recently emerged multiple antibiotic-resistant Salmonella enterica serovar typhimurium DT104 and other multiresistant phage types from Danish pig herds

    DEFF Research Database (Denmark)

    Baggesen, Dorte Lau; Aarestrup, Frank Møller

    1998-01-01

    electrophoresis (PFGE) using the restriction enzyme Xba I, Overall, 66 per cent of the 670 isolates were sensitive to all the antimicrobial agents tested. Eleven isolates of S typhimurium were resistant to ampicillin, streptomycin and tetracycline and also resistant to other antibiotics in different resistance...... patterns. Seven different multiresistant clones were identified, The most common clones were four isolates of DT104 and three isolates of DT193, TWO Of the three S typhimurium DT104 from 1994 and 1995 were sensitive to all the antimicrobials tested whereas the remaining isolate from 1994 was resistant......A total of 670 isolates of Salmonella enterica were isolated from Danish pig herds, phage typed and tested for susceptibility to amoxycillin + clavulanate, ampicillin, colistin, enrofloxacin, gentamicin, neomycin, spectinomycin, streptomycin, tetracyclines, and trimethoprim + sulphadiazine. S...

  4. Presence and potential for horizontal transfer of antibiotic resistance in oxidase-positive bacteria populating raw salad vegetables.

    Science.gov (United States)

    Bezanson, G S; MacInnis, R; Potter, G; Hughes, T

    2008-09-30

    To assess whether domestically grown fresh salad vegetables constitute a possible reservoir of antibiotic resistance for Canadian consumers, aerobic bacteria capable of forming colonies at 30 degrees C on nutrient-limited media were recovered from a single sampling of Romaine lettuce, Savoy spinach and alfalfa sprouts, then examined for their susceptibility to ten antibiotics and the carriage of potentially mobile R-plasmids and integrons. Of the 140 isolates resistant to one or more antibiotic, 93.5 and 90.0% were resistant to ampicillin and cephalothin; 35.7% to chloramphenicol, 10.0% to streptomycin, 4.2% to nalidixic acid, 4.2% to kanamycin, and 2.8% to gentamicin. Gram-positive isolates accounted for less than 4% of the antibiotic resistant strains. A small portion (23.1%) of the predominant oxidase-positive, gram-negative isolates was resistant to two or more antimicrobials. Members of the Pseudomonas fluorescens/putida complex were most prevalent among the 34 resistant strains identified. Sphingobacterium spp. and Acinetobacter baumanni also were detected. Ten of 52 resistant strains carried plasmids, 3 of which were self-transmissible and bore resistance to ampicillin and kanamycin. Eighteen of 48 gave PCR evidence for integron DNA. Class 2 type integrons were the most prevalent, followed by class 1. We conclude that the foods examined here carry antibiotic resistant bacteria at the retail level. Further, our determination that resistant strains contain integron-specific DNA sequences and self-transmissible R-plasmids indicates their potential to influence the pool of antibiotic resistance in humans via lateral gene transfer subsequent to ingestion.

  5. Pseudomonas aeruginosa: freqüência de resistência a múltiplos fármacos e resistência cruzada entre antimicrobianos no Recife/PE Pseudomonas aeruginosa: frequency of resistance to multiple drugs and cross-resistance between antimicrobials in Recife/PE

    Directory of Open Access Journals (Sweden)

    Eduardo Andrada Pessoa de Figueiredo

    2007-12-01

    OBJECTIVES: The frequency of multiple-antibiotic resistant bacteria has been increasing in recent years. Among the gram-negative bacteria Pseudomonas aeruginosa (P. aeruginosa shows a great propensity for the development of multidrug resistance mechanisms. The objective of this study was to identify the profile of susceptibility to antibiotics, the frequency of multidrug resistance and the cross-resistance between drugs of P. aeruginosa strains in two tertiary hospitals in Recife, Pernambuco. METHODS: The study was carried out between September 2004 and January 2006. The antimicrobial susceptibility testing was performed in 304 strains of P. aeruginosa by the disc diffusion method in accordance with National Committee for Clinical and Laboratory Standards (NCCLS guidelines. RESULTS: The most frequent materials were urine (26.7% and respiratory tract secretion (26.1% The antibiotics tested and their respective susceptibilities were as follows: piperacillin-tazobactam (66.2%; aztreonam (59.8%; amikacin (59.4%; meropenem (58.2%; imipenem (57.7%; ciprofloxacin (49.7%; gentamicin and cefepime (48.6%; ceftazidime (30% and cefotaxime (6.8%. A high prevalence of multi-resistance was detected. Half (49.7% the strains showed resistance to three or more antibiotics and 28% were resistant to six antimicrobials or more. Also, cross-resistance between the beta-lactams (carbapenems and piperacilin/tazobactam and aminoglicosides and quinolones was between 22.9% and 38.1%. These drugs are commonly combined in the treatment of severe infections caused by Pseudomonas, which reflects the difficulty in choosing the appropriate option for combination therapy. CONCLUSIONS: The frequency of multidrug-resistant strains of P. aeruginosa in this study was similar to other hospitals in Brazil and higher than in other countries. In order to reduce the frequency of these multiresistant clones, epidemiologic surveillance and the rational use of antibiotic protocols need to be urgently implemented.

  6. Sub-acute mastitis associated with Methicillin Resistant Staphylococcus aureus in a cow: A case report

    Directory of Open Access Journals (Sweden)

    Duraisamy Chandrasekaran

    2014-12-01

    Full Text Available A 5-year old Holstein Friesian cross breed cow was presented to Madras Veterinary College Teaching Hospital with the history of reduced milk yield. Clinical examination of udder revealed normal milk color and soft udder. The milk pH was 7.0, with California Mastitis Test score 3+, Electrical Conductivity 270U, and Somatic Cell Count as 328,000. Isolation and identification of causative agent revealed Methicillin Resistant Staphylococcus aureus (MRSA from the sub-acute mastitis sample. Agar disc diffusion method for antimicrobial susceptibility revealed that the MRSA was sensitive to Enrofloxacin, Gentamicin, Oxytetracycline and Amoxicillin+Sulbactam. On the other hand, the isolate was resistance to Amoxicillin, Penicillin G, Ceftriaxone and Methicillin. The isolate was positive for β-lactamase resistance by Nitrocefin test. The MRSA was confirmed for the presence of mecA and blaZ target genes by polymerase chain reaction (PCR. The cow was treated with Enrofloxacin, Vitamin E and inorganic Selenium, and was recovered after 5 days of post-treatment.

  7. Resistance phenotypes and genotypes of Salmonella enterica subsp. enterica isolates from feed, pigs, and carcasses in Brazil.

    Science.gov (United States)

    Lopes, Graciela Volz; Pissetti, Caroline; da Cruz Payão Pellegrini, Débora; da Silva, Luis Eduardo; Cardoso, Marisa

    2015-02-01

    Salmonella enterica subsp. enterica plays a role as a foodborne pathogen worldwide. The consumption of contaminated pork has been associated with human salmonellosis and the increase in antimicrobial resistance among Salmonella from pigs and pork products is a concern. A total of 225 Salmonella isolates from feed mills, the lairage environment, and the intestinal contents of pigs and carcasses were investigated for their antimicrobial susceptibility. A MIC for ciprofloxacin was screened by agar dilution, and antimicrobial resistance genes were investigated by PCR assays. Among the tested isolates, 171 (76%) showed resistance to at least one antimicrobial agent, and 91 (40.4%) were multiresistant. Resistance occurred most frequently to tetracycline (54.5%), sulfonamides (39.6%), and streptomycin (33.7%). Thirty-two (94.1%) nalidixic acid-resistant isolates exhibited decreased susceptibility to ciprofloxacin. The resistance genes found were blaTEM (ampicillin), tet(A) (tetracycline), tet(B) (tetracycline/minocycline), sul1, sul2, and sul3 (sulfonamides), catA1 (chloramphenicol), floR (florfenicol/chloramphenicol), strA and strB (streptomycin), aph(3')-Ia (kanamycin), aac(3)-IIa and aac(3)-IVa (apramycin/gentamicin), aadA variant (streptomycin/spectinomycin), and dfrA1 (trimethoprim). Salmonella isolates from pig feces and carcasses displayed a higher frequency of resistance to most antimicrobials tested than isolates from feed mills. Common resistance gene profiles were found in isolates from the lairage and the intestinal content of pigs and carcasses, demonstrating that resistance genes selected on farms may be found in pork.

  8. Antibiotic Resistance in Escherichia coli from Pigs in Organic and Conventional Farming in Four European Countries.

    Science.gov (United States)

    Österberg, Julia; Wingstrand, Anne; Nygaard Jensen, Annette; Kerouanton, Annaelle; Cibin, Veronica; Barco, Lisa; Denis, Martine; Aabo, Sören; Bengtsson, Björn

    2016-01-01

    Organic pig production differs in many ways from conventional production of pigs, e.g., in antibiotic use, herd structure, feeding regimes, access to outdoor areas and space allowance per pig. This study investigated if these differences result in a lower occurrence of antibiotic resistance in organic slaughter pigs in Denmark, France, Italy and Sweden. Samples were taken from the colon content and/or faeces and minimum inhibitory concentrations (MIC) of ten antibiotics were determined in isolates of Escherichia coli. In addition, the proportion of tetracycline (TET) resistant E. coli in colon content and/or faeces from individual pigs was determined. In all four countries the percentage resistance to ampicillin, streptomycin, sulphonamides or trimethoprim was significantly lower in E. coli from organic pigs. In France and Italy, the percentage of isolates resistant to chloramphenicol, ciprofloxacin, nalidixic acid or gentamicin was also significantly lower in the E. coli from organic pigs. Resistance to cefotaxime, was not found in any country. The percentage of E. coli isolates resistant to TET as well as the proportion of TET-resistant E. coli was significantly lower in organic than in conventional pigs, except in Sweden where TET-resistance was equally low in both production types. There were also differences between countries within production type in the percentage resistance to individual antibiotics as well as the proportion of TET-resistant E. coli with lower median proportions in Sweden and Denmark compared to France and Italy. The study shows that in each of the four countries resistance in intestinal E. coli was less common in organic than in conventional pigs, but that there were also large differences in resistance between countries within each production type, indicating that both country- and production-specific factors influence the occurrence of resistance.

  9. Changing patterns and widening of antibiotic resistance in Shigella spp. over a decade (2000-2011), Andaman Islands, India.

    Science.gov (United States)

    Bhattacharya, D; Bhattacharya, H; Sayi, D S; Bharadwaj, A P; Singhania, M; Sugunan, A P; Roy, S

    2015-02-01

    This study is a part of the surveillance study on childhood diarrhoea in the Andaman and Nicobar Islands; here we report the drug resistance pattern of recent isolates of Shigella spp. (2006-2011) obtained as part of that study and compare it with that of Shigella isolates obtained earlier during 2000-2005. During 2006-2011, stool samples from paediatric diarrhoea patients were collected and processed for isolation and identification of Shigella spp. Susceptibility to 22 antimicrobial drugs was tested and minimum inhibitory concentrations were determined for third-generation cephalosporins, quinolones, amoxicillin-clavulanic acid combinations and gentamicin. A wide spectrum of antibiotic resistance was observed in the Shigella strains obtained during 2006-2011. The proportions of resistant strains showed an increase from 2000-2005 to 2006-2011 in 20/22 antibiotics tested. The number of drug resistance patterns increased from 13 in 2000-2005 to 43 in 2006-2011. Resistance to newer generation fluoroquinolones, third-generation cephalosporins and augmentin, which was not observed during 2000-2005, appeared during 2006-2011. The frequency of resistance in Shigella isolates has increased substantially between 2000-2006 and 2006-2011, with a wide spectrum of resistance. At present, the option for antimicrobial therapy in shigellosis in Andaman is limited to a small number of drugs.

  10. Occurrence and antimicrobial resistance of Salmonella spp. isolated from food other than meat in Poland

    Directory of Open Access Journals (Sweden)

    Łukasz Mąka

    2015-09-01

    Full Text Available Introduction and objectives. Antimicrobial resistance of pathogenic bacteria can result in therapy failure, increased hospitalization, and increased risk of death. In Poland, [i]Salmonella[/i] spp. is a major bacterial agent of food poisoning. The majority of studies on antimicrobial resistance in [i]Salmonella[/i] spp. isolates from food have focused on meat products as the source of this pathogen. In comparison, this study examines the antimicrobial susceptibility of [i]Salmonella[/i] spp. isolated from retail food products other than meat in Poland. Materials and Methods. A collection of 122 [i]Salmonella[/i] spp. isolates were isolated in Poland in 2008–2012 from foods other than meat: confectionery products, eggs, fruits, vegetables, spices and others. The resistance of these isolates to 19 antimicrobial agents was tested using the disc diffusion method. Results. [i]Salmonella[/i] Enteritidis was the most frequently identified serotype (84.4% of all tested isolates. In total, 42.6% of the [i]Salmonella[/i] spp. isolates were resistant to antibiotics. The highest frequencies of resistance were observed in isolates from 2009 (60.0% and 2012 (59.5%. Antibiotic resistance was most prevalent among [i]Salmonella[/i] spp. isolated from egg-containing food samples (68.0%. Resistance to nalidixic acid was most common and was observed in 35.2% of all tested isolates. The isolates were less frequently resistant to sulphonamides (6.6%, ampicillin (4.9%, amoxicillin/clavulanic acid (2.5% and to streptomycin, cefoxitin, gentamicin and tetracycline (1.6%. Only one isolate showed resistance to chloramphenicol. Four isolates displayed multiresistance. Conclusions. Although, the level of resistance and multiresistance of [i]Salmonella[/i] spp. isolates from non-meat foods was lower than in those from meat products, the presence of these resistant bacteria poses a real threat to the health of consumers.

  11. Antimicrobial resistance of Campylobacter jejuni and Campylobacter coli from poultry in Italy.

    Science.gov (United States)

    Giacomelli, Martina; Salata, Cristiano; Martini, Marco; Montesissa, Clara; Piccirillo, Alessandra

    2014-04-01

    This study was aimed at assessing the antimicrobial resistance (AMR) of Campylobacter isolates from broilers and turkeys reared in industrial farms in Northern Italy, given the public health concern represented by resistant campylobacters in food-producing animals and the paucity of data about this topic in our country. Thirty-six Campylobacter jejuni and 24 Campylobacter coli isolated from broilers and 68 C. jejuni and 32 C. coli from turkeys were tested by disk diffusion for their susceptibility to apramycin, gentamicin, streptomycin, cephalothin, cefotaxime, ceftiofur, cefuroxime, ampicillin, amoxicillin+clavulanic acid, nalidixic acid, flumequine, enrofloxacin, ciprofloxacin, erythromycin, tilmicosin, tylosin, tiamulin, clindamycin, tetracycline, sulfamethoxazole+trimethoprim, chloramphenicol. Depending on the drug, breakpoints provided by Comité de l'antibiogramme de la Société Française de Microbiologie, Clinical and Laboratory Standards Institute, and the manufacturer were followed. All broiler strains and 92% turkey strains were multidrug resistant. Very high resistance rates were detected for quinolones, tetracycline, and sulfamethoxazole+trimethoprim, ranging from 65% to 100% in broilers and from 74% to 96% in turkeys. Prevalence of resistance was observed also against ampicillin (97% in broilers, 88% in turkeys) and at least three cephalosporins (93-100% in broilers, 100% in turkeys). Conversely, no isolates showed resistance to chloramphenicol and tiamulin. Susceptibility prevailed for amoxicillin+clavulanic acid and aminoglycosides in both poultry species, and for macrolides and clindamycin among turkey strains and among C. jejuni from broilers, whereas most C. coli strains from broilers (87.5%) were resistant. Other differences between C. jejuni and C. coli were observed markedly in broiler isolates, with the overall predominance of resistance in C. coli compared to C. jejuni. This study provides updates and novel data on the AMR of broiler and

  12. Comprehensive study to investigate the role of various aminoglycoside resistance mechanisms in clinical isolates of Acinetobacter baumannii.

    Science.gov (United States)

    Sheikhalizadeh, Vajihe; Hasani, Alka; Ahangarzadeh Rezaee, Mohammad; Rahmati-Yamchi, Mohammad; Hasani, Akbar; Ghotaslou, Reza; Goli, Hamid Reza

    2017-02-01

    Therapeutic resistance towards most of the current treatment regime by Acinetobacter baumannii has reduced the prescribing antibiotic pattern and option is being re-shifted towards more toxic agents including aminoglycosides. The present investigation aimed at to study various mechanisms towards aminoglycoside non-susceptibility in clinical isolates of A. baumannii. The bacteria were subjected to genetic basis assessment for the presence of aminoglycoside modifying enzymes (AME), 16S rRNA methylase encoding genes and relative expression of AdeABC and AbeM efflux pumps in relation to their susceptibility to five aminoglycosides. When isolates were subjected to typing by repetitive extragenic palindromic (REP) PCR, isolates could be separated into thirteen definite clones. The majority of isolates (94%) were positive for AME encoding genes. Possession of ant(2')-Ia correlated with non-susceptibility towards gentamicin, amikacin, kanamycin, tobramycin; while, presence of aph(3')-VIa attributed to resistance towards amikacin, kanamycin; possession of aac(3')-Ia allied with non-susceptibility to amikacin, tobramycin and presence of aac(3')IIa correlated with kanamycin non-susceptibility. Presence of armA was detected in 34.4%, 34.2%, 29.2%, 40.3%, and 64.2% of isolates showing non-susceptibility to gentamicin, amikacin, kanamycin, tobramycin and netilmicin, respectively. No isolates were found to carry rmtB or rmtC. Amikacin non-susceptibility in comparison to other aminoglycosides correlated with over production of adeB. Overall, the results represented a definitive correlation between presence of AME encoding genes as well as armA and resistance of A. baumannii towards aminoglycosides. On the other hand, the up-regulation of AdeABC and AbeM systems was found to have only the partial role in development of aminoglycoside resistance. Copyright © 2016 Japanese Society of Chemotherapy and The Japanese Association for Infectious Diseases. Published by Elsevier Ltd. All

  13. Virulence Genes Profile of Multidrug Resistant Pseudomonas aeruginosa Isolated from Iranian Children with UTIs

    Directory of Open Access Journals (Sweden)

    Zohreh Heidary

    2016-04-01

    Full Text Available Virulent and resistant strains Pseudomonas aeruginosa (P. aeruginosa is one of the most important cause of UTIs in pediatrics. The present study was carried to investigate the frequency of virulence factors in the multi-drug resistant strains of P. aeruginosa isolated from pediatrics hospitalized due to the UTIs. One - hundred and forty three urine samples were collected from pediatric patients suffered from UTIs. Samples were cultured and those that were P. aeruginosa positive were analyzed for the presence of putative virulence genes. Seventy one out of 143 samples (49.65% were positive for P. aeruginosa. Monthly, sex and age-dependent prevalence were seen for P. aeruginosa. Bacterial strains had the highest levels of resistance against ampicillin (95.77%, gentamicin (92.95% and ciprofloxacin (81.69%. Of 71 P. aeruginosa isolates, 12 strains were resistant to more than 9 antibiotics (16.90%. The most commonly detected virulence factors in the cases of urethral infections were exoU and plcH while those of pyelonephritis and cystitis were were exoS and lasB. Our findings should raise awareness about antibiotic resistance in hospitalized pediatrics with UTIs in Iran. Clinicians should exercise caution in prescribing antibiotics, especially in cases of UTIs. Such information can help in identifying these virulence genes as useful diagnostic markers for clinical P. aeruginosa strains isolated from UTIs.

  14. Measurement of Dynamic Resistance in Resistance Spot Welding

    DEFF Research Database (Denmark)

    Wu, Pei; Zhang, Wenqi; Bay, Niels

    Through years, the dynamic resistance across the electrodes has been used for weld quality estimation and contact resistance measurement. However, the previous methods of determining the dynamic resistance were mostly based on measuring the voltage and current on the secondary side of the transfo......Through years, the dynamic resistance across the electrodes has been used for weld quality estimation and contact resistance measurement. However, the previous methods of determining the dynamic resistance were mostly based on measuring the voltage and current on the secondary side...... of the transformer in resistance welding machines, implying defects from induction noise and interference with the leads connected to the electrodes for measuring the voltage. In this study, the dynamic resistance is determined by measuring the voltage on the primary side and the current on the secondary side...

  15. Study of Gentamicin Effect on Staphylococcus Aureus in the Presence of Electromagnetic Field

    Directory of Open Access Journals (Sweden)

    Asghar Tanomand

    2008-06-01

    Full Text Available Introduction:  Nowadays  the  medical,  therapeutic  and  pharmacological  application  of  magnetic  fields  (MF  and  its  biological  effect  has  raised  question  about  the  safety  of  MF.  This  study  aimed  at  scrutinizing the effect of static MF on the resistance of S. aureus to antibiotic.  Materials and Methods: This prospective, case–control study was conducted to evaluate the effect of  low intensity (0.5 mT static MF on the growth rate and the antibiotic resistance of S. aureus sensitive to  gentamicin. The studied bacterium is a nosocomial type and the growth rate was calculated by colony  counting to understand the effect of MF on it. In the next stage, the rate of bacterial growth along with  the different concentration of antibiotic was studied and the Minimum Inhibitory Concentration (MIC  and Minimum Bactericidal Concentration (MBC were determined.  Results: It is concluded that the 0.5 mT MF didn't affect the growth rate of S. aureus after 24 and 48 hours.  The 0.5 mT MF induced a 50 percent decline of MIC and MBC of gentamicin after a 48 hour incubation (MIC  = 4 6g /cc, MBC = 8 6g /cc in the case group vs. MIC = 8 6g /cc, MBC = 16 6g /cc in the control group.  Conclusion: Low–intensity MF didn't affect the bacterial growth rate. However, the bactericidal effects  of gentamicin were greater in the presence of MF. It is possible to apply the static MF for enhancing the  effect of antibiotic on S. aureus.

  16. Effect of antibiotic use on antimicrobial antibiotic resistance and late-onset neonatal infections over 25 years in an Australian tertiary neonatal unit.

    Science.gov (United States)

    Carr, David; Barnes, Elizabeth Helen; Gordon, Adrienne; Isaacs, David

    2017-05-01

    Antibiotic resistance is a worldwide problem. We describe 25 years of responsible antibiotic use in a tertiary neonatal unit. Data on neonatal infections and antibiotic use were collected prospectively from 1990 to 2014 at a single tertiary Sydney neonatal intensive care unit attached to a maternity unit. There are approximately 5500 deliveries and 900 nursery admissions per year. The mean annual rate of late-onset sepsis was 1.64 episodes per 100 admissions. The mean number of late-onset sepsis episodes per admission to the neonatal unit decreased by 4.0% per year (95% CI 2.6% to 5.4%; p<0.0001) and occurred particularly in infants born weighing <1500 g. No infants with negative cultures relapsed with sepsis when antibiotics were stopped after 48-72 hours. Antibiotic use decreased with time. The proportion of colonising methicillin-resistant Staphylococcus aureus isolates decreased by 7.4% per year (95% CI 0.2% to 14.1%; p=0.043). The proportion of colonising Gram-negative bacilli isolates resistant to either third-generation cephalosporins or gentamicin increased by 2.9% per year (95% CI 1.0% to 4.9%; p=0.0035). Most were cephalosporin-resistant; gentamicin resistance was rare. An average of one baby per year died from late-onset sepsis, the rate not varying significantly over time. The mortality from episodes of late-onset sepsis was 25 of 332 (7.5%). Stopping antibiotics after 2-3 days if neonatal systemic cultures are negative is safe. However, it does not prevent the emergence of cephalosporin-resistant Gram-negative organisms. Published by the BMJ Publishing Group Limited. For permission to use (where not already granted under a licence) please go to http://www.bmj.com/company/products-services/rights-and-licensing/.

  17. High prevalence and antimicrobial resistance of urinary tract infection isolates in febrile young children without localizing signs in Taiwan.

    Science.gov (United States)

    Wu, Chang-Teng; Lee, Hao-Yuan; Chen, Chyi-Liang; Tuan, Pao-Lan; Chiu, Cheng-Hsun

    2016-04-01

    Antimicrobial susceptibility and prevalence of pediatric urinary tract infection (UTI) is very useful for pediatricians in selecting effective antibiotics in time to improve outcomes in patients. This study aimed to determine the prevalence rate, bacterial distribution, and antimicrobial susceptibility of UTI in febrile young children at a teaching hospital in northern Taiwan. From January 2011 to December 2011, all urinary isolates from suspected cases of UTI in febrile young children aged from 1 day to 36 months visiting the Pediatric Emergency Room of Chang Gung Children's Hospital, Taoyuan, Taiwan were identified by conventional methods. Antibiotic susceptibility was determined according to the Clinical and Laboratory Standards Institute. A total of 5470 (78%) from 7009 eligible children were enrolled in the study, and 619 (11.3%) had a diagnosis of UTI. The most prevalent bacterium was Escherichia coli (68%) followed by Klebsiella pneumoniae (8.1%) and Proteus mirabilis (6.8%). Ampicillin, piperacillin, and trimethoprim-sulfamethoxazole (TMP-SMX) showed a higher resistance rate in the three predominant bacteria. All tested bacteria showed higher resistance to ampicillin (79.3%) and TMP-SMX (44.1%), and lower resistance to cefazolin (17.7%) and gentamicin (13.0%). Fourteen percent of the isolates produced extended spectrum β-lactamase (ESBL), among which 93.33% were E. coli isolates. The overall prevalence of UTI in this study was higher than previously reported in febrile children. Higher antimicrobial resistance was found in ampicillin and TMP-SMX. Among commonly used antibiotics, cefazolin and gentamicin are recommended to treat UTI in febrile children aged < 3 years without localizing signs. Copyright © 2015. Published by Elsevier B.V.

  18. Increased incidence of resistance to antimicrobials by urinary pathogens isolated at Tikur Anbessa Hospital.

    Science.gov (United States)

    Wolday, D; Erge, W

    1997-04-01

    A retrospective analysis of 2209 urine samples submitted for culture to the Microbiology Laboratory of the Tikur Anbessa Hospital (TAH), Addis Ababa, between January 1992 and December 1994 was made. Significant bacteriuria (colony count > 10(5) colony forming units/ml urine) was detected in 672 (30%). Pure culture was obtained in 510 (23%) of all samples and polymicrobial growth was detected in the remaining 162 (7%). Gram-negative bacteria comprised 95% of all isolates. The commonest organisms being Escherichia coli (39%) and Klebsiella species (26%). Among the gram-positives, Staphylococcus aureus (57%) was the most common pathogen isolated. Most of the organisms were resistant to multiple drugs. Ampicillin, carbenicillin, chloramphenicol, tetracycline and trimethoprim-sulphamethoxazole were effective in less than 30% of all cases. There was also a significant resistance to cephalothin, gentamicin and kanamycin. Only nalidixic acid and nitrofurantoin were effective for most of the organisms. Compared to previous studies, there is an indication of reduced effectiveness of the commonly prescribed antibiotics. The rational use of drugs should be practiced in order to prevent the emergence of multi-drug resistant microorganisms.

  19. Antibacterial Resistance in Ureaplasma Species and Mycoplasma hominis Isolates from Urine Cultures in College-Aged Females

    Science.gov (United States)

    Valentine-King, Marissa A.

    2017-01-01

    ABSTRACT Urinary tract infections (UTIs) affect nearly 20% of women age 15 to 29 and account for an estimated $3.5 billion in costs. Antibiotic resistance prolongs UTI treatment, and resistance profiles vary regionally. This regional variation is an important consideration in guiding empirical treatment selection. Regional studies in the United States have identified tetracycline resistance in over one-third of Ureaplasma species isolates, but no studies have evaluated antibiotic resistance levels in college-aged women with a first-time UTI. We tested a panel of antibiotics and determined the MICs of Ureaplasma species (60 U. parvum and 13 U. urealyticum) and 10 Mycoplasma hominis isolates obtained from urine from college-aged women with a first-time UTI. Low antibiotic resistance was found in this population of women with a first-time UTI. All M. hominis and U. urealyticum isolates were sensitive. However, two U. parvum isolates were resistant, with one to levofloxacin (MIC, 4 μg/ml) and one to tetracycline (MIC, 8 μg/ml). For the Ureaplasma spp., the MIC90s were highest against gentamicin (21 μg/ml) and lowest against doxycycline (0.25 μg/ml). In a comparison of MIC levels between Ureaplasma spp., U. urealyticum had significantly higher MICs against each antibiotic except doxycycline. For the resistant isolates, the genetic mechanisms of resistance were determined. PCR amplification identified tetM to be present in the tetracycline-resistant isolate and an S83W mutation within the parC gene of the quinolone-resistant isolate. To our knowledge, this study is the first to provide molecular and phenotypic evidence of the S83W parC mutation conferring levofloxacin resistance in U. parvum isolated from a patient in the United States. PMID:28827422

  20. Genomic organization of a vancomycin-resistant staphylococcus aureus

    International Nuclear Information System (INIS)

    Mirani, A.Z.; Jamil, N.

    2013-01-01

    Objective: To study the genomic organization of vancomycin resistance in a local isolate of vancomycin resistant Staphylococcus aureus (VRSA). Study Design: Experimental study. Place and Duration of Study: Department of Microbiology, University of Karachi, January 2008 through December 2010. Methodology: A vancomycin-resistant Staphylococcus aureus (VRSA-CP2) isolate (MIC 16 mu g/ml) was isolated from a local hospital of Karachi. Species identification was confirmed by Gram staining, standard biochemical tests and PCR amplification of the nuc gene. The vancomycin MIC was re-confirmed by E-test. For the genetic determination of vancomycin resistance, in-vitro amplification of vanA cassette was performed by using plasmid DNA of CP2, CP2's transformant as template on MWG Thermo-Cycler. Amplified products of vanR, vanS, vanH, vanA, vanY, orf2, orf1D, orf2E, orf-Rev and IS element genes were subjected to Sanger's electrophoresis based sequence determination using specific primers. The Basic Local Alignment Search Tool (BLAST) algorithm was used to identify sequences in GenBank with similarities to the vanA cassette genes. Results: The vancomycin-resistant isolate CP2 was found to be resistant to oxacillin, chloramphenicol, erythromycin, rifampicin, gentamicin, tetracycline and ciprofloxacin, as well. The isolate CP2 revealed four bands: one of large molecular size approx 56.4 kb and three of small size approx 6.5 kb, approx 6.1 kb and approx 1.5 kb by agarose gel electrophoresis indicating the presence of 3 plasmids. The plasmid DNA of isolate CP2 was analyzed by PCR for the presence of the van cassettes with each of the vanA , vanB and vanC specific primers. It carried vanA cassette, which comprises of vanR, vanS, vanH, vanA, vanY, and orf2. The vanA cassette of isolate CP2 also carried an insertion element (IS). However, it did not show the PCR product for orf1. Vancomycin resistance was successfully transferred from the donor CP2 to a vancomycin-sensitive recipient S

  1. Nordihydroguaiaretic acid enhances the activities of aminoglycosides against methicillin- sensitive and resistant Staphylococcus aureus in vitro and in vivo.

    Science.gov (United States)

    Cunningham-Oakes, Edward; Soren, Odel; Moussa, Caroline; Rathor, Getika; Liu, Yingjun; Coates, Anthony; Hu, Yanmin

    2015-01-01

    Infections caused by methicillin-sensitive Staphylococcus aureus (MSSA) and methicillin-resistant S. aureus (MRSA) are prevalent. MRSA infections are difficult to treat and there are no new classes of antibiotics produced to the market to treat infections caused by the resistant bacteria. Therefore, using antibiotic enhancers to rescue existing classes of antibiotics is an attractive strategy. Nordihydroguaiaretic acid (NDGA) is an antioxidant compound found in extracts from plant Larrea Tridentata. It exhibits antimicrobial activity and may target bacterial cell membrane. Combination efficacies of NDGA with many classes of antibiotics were examined by chequerboard method against 200 clinical isolates of MRSA and MSSA. NDGA in combination with gentamicin, neomycin, and tobramycin was examined by time-kill assays. The synergistic combinations of NDGA and aminoglycosides were tested in vivo using a murine skin infection model. Calculations of the fractional inhibitory concentration index (FICI) showed that NDGA when combined with gentamicin, neomycin, or tobramycin displayed synergistic activities in more than 97% of MSSA and MRSA, respectively. Time kill analysis demonstrated that NDGA significantly augmented the activities of these aminoglycosides against MRSA and MSSA in vitro and in murine skin infection model. The enhanced activity of NDGA resides on its ability to damage bacterial cell membrane leading to accumulation of the antibiotics inside bacterial cells. We demonstrated that NDGA strongly revived the therapeutic potencies of aminoglycosides in vitro and in vivo. This combinational strategy could contribute major clinical implications to treat antibiotic resistant bacterial infections.

  2. Antimicrobial resistance, class 1 integrons, and genomic island 1 in Salmonella isolates from Vietnam.

    Directory of Open Access Journals (Sweden)

    An T T Vo

    Full Text Available BACKGROUND: The objective was to investigate the phenotypic and genotypic resistance and the horizontal transfer of resistance determinants from Salmonella isolates from humans and animals in Vietnam. METHODOLOGY/PRINCIPAL FINDINGS: The susceptibility of 297 epidemiologically unrelated non-typhoid Salmonella isolates was investigated by disk diffusion assay. The isolates were screened for the presence of class 1 integrons and Salmonella genomic island 1 by PCR. The potential for the transfer of resistance determinants was investigated by conjugation experiments. Resistance to gentamicin, kanamycin, chloramphenicol, streptomycin, trimethoprim, ampicillin, nalidixic acid, sulphonamides, and tetracycline was found in 13 to 50% of the isolates. Nine distinct integron types were detected in 28% of the isolates belonging to 11 Salmonella serovars including S. Tallahassee. Gene cassettes identified were aadA1, aadA2, aadA5, bla(PSE-1, bla(OXA-30, dfrA1, dfrA12, dfrA17, and sat, as well as open reading frames with unknown functions. Most integrons were located on conjugative plasmids, which can transfer their antimicrobial resistance determinants to Escherichia coli or Salmonella Enteritidis, or with Salmonella Genomic Island 1 or its variants. The resistance gene cluster in serovar Emek identified by PCR mapping and nucleotide sequencing contained SGI1-J3 which is integrated in SGI1 at another position than the majority of SGI1. This is the second report on the insertion of SGI1 at this position. High-level resistance to fluoroquinolones was found in 3 multiresistant S. Typhimurium isolates and was associated with mutations in the gyrA gene leading to the amino acid changes Ser83Phe and Asp87Asn. CONCLUSIONS: Resistance was common among Vietnamese Salmonella isolates from different sources. Legislation to enforce a more prudent use of antibiotics in both human and veterinary medicine should be implemented by the authorities in Vietnam.

  3. Antibiotic resistant Escherichia coli in southeastern Australian pig herds and implications for surveillance.

    Science.gov (United States)

    van Breda, L K; Dhungyel, O P; Ward, M P

    2018-02-01

    To investigate public health implications of antibiotics to control post-weaning scours, we surveyed 22 commercial pig herds in southeastern Australia. Fifty faecal samples per herd were collected from pre- and post-weaned piglets. Presumptive Escherichia coli isolates were confirmed by MALDI-TOF MS. Isolates (n = 325) were screened for susceptibility to 19 veterinary antibiotics using MIC broth microdilution. All 325 E. coli isolates underwent further testing against 27 antibiotics used in human medicine and were screened for ETEC adhesin and enterotoxin genes (F4 (K88), F5 (K99), F6 (987P), F18, F41, STa, STb, Stx2e and LT) by multiplex PCR. Isolates identified as phenotypically resistant to third-generation cephalosporin (3GC) and aminoglycoside antibiotics were screened by multiplex PCR/reverse line blot to detect common β-lactam and aminoglycosides resistance genes, confirmed by sequencing. Twenty (6.1%) of the E. coli isolates were resistant to 3GC antibiotics and 24 (7.4%) to the aminoglycoside antibiotic gentamicin. Genetic analysis revealed six different extended spectrum β-lactamase (ESBL) genes (blaCTX-M-1, -14, -15, -27, blaSHV-12 and blaCMY-2-like genes), four of which have not been previously reported in Australian pigs. Critically, the prevalence of 3GC resistance was higher in non-pathogenic (non-ETEC) isolates and those from clinically normal (non-diarrhoeal) samples. This highlights the importance of non-ETECE. coli as reservoirs of antimicrobial resistance genes in piglet pens. Antimicrobial resistance surveillance in pig production focused on diagnostic specimens from clinically-affected animals might be potentially misleading. We recommend that surveillance for emerging antimicrobial resistance such as to 3GC antibiotics should include clinically healthy pigs. © 2017 Blackwell Verlag GmbH.

  4. Antibiotic resistance and molecular epidemiology of Staphylococcus aureus in Nigeria

    Directory of Open Access Journals (Sweden)

    Oyedara Omotayo

    2011-05-01

    Full Text Available Abstract Background Staphylococcus aureus is an important pathogen causing a wide range of infections in the hospital and community setting. In order to have adequate information for treatment of S. aureus infections, it is crucial to understand the trends in the antibiotic-resistance patterns. In addition, the occurrence and changes in types of S. aureus, clonal identities, and their geographic spread is essential for the establishment of adequate infection control programmes. In this study, 68 S. aureus isolates obtained from clinical and non-clinical sources in Nigeria between January and April 2009 were characterized using phenotypic and molecular methods. Results All the S. aureus isolates were susceptible to teicoplanin, vancomycin, phosphomycin, fusidic acid, rifampicin, daptomycin, mupirocin, linezolid and tigecycline. Sixteen percent of the isolates were resistant to oxacillin, while 55% and 72% of isolates were resistant to tetracycline and trimethoprim/sulphamethoxazole (cotrimoxazole, respectively (Table 1. There was excellent correlation between the broth microdilution assay and detection of antibiotic resistance genes by the multiplex PCR, in the determination of S. aureus resistance to erythromycin, gentamicin, methicillin and tetracycline. A total of 28 spa types were identified in the study, and the predominant spa type among the methicillin-susceptible S. aureus (MSSA isolates was t084 (13 isolates. The t037-ST241-SCCmecIII type was the only clone identified in Maiduguri (North-East Nigeria while in South-West Nigeria, diversity among the MRSA isolates (t451-ST8-SCCmecV; t008-ST94-SCCmecIV; t002-ST5-SCCmecV; t064-ST8-SCCmecV was observed. The toxin genes seh and etd were detected in isolates affiliated with clonal complexes CC1, CC80 and sequence type ST25, respectively. The proportion of PVL-positive isolates among MSSA was high (40%. Most of the PVL-positive MSSA isolates were obtained from wound infections and associated

  5. Induced resistance: an enhancement of basal resistance?

    NARCIS (Netherlands)

    Vos, M. de; Robben, C.; Pelt, J.A. van; Loon, L.C. van; Pieterse, C.M.J.

    2002-01-01

    Upon primary pathogen attack, plants activate resistance mechanisms at the site of infection. Besides this so-called basal resistance, plants have also the ability to enhance their defensive capacity against future pathogen attack. There are at least two types of biologically induced resistance.

  6. Multidrug-Resistance and Toxic Metal Tolerance of Medically Important Bacteria Isolated from an Aquaculture System

    Science.gov (United States)

    Resende, Juliana Alves; Silva, Vânia L.; Fontes, Cláudia Oliveira; Souza-Filho, Job Alves; de Oliveira, Tamara Lopes Rocha; Coelho, Cíntia Marques; César, Dionéia Evangelista; Diniz, Cláudio Galuppo

    2012-01-01

    The use of antimicrobials and toxic metals should be considered carefully in aquaculture and surrounding environments. We aimed to evaluate medically relevant bacteria in an aquaculture system and their susceptibility to antimicrobials and toxic metals. Selective cultures for enterobacteria (ENT), non-fermenting Gram-negative rods (NFR) and Gram-positive cocci (GPC) were obtained from water samples collected in two different year seasons. The isolated bacteria were biochemically identified and antimicrobial and toxic metal susceptibility patterns were determined. Overall, 407 representative strains were recovered. In general, bacteria isolated from fish ponds showed higher multiple antibiotic resistance indices when compared to those isolated from a water-fed canal. Resistance to penicillin and azithromycin was observed more frequently in the GPC group, whereas resistance to ampicillin and ampicillin/sulbactam or gentamicin was observed more frequently in the ENT and NFR groups, respectively. All the isolated bacteria were tolerant to nickel, zinc, chromium and copper at high levels (≥1,024 μg mL−1), whereas tolerance to cadmium and mercury varied among the isolated bacteria (2–1,024 μg mL−1). Multidrug-resistant bacteria were more frequent and diverse in fish ponds than in the water-fed canal. A positive correlation was observed between antimicrobial resistance and metal tolerance. The data point out the need for water treatment associated with the aquaculture system. PMID:22972388

  7. HIV resistance testing and detected drug resistance in Europe

    DEFF Research Database (Denmark)

    Schultze, Anna; Phillips, Andrew N; Paredes, Roger

    2015-01-01

    to Southern Europe. CONCLUSIONS: Despite a concurrent decline in virological failure and testing, drug resistance was commonly detected. This suggests a selective approach to resistance testing. The regional differences identified indicate that policy aiming to minimize the emergence of resistance......OBJECTIVES: To describe regional differences and trends in resistance testing among individuals experiencing virological failure and the prevalence of detected resistance among those individuals who had a genotypic resistance test done following virological failure. DESIGN: Multinational cohort...... study. METHODS: Individuals in EuroSIDA with virological failure (>1 RNA measurement >500 on ART after >6 months on ART) after 1997 were included. Adjusted odds ratios (aORs) for resistance testing following virological failure and aORs for the detection of resistance among those who had a test were...

  8. Synergistic effects of antimicrobial peptide DP7 combined with antibiotics against multidrug-resistant bacteria

    Directory of Open Access Journals (Sweden)

    Wu X

    2017-03-01

    Full Text Available Xiaozhe Wu,1 Zhan Li,1 Xiaolu Li,2,3 Yaomei Tian,1 Yingzi Fan,1 Chaoheng Yu,1 Bailing Zhou,1 Yi Liu,4 Rong Xiang,5 Li Yang1 1State Key Laboratory of Biotherapy/Collaborative Innovation Center of Biotherapy, West China Hospital, Sichuan University, 2International Center for Translational Chinese Medicine, Sichuan Academy of Chinese Medicine Sciences, Chengdu, 3Department of Plastic and Burn Surgery, Affiliated Hospital of Southwest Medical University, Luzhou, 4Department of Microbial Examination, Sichuan Center for Disease Control and Prevention, Chengdu, 5Nankai University School of Medicine, Tianjin, People’s Republic of China Abstract: Antibiotic-resistant bacteria present a great threat to public health. In this study, the synergistic effects of antimicrobial peptides (AMPs and antibiotics on several multidrug-resistant bacterial strains were studied, and their synergistic effects on azithromycin (AZT-resistance genes were analyzed to determine the relationships between antimicrobial resistance and these synergistic effects. A checkerboard method was used to evaluate the synergistic effects of AMPs (DP7 and CLS001 and several antibiotics (gentamicin, vancomycin [VAN], AZT, and amoxicillin on clinical bacterial strains (Staphylococcus aureus, Pseudomonas aeruginosa, Acinetobacter baumannii, and Escherichia coli. The AZT-resistance genes (ermA, ermB, ermC, mefA, and msrA were identified in the resistant strains using quantitative polymerase chain reaction. For all the clinical isolates tested that were resistant to different antibiotics, DP7 had high antimicrobial activity (≤32 mg/L. When DP7 was combined with VAN or AZT, the effect was most frequently synergistic. When we studied the resistance genes of the AZT-resistant isolates, the synergistic effect of DP7–AZT occurred most frequently in highly resistant strains or strains carrying more than two AZT-resistance genes. A transmission electron microscopic analysis of the S. aureus

  9. Prevalence of resistance to 11 antimicrobials among Campylobacter coill isolated from pigs on 80 grower-finisher farms in Ontario.

    Science.gov (United States)

    Varela, Norma P; Friendship, Robert; Dewey, Cate

    2007-07-01

    We carried out a cross-sectional study to investigate antimicrobial resistance patterns of Campylobacter coli isolated from Ontario grower-finisher pigs. From January to June 2004, 1200 samples were collected from 80 farms by obtaining a constant number (15) of fecal samples per farm. Susceptibility of the isolates to 11 antimicrobial drugs was determined by the agar-dilution technique. The overall prevalence of resistance to 1 or more antimicrobials among the isolates was 99.2%. High levels of resistance were observed for azithromycin, clindamycin, erythromycin, streptomycin, and tetracycline: 91.7%, 82.5%, 81.4%, 70.7%, and 63.7%, respectively. For sulfamethoxazole, ampicillin, and nalidixic acid, resistance was observed in 40.3%, 26.6%, and 22.7% of the isolates, respectively. Although at very low levels, resistance was observed for ciprofloxacin (a fluoroquinolone), chloramphenicol, and gentamicin: in 2.4%, 1.7%, and 0.2%, respectively. Many of the isolates (29.7%) were resistant to 5 antimicrobials, the most common being azithromycin, clindamycin, erythromycin, streptomycin, and tetracycline. Isolates from the same farm showed at least 5 patterns of resistance. Results from this study indicate high levels of resistance to the antimicrobial drugs most commonly used in the Canadian swine industry (macrolides, lincosamides, and tetracyclines) among C. coli isolated from grower-finisher pigs in Ontario. Macrolides and fluoroquinolones are the drugs most commonly used to treat severe human campylobacteriosis. Fortunately, at present, there is little resistance to fluoroquinolones among C. coli from pigs in Ontario.

  10. Impact of High-Level Daptomycin Resistance in the Streptococcus mitis Group on Virulence and Survivability during Daptomycin Treatment in Experimental Infective Endocarditis

    Science.gov (United States)

    Garcia-de-la-Maria, C.; Xiong, Y. Q.; Pericas, J. M.; Armero, Y.; Moreno, A.; Mishra, N. N.; Rybak, M. J.; Tran, T. T.; Arias, C. A.; Sullam, P. M.; Bayer, A. S.

    2017-01-01

    ABSTRACT Among the viridans group streptococci, the Streptococcus mitis group is the most common cause of infective endocarditis. These bacteria have a propensity to be β-lactam resistant, as well as to rapidly develop high-level and durable resistance to daptomycin (DAP). We compared a parental, daptomycin-susceptible (DAPs) S. mitis/S. oralis strain and its daptomycin-resistant (DAPr) variant in a model of experimental endocarditis in terms of (i) their relative fitness in multiple target organs in this model (vegetations, kidneys, spleen) when animals were challenged individually and in a coinfection strategy and (ii) their survivability during therapy with daptomycin-gentamicin (an in vitro combination synergistic against the parental strain). The DAPr variant was initially isolated from the cardiac vegetations of animals with experimental endocarditis caused by the parental DAPs strain following treatment with daptomycin. The parental strain and the DAPr variant were comparably virulent when animals were individually challenged. In contrast, in the coinfection model without daptomycin therapy, at both the 106- and 107-CFU/ml challenge inocula, the parental strain outcompeted the DAPr variant in all target organs, especially the kidneys and spleen. When the animals in the coinfection model of endocarditis were treated with DAP-gentamicin, the DAPs strain was completely eliminated, while the DAPr variant persisted in all target tissues. These data underscore that the acquisition of DAPr in S. mitis/S. oralis does come at an intrinsic fitness cost, although this resistance phenotype is completely protective against therapy with a potentially synergistic DAP regimen. PMID:28264848

  11. Multivariable Analysis of the Association Between Antimicrobial Use and Antimicrobial Resistance in Escherichia coli Isolated from Apparently Healthy Pigs in Japan.

    Science.gov (United States)

    Makita, Kohei; Goto, Masaki; Ozawa, Manao; Kawanishi, Michiko; Koike, Ryoji; Asai, Tetsuo; Tamura, Yutaka

    2016-01-01

    The objective of this study was to investigate the association between antimicrobial agent use and antimicrobial resistance in Escherichia coli isolated from healthy pigs using data from 2004 to 2007 in the Japanese Veterinary Antimicrobial Resistance Monitoring System (JVARM). Fecal E. coli isolates from 250 pigs (one isolate each from a pig per farm) were examined for antimicrobial resistance. Information on the use of antimicrobials within preceding 6 months and types of farms recorded in JVARM was collected and statistically analyzed against the resistance patterns. In the univariate analysis, associations between both therapeutic and feed additive use of antimicrobials, and resistance to dihydrostreptomycin, gentamicin, kanamycin, ampicillin, cefazolin, ceftiofur, oxytetracycline, chloramphenicol, trimethoprim, nalidixic acid, enrofloxacin, colistin, and bicozamycin, and husbandry factors were investigated. In multivariable analysis, generalized estimating equations were used to control geographical intraclass correlation. Confounding for structurally unrelated associations was tested using generalized linear models. The results suggested direct and cross selections in the associations between use of aminoglycosides in reproduction farms and resistance to kanamycin, use of tetracyclines in larger farms and resistance to oxytetracycline, use of beta-lactams and resistance to ampicillin, use of phenicols and resistance to chloramphenicol, and use of fluoroquinolones and resistance to nalidixic acid and enrofloxacin. Coselection was suggested in the use of tetracyclines and chloramphenicol resistance. The associations between use of beta-lactams and dihydrostreptomycin resistance, use of macrolides and ampicillin and oxytetracycline resistance, and use of colistin and kanamycin resistance were significant, but were confounded by the simultaneous use of homologous antimicrobials.

  12. Sewage sludge and liquid pig manure as possible sources of antibiotic resistant bacteria.

    Science.gov (United States)

    Hölzel, Christina S; Schwaiger, Karin; Harms, Katrin; Küchenhoff, Helmut; Kunz, Anne; Meyer, Karsten; Müller, Christa; Bauer, Johann

    2010-05-01

    Within the last decades, the environmental spread of antibiotic resistant bacteria has become a topic of concern. In this study, liquid pig manure (n=305) and sewage sludge (n=111) - used as agricultural fertilizers between 2002 and 2005 - were investigated for the presence of Escherichia coli, Enterococcus faecalis and Enterococcus faecium. Bacteria were tested for their resistance against 40 chemotherapeutics including several "reserve drugs". E. coli (n=613) from pig manure were at a significantly higher degree resistant to streptomycin, doxycycline, spectinomycin, cotrimoxazole, and chloramphenicol than E. coli (n=116) from sewage sludge. Enterococci (Ent. faecalis, n=387, and Ent. faecium, n=183) from pig manure were significantly more often resistant to high levels of doxycycline, rifampicin, erythromycin, and streptomycin than Ent. faecalis (n=44) and Ent. faecium (n=125) from sewage sludge. Significant differences in enterococcal resistance were also seen for tylosin, chloramphenicol, gentamicin high level, fosfomycin, clindamicin, enrofloxacin, moxifloxacin, nitrofurantoin, and quinupristin/dalfopristin. By contrast, aminopenicillins were more effective in enterococci from pig manure, and mean MIC-values of piperacillin+tazobactam and third generation cefalosporines were significantly lower in E. coli from pig manure than in E. coli from sewage sludge. 13.4% (E. coli) to 25.3% (Ent. faecium) of pig manure isolates were high-level multiresistant to substances from more than three different classes of antimicrobial agents. In sewage sludge, high-level-multiresistance reached from 0% (Ent. faecalis) to 16% (Ent. faecium). High rates of (multi-) resistant bacteria in pig manure emphasize the need for a prudent - cautious - use of antibiotics in farm animals. Copyright 2010 Elsevier Inc. All rights reserved.

  13. The impact of nosocomially-acquired resistant Pseudomonas aeruginosa infection in a burn unit.

    Science.gov (United States)

    Armour, Alexis D; Shankowsky, Heather A; Swanson, Todd; Lee, Jonathan; Tredget, Edward E

    2007-07-01

    Nosocomially-acquired Pseudomonas aeruginosa remains a serious cause of infection and septic mortality in burn patients. This study was conducted to quantify the impact of nosocomially-transmitted resistant P. aeruginosa in a burn population. Using a TRACS burn database, 48 patients with P. aeruginosa resistant to gentamicin were identified (Pseudomonas group). Thirty-nine were case-matched to controls without resistant P. aeruginosa cultures (control group) for age, total body surface area, admission year, and presence of inhalation injury. Mortality and various morbidity endpoints were examined, as well as antibiotic costs. There was a significantly higher mortality rate in the Pseudomonas group (33% vs. 8%, p products used (packed cells 51.1 +/- 8.0 vs. 21.1 +/- 3.4, p < 0.01; platelets 11.9 +/- 3.0 vs. 1.4 +/- 0.7, p < 0.01) were all significantly higher in the Pseudomonas group. Cost of antibiotics was also significantly higher ($2,658.52 +/- $647.93 vs. $829.22 +/- $152.82, p < 0.01). Nosocomial colonization or infection, or both, of burn patients with aminoglycoside-resistant P. aeruginosa is associated with significantly higher morbidity, mortality, and cost of care. Increased resource consumption did not prevent significantly higher mortality rates when compared with that of control patients. Thus, prevention, identification, and eradication of nosocomial Pseudomonas contamination are critical for cost-effective, successful burn care.

  14. Anti-Microbial Resistance Profiles Of E. Coli Isolated From Free Range Chickens In Urban And Rural Environments Of Imo State, Nigeria

    Directory of Open Access Journals (Sweden)

    Okoli IC

    2006-07-01

    Full Text Available Information on the resistance profiles of normal intestinal flora of extensively reared chickens that hardly receive antibiotics in the developing countries can serve as important means of understanding the human/animal pathogens drug resistance interactions in the zone. Three hundred and fifty E. coli isolates, comprising 133 from urban and 217 from rural sites in Imo state, Nigeria, were screened for anti-microbial resistance profile against 10 antibiotics using the disc diffusion method. Overall percentage anti-microbial resistance of the isolates against cotrimoxazole, ampicillin, nalidixic acid, chloramphenicol and nitrofurantoin (72–92% were very high. The organisms were highly sensitive to other antibiotics, especially gentamicin and ciprofloxacin. The 59.5% overall mean percentage resistance recorded at the urban area was significantly higher than the 46.8% recorded at the rural area (p<0.05. With the exception of the figures for cotrimoxazole and ampicillin, resistance values obtained against the other antibiotics at the urban sites were statistically higher than those obtained at the rural sites (p<0.05. Zero resistance was recorded against the fluoroquinolones, norfloxacin and ciprofloxacin at all the rural sites except at Enyiogwugwu where a 28.6% resistance was obtained against norfloxacin. Since free-range chickens rarely receive antibiotic medication, it is concluded that the highly resistant E. coli organisms isolated from them may be reflecting consequences of human drug use in the study areas.

  15. Antibiotic resistance patterns of coagulase-negative staphylococcus strains isolated from blood cultures of septicemic patients in Turkey.

    Science.gov (United States)

    Koksal, F; Yasar, H; Samasti, M

    2009-01-01

    The aim of this study is to determine antibiotic resistance patterns and slime production characteristics of coagulase-negative Staphylococci (CoNS) caused nosocomial bacteremia. A total of 200 CoNS strains were isolated from blood samples of patients with true bacteremia who were hospitalized in intensive care units and in other departments of Istanbul University Cerrahpasa Medical Hospital between 1999 and 2006. Among 200 CoNS isolates, Staphylococcus epidermidis was the most prevalent species (87) followed by Staphylococcus haemolyticus (23), Staphylococcus hominis (19), Staphylococcus lugdunensis (18), Staphylococcus capitis (15), Staphylococcus xylosus (10), Staphylococcus warneri (8), Staphylococcus saprophyticus (5), Staphylococcus lentus (5), Staphylococcus simulans (4), Staphylococcus chromogenes (3), Staphylococcus cohnii (1), Staphylococcus schleiferi (1), and Staphylococcus auricularis (1). Resistance to methicillin was detected in 67.5% of CoNS isolates. Methicillin-resistant CoNS strains were determined to be more resistant to antibiotics than methicillin-susceptible CoNS strains. Resistance rates of methicillin-resistant and methicillin-susceptible CoNS strains to the antibacterial agents, respectively, were as follows: gentamicin 90% and 17%, erythromycin 80% and 37%, clindamycin 72% and 18%, trimethoprim-sulfamethoxazole 68% and 38%, ciprofloxacin 67% and 23%, tetracycline 60% and 45%, chloramphenicol 56% and 13% and fusidic acid 25% and 15%. None of the strains were resistant to vancomycin and teicoplanin. Slime production was detected in 86 of 200 CoNS strains. Resistance to methicillin was found in 81% of slime-positive and in 57% of slime-negative strains. Our results indicated that there is a high level of resistance to widely used agents in causative methicillin-resistant CoNS strains. However fusidic acid has the smallest resistance ratio, with the exception of glycopeptides. Additionally, most S. epidermidis strains were slime

  16. Antibiotic-Resistant Pathogenic Escherichia Coli Isolated from Rooftop Rainwater-Harvesting Tanks in the Eastern Cape, South Africa

    Directory of Open Access Journals (Sweden)

    Mokaba Shirley Malema

    2018-05-01

    Full Text Available Although many developing countries use harvested rainwater (HRW for drinking and other household purposes, its quality is seldom monitored. Continuous assessment of the microbial quality of HRW would ensure the safety of users of such water. The current study investigated the prevalence of pathogenic Escherichia coli strains and their antimicrobial resistance patterns in HRW tanks in the Eastern Cape, South Africa. Rainwater samples were collected weekly between June and September 2016 from 11 tanks in various areas of the province. Enumeration of E. coli was performed using the Colilert®18/Quanti-Tray® 2000 method. E. coli isolates were obtained and screened for their virulence potentials using polymerase chain reaction (PCR, and subsequently tested for antibiotic resistance using the disc-diffusion method against 11 antibiotics. The pathotype most detected was the neonatal meningitis E. coli (NMEC (ibeA 28% while pathotype enteroaggregative E. coli (EAEC was not detected. The highest resistance of the E. coli isolates was observed against Cephalothin (76%. All tested pathotypes were susceptible to Gentamicin, and 52% demonstrated multiple-antibiotic resistance (MAR. The results of the current study are of public health concern since the use of untreated harvested rainwater for potable purposes may pose a risk of transmission of pathogenic and antimicrobial-resistant E. coli.

  17. Antibiotic-Resistant Pathogenic Escherichia Coli Isolated from Rooftop Rainwater-Harvesting Tanks in the Eastern Cape, South Africa.

    Science.gov (United States)

    Malema, Mokaba Shirley; Abia, Akebe Luther King; Tandlich, Roman; Zuma, Bonga; Mwenge Kahinda, Jean-Marc; Ubomba-Jaswa, Eunice

    2018-05-01

    Although many developing countries use harvested rainwater (HRW) for drinking and other household purposes, its quality is seldom monitored. Continuous assessment of the microbial quality of HRW would ensure the safety of users of such water. The current study investigated the prevalence of pathogenic Escherichia coli strains and their antimicrobial resistance patterns in HRW tanks in the Eastern Cape, South Africa. Rainwater samples were collected weekly between June and September 2016 from 11 tanks in various areas of the province. Enumeration of E. coli was performed using the Colilert ® 18/Quanti-Tray ® 2000 method. E. coli isolates were obtained and screened for their virulence potentials using polymerase chain reaction (PCR), and subsequently tested for antibiotic resistance using the disc-diffusion method against 11 antibiotics. The pathotype most detected was the neonatal meningitis E. coli (NMEC) ( ibeA 28%) while pathotype enteroaggregative E. coli (EAEC) was not detected. The highest resistance of the E. coli isolates was observed against Cephalothin (76%). All tested pathotypes were susceptible to Gentamicin, and 52% demonstrated multiple-antibiotic resistance (MAR). The results of the current study are of public health concern since the use of untreated harvested rainwater for potable purposes may pose a risk of transmission of pathogenic and antimicrobial-resistant E. coli.

  18. [Microbiological characteristics and patterns of resistance in prosthetic joint infections in a referral hospital].

    Science.gov (United States)

    Ortega-Peña, Silvestre; Colín-Castro, Claudia; Hernández-Duran, Melissa; López-Jácome, Esaú; Franco-Cendejas, Rafael

    2015-01-01

    The prosthetic joint infection is the most feared and catastrophic complication for cause severe physical damage to patients and, generates high economic costs. To describe the microbiological characteristics and to determine the resistance pattern in prosthetic joint infections in a reference hospital in Mexico. Patients whose prosthetic devices were withdrawn due to suspicion of septic and aseptic loosening were included. Cultures were performed to identify microorganisms and susceptibility analysis. Of the 111 patients included, 55% were diagnosed with prosthetic joint infection, with the most frequent prosthesis being of the hip (43%). Positive cultures were obtained in 97% of the infected cases, of which 75% were monomicrobial infections. The most frequent bacterial species isolated were: Staphylococcus epidermidis (31%), Enterococcus faecalis (16%), Staphylococcus aureus (13%), and Escherichia coli (8%). The resistance patterns for the Staphylococcus genus were: oxacillin (79%), erythromycin (45%) and ciprofloxacin (37%). Enterococcus faecalis showed a high percentage of resistance to erythromycin and clindamycin (86%), and fluoroquinolones (43%). The large majority (86%) of Escherichia coli were extended spectrum beta-lactamases positive, in addition to having high resistance to fluoroquinolones (86%), trimethoprim/sulfamethoxazole (86%) and gentamicin (72%). The microbiological characteristics found in prosthetic joint infections vary according to the hospitals. In this series, a high proportion of coagulase-negative Staphylococci and Enterococcus spp. were found, as well as a high bacterial resistance. Copyright © 2015 Academia Mexicana de Cirugía A.C. Published by Masson Doyma México S.A. All rights reserved.

  19. Antimicrobial resistance of Escherichia coli isolated in newly-hatched chickens and effect of amoxicillin treatment during their growth.

    Science.gov (United States)

    Jiménez-Belenguer, Ana; Doménech, Eva; Villagrá, Arantxa; Fenollar, Alejandro; Ferrús, Maria Antonia

    2016-08-01

    The use of antimicrobials in food animals is the major determinant for the propagation of resistant bacteria in the animal reservoir. However, other factors may also play a part, and in particular vertical spread between the generations has been suggested to be an important transmission pathway. The objective of this paper was to determine the resistance patterns of Escherichia coli isolated from newly-hatched chickens as well as to study the antibiotic pressure effect when amoxicillin was administered during their growing period. With this aim, meconium from 22 one-day-old Ross chickens was analysed. In addition, during their growth period, amoxicillin treatments at days 7, 21 and 35 were carried out. Results showed a high number of E. coli-resistant strains were isolated from the treated one-day-old chickens, and were the highest for β-lactams group, followed by quinolone and tetracyclines. After treatment with amoxicillin, the highest percentage of resistances were detected for this antibiotic compared to the others analysed, with significant differences in resistance percentages between control and treated broilers detected in relation to ampicillin, cephalothin, streptomycin, kanamycin, gentamicin, chloramphenicol and tetracycline. Differences in resistances to ciprofloxacin and nalidixic acid between control and treated animals were not observed and there was lack of resistance for amikacin and ceftriaxone. These results suggest the possibility of vertical transmission of resistant strains to newly-hatched chicks from parent flocks, and seem to indicate that the treatment with amoxicillin increased the resistance of E. coli to other antibiotics.

  20. Emergence of multidrug-resistant Acinetobacter baumannii producing OXA-23 Carbapenemase in Qatar

    Directory of Open Access Journals (Sweden)

    J.-M. Rolain

    2016-05-01

    Full Text Available The objective of our study was to describe the molecular support of carbapenem resistance from randomly selected clinical isolates of multidrug-resistant (MDR Acinetobacter baumannii as a pilot study from the Hamad Medical Corporation (HMC, Qatar. Results of our report will be used to study carbapenemases using molecular techniques in all isolated MDR A. baumannii. Forty-eight MDR A. baumannii were randomly selected from isolates preserved at HMC. Identification of all isolates was confirmed by matrix-assisted laser desorption/ionization time-of-flight mass spectrometry. Antibiotic resistance was tested phenotypically by Phoenix and confirmed by Etest. The molecular support of carbapenemases (blaOXA-23, blaOXA-24, blaOXA-58, blaNDM was investigated by real-time PCR. The epidemiologic relatedness of the isolates was verified by phylogenetic analysis based on partial sequences of CsuE and blaOXA-51 genes. All 48 isolates were identified as A. baumannii and were confirmed to be resistant to most antibiotics, especially meropenem, imipenems, ciprofloxacin, levofloxacin, amikacin, gentamicin and most of the β-lactams; they were sensitive to colistin. All the isolates were positive for blaOXA-23 and negative for the other tested carbapenemase genes. Clonality analysis demonstrated that different lineages were actually circulating in Qatar; and we suggest that an outbreak occurred in the medical intensive care unit of HMC between 2011 and 2012. Here we report the emergence of MDR A. baumannii producing the carbapenemase OXA-23 in Qatar.

  1. Prevalence and Antimicrobial Resistance of Salmonella Isolates from Chicken Carcasses in Retail Markets in Yangon, Myanmar.

    Science.gov (United States)

    Moe, Aung Zaw; Paulsen, Peter; Pichpol, Duangporn; Fries, Reinhard; Irsigler, Herlinde; Baumann, Maximilian P O; Oo, Kyaw Naing

    2017-06-01

    A cross-sectional investigation was conducted concerning prevalence, antimicrobial resistance, multidrug resistance patterns, and serovar diversity of Salmonella in chicken meat sold at retail in Yangon, Myanmar. The 141 chicken meat samples were collected at 141 retail markets in the Yangon Region, Myanmar, 1 November 2014 to 31 March 2015. Information on hygienic practices (potential risk factors) was retrieved via checklists. Salmonella was isolated and identified according to International Organization for Standardization methods (ISO 6579:2002) with minor modifications. Twelve antimicrobial agents belonging to eight pharmacological groups were used for antimicrobial susceptibility testing (disk diffusion method). Salmonella was recovered from 138 (97.9%) of the 141 samples. The isolates were most frequently resistant to trimethoprim-sulfamethoxazole (70.3% of isolates), tetracycline (54.3%), streptomycin (49.3%), and ampicillin (47.1%). Resistance was also found to chloramphenicol (29.7%), amoxicillin-clavulanic acid (17.4%), ciprofloxacin (9.4%), tobramycin (8.7%), gentamicin (8%), cefazolin (7.2%), lincomycin-spectinomycin (5.8%), and norfloxacin (0.7%). Among the 138 Salmonella isolates, 72 (52.2%) were resistant to three or more antimicrobial agents. Twenty-four serovars were identified among the 138 Salmonella-positive samples; serovars Albany, Kentucky, Braenderup, and Indiana were found in 38, 11, 10, and 8% of samples, respectively. None of the potential risk factors were significantly related to Salmonella contamination of chicken carcasses. This study provides new information regarding prevalence and antimicrobial resistance and Salmonella serovar diversity in retail markets in Yangon, Myanmar.

  2. Genotypes, antibiotic resistance, and virulence factors of staphylococci from ready-to-eat food.

    Science.gov (United States)

    Podkowik, Magdalena; Bystroń, Jarosław; Bania, Jacek

    2012-01-01

    Sixty-seven staphylococcal isolates belonging to 12 species were obtained from 70 ready-to-eat food products. Staphylococcus aureus (n=25), and Staphylococcus epidermidis (n=13) were dominant. Susceptibility to penicillin, oxacillin, tetracycline, clindamycin, gentamicin, erythromycin, ciprofloxacin, and vancomycin was determined. All investigated S. aureus isolates were resistant to at least one antibiotic, and fifteen isolates were resistant to four and more antibiotics. Thirty-eight coagulase-negative staphylococci (CNS) isolates were resistant to at least one antibiotic, and seventeen to four and more antibiotics. Fifteen CNS isolates were mecA positive, and grew in the presence of 6 μg/mL oxacillin. All S. aureus isolates were mecA-negative. Arginine catabolic mobile element (ACME) was found in seven S. epidermidis isolates. Five S. epidermidis isolates harbored ica operon, ACME and were able to form biofilm. Three of them also possessed IS256 element and were mecA-positive. The expression of icaA gene was comparable in five ica-positive S. epidermidis isolates. One of six mecA positive S. epidermidis isolates was classified as sequence type (ST)155, one as ST110, and two as ST88. Two methicillin-resistant Staphylococcus epidermis (MRSE) belonged to new STs, that is, ST362, and ST363. Enterotoxin genes were found in 92% of S. aureus isolates. No enterotoxin gene was detected in analyzed CNS population. We show that ready-to-eat products are an important source of antibiotic-resistant CNS and potentially virulent strains of S. epidermidis, including genotypes undistinguishable from hospital-adapted clones.

  3. Antibiotic resistance of Vibrio parahaemolyticus isolated from coastal seawater and sediment in Malaysia

    Science.gov (United States)

    Drais, Ashraf Abbas; Usup, Gires; Ahmad, Asmat

    2016-11-01

    Vibrio parahaemolyticus is widely recognized pathogenic Vibrio species due to numerous outbreaks and its' wide occurrence in the marine environment. A total of 50 Vibrio parahaemolyticus isolates were isolated from seawater and sediments in Malaysia were tested for sensitivity to 19 antibiotics using disc diffusion method. It was found that all isolates were resistant towards ampicillin (10 μg), penicillin (10 μg), methicillin (5 μg), and novobiocin (5 μg); but exhibit sensitivity to chloramphenicol (30 μg) and gentamicin (100 μg). The low percentage of sensitivity towards antibiotics was observed with the following antibiotics; amoxicillin 10μg (98%), fluconazole 25μg (98%), erythromycin 15 μg (92%), vancomycin 30 μg (92%), bacitracin 10 μg (84%), carbenicillin 100 μg (84%), cephalothin 30 μg (52%), nitrofurantion 200 μg (52%), ciprofloxacin 5 μg (40%), tetracycline 30 μg (20%), kanamycin 30 μg (10%), nalidixic acid 30 μg (10%) and streptomycin 20 μg (6%). Multiple antibiotic resistance (MAR) index was found to be 0.42-0.78. All the isolates were multi-resistant to these antibiotics. This indicates that the isolates originate from high-risk source of contamination where antibiotics are often used. Thus, there is a need for supervised use of antibiotics and frequent surveillance of V. parahaemolyticus strains for antimicrobial resistance. The presence of V. parahaemolyticus in coastal water with a high value of multiple antibiotic resistance indexes (MARI) can increase the risk of exposure to human and regular monitoring program for this potential human pathogenic bacterium is important.

  4. Antibiotic resistance and enterotoxin genes in Staphylococcus sp. isolates from polluted water in Southern Brazil.

    Science.gov (United States)

    Basso, Ana P; Martins, Paula D; Nachtigall, Gisele; Van Der Sand, Sueli; De Moura, Tiane M; Frazzon, Ana Paula G

    2014-12-01

    The aim of this study was to evaluate the species distribution, antibiotic-resistance profile and presence of enterotoxin (SE) genes in staphylococci isolated from the Dilúvio stream in South Brazil. Eighty-eight staphylococci were identified, 93.18% were identified as coagulase-negative (CNS) and 6.82% coagulase-positive (CPS). Fourteen Staphylococcus species were detected and the most frequently were Staphylococcus cohnii (30.48%) and S. haemolyticus (21.95%). Resistance to erythromycin was verified in 37.50% of the strains, followed by 27.27% to penicillin, 12.50% to clindamycin, 6.81% to trimethoprim-sulfamethoxazole, 5.68% to chloramphenicol and 2.27% to norfloxacin. None of the investigated strains showed gentamicin and ciprofloxacin resistance. The strains were tested for the presence of sea, seb, sec, sed and see genes by PCR and only CNS strains (43.18%) showed positive results to one or more SE genes. The scientific importance of our results is due to the lack of data about these topics in polluted waters in Brazil. In conclusion, polluted waters from the Dilúvio stream may constitute a reservoir for disseminating antibiotic-resistance and enterotoxin into the community. In addition, the detection of staphylococci in the polluted waters of the Dilúvio stream indicated a situation of environmental contamination and poor sanitation conditions.

  5. First identification of methicillin-resistant Staphylococcus pseudintermedius strains among coagulase-positive staphylococci isolated from dogs with otitis externa in Trinidad, West Indies

    Directory of Open Access Journals (Sweden)

    Francis Dziva

    2015-12-01

    Full Text Available Background: Otitis externa is a common inflammatory ear disease in dogs caused by a variety of pathogens, and coagulase-positive staphylococci are frequently isolated from such infections. Objective: To identify antimicrobial susceptibility profiles and methicillin-resistant strains among coagulase-positive staphylococci isolated from otitis externa in dogs. Methods: A cross-sectional study was performed over 2 years on 114 client-owned dogs presented to the Veterinary Teaching Hospital with a primary complaint of ear infections. Swabs were obtained from both ears and cultured for staphylococci which were subsequently confirmed as coagulase-positive using rabbit plasma. Antimicrobial susceptibility assays were assessed on all isolates followed by subsequent genetic analysis for species identification and detection of the mecA gene. Results: Sixty-five coagulase-positive staphylococci were isolated from 114 client-owned dogs. The isolates exhibited resistance against neomycin (58.5%, streptomycin (49.2%, penicillin (49.2%, polymyxin B (44.6%, tetracycline (36.9%, sulphamethoxazole/trimethoprim (33.8%, kanamycin (33.8%, doxycycline (32.3%, norfloxacin (23.1%, amoxicillin/clavulanate (20%, ciprofloxacin (20%, enrofloxacin (18.5%, gentamicin (16.9%, and cephalothin (9.2%. Forty (61.5% of the isolates were resistant to at least three or more antimicrobials and 10 were sensitive to all. Using a multiplex polymerase chain reaction assay based on species-specific regions of the thermonuclease (nuc gene, 38/65 (58.5% isolates were classified as Staphylococcus aureus, 23/65 (35.4% as S. pseudintermedius, 2/65 (3.1% as S. intermedius, and 2/65 (3.1% as S. schleiferi. Analysis for the mecA gene revealed two positive isolates of S. pseudintermedius which were oxacillin-resistant, representing a first report of such organisms in the Caribbean. Conclusion: Despite the relatively high prevalence of multidrug-resistant coagulase-positive staphylococci in

  6. A response regulator from a soil metagenome enhances resistance to the β-lactam antibiotic carbenicillin in Escherichia coli.

    Directory of Open Access Journals (Sweden)

    Heather K Allen

    Full Text Available Functional metagenomic analysis of soil metagenomes is a method for uncovering as-yet unidentified mechanisms for antibiotic resistance. Here we report an unconventional mode by which a response regulator derived from a soil metagenome confers resistance to the β-lactam antibiotic carbenicillin in Escherichia coli. A recombinant clone (βlr16 harboring a 5,169 bp DNA insert was selected from a metagenomic library previously constructed from a remote Alaskan soil. The βlr16 clone conferred specific resistance to carbenicillin, with limited increases in resistance to other tested antibiotics, including other β-lactams (penicillins and cephalosporins, rifampin, ciprofloxacin, erythromycin, chloramphenicol, nalidixic acid, fusidic acid, and gentamicin. Resistance was more pronounced at 24°C than at 37°C. Zone-of-inhibition assays suggested that the mechanism of carbenicillin resistance was not due to antibiotic inactivation. The DNA insert did not encode any genes known to confer antibiotic resistance, but did have two putative open reading frames (ORFs that were annotated as a metallopeptidase and a two-component response regulator. Transposon mutagenesis and subcloning of the two ORFs followed by phenotypic assays showed that the response regulator gene was necessary and sufficient to confer the resistance phenotype. Quantitative reverse transcriptase PCR showed that the response regulator suppressed expression of the ompF porin gene, independently of the small RNA regulator micF, and enhanced expression of the acrD, mdtA, and mdtB efflux pump genes. This work demonstrates that antibiotic resistance can be achieved by the modulation of gene regulation by heterologous DNA. Functional analyses such as these can be important for making discoveries in antibiotic resistance gene biology and ecology.

  7. Prevalence and antimicrobial resistance of coagulase negative staphylococci clinical isolates from Ethiopia: a meta-analysis.

    Science.gov (United States)

    Deyno, Serawit; Fekadu, Sintayehu; Seyfe, Sisay

    2018-05-25

    Antimicrobial resistant Coagulase-negative Staphylococci (CoNS) have limited treatment options, rendered diseases untreatable and made hospitals to be reservoirs of the resistant strains. The aim of this study was to estimate the pooled prevalence and antimicrobial resistance of clinical isolates of CoNS from Ethiopia. The electronic database search yielded 6511 articles of which 21 met predefined inclusion criteria. The pooled prevalence of CoNS from Ethiopia was 12% (95% confidence interval (CI): 8, 16%). The analyses revealed high level of CoNS resistance to methicilin (37%[95% CI: 21, 55%]), vancomycin (911%[95% CI: 0, 35%]), penicillin (58%[95% CI: 42, 74%]), amoxicillin (42%[95% CI: 23, 61%]), amoxicillin-clavulanate (27%[95% CI: 2, 27%]), ampicillin (64%[95% CI: 46, 80%]), tetracycline (60% [95% CI: 49, 70%]), doxycycline (36%[95% CI:19,55%]), Sulfamethoxazole-trimethoprim (50%[95% CI: 36, 64%]), ceftriaxone (27% [95% CI: 18, 38%]), cephalothin (32% [95% CI: 7, 62%]), norfloxacin (39%[95% CI: 24, 56%]), chloramphenicol (40%[95% CI: 23, 58%]), clindamycin (11% [95% CI: 2, 27%]), ciprofloxacin (14%[95% CI: 6, 22%]), gentamicin (27%[95% CI:19,36%]) and erythromycin (30%[95% CI:20%,42%]). High heterogeneity, I 2 ranging from 69.04 to 96.88%; p-values ≤0.01, was observed. Eggers' test did not detect publication bias for the meta-analyses and low risk of bias was observed in included studies. CoNS has gotten resistant to commonly used antimicrobials from Ethiopia. There is a need of launching national antimicrobial treatment, development and implementation of policy guidelines to contain the threat. Further research focusing on factors promoting resistance and the effect of resistance on treatment outcome studies are warranted.

  8. Inheritance of Cry1F resistance, cross-resistance and frequency of resistant alleles in Spodoptera frugiperda (Lepidoptera: Noctuidae).

    Science.gov (United States)

    Vélez, A M; Spencer, T A; Alves, A P; Moellenbeck, D; Meagher, R L; Chirakkal, H; Siegfried, B D

    2013-12-01

    Transgenic maize, Zea maize L., expressing the Cry1F protein from Bacillus thuringiensis has been registered for Spodoptera frugiperda (J. E. Smith) control since 2003. Unexpected damage to Cry1F maize was reported in 2006 in Puerto Rico and Cry1F resistance in S. frugiperda was documented. The inheritance of Cry1F resistance was characterized in a S. frugiperda resistant strain originating from Puerto Rico, which displayed >289-fold resistance to purified Cry1F. Concentration-response bioassays of reciprocal crosses of resistant and susceptible parental populations indicated that resistance is recessive and autosomal. Bioassays of the backcross of the F1 generation crossed with the resistant parental strain suggest that a single locus is responsible for resistance. In addition, cross-resistance to Cry1Aa, Cry1Ab, Cry1Ac, Cry1Ba, Cry2Aa and Vip3Aa was assessed in the Cry1F-resistant strain. There was no significant cross-resistance to Cry1Aa, Cry1Ba and Cry2Aa, although only limited effects were observed in the susceptible strain. Vip3Aa was highly effective against susceptible and resistant insects indicating no cross-resistance with Cry1F. In contrast, low levels of cross-resistance were observed for both Cry1Ab and Cry1Ac. Because the resistance is recessive and conferred by a single locus, an F1 screening assay was used to measure the frequency of Cry1F-resistant alleles from populations of Florida and Texas in 2010 and 2011. A total frequency of resistant alleles of 0.13 and 0.02 was found for Florida and Texas populations, respectively, indicating resistant alleles could be found in US populations, although there have been no reports of reduced efficacy of Cry1F-expressing plants.

  9. Detection of Antibiotic Resistant Staphylococcus aureus from Milk: A Public Health Implication

    Science.gov (United States)

    Akindolire, Muyiwa Ajoke; Babalola, Olubukola Oluranti; Ateba, Collins Njie

    2015-01-01

    The aim of this study was to investigate the occurrence, antibiotic susceptibility profiles, and virulence genes determinants of S. aureus isolated from milk obtained from retail outlets of the North-West Province, South Africa. To achieve this, 200 samples of raw, bulk and pasteurised milk were obtained randomly from supermarkets, shops and some farms in the North-West Province between May 2012 and April 2013. S. aureus was isolated and positively identified using morphological (Gram staining), biochemical (DNase, catalase, haemolysis and rapid slide agglutination) tests, protein profile analysis (MALDI-TOF mass spectrometry) and molecular (nuc specific PCR) methods. The antimicrobial resistance profiles of the isolates were determined using the phenotypic agar diffusion method. Genes encoding enterotoxins, exfoliative toxins and collagen adhesins were also screened using PCR. Among all the samples examined, 30 of 40 raw milk samples (75%), 25 of 85 bulk milk samples (29%) and 10 of 75 pasteurised milk samples (13%) were positive for S. aureus. One hundred and fifty-six PCR-confirmed S. aureus isolates were obtained from 75 contaminated milk samples. A large proportion (60%–100%) of the isolates was resistant to penicillin G, ampicillin, oxacillin, vancomycin, teicoplanin and erythromycin. On the contrary, low level resistance (8.3%–40%) was observed for gentamicin, kanamycin and sulphamethoxazole. Methicillin resistance was detected in 59% of the multidrug resistant isolates and this was a cause for concern. However, only a small proportion (20.6%) of these isolates possessed PBP2a which codes for Methicillin resistance in S. aureus. In addition, 32.7% of isolates possessed the sec gene whereas the sea, seb sed, see, cna, eta, etb genes were not detected. The findings of this study showed that raw, bulk and pasteurised milk in the North-West Province is contaminated with toxigenic and multi-drug resistant S. aureus strains. There is a need to implement

  10. Methicillin-resistant Staphylococcus aureus of lineage ST398 as cause of mastitis in cows.

    Science.gov (United States)

    Silva, N C C; Guimarães, F F; Manzi, M P; Júnior, A Fernandes; Gómez-Sanz, E; Gómez, P; Langoni, H; Rall, V L M; Torres, C

    2014-12-01

    The objective of this study was to analyse the prevalence and molecular characteristics of methicillin-resistant Staphylococcus aureus (MRSA) in milk of cows with mastitis. The California mastitis test (CMT) was used to detect the presence of mastitis in all 100 cows of a farm in Brazil. The CMT was positive in milk of 115 mammary quarters from 36 cows (36%). MRSA isolates were recovered from 4 of these 36 cows with mastitis (11%), and they were further characterized (one MRSA/sample). The four MRSA isolates were typed as t011-ST398-agr1-SCCmecV and presented two different pulsed-field-gel-electrophoresis-ApaI patterns. These four MRSA isolates showed resistance to tetracycline, streptomycin and ciprofloxacin, carried the mecA, blaZ, tet(K), and tet(M) resistance genes, and presented the S84L and S80F amino acid substitutions in GyrA and GrlA proteins, respectively. Two ST398 isolates exhibited resistance to gentamicin and tobramycin [with aac(6)-aph(2") and ant(4)-Ia genes] and one isolate resistance to clindamycin [with lnu(B) and lsa(E) genes]; this latter isolate also carried the spectinomycin/streptomycin resistance genes spw and aadE. MRSA of lineage ST398 is worldwide spread, normally multidrug resistant and may be responsible for bovine mastitis. To our knowledge, this is the first detection of MRSA-ST398 in Brazil. Few studies on the epidemiology of methicillin-resistant Staphylococcus aureus (MRSA) from bovine isolates have been performed in Brazil. MRSA of lineage ST398 is worldwide spread and associated with farm animals. Multidrug-resistant MRSA-ST398 isolates were recovered in 11% of mastitic cows from a single farm, with one isolate carrying the unusual lsa(E), spw and aadE genes. To our knowledge, this is the first detection of MRSA-ST398 isolates in milk samples of cows with mastitis in Brazil. © 2014 The Society for Applied Microbiology.

  11. Acinetobacter Infection and Resistance Profile of Intensive Care Units In a City of Northwestern Anatolia

    Directory of Open Access Journals (Sweden)

    İsa Yıldız

    2016-09-01

    Full Text Available INTRODUCTION: Determination of suitable antibiotics in treatment of Acinetobacter infections is through the hospital ascertaining the resistance state to bacteria causing the problem. In this study, the evaluation of antibiotics sensitivity of Acinetobacter strains isolated as infection factor in patients hospitalized in intensive care units is aimed. METHODS: Acinetobacter strains isolated from the samples of patients hospitalized in the 2nd and 3rd Stage adult intensive care units of a province in in northwestern Anatolia have been studied. RESULTS: A total of 165 patients were included in the study. The most isolated samples were respiratory tract samples, blood and urine. The antibiotics which the factors were most sensitive were cholistin (66,1% gentamicin (22,4% and trimethoprim sulfamethoxazole (18,2%. DISCUSSION AND CONCLUSION: We face increasing resistance ratios in Acinetobacter strains. Necessary precautions should be taken for this.

  12. Coagulase-negative staphylococci (CoNS) isolated from ready-to-eat food of animal origin--phenotypic and genotypic antibiotic resistance.

    Science.gov (United States)

    Chajęcka-Wierzchowska, Wioleta; Zadernowska, Anna; Nalepa, Beata; Sierpińska, Magda; Łaniewska-Trokenheim, Łucja

    2015-04-01

    The aim of this work was to study the pheno- and genotypical antimicrobial resistance profile of coagulase negative staphylococci (CoNS) isolated from 146 ready-to-eat food of animal origin (cheeses, cured meats, sausages, smoked fishes). 58 strains were isolated, they were classified as Staphylococcus xylosus (n = 29), Staphylococcus epidermidis (n = 16); Staphylococcus lentus (n = 7); Staphylococcus saprophyticus (n = 4); Staphylococcus hyicus (n = 1) and Staphylococcus simulans (n = 1) by phenotypic and genotypic methods. Isolates were tested for resistance to erythromycin, clindamycin, gentamicin, cefoxitin, norfloxacin, ciprofloxacin, tetracycline, tigecycline, rifampicin, nitrofurantoin, linezolid, trimetoprim, sulphamethoxazole/trimethoprim, chloramphenicol, quinupristin/dalfopristin by the disk diffusion method. PCR was used for the detection of antibiotic resistance genes encoding: methicillin resistance--mecA; macrolide resistance--erm(A), erm(B), erm(C), mrs(A/B); efflux proteins tet(K) and tet(L) and ribosomal protection proteins tet(M). For all the tet(M)-positive isolates the presence of conjugative transposons of the Tn916-Tn1545 family was determined. Most of the isolates were resistant to cefoxitin (41.3%) followed by clindamycin (36.2%), tigecycline (24.1%), rifampicin (17.2%) and erythromycin (13.8%). 32.2% staphylococcal isolates were multidrug resistant (MDR). All methicillin resistant staphylococci harboured mecA gene. Isolates, phenotypic resistant to tetracycline, harboured at least one tetracycline resistance determinant on which tet(M) was most frequent. All of the isolates positive for tet(M) genes were positive for the Tn916-Tn1545 -like integrase family gene. In the erythromycin-resistant isolates, the macrolide resistance genes erm(C) or msr(A/B) were present. Although coagulase-negative staphylococci are not classical food poisoning bacteria, its presence in food could be of public health significance due to the possible spread of

  13. Comparative study of bacteremias caused by Enterococcus spp. with and without high-level resistance to gentamicin. The Grupo Andaluz para el estudio de las Enfermedades Infecciosas.

    Science.gov (United States)

    Caballero-Granado, F J; Cisneros, J M; Luque, R; Torres-Tortosa, M; Gamboa, F; Díez, F; Villanueva, J L; Pérez-Cano, R; Pasquau, J; Merino, D; Menchero, A; Mora, D; López-Ruz, M A; Vergara, A

    1998-02-01

    A prospective, multicenter study was carried out over a period of 10 months. All patients with clinically significant bacteremia caused by Enterococcus spp. were included. The epidemiological, microbiological, clinical, and prognostic features and the relationship of these features to the presence of high-level resistance to gentamicin (HLRG) were studied. Ninety-three patients with enterococcal bacteremia were included, and 31 of these cases were caused by HLRG (33%). The multivariate analysis selected chronic renal failure, intensive care unit stay, previous use of antimicrobial agents, and Enterococcus faecalis species as the independent risk factors that influenced the development of HLRG. The strains with HLRG showed lower levels of susceptibility to penicillin and ciprofloxacin. Clinical features (except for chronic renal failure) were similar in both groups of patients. HLRG did not influence the prognosis for patients with enterococcal bacteremia in terms of either the crude mortality rate (29% for patients with bacteremia caused by enterococci with HLRG and 28% for patients not infected with strains with HLRG) or the hospital stay after the acquisition of enterococcal bacteremia. Hemodynamic compromise, inappropriate antimicrobial therapy, and mechanical ventilation were revealed in the multivariate analysis to be the independent risk factors for mortality. Prolonged hospitalization was associated with the nosocomial acquisition of bacteremia and polymicrobial infections.

  14. Urinary Tract Infections Due to Catheterization and Drug Resistance Patterns of Isolated Bacteria

    Directory of Open Access Journals (Sweden)

    M. Mosavian

    2004-07-01

    Full Text Available UTI is the most common infection in all ages and urinary catheters especially long-term catheterization are important predisposing factors of UTI. Urinary catheters are used in different hospital wards as a complementary curative method for the patients who are undergone various surgical procedures, such as : cesarean, hysterectomy , laparotomy, etc and they who are unable to control their voided urine . 226 urine specimens were collected from 119 catheterized patients which had been hospitalized in seven wards of Razi and Golestan hospitals in Ahwas city . At least two urine specimens were collected from each patient , before and after the insertion of the catheter . All of the specimens were inoculated to suitable Media, after transportation to the Microbiology Lab . Isolated colonies were identified and their resistance patterns were determined by the standard disk diffusion method (Kirby –Bauer procedure to 8 different antibiotics. 38 cases (43.6% out of 87 patients showed Bacteriuria in the end of catheterization . They had no bacteriuria symptoms or sign before the catheterization. The most cases(28.9% of bacteriuria occured in 30-39 years group and the lowest cases (2.6 % of them occured in 60-69 years group. Out of 50 bacterial strains isolated from urine cultures , E.coil (with 17 cases was the highest (34% and Staphylococcus aureus , Klebsiella pneumoniae , Edwardsiella tarda , Enterobacter sakazakii (with 2% for each were the lowest cases. E.coli, Enterobacter and Kl. rhinoscleromatis , showed the most resistance to Ampicillin, Penicillin , Cephalexin , and the lowest rate to Nalidixic acid, Gentamicin and Nitrofurantoin . Staphylococcus epidermidis isolates ,also,showed the most resistance (100% to Penicillin and Ampicillin , and the lowest rate to Gentamicin (with 66.7%, Cotrimoxazol and Nitrofurantoin (with 50% .The results of this study suggested that catheterization , especially long- term catheterization causes the rise of

  15. Human isolates of Salmonella enterica serovar Typhimurium from Taiwan displayed significantly higher levels of antimicrobial resistance than those from Denmark.

    Science.gov (United States)

    Torpdahl, Mia; Lauderdale, Tsai-Ling; Liang, Shiu-Yun; Li, Ishien; Wei, Sung-Hsi; Chiou, Chien-Shun

    2013-02-01

    Salmonella enterica serovar Typhimurium is a major zoonotic pathogen with a high prevalence of antimicrobial resistance. This pathogen can disseminate across borders and spread far distances via the food trade and international travel. In this study, we compared the genotypes and antimicrobial resistance of 378 S. Typhimurium isolates collected in Taiwan and Denmark between 2009 and 2010. Genotyping revealed that many S. Typhimurium strains were concurrently circulating in Taiwan, Denmark and other countries in 2009 and 2010. When compared to the isolates collected from Denmark, the isolates from Taiwan displayed a significantly higher level of resistance to 11 of the 12 tested antimicrobials. Seven genetic clusters (A-G) were designated for the isolates. A high percentage of the isolates in genetic clusters C, F and G were multidrug-resistant. Of the isolates in cluster C, 79.2% were ASSuT-resistant, characterized by resistance to ampicillin, streptomycin, sulfamethoxazole, and tetracycline. In cluster F, 84.1% of the isolates were ACSSuT-resistant (resistant to ASSuT and chloramphenicol). Cluster G was unique to Taiwan and characterized in most isolates by the absence of three VNTRs (ST20, ST30 and STTR6) as well as a variety of multidrug resistance profiles. This cluster exhibited very high to extremely high levels of resistance to several first-line drugs, and among the seven clusters, it displayed the highest levels of resistance to cefotaxime and ceftazidime, ciprofloxacin and gentamicin. The high prevalence of antimicrobial resistance in S. Typhimurium from Taiwan highlights the necessity to strictly regulate the use of antimicrobials in the agriculture and human health care sectors. Copyright © 2012 Elsevier B.V. All rights reserved.

  16. Adriamycin resistance, heat resistance and radiation response in Chinese hamster fibroblasts

    International Nuclear Information System (INIS)

    Wallner, K.; Li, G.

    1985-01-01

    Previous investigators have demonstrated synergistic interaction between hyperthermia and radiation or Adriamycin (ADR), using cell lines that are sensitive to heat or ADR alone. The authors investigated the effect of heat, radiation or ADR on Chinese hamster fibroblasts (HA-1), their heat resistant variants and their ADR resistant variants. Heat for ADR resistance did not confer cross resistance to radiation. Cells resistant to heat did show cross resistance to ADR. While cells selected for ADR resistance were not cross resistant to heat, they did not exhibit drug potentiation by hyperthermia, characteristic of ADR sensitive cells. Cytofluorometric measurement showed decreased ADR uptake in both heat and ADR resistant cells. The possibility of cross resistance between heat and ADR should be considered when designing combined modality trials

  17. Outwitting the series resistance in scanning spreading resistance microscopy

    International Nuclear Information System (INIS)

    Schulze, A.; Cao, R.; Eyben, P.; Hantschel, T.; Vandervorst, W.

    2016-01-01

    The performance of nanoelectronics devices critically depends on the distribution of active dopants inside these structures. For this reason, dopant profiling has been defined as one of the major metrology challenges by the international technology roadmap of semiconductors. Scanning spreading resistance microscopy (SSRM) has evolved as one of the most viable approaches over the last decade due to its excellent spatial resolution, sensitivity and quantification accuracy. However, in case of advanced device architectures like fins and nanowires a proper measurement of the spreading resistance is often hampered by the increasing impact of parasitic series resistances (e.g. bulk series resistance) arising from the confined nature of the aforementioned structures. In order to overcome this limitation we report in this paper the development and implementation of a novel SSRM mode (fast Fourier transform-SSRM: FFT-SSRM) which essentially decouples the spreading resistance from parasitic series resistance components. We show that this can be achieved by a force modulation (leading to a modulated spreading resistance signal) in combination with a lock-in deconvolution concept. In this paper we first introduce the principle of operation of the technique. We discuss in detail the underlying physical mechanisms as well as the technical implementation on a state-of-the-art atomic force microscope (AFM). We demonstrate the performance of FFT-SSRM and its ability to remove substantial series resistance components in practice. Eventually, the possibility of decoupling the spreading resistance from the intrinsic probe resistance will be demonstrated and discussed. - Highlights: • A novel electrical AFM mode for carrier profiling in confined volumes is presented. • Thereby the force and hence the contact area between AFM probe and sample is modulated. • Information on the spreading resistance is derived using a lock-in approach. • Bulk series resistance components are

  18. Novel resistance functions uncovered using functional metagenomic investigations of resistance reservoirs

    Directory of Open Access Journals (Sweden)

    Erica C. Pehrsson

    2013-06-01

    Full Text Available Rates of infection with antibiotic-resistant bacteria have increased precipitously over the past several decades, with far-reaching healthcare and societal costs. Recent evidence has established a link between antibiotic resistance genes in human pathogens and those found in non-pathogenic, commensal, and environmental organisms, prompting deeper investigation of natural and human-associated reservoirs of antibiotic resistance. Functional metagenomic selections, in which shotgun-cloned DNA fragments are selected for their ability to confer survival to an indicator host, have been increasingly applied to the characterization of many antibiotic resistance reservoirs. These experiments have demonstrated that antibiotic resistance genes are highly diverse and widely distributed, many times bearing little to no similarity to known sequences. Through unbiased selections for survival to antibiotic exposure, functional metagenomics can improve annotations by reducing the discovery of false-positive resistance and by allowing for the identification of previously unrecognizable resistance genes. In this review, we summarize the novel resistance functions uncovered using functional metagenomic investigations of natural and human-impacted resistance reservoirs. Examples of novel antibiotic resistance genes include those highly divergent from known sequences, those for which sequence is entirely unable to predict resistance function, bifunctional resistance genes, and those with unconventional, atypical resistance mechanisms. Overcoming antibiotic resistance in the clinic will require a better understanding of existing resistance reservoirs and the dissemination networks that govern horizontal gene exchange, informing best practices to limit the spread of resistance-conferring genes to human pathogens.

  19. A study on heat resistance of high temperature resistant coating

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Liping; Wang, Xueying; Zhang, Qibin; Qin, Yanlong; Lin, Zhu [Research Institute of Engineering Technology of CNPC, Tianjin (China)

    2005-04-15

    A high temperature resistant coating has been developed, which is mainly for heavy oil production pipes deserved the serious corrosion. The coating has excellent physical and mechanical performance and corrosion resistance at room and high temperature. In order to simulate the underground working condition of heavy oil pipes,the heat resistance of the high temperature resistant coating has been studied. The development and a study on the heat resistance of the DHT high temperature resistance coating have been introduced in this paper

  20. A study on heat resistance of high temperature resistant coating

    International Nuclear Information System (INIS)

    Zhang, Liping; Wang, Xueying; Zhang, Qibin; Qin, Yanlong; Lin, Zhu

    2005-01-01

    A high temperature resistant coating has been developed, which is mainly for heavy oil production pipes deserved the serious corrosion. The coating has excellent physical and mechanical performance and corrosion resistance at room and high temperature. In order to simulate the underground working condition of heavy oil pipes,the heat resistance of the high temperature resistant coating has been studied. The development and a study on the heat resistance of the DHT high temperature resistance coating have been introduced in this paper

  1. Phenotypic and molecular characterization of antimicrobial resistance in Enterobacter spp. isolates from companion animals in Japan.

    Science.gov (United States)

    Harada, Kazuki; Shimizu, Takae; Mukai, Yujiro; Kuwajima, Ken; Sato, Tomomi; Kajino, Akari; Usui, Masaru; Tamura, Yutaka; Kimura, Yui; Miyamoto, Tadashi; Tsuyuki, Yuzo; Ohki, Asami; Kataoka, Yasushi

    2017-01-01

    The emergence of antimicrobial resistance among Enterobacter spp., including resistance to extended-spectrum cephalosporins (ESC), is of great concern in both human and veterinary medicine. In this study, we investigated the prevalence of antimicrobial resistance among 60 isolates of Enterobacter spp., including E. cloacae (n = 44), E. aerogenes (n = 10), and E. asburiae (n = 6), from clinical specimens of dogs and cats from 15 prefectures in Japan. Furthermore, we characterized the resistance mechanisms harbored by these isolates, including extended-spectrum β-lactamases (ESBLs) and plasmid-mediated quinolone resistance (PMQR); and assessed the genetic relatedness of ESC-resistant Enterobacter spp. strains by multilocus sequence typing (MLST) and pulsed-field gel electrophoresis (PFGE). Antimicrobial susceptibility testing demonstrated the resistance rates to ampicillin (93.3%), amoxicillin-clavulanic acid (93.3%), cefmetazole (93.3%), chloramphenicol (46.7%), ciprofloxacin (43.3%), tetracycline (40.0%), ceftazidime (33.3%), cefotaxime (33.3%), trimethoprim/sulfamethoxazole (28.3%), gentamicin (23.3%), and meropenem (0%). Phenotypic testing detected ESBLs in 16 of 18 ESC-resistant E. cloacae isolates but not in the other species. The most frequent ESBL was CTX-M-15 (n = 8), followed by SHV-12 (n = 7), and CTX-M-3 (n = 1). As for AmpC β-lactamases, CMY-2 (n = 2) and DHA-1 (n = 2) were identified in ESC-resistant E. cloacae strains with or without ESBLs. All of the ESC-resistant E. cloacae strains also harbored one or two PMQRs, including qnrB (n = 15), aac(6')-Ib-cr (n = 8), and qnrS (n = 2). Based on MLST and PFGE analysis, E. cloacae clones of ST591-SHV-12, ST171-CTX-M-15, and ST121-CTX-M-15 were detected in one or several hospitals. These results suggested intra- and inter-hospital dissemination of E. cloacae clones co-harboring ESBLs and PMQRs among companion animals. This is the first report on the large-scale monitoring of antimicrobial-resistant isolates

  2. Detection of resistance, cross-resistance, and stability of resistance to new chemistry insecticides in Bemisia tabaci (Homoptera: Aleyrodidae).

    Science.gov (United States)

    Basit, Muhammad; Saeed, Shafqat; Saleem, Mushtaq Ahmad; Denholm, Ian; Shah, Maqbool

    2013-06-01

    Resistance levels in whitefly, Bemisia tabaci (Gennadius) collections from cotton and sunflower (up to four districts) for five neonicotinoids and two insect growth regulators (IGRs) were investigated for two consecutive years. Based on the LC50(s), all collections showed slight to moderate levels of resistance for the tested insecticides compared with the laboratory susceptible population. The data also indicated that cotton and sunflower collections had similar resistance levels. In comparison (four collections), Vehari collections showed higher resistance for acetamiprid, thiacloprid, and nitenpyram compared with those of others. Average resistance ratios for acetamiprid, thiacloprid, and nitenpyram ranged from 5- to 13-, 4- to 8-, and 9- to 13-fold, respectively. Multan and Vehari collections also exhibited moderate levels (9- to 16-fold) of resistance to buprofezin. Furthermore, toxicity of neonicotinoids against immature stages was equal to that of insect growth regulators. The data also suggested that resistance in the field populations was stable. After selection for four generations with bifenthrin (G1 to G4), resistance to bifenthrin increased to 14-fold compared with the laboratory susceptible population. Selection also increased resistance to fenpropathrin, lambdacyhalothrin, imidacloprid, acetamiprid, and diafenthuron. Cross-resistance and stability of resistance in the field populations is of some concern. Rotation of insecticides having no cross-resistance and targeting the control against immature stages may control the resistant insects, simultaneously reducing the selection pressure imposed.

  3. Loads and antimicrobial resistance of Campylobacter spp. on fresh chicken meat in Nueva Ecija, Philippines.

    Science.gov (United States)

    Sison, F B; Chaisowwong, W; Alter, T; Tiwananthagorn, S; Pichpol, D; Lampang, K N; Baumann, M P O; Gölz, G

    2014-05-01

    This study was performed to determine the prevalence and to semiquantify Campylobacter spp. on chicken meat samples at 4 selected local wet markets in Nueva Ecija, Philippines, and to determine the antimicrobial resistance patterns of the Campylobacter isolates. Out of 120 chicken meat samples, 57 (47.5%) were Campylobacter spp. positive. The majority of isolated Campylobacter strains were identified as Campylobacter coli (54.4%) and 45.6% as Campylobacter jejuni. Most of these positive samples (52.6%) showed a very high quantitative Campylobacter contamination (most probable number > 2,400/g, lower confidence limit 580/g). For antimicrobial resistance testing, 44 C. coli/jejuni isolates were tested using the agar disk diffusion method. Out of these, 77.3% were resistant to ampicillin, followed by ciprofloxacin (70.4%), tetracycline (54.6%), erythromycin (20.2%), and gentamicin (11.4%). Of the isolates, 36.4% (n = 16) were resistant to 1 antimicrobial agent, 34.1% (n = 15) were resistance to 3 antimicrobial agents, 13.6% (n = 6) to 2 antimicrobial agents, 9.1% (n = 4) to 4 antimicrobial agents, and 6.8% (n = 3) to all 5 antimicrobial agents tested. Our data demonstrate a high contamination of fresh chicken meat with Campylobacter spp. at retail in the Philippines. The detected high Campylobacter prevalences and quantitative loads on chicken meat at retail in the Philippines highlight the need to implement efficient intervention measures along the food chain and to encourage sanitary handling of poultry meat.

  4. Resistant plasmid profile analysis of multidrug resistant Escherichia ...

    African Journals Online (AJOL)

    Background: Multi-drug resistant Escherichia coli has become a major threat and cause of many urinary tract infections (UTIs) in Abeokuta, Nigeria. Objectives: This study was carried out to determine the resistant plasmids of multidrug resistant Escherichia coli isolated from (Urinary tract infections)UTIs in Abeokuta.

  5. Effects of selection pressure and genetic association on the relationship between antibiotic resistance and virulence in Escherichia coli.

    Science.gov (United States)

    Zhang, Lixin; Levy, Karen; Trueba, Gabriel; Cevallos, William; Trostle, James; Foxman, Betsy; Marrs, Carl F; Eisenberg, Joseph N S

    2015-11-01

    Antibiotic selection pressure and genetic associations may lead to the cooccurrence of resistance and virulence in individual pathogens. However, there is a lack of rigorous epidemiological evidence that demonstrates the cooccurrence of resistance and virulence at the population level. Using samples from a population-based case-control study in 25 villages in rural Ecuador, we characterized resistance to 12 antibiotics among pathogenic (n = 86) and commensal (n = 761) Escherichia coli isolates, classified by the presence or absence of known diarrheagenic virulence factor genes. The prevalences of resistance to single and multiple antibiotics were significantly higher for pathogenic isolates than for commensal isolates. Using a generalized estimating equation, antibiotic resistance was independently associated with virulence factor carriage, case status, and antibiotic use (for these respective factors: odds ratio [OR] = 3.0, with a 95% confidence interval [CI] of 1.7 to 5.1; OR = 2.0, with a 95% CI of 1.3 to 3.0; and OR = 1.5, with a 95% CI of 0.9 to 2.5). Virulence factor carriage was more strongly related to antibiotic resistance than antibiotic use for all antibiotics examined, with the exception of fluoroquinolones, gentamicin, and cefotaxime. This study provides epidemiological evidence that antibiotic resistance and virulence factor carriage are linked in E. coli populations in a community setting. Further, these data suggest that while the cooccurrence of resistance and virulence in E. coli is partially due to antibiotic selection pressure, it is also genetically determined. These findings should be considered in developing strategies for treating infections and controlling for antibiotic resistance. Copyright © 2015, American Society for Microbiology. All Rights Reserved.

  6. Dermabacter hominis: a usually daptomycin-resistant gram-positive organism infrequently isolated from human clinical samples

    Science.gov (United States)

    Fernández-Natal, I; Sáez-Nieto, J A; Medina-Pascual, M J; Albersmeier, A; Valdezate, S; Guerra-Laso, J M; Rodríguez, H; Marrodán, T; Parras, T; Tauch, A; Soriano, F

    2013-01-01

    During a 12-year period, Dermabacter hominis was isolated from 21 clinical samples belonging to 14 patients attending a tertiary hospital in León, Spain. Samples included blood cultures (14), peritoneal dialysis catheter exit sites (three), cutaneous abscesses (two), an infected vascular catheter (one) and a wound swab (one). Identification was made by API Coryne™ V2.0, Biolog™ GP2 and 16S rRNA gene amplification. Six febrile patients had positive blood cultures (one, two or three sets) and all of them were treated with teicoplanin (two patients), vancomycin, ampicillin plus gentamicin, amoxicillin/clavulanic acid and ciprofloxacin (one each). An additional patient with a single positive blood culture was not treated, the finding being considered non-significant. In the remaining seven patients the organism was isolated from a single specimen and three of them received antimicrobial treatment (ciprofloxacin, ceftriaxone plus vancomycin and amoxicillin/clavulanic acid). At least ten patients had several underlying diseases and conditions, and no direct mortality was observed in relation to the isolated organism. All isolates were susceptible to vancomycin, rifampin and linezolid. Resistance to other antibiotics varied: erythromycin (100%), clindamycin (78.5%), ciprofloxacin (21.4%) and gentamicin, quinupristin-dalfopristin, benzylpenicillin and imipenem 7.1% each. Thirteen isolates were highly resistant to daptomycin with MICs ranging from 8 to 48 (MIC90 = 32 mg/L); only one was daptomycin-sensitive (MIC = 0.19 mg/L). PMID:25356327

  7. Resistant plasmid profile analysis of multidrug resistant Escherichia ...

    African Journals Online (AJOL)

    Multiple drug resistance isolates causing UTI has seri- ous implications for the empiric therapy against patho- genic isolates and for the possible co-selection of antimicrobial resistant mediated by multi drug resistant plasmids21,22. E. coli from clinical isolates are known to harbour plasmids of different molecular sizes23.

  8. Prevalence and Antimicrobial Resistance of Campylobacter Isolated from Dressed Beef Carcasses and Raw Milk in Tanzania.

    Science.gov (United States)

    Kashoma, Isaac P; Kassem, Issmat I; John, Julius; Kessy, Beda M; Gebreyes, Wondwossen; Kazwala, Rudovick R; Rajashekara, Gireesh

    2016-01-01

    Campylobacter species are commonly transmitted to humans through consumption of contaminated foods such as milk and meat. The aim of this study was to investigate the prevalence, antimicrobial resistance, and genetic determinants of resistance of Campylobacter isolated from raw milk and beef carcasses in Tanzania. The antimicrobial resistance genes tested included blaOXA-61 (ampicillin), aph-3-1 (aminoglycoside), tet(O) (tetracycline), and cmeB (multi-drug efflux pump). The prevalence of Campylobacter was 9.5% in beef carcasses and 13.4% in raw milk, respectively. Using multiplex-polymerase chain reaction (PCR), we identified 58.1% of the isolates as Campylobacter jejuni, 30.7% as Campylobacter coli, and 9.7% as other Campylobacter spp. One isolate (1.6%) was positive for both C. jejuni and C. coli specific PCR. Antimicrobial susceptibility testing using the disk diffusion assay and the broth microdilution method showed resistance to: ampicillin (63% and 94.1%), ciprofloxacin (9.3% and 11.8%), erythromycin (53.7% and 70.6%), gentamicin (0% and 15.7%), streptomycin (35.2% and 84.3%), and tetracycline (18.5% and 17.7%), respectively. Resistance to azithromycin (42.6%), nalidixic acid (64.8%), and chloramphenicol (13%) was determined using the disk diffusion assay only, while resistance to tylosin (90.2%) was quantified using the broth microdilution method. The blaOXA-61 (52.6% and 28.1%), cmeB (26.3% and 31.3%), tet(O) (26.3% and 31.3%), and aph-3-1 (5.3% and 3.0%) were detected in C. coli and C. jejuni. These findings highlight the extent of antimicrobial resistance in Campylobacter occurring in important foods in Tanzania. The potential risks to consumers emphasize the need for adequate control approaches, including the prudent use of antimicrobials to minimize the spread of antimicrobial-resistant Campylobacter.

  9. Antibiotic resistance in bacteria isolated from vegetables with regards to the marketing stage (farm vs. supermarket).

    Science.gov (United States)

    Schwaiger, Karin; Helmke, Katharina; Hölzel, Christina Susanne; Bauer, Johann

    2011-08-15

    The aim of this study was to elucidate whether and to what extent fresh produce from Germany plays a role as a carrier and reservoir of antibiotic resistant bacteria. For this purpose, 1001 vegetables (fruit, root, bulbous vegetables, salads and cereals) were collected from 13 farms and 11 supermarkets in Germany and examined bacteriologically. Phenotypic resistance of Enterobacter cloacae (n=172); Enterobacter gergoviae (n=92); Pantoea agglomerans (n=96); Pseudomonas aeruginosa (n=295); Pseudomonas putida (n=106) and Enterococcus faecalis (n=100) against up to 30 antibiotics was determined by using the microdilution method. Resistance to ß-lactams was most frequently expressed by P. agglomerans and E. gergoviae against cefaclor (41% and 29%). Relatively high resistance rates were also observed for doxycycline (23%), erythromycin (21%) and rifampicin (65%) in E. faecalis, for spectinomycin (28%) and mezlocillin (12%) in E. cloacae, as well as for streptomycin (19%) in P. putida. In P. aeruginosa, relatively low resistance rates were observed for the aminoglycosides amikacin, apramicin, gentamicin, neomycin, netilmicin and tobramycin (bacteria isolated from farm samples were higher than those of the retail markets whenever significant differences were observed. This suggests that expressing resistance is at the expense of bacterial viability, since vegetables purchased directly at the farm are probably fresher than at the supermarket, and they have not been exposed to stress factors. However, this should not keep the customer from buying directly at the farm, since the overall resistance rates were not higher than observed in bacteria from human or animal origin. Instead, peeling or washing vegetables before eating them raw is highly recommended, since it reduces not only the risk of contact with pathogens, but also that of ingesting and spreading antibiotic resistant bacteria. Copyright © 2011 Elsevier B.V. All rights reserved.

  10. Resistance to Linezolid

    DEFF Research Database (Denmark)

    Vester, Birte; Ntokou, Eleni

    2017-01-01

    Linezolid is an antimicrobial agent that binds to the bacterial ribosome and thereby inhibits protein synthesis. Soon after its release as a clinical drug, it became clear that bacteria could become resistant to linezolid. The resistance mechanisms are mainly causing alteration of the drug target...... site, but probably efflux might also play a role. The resistance is still rare in surveillance studies, but outbreaks of resistant clones from hospitals have been observed. So far the main mechanisms of resistance are occurrence of mutations in ribosomal genes or obtaining plasmids with a gene coding...... for a methyltransferase providing resistance. The most obvious way to avoid resistance may be development of derivatives of linezolid overcoming the known resistance mechanisms....

  11. Low-level quinolone-resistance in multi-drug resistant typhoid

    Energy Technology Data Exchange (ETDEWEB)

    Mirza, S H; Khan, M A [Armed Forces Inst. of Pathology, Rawalpindi (Pakistan). Dept. of Microbiolgy

    2008-01-15

    To find out the frequency of low-level quinolone-resistance in Multi-Drug Resistant (MDR) typhoid using nalidixic acid screening disc. Blood was obtained from suspected cases of typhoid fever and cultured in to BacT/ALERT. The positive blood cultures bottles were subcultured. The isolates were identified by colony morphology and biochemical tests using API-20E galleries. Susceptibility testing of isolates was done by modified Kirby-Bauer disc diffusion method on Muellar Hinton Agar. For the isolates, which were resistant to nalidixic acid by disc diffusion method, Minimal Inhibitory Concentrations (MICs) of ciprofloxacin and nalidixic acid were determined by using the E-test strips. Disc diffusion susceptibility tests and MICs were interpreted according to the guidelines provided by National Committee for Control Laboratory Standard (NCCLS). A total of 21(65.5%) out of 32 isolates of Salmonellae were nalidixic acid-resistant by disk diffusion method. All the nalidixic acid-resistant isolates by disc diffusion method were confirmed by MICs for both ciprofloxacin and nalidixic acid. All the nalidixic acid-resistant isolates had a ciprofloxacin MIC of 0.25-1 microg/ml (reduced susceptibility) and nalidixic acid MICs > 32 microg (resistant). Out of all Salmonella isolates, 24 (75%) were found to be MDR, and all were S. typbi. Low-level quinolone-resistance in typhoid was high in this small series. Screening for nalidixic acid resistance with a 30 microg nalidixic acid disk is a reliable and cost-effective method to detect low-level fluoroquinolone resistance, especially in the developing countries. (author)

  12. Low-level quinolone-resistance in multi-drug resistant typhoid

    International Nuclear Information System (INIS)

    Mirza, S.H.; Khan, M.A.

    2008-01-01

    To find out the frequency of low-level quinolone-resistance in Multi-Drug Resistant (MDR) typhoid using nalidixic acid screening disc. Blood was obtained from suspected cases of typhoid fever and cultured in to BacT/ALERT. The positive blood cultures bottles were subcultured. The isolates were identified by colony morphology and biochemical tests using API-20E galleries. Susceptibility testing of isolates was done by modified Kirby-Bauer disc diffusion method on Muellar Hinton Agar. For the isolates, which were resistant to nalidixic acid by disc diffusion method, Minimal Inhibitory Concentrations (MICs) of ciprofloxacin and nalidixic acid were determined by using the E-test strips. Disc diffusion susceptibility tests and MICs were interpreted according to the guidelines provided by National Committee for Control Laboratory Standard (NCCLS). A total of 21(65.5%) out of 32 isolates of Salmonellae were nalidixic acid-resistant by disk diffusion method. All the nalidixic acid-resistant isolates by disc diffusion method were confirmed by MICs for both ciprofloxacin and nalidixic acid. All the nalidixic acid-resistant isolates had a ciprofloxacin MIC of 0.25-1 microg/ml (reduced susceptibility) and nalidixic acid MICs > 32 microg (resistant). Out of all Salmonella isolates, 24 (75%) were found to be MDR, and all were S. typbi. Low-level quinolone-resistance in typhoid was high in this small series. Screening for nalidixic acid resistance with a 30 microg nalidixic acid disk is a reliable and cost-effective method to detect low-level fluoroquinolone resistance, especially in the developing countries. (author)

  13. Several Virulence Factors of Multidrug-Resistant Staphylococcus aureus Isolates From Hospitalized Patients in Tehran

    Directory of Open Access Journals (Sweden)

    Abdolmajid Ghasemian

    2015-05-01

    Full Text Available Background: Biofilm formation plays an important role in resistance of Staphylococcus aureus isolates; especially multidrug-resistant isolates are a threat to healthcare settings. Objectives: The aims of this study were to detect biofilm formation and presence of several related genes among multidrug-resistant (MDR isolates of Staphylococcus aureus. Patients and Methods: A total Of 209 S. aureus strains were isolated from patients and identified by conventional diagnostic tests. The multidrug-resistant MRSA isolates were detected by antibiotic susceptibility test. The phenotypic biofilm formation was detected by micro-titre tissue plate assay. The polymerase chain reaction (PCR was performed to detect the mecA, Staphylococcal Cassette Chromosome mec (SCCmec types, accessory gene regulatory (agr genes, the icaADBC and several genes encoding staphylococcal surface proteins including clfAB, fnbAB, fib, eno, can, ebps and bbp genes with specific primers. Results: Sixty-four (30.6% isolates were methicillin-resistant, among which thirty-six (56.2% were MDR. These isolates were resistant to amoxicillin, tetracycline, ciprofloxacin, gentamicin, erythromycin and trimethoprim-sulfamethoxazole (except to 6 isolates. All the isolates were susceptible to vancomycin and linezolid. All the MDR-MRSA harbored SCCmec type III. All the MDR- MRSA isolates were strong biofilm producers in the phenotypic test. The majority of MDR- MRSA was belonged to agrI (67%, n = 24, followed by agr II (17%, n = 6, agrIV (11%, n = 4 and agrIII (5.5%, n = 2. The frequency of icaADBC genes were 75% (n = 27, 61% (n = 22, 72% (n = 26 and 72% (n = 26, respectively. Furthermore, the prevalence of clfA, clfB, fnbA, fnbB, fib, can, eno, ebps and bbp genes was 100%, 100%, 67%, 56%, 80%, 63%, 78%, 7% and 0%, respectively. Furthermore, approximately all the MRSA was strong biofilm producers. Conclusions: Multidrug-resistant isolates produced biofilm strongly and the majority harbored most

  14. [A case of subarachnoid hemorrhage due to infective endocarditis by methicillin-resistant coagulase-negative staphylococcus].

    Science.gov (United States)

    Kajikawa, Shunsuke; Oeda, Tomoko; Park, Kwiyoung; Yamamoto, Kenji; Sugiyama, Hiroshi; Sawada, Hideyuki

    2017-12-27

    A 77-year-old man visited our hospital with unstable gait following 2 months of anorexia. Brain MRI showed multiple infarcts; cardiac echocardiography revealed mitral-valve vegetation; and blood culture revealed methicillin-resistant coagulase-negative staphylococci. The patient was diagnosed with infective endocarditis (IE). Subarachnoid hemorrhage (SAH) developed ten days after antibiotic treatment. Intracranial aneurysm was not found. We speculated that chronic inflammation of the cerebral arterial walls by bacteria of low virulence was associated with SAH complication. The vegetation disappeared following additional gentamicin administration and the patient recovered to walk.

  15. Antibiotic resistance

    Directory of Open Access Journals (Sweden)

    Marianne Frieri

    2017-07-01

    Full Text Available Summary: Antimicrobial resistance in bacterial pathogens is a challenge that is associated with high morbidity and mortality. Multidrug resistance patterns in Gram-positive and -negative bacteria are difficult to treat and may even be untreatable with conventional antibiotics. There is currently a shortage of effective therapies, lack of successful prevention measures, and only a few new antibiotics, which require development of novel treatment options and alternative antimicrobial therapies. Biofilms are involved in multidrug resistance and can present challenges for infection control. Virulence, Staphylococcus aureus, Clostridium difficile infection, vancomycin-resistant enterococci, and control in the Emergency Department are also discussed. Keywords: Antibiotic resistance, Biofilms, Infections, Public health, Emergency Department

  16. Biofilm formation in Haemophilus parasuis: relationship with antibiotic resistance, serotype and genetic typing.

    Science.gov (United States)

    Zhang, Jianmin; Xu, Chenggang; Shen, Haiyan; Li, Jingyi; Guo, Lili; Cao, Guojie; Feng, Saixiang; Liao, Ming

    2014-10-01

    Biofilms are surface-associated microbial communities, which are encased in self-synthesized extracellular environment. Biofilm formation may trigger drug resistance and inflammation, resulting in persistent infections. Haemophilus parasuis is the etiological agent of a systemic disease, Glässer's disease, characterized by fibrinous polyserositis, arthritis and meningitis in pigs. The purpose of this study was to examine the correlation between biofilm and antibiotic resistance among the clinical isolates of H. parasuis. In the present study, we tested biofilm-forming ability of 110 H. parasuis isolates from various farms using polystyrene microtiter plate assays. Seventy-three isolates of H. parasuis (66.4%) showed biofilm formation and most of them performed weak biofilm-forming ability (38/73). All isolates were tested for antimicrobial susceptibility to 18 antimicrobial agents by the broth microdilution method. H. parasuis isolates showed very high resistance (>90%) to sulfanilamide, nalidixic acid, and trimethoprim. Resistance to eight antibiotics such as penicillin (41.1% vs 8.1%), ampicillin (31.5% vs 8.1%), amoxicillin (28.8% vs 5.4%), gentamicin (46.6% vs 24.3%), cefazolin (19.2% vs 2.7%), doxycycline (19.2% vs 8.1%), cefotaxime (11% vs 2.7%), and cefaclor (13.7% vs 5.4%) was comparatively higher among biofilm producers than non-biofilm producers. Pulsed-field gel electrophoresis (PFGE) analyses could distinguish various isolates. Our data indicated that H. parasuis field isolates were able to form biofilms in vitro. In addition, biofilm positive strains had positive correlation with resistance to β-lactams antibiotics. Thus, biofilm formation may play important roles during H. parasuis infections. Copyright © 2014. Published by Elsevier Ltd.

  17. Prevalence and characterization of multidrug-resistant zoonotic Enterobacter spp. in poultry of Bangladesh.

    Science.gov (United States)

    Nandi, Shuvro Prokash; Sultana, Munawar; Hossain, M Anwar

    2013-05-01

    Poultry and poultry products are major contributors of zoonotic pathogens. Limited data are available on Enterobacter spp. as a potent zoonotic pathogen in poultry. The present study is a first endeavor on the emergence of multidrug-resistant zoonotic Enterobacter spp. and its prevalence arising from poultry in Bangladesh. Cloacal swabs from poultry samples of five different farms at Savar, Dhaka, Bangladesh were collected and from 106 isolates, 18 presumptive Enterobacter spp. were obtained. Antibiogram using 19 used antibiotics belonging to 15 major groups revealed that all of the 18 isolates were completely resistant to penicillin and rifampicin, but differed in their drug resistance pattern against ampicillin (94.4%), clindamycin (94.4%), erythromycin (94.4%), vancomycin (88.9%), sulfonamides (72.2%), imipenem (66.6%), streptomycin (55.6%), nitrofurantoin (33.3%), doxycycline (33.3%), tetracyclines (33.3%), cefepime (11.1%), and gentamicin (5.6%). All Enterobacter spp. were found to be plasmid free, implying that multidrug-resistant properties are chromosomal borne. The vanA and sulI were detected by polymerase chain reaction assay in 17 and 13 isolates, respectively. Amplified ribosomal DNA restriction analysis and randomly amplified polymorphic DNA distributed the 18 multidrug-resistant Enterobacter spp. into three genotypes. Phylogenetic analysis of the representatives of the three genotypes using partial 16S rRNA gene sequence (approximately 900 bp) showed that the genotypically diverse groups belonged to Enterobacter hormaechei, E. cloacae, and E. cancerogenus, respectively. The clinical significance of the close relative Enterobacter spp. is indicative of their zoonotic potential. Therefore, urgent intervention is required to limit the emergence and spread of these bacteria in poultry feed as well as prudent use of antibiotics among poultry farmers in Bangladesh.

  18. Insecticide resistance and resistance mechanisms in bed bugs, Cimex spp. (Hemiptera: Cimicidae).

    Science.gov (United States)

    Dang, Kai; Doggett, Stephen L; Veera Singham, G; Lee, Chow-Yang

    2017-06-29

    The worldwide resurgence of bed bugs [both Cimex lectularius L. and Cimex hemipterus (F.)] over the past two decades is believed in large part to be due to the development of insecticide resistance. The transcriptomic and genomic studies since 2010, as well as morphological, biochemical and behavioral studies, have helped insecticide resistance research on bed bugs. Multiple resistance mechanisms, including penetration resistance through thickening or remodelling of the cuticle, metabolic resistance by increased activities of detoxification enzymes (e.g. cytochrome P450 monooxygenases and esterases), and knockdown resistance by kdr mutations, have been experimentally identified as conferring insecticide resistance in bed bugs. Other candidate resistance mechanisms, including behavioral resistance, some types of physiological resistance (e.g. increasing activities of esterases by point mutations, glutathione S-transferase, target site insensitivity including altered AChEs, GABA receptor insensitivity and altered nAChRs), symbiont-mediated resistance and other potential, yet undiscovered mechanisms may exist. This article reviews recent studies of resistance mechanisms and the genes governing insecticide resistance, potential candidate resistance mechanisms, and methods of monitoring insecticide resistance in bed bugs. This article provides an insight into the knowledge essential for the development of both insecticide resistance management (IRM) and integrated pest management (IPM) strategies for successful bed bug management.

  19. Glyphosate-Resistant Parthenium hysterophorus in the Caribbean Islands: Non Target Site Resistance and Target Site Resistance in Relation to Resistance Levels.

    Directory of Open Access Journals (Sweden)

    Enzo Bracamonte

    2016-12-01

    Full Text Available Glyphosate has been the most intensely herbicide used worldwide for decades, and continues to be a single tool for controlling weeds in woody crops. However, the adoption of this herbicide in a wide range of culture systems has led to the emergence of resistant weeds. Glyphosate has been widely used primarily on citrus in the Caribbean area, but a study of resistance in the Caribbean islands of Cuba and the Dominican Republic has never been carried out. Unfortunately, Parthenium hysterophorus has developed glyphosate-resistance in both islands, independently. The resistance level and mechanisms of different P. hysterophorus accessions (three collected in Cuba (Cu-R and four collected in the Dominican Republic (Do-R have been studied under greenhouse and laboratory conditions. In in vivo assays (glyphosate dose causing 50% reduction in above-ground vegetative biomass and survival, the resistance factor levels showed susceptible accessions (Cu-S≥Do-S, low-resistance accessions (Cu-R3Do-R2>Cu-R2>Do-R3>Do-R4>Cu-R3>>Cu-S≥Do-S. Glyphosate was degraded to aminomethylphosphonic acid, glyoxylate and sarcosine by >88% in resistant accessions except in Cu-R3 and Do-R4 resistant accessions (51.12 and 44.21, respectively, whereas a little glyphosate (<9.32% was degraded in both susceptible accessions at 96 h after treatment. There were significant differences between P. hysterophorus accessions in the 5-enolpyruvylshikimate-3-phosphate synthase (EPSPS activity enzyme with and without different glyphosate rates. The R accessions showed values of between 0.026 and 0.21 µmol µg-1 TSP protein min-1 basal EPSPS activity values with respect to the S (0.024 and 0.025 accessions. The same trend was found in the EPSPS enzyme activity treated with glyphosate, where a higher enzyme activity inhibition (glyphosate µM corresponded to greater resistance levels in P. hysterophorus accessions. One amino acid substitution was found at position 106 in EPSPS, consisting

  20. Equivalent network for resistance and temperature coefficient of resistance versus temperature and composition of thick resistive films

    International Nuclear Information System (INIS)

    Kusy, A.

    1987-01-01

    Two types of elementary resistances in thick resistive films have been considered: (i) constriction resistance R/sub C/ determined by the bulk properties of conducting material and by the geometry of constriction, and (ii) barrier resistance R/sub B/ determined by the parameters of a thermally activated type of tunneling process and by the geometry of the metal-insulator-metal unit. On this basis a resistance network composed of a large number of the two types of resistances has been defined. The network has been considered as being equivalent to thick resistive film (TRF) from the point of view of the resistance and temperature coefficient of resistance (TCR). The parameters of this network have been evaluated by the computer-aided approximation of the experimental data found for RuO 2 -based TRFs. On the basis of the equations derived for the network as well as the results of the approximation process, it can be concluded that the small values of the network TCR result from the superposition of the TCR of the conducting component β/sub C/ and of the temperature coefficient of barrier resistance α/sub B/. In this superposition β/sub C/ is attenuated (by 1--2 orders of magnitude), while α/sub B/ is attenuated by only few percentages. The network has been found to be strongly barrier dominated

  1. Evidence of Increased Antibiotic Resistance in Phylogenetically-Diverse Aeromonas Isolates from Semi-Intensive Fish Ponds Treated with Antibiotics.

    Science.gov (United States)

    Patil, Hemant J; Benet-Perelberg, Ayana; Naor, Alon; Smirnov, Margarita; Ofek, Tamir; Nasser, Ahmed; Minz, Dror; Cytryn, Eddie

    2016-01-01

    The genus Aeromonas is ubiquitous in aquatic environments encompassing a broad range of fish and human pathogens. Aeromonas strains are known for their enhanced capacity to acquire and exchange antibiotic resistance genes and therefore, are frequently targeted as indicator bacteria for monitoring antimicrobial resistance in aquatic environments. This study evaluated temporal trends in Aeromonas diversity and antibiotic resistance in two adjacent semi-intensive aquaculture facilities to ascertain the effects of antibiotic treatment on antimicrobial resistance. In the first facility, sulfadiazine-trimethoprim was added prophylactically to fingerling stocks and water column-associated Aeromonas were monitored periodically over an 11-month fish fattening cycle to assess temporal dynamics in taxonomy and antibiotic resistance. In the second facility, Aeromonas were isolated from fish skin ulcers sampled over a 3-year period and from pond water samples to assess associations between pathogenic strains to those in the water column. A total of 1200 Aeromonas isolates were initially screened for sulfadiazine resistance and further screened against five additional antimicrobials. In both facilities, strong correlations were observed between sulfadiazine resistance and trimethoprim and tetracycline resistances, whereas correlations between sulfadiazine resistance and ceftriaxone, gentamicin, and chloramphenicol resistances were low. Multidrug resistant strains as well as sul1, tetA , and intI1 gene-harboring strains were significantly higher in profiles sampled during the fish cycle than those isolated prior to stocking and these genes were extremely abundant in the pathogenic strains. Five phylogenetically distinct Aeromonas clusters were identified using partial rpoD gene sequence analysis. Interestingly, prior to fingerling stocking the diversity of water column strains was high, and representatives from all five clusters were identified, including an A. salmonicida

  2. Resistance of Pseudomonas aeruginosa PAO to nalidixic acid and low levels of beta-lactam antibiotics: mapping of chromosomal genes.

    Science.gov (United States)

    Rella, M; Haas, D

    1982-01-01

    Resistance to high concentrations of nalidixic acid in Pseudomonas aeruginosa PAO was due to mutations in one locus designated nalA, which was mapped by transduction between hex-9001 and leu-10. The nalA mutants were cross-resistant to pipemidic acid, a nalidixic acid analog, at relatively low concentrations. Replicative DNA synthesis was resistant to both drugs in permeabilized cells of nalA mutants. A locus coding for low-level resistance to nalidixic acid, nalB, was cotransducible with pyrB, proC, and met-28. The nalB mutants were also resistant to low levels of pipemidic acid, novobiocin, and beta-lactam antibiotics (e.g., carbenicillin, azlocillin, and cefsulodin), but not to other drugs, such as gentamicin, rifampin, kanamycin, or tetracycline. In nalB mutants, DNA replication showed wild-type sensitivity to nalidixic acid, whereas carbenicillin-induced filamentation required higher drug levels than in the wild-type strain. Thus, nalB mutations appear to decrease cell permeability to some antibiotics. The sensitivity of replicative DNA synthesis to nalidixic acid and novobiocin was very similar in P. aeruginosa and Escherichia coli; by contrast, the concentrations of these drugs needed to inhibit growth of P. aeruginosa were higher than those reported for E. coli by one or two orders of magnitude. PMID:6821455

  3. Prevalence and antibiotic resistance of Enterococcus spp. isolated from retail cheese, ready-to-eat salads, ham, and raw meat.

    Science.gov (United States)

    Pesavento, G; Calonico, C; Ducci, B; Magnanini, A; Lo Nostro, A

    2014-08-01

    Food specimens were analyzed in order to research Enterococcus spp.: 636 samples of raw meat (227 beef, 238 poultry, and 171 pork), 278 samples of cheese (110 fresh soft cheese and 168 mozzarella cheese), 214 samples of ready-to-eat salads, and 187 samples of ham. 312 strains of Enterococcus spp samples were isolated, then identified and submitted to susceptibility tests against 11 antimicrobial agents. The predominant species were Enterococcus faecalis in raw meat and Enterococcus faecium in retail products. Low percentages of microorganisms were resistant to vancomycin (3.53%), teicoplanin (2.24%), linezolid (0.32%), and amoxicillin in combination with clavulanic acid (0.32%). A high percentage of resistance was noted in E. faecalis at high level gentamicin (21.9%) and tetracycline (60.6%). In general, strains of E. faecalis were more resistant than E. faecium. Enterococci should be considered not only potential pathogens, but also a reservoir of genes encoding antibiotic resistance which can be transferred to other microorganisms. Continuous monitoring of their incidence and emerging resistance is important in order to identify foods which potentially represent a real risk to the population, and to ensure effective treatment of human enterococcal infections. Copyright © 2014 Elsevier Ltd. All rights reserved.

  4. Prevalence and antibiotic resistance of Salmonella spp. in meat products, meat preparations and minced meat

    Science.gov (United States)

    Rašeta, M.; Mrdović, B.; Janković, V.; Bečkei, Z.; Lakićević, B.; Vidanović, D.; Polaček, V.

    2017-09-01

    This study aimed to determine Salmonella spp. prevalence in meat products, meat preparations and minced meat. Over a period of three years, a total of 300 samples were taken (100 RTE meat products, 100 meat preparations and 100 minced meat) and examined for the presence of Salmonella spp. Sampling was carried out at the warehouses of the food manufacturers. Salmonella spp. were not detected in RTE meat products, while 7% of semi-finished meat products (fresh sausages, grill meat formed and unformed) contained Salmonella, as did 18% of minced meats (minced pork II category, minced beef II category, mixed minced meat). The 25 Salmonella isolates obtained were examined for antibiotic resistance by the disk diffusion test, according to the NCCLS and CLSI guidelines. Isolates showed resistance to ampicillin and nalidixic acid (80%), tetracycline (72%), cefotaxime/clavulanic acid (48%), but not to gentamicin (8%) or trimethoprim/sulfamethoxazole (0%).

  5. Reconceptualizing resistance: sociology and the affective dimension of resistance.

    Science.gov (United States)

    Hynes, Maria

    2013-12-01

    This paper re-examines the sociological study of resistance in light of growing interest in the concept of affect. Recent claims that we are witness to an 'affective turn' and calls for a 'new sociological empiricism' sensitive to affect indicate an emerging paradigm shift in sociology. Yet, mainstream sociological study of resistance tends to have been largely unaffected by this shift. To this end, this paper presents a case for the significance of affect as a lens by which to approach the study of resistance. My claim is not simply that the forms of actions we would normally recognize as resistance have an affective dimension. Rather, it is that the theory of affect broadens 'resistance' beyond the purview of the two dominant modes of analysis in sociology; namely, the study of macropolitical forms, on the one hand, and the micropolitics of everyday resistance on the other. This broadened perspective challenges the persistent assumption that ideological forms of power and resistance are the most pertinent to the contemporary world, suggesting that much power and resistance today is of a more affective nature. In making this argument, it is a Deleuzian reading of affect that is pursued, which opens up to a level of analysis beyond the common understanding of affect as emotion. I argue that an affective approach to resistance would pay attention to those barely perceptible transitions in power and mobilizations of bodily potential that operate below the conscious perceptions and subjective emotions of social actors. These affective transitions constitute a new site at which both power and resistance operate. © London School of Economics and Political Science 2013.

  6. Apramycin treatment affects selection and spread of a multidrug-resistant Escherichia coli strain able to colonize the human gut in the intestinal microbiota of pigs

    DEFF Research Database (Denmark)

    Herrero-Fresno, Ana; Zachariasen, Camilla; Hansen, Monica Hegstad

    2016-01-01

    of treatment, and apramycin treatment resulted in significantly higher counts compared to the non-treated group. This represents the first demonstration of how antimicrobial treatment affects spread of resistant bacteria in pig production. The use of apramycin may lead to enhanced spread of gentamicin-resistant......The effect of apramycin treatment on transfer and selection of an Escherichia coli strain (E. coli 912) in the intestine of pigs was analyzed through an in vivo experiment. The strain was sequenced and assigned to the sequence type ST101 and serotype O11. It carried resistance genes to apramycin......-treated (pen 3), along with a non-inoculated control group (pen 1). Two pigs of pen 2 and 3 were inoculated intragastrically with a rifampicin resistant variant of the strain. Apramycin treatment in pen 2 was initiated immediately after inoculation. Strain colonization was assessed in the feces from all pigs...

  7. Antimicrobial susceptibility of methicillin-resistant Staphylococcus pseudintermedius isolated from veterinary clinical cases in the UK.

    Science.gov (United States)

    Maluping, R P; Paul, N C; Moodley, A

    2014-01-01

    Staphylococcus pseudintermedius is a leading aetiologic agent of pyoderma and other body tissue infections in dogs and cats. In recent years, an increased prevalence of methicillin-resistant S. pseudintermedius (MRSP) has been reported. Isolation of MRSP in serious infections poses a major therapeutic challenge as strains are often resistant to all forms of systemic antibiotic used to treat S. pseudintermedius -related infections. This study investigates the occurrence of MRSP from a total of 7183 clinical samples submitted to the authors' laboratories over a 15-month period. Identification was based on standard microbiological identification methods, and by S. pseudintermedius-specific nuc polymerase chain reaction (PCR). Methicillin resistance was confirmed by PBP2a latex agglutination and mecA PCR. Susceptibility against non-beta-lactam antibiotics was carried out using a disc-diffusion method according to Clinical and Laboratory Standards Institute (CLSI) guidelines. In addition, susceptibility to pradofloxacin--a new veterinary fluoroquinolone--was also investigated. SCCmec types were determined by multiplex PCR. Staphylococcus pseudintermedius was isolated from 391 (5%) samples and 20 were confirmed as MRSP from cases of pyoderma, otitis, wound infections, urinary tract infection and mastitis in dogs only. All 20 isolates were resistant to clindamycin and sulphamethoxazole/trimethoprim. Nineteen were resistant to chloramphenicol, enrofloxacin, gentamicin, marbofloxacin and pradofloxacin; additionally, seven isolates were resistant to tetracycline. Fifteen isolates carried SCCmec type II-III, four isolates had type V and one harboured type IV. To date, only a few scientific papers on clinical MRSP strains isolated from the UK have been published, thus the results from this study would provide additional baseline data for further investigations.

  8. Antibiotic resistance and enterotoxin genes in Staphylococcus sp. isolates from polluted water in Southern Brazil

    Directory of Open Access Journals (Sweden)

    ANA P. BASSO

    2014-12-01

    Full Text Available The aim of this study was to evaluate the species distribution, antibiotic-resistance profile and presence of enterotoxin (SE genes in staphylococci isolated from the Dilúvio stream in South Brazil. Eighty-eight staphylococci were identified, 93.18% were identified as coagulase-negative (CNS and 6.82% coagulase-positive (CPS. Fourteen Staphylococcus species were detected and the most frequently were Staphylococcus cohnii (30.48% and S. haemolyticus (21.95%. Resistance to erythromycin was verified in 37.50% of the strains, followed by 27.27% to penicillin, 12.50% to clindamycin, 6.81% to trimethoprim-sulfamethoxazole, 5.68% to chloramphenicol and 2.27% to norfloxacin. None of the investigated strains showed gentamicin and ciprofloxacin resistance. The strains were tested for the presence of sea, seb, sec, sed and see genes by PCR and only CNS strains (43.18% showed positive results to one or more SE genes. The scientific importance of our results is due to the lack of data about these topics in polluted waters in Brazil. In conclusion, polluted waters from the Dilúvio stream may constitute a reservoir for disseminating antibiotic-resistance and enterotoxin into the community. In addition, the detection of staphylococci in the polluted waters of the Dilúvio stream indicated a situation of environmental contamination and poor sanitation conditions.

  9. Marine echinoderms as reservoirs of antimicrobial resistant bacteria

    Directory of Open Access Journals (Sweden)

    Catarina Marinho

    2014-06-01

    (Marinho et al., 2013. Erythromycin and tetracycline are widely used in human therapy, and this may have contributed to the increasing resistance to these antibiotics (Hummel et al., 2007, Barros et al., 2011. It also highlights the lack of antibiotic efficiency in medicine, doing with resistance dissemination. Also, several genes associated with antimicrobial resistance were already found in antimicrobial-resistant enterococci from echinoderms: tet(M/tet(L, erm(A/erm(B, vat(D/vat(E, aac(6’-aph(2'' and aph(3'-IIIa genes were detected in tetracycline, erythromycin, quinupristin-dalfopristin, gentamicin and kanamycin-resistant isolates, respectively (Marinho et al., 2013. Despite of the small number of Escherichia coli isolates from echinoderms samples, they displayed an antibiotic-resistant phenotype to: tetracycline, streptomycin, tobramycin and amoxicillin + clavulanic acid. E. coli isolates from echinoderms tetracycline-resistant had tet(A and tet(B genes present in their genome. Besides, the aadA gene was detected in all E. coli streptomycin-resistant strains isolated from echinoderms (Marinho et al., 2013. According to some results, enterococci and E. coli displaying phenotype and resistance genes to some antibiotics that were already documented in marine animals, humans, wastewaters and numerous wildlife animals (Marinho et al., 2013, Barros et al., 2011, Sousa et al., 2011, Foti et al., 2009. Echinoderms are coastal animals and, consequently, it is possible that sewage discharges at the ocean may represent the main source of antibiotic-resistant bacteria deriving from several habitats. Indirect effects in micro-systems from the antibiotic disturbance are largely unknown; however, it is expected that such disorder might have significant long-term effects on the rate and stability of the ecosystem. Nevertheless, the consumption of exotic food is an example of a potential pathway for human contact with marine echinoderms microbiota, which may contain antibiotic-resistant

  10. State-wide surveillance of antibiotic resistance patterns and spa types of methicillin-resistant Staphylococcus aureus from blood cultures in North Rhine-Westphalia, 2011-2013.

    Science.gov (United States)

    Cuny, C; Layer, F; Werner, G; Harmsen, D; Daniels-Haardt, I; Jurke, A; Mellmann, A; Witte, W; Köck, R

    2015-08-01

    Methicillin-resistant Staphylococcus aureus (MRSA) is a major cause of bacteraemia. We aimed to obtain a complete picture of severe MRSA infections by characterizing all MRSA isolates from bloodstream infections in the largest German federal state (North Rhine-Westphalia, 18 million inhabitants) using S. aureus protein A (spa) sequence-typing and antimicrobial susceptibility testing. MRSA isolates (n = 1952) were collected prospectively (2011-2013) and spa-typed. Among 181 different spa types, t003 (n = 746 isolates; 38.2%) and t032 (n = 594; 30.4%) were predominant. Analysis of the geographical occurrence of spa clonal complexes (spa-CCs) and spa types revealed divergent distribution between federal state districts for spa-CCs 003 (p resistant to fluoroquinolones, 78% to erythromycin, 70% to clindamycin, 4% to gentamicin, 2% to rifampicin, 0.4% to daptomycin, 0.1% to linezolid and 0% to vancomycin, respectively. Vancomycin MICs of 2 mg/L involved 0.5% of the isolates. In conclusion, the detection of regional molecular clusters added valuable information for epidemiological case tracing and allowed conclusions to be reached on the importance of newly emerging MRSA reservoirs, such as livestock (spa-CC011), for MRSA bacteraemia in some parts of the federal state. Susceptibility testing revealed broad resistance to substances used for oral treatment, but demonstrated that those antibiotics that are mostly applied for treatment of MRSA bacteraemia and important combination partners were highly susceptible. Copyright © 2015 European Society of Clinical Microbiology and Infectious Diseases. Published by Elsevier Ltd. All rights reserved.

  11. Study of aac(6'Ie-aph(2″Ia Gene in Clinical Strain of Enterococci and Identification of High-Level Gentamicin Resistante Enterococci

    Directory of Open Access Journals (Sweden)

    N. Dadfarma

    2010-10-01

    Full Text Available Introduction & Objective: Enterococci have emerged as the leading nosocomial pathogens. In addition to natural resistance to many agents, enterococci have also developed plasmid- and transposon-mediated resistance to high concentrations of aminoglycosides. High-level gentamicin resistance (HLGR of enterococci results in the failure of drug synergism with an aminoglycoside plus cell-wall-active agents. HLGR (MIC=500μg/ml strains is usually due to the presence of the aac(6'Ie-aph(2″Ia gene . Materials & Methods: In the present experimental study 142 enterococci were isolated from the patients’ species. Identification was done by using standard methods and antimicrobial susceptibility test was performed by disc diffusion technique. MIC of Gentamicin was determined by a broth micro dilution method (NCCLS. PCR was performed to detect the aac(6'Ie-aph(2″Ia gene .Presence of the gene aac(6'-Ie-aph(2″-Ia was confirmed by digest with Sca1 enzyme. A PCR product was sequenced and BLAST analyzed at the NCBI database to be confirmed. Results: 62(43.7% out of the 142 isolates, were found to exhibit HLGR phenotype. MIC ranging from 512 to >1024 μg/ml in 55 HLGR isolates. All resistant isolates except one, were found to harbor the aac(6'Ie-aph(2″Ia gene. In our strain collection, 42% of E. faecalis and 44% of E. faecium were HLGR. In the HLGR isolates the prevalence of resistance to other antibiotics and Multi Drug Resistance (MDR was higher than non–HLGR.This prevalence in E.faecium was higher than E.faecalis. The sequence was compared with a published sequence and confirmed. Conclusion: Our results indicate that high prevalence of MDR and HLGR enterococcal colonization is an important problem in our medical centers.Spread of the aac(6'-Ie-aph(2″-Ia gene was responsible for HLGR among enterococci isolated from the patients in Tehran. (Sci J Hamadan Univ Med Sci 2010;17(3:25-32

  12. Clinical and molecular features of methicillin-resistant, coagulase-negative staphylococci of pets and horses.

    Science.gov (United States)

    Kern, Andrea; Perreten, Vincent

    2013-06-01

    To determine the antibiotic resistance and fingerprint profiles of methicillin-resistant coagulase-negative staphylococci (MRCoNS) from animal infections among different practices and examine the history of antibiotic treatment. Isolates were identified by mass spectrometry and tested for antimicrobial resistance by broth dilution, microarrays and sequence analysis of the topoisomerases. Diversity was assessed by PFGE, icaA PCR and staphylococcal cassette chromosome mec (SCCmec), arginine catabolic mobile element (ACME) and multilocus sequence typing. Clinical records were examined retrospectively. MRCoNS were identified as Staphylococcus epidermidis (n=20), Staphylococcus haemolyticus (n=17), Staphylococcus hominis (n=3), Staphylococcus capitis (n=1), Staphylococcus cohnii (n=1) and Staphylococcus warneri (n=1). PFGE identified one clonal lineage in S. hominis isolates and several in S. haemolyticus and S. epidermidis. Fourteen sequence types were identified in S. epidermidis, with sequence type 2 (ST2) and ST5 being predominant. Ten isolates contained SCCmec IV, seven contained SCCmec V and the others were non-typeable. ACMEs were detected in 11 S. epidermidis isolates. One S. hominis and 10 S. epidermidis isolates were icaA positive. In addition to mecA-mediated β-lactam resistance, the most frequent resistance was to gentamicin/kanamycin [aac(6')-Ie-aph(2')-Ia, aph(3')-III] (n=34), macrolides/lincosamides [erm(C), erm(A), msr, lnu(A)] (n=31), tetracycline [tet(K)] (n=22), streptomycin [str, ant(6)-Ia] (n=20), trimethoprim [dfr(A), dfr(G)] (n=17), sulfamethoxazole (n = 34) and fluoroquinolones [amino acid substitutions in GyrA and GrlA] (n=30). Clinical data suggest selection through multiple antibiotic courses and emphasize the importance of accurate diagnosis and antibiograms. MRCoNS from animal infection sites are genetically heterogeneous multidrug-resistant strains that represent a new challenge in the prevention and therapy of infections in veterinary

  13. UPLC-MS/MS analysis of antibiotics in pharmaceutical effluent in Tunisia: ecotoxicological impact and multi-resistant bacteria dissemination.

    Science.gov (United States)

    Tahrani, Leyla; Mehri, Ines; Reyns, Tim; Anthonissen, Roel; Verschaeve, Luc; Khalifa, Anis Bel Haj; Loco, Joris Van; Abdenaceur, Hassen; Mansour, Hedi Ben

    2018-05-01

    The UPLC MS/MS analysis showed the presence of the two antibiotics in the pharmaceutical industry discharges during 3 months; norfloxacin and spiramycin which were quantified with the mean concentrations of 226.7 and 84.2 ng mL -1 , respectively. Sixteen resistant isolates were obtained from the pharmaceutical effluent and identified by sequencing. These isolates belong to different genera, namely Citrobacter, Acinetobacter, Pseudomonas, Delftia, Shewanella, and Rheinheimera. The antibiotic resistance phenotypes of these isolates were determined (27 tested antibiotics-discs). All the studied isolates were found resistant to amoxicillin and gentamicin, and 83.33% of isolates were resistant to ciprofloxacin. Multiple antibiotic resistances were revealed against β-lactams, quinolones, and aminoglycosides families. Our overall results suggest that the obtained bacterial isolates may constitute potential candidates for bioremediation and can be useful for biotechnological applications. Genotoxic effects were assessed by a battery of biotests; the pharmaceutical wastewater was genotoxic according to the bacterial Vitotox test and micronuclei test. Genotoxicity was also evaluated by the comet test; the tail DNA damages reached 38 and 22% for concentrated sample (10×) and non-concentrated sample (1×), respectively. However, the histological sections of kidney and liver's mice treated by pharmaceutical effluent showed normal histology and no visible structural effects or alterations as cytolysis, edema, or ulcerative necrosis were observed. Residual antibiotics can reach water environment through wastewater and provoke dissemination of the antibiotics resistance and induce genotoxic effects.

  14. Review of resistance factor for steel: resistance distributions and resistance factor calibration

    International Nuclear Information System (INIS)

    Schmidt, B.J.; Bartlett, F.M.

    2002-01-01

    Changes in the Canadian steel industry warrant a review of the steel resistance factor in CSA Standard S16 (formerly S16.1) 'Limit states design of steel structures', originally calibrated in the landmark study by Kennedy and Gad Aly in 1980. This paper presents statistical parameters for the bending, compression, and tension resistances of W, WWF, and HSS components produced since 1999 that have been derived from geometric and material properties presented in a companion paper. The resistance factor for steel was recalibrated for the live and dead load combination in the 1995 National Building Code of Canada. A resistance factor of 0.95 is suitable for laterally supported beams, stocky columns, and tension members failing by yield of the gross section, whereas the current value of 0.90 is appropriate for intermediate columns and tension members failing by fracture of the net section. (author)

  15. Electrical resistivity measurements to predict abrasion resistance

    Indian Academy of Sciences (India)

    Home; Journals; Bulletin of Materials Science; Volume 31; Issue 2. Electrical resistivity measurements to predict abrasion resistance of rock aggregates ... It was seen that correlation coefficients were increased for the rock classes. In addition ...

  16. Antimicrobial resistance determinant microarray for analysis of multi-drug resistant isolates

    Science.gov (United States)

    Taitt, Chris Rowe; Leski, Tomasz; Stenger, David; Vora, Gary J.; House, Brent; Nicklasson, Matilda; Pimentel, Guillermo; Zurawski, Daniel V.; Kirkup, Benjamin C.; Craft, David; Waterman, Paige E.; Lesho, Emil P.; Bangurae, Umaru; Ansumana, Rashid

    2012-06-01

    The prevalence of multidrug-resistant infections in personnel wounded in Iraq and Afghanistan has made it challenging for physicians to choose effective therapeutics in a timely fashion. To address the challenge of identifying the potential for drug resistance, we have developed the Antimicrobial Resistance Determinant Microarray (ARDM) to provide DNAbased analysis for over 250 resistance genes covering 12 classes of antibiotics. Over 70 drug-resistant bacteria from different geographic regions have been analyzed on ARDM, with significant differences in patterns of resistance identified: genes for resistance to sulfonamides, trimethoprim, chloramphenicol, rifampin, and macrolide-lincosamidesulfonamide drugs were more frequently identified in isolates from sources in Iraq/Afghanistan. Of particular concern was the presence of genes responsible for resistance to many of the last-resort antibiotics used to treat war traumaassociated infections.

  17. Assessing resistance of stabilized corrosion resistant steels to intergranular corrosion

    International Nuclear Information System (INIS)

    Karas, A.; Cihal, V. Jr.; Vanek, V.; Herzan, J.; Protiva, K.; Cihal, V.

    1987-01-01

    Resistance to intergranular corrosion was determined for four types of titanium-stabilized steels from the coefficients of stabilization efficiency according to the degree the chemical composition was known. The ATA SUPER steel showed the highest resistance parameter value. The resistance of this type of steel of a specific composition, showing a relatively low value of mean nitrogen content was compared with steel of an optimized chemical composition and with low-carbon niobium stabilized, molybdenum modified steels. The comparison showed guarantees of a sufficient resistance of the steel to intergranular corrosion. The method of assessing the resistance to intergranular corrosion using the calculation of the minimum content of Cr', i.e., the effective chromium content, and the maximum effective carbon content C' giving the resistance parameter k seems to be prospective for practical use in the production of corrosion resistant steels. (author). 1 tab., 5 figs., 15 refs

  18. Phenotypic and Genotypic Efflux Pumps in Resistance to Fluoroquinolones in E.coli Isolated from Inpatients in Kermanshah Hospitals in 2013

    Directory of Open Access Journals (Sweden)

    Maryam Doosti Mohajer

    2017-12-01

    Full Text Available Abstract Background: Antibiotic resistance rates in E. coli are rapidly rising, especially with regard to fluoroquinolones. One of the mechanisms that lead to antibiotic resistance is efflux pumps. The aim of this study was phonotypic and genotypic analysis of efflux pump role in fluoroquinolones resistance of E. coli strains isolated from hospitalized patients in Kermanshah 2013. Materials and Methods: In this cross-sectional study, 100 isolates of E. coli were collected from hospitalized patients from Kermanshah. All isolates were identified by standard biochemical tests. The antimicrobial susceptibility patterns were determined by disk diffusion method according to CLSI guidelines. The presence of Efflux pump genes was determined by a PCR method. Results: The rates of resistance to Ceftazidime, Nalidixic Acid, Ciprofloxacin, Norfloxacin, Ofloxacin, Gentamicin, and Tetracycline were 73%, 67%, 55%, 54%, 45%, 38%, and 24%, respectively. According to the results of PCR test, of 100 E. coli isolates, 99% of isolates were positive for acrA, 98% for acrB, 95% for acrE, 98% for acrF, 94% for mdfA, 96% for norE, and 96% for tolC. Conclusion: In Strains with positive gene acrA, acrB, acrA, acrB, tolC, mdfA, norE, the presence of efflux pump inhibitor reduced the amount of resistance to antibiotics. So, efflux pumps are important in antibiotic resistance.

  19. Neonatal sepsis in rural India: timing, microbiology and antibiotic resistance in a population-based prospective study in the community setting.

    Science.gov (United States)

    Panigrahi, P; Chandel, D S; Hansen, N I; Sharma, N; Kandefer, S; Parida, S; Satpathy, R; Pradhan, L; Mohapatra, A; Mohapatra, S S; Misra, P R; Banaji, N; Johnson, J A; Morris, J G; Gewolb, I H; Chaudhry, R

    2017-08-01

    To examine the timing and microbiology of neonatal sepsis in a population-based surveillance in the Indian community setting. All live born infants in 223 villages of Odisha state were followed at home for 60 days. Suspect sepsis cases were referred to study hospitals for further evaluation including blood culture. Of 12 622 births, 842 were admitted with suspected sepsis of whom 95% were 4 to 60 days old. Culture-confirmed incidence of sepsis was 6.7/1000 births with 51% Gram negatives (Klebsiella predominating) and 26% Gram positives (mostly Staphylococcus aureus). A very high level of resistance to penicillin and ampicillin, moderate resistance to cephalosporins and extremely low resistance to Gentamicin and Amikacin was observed. The bacterial burden of sepsis in the Indian community is not high. Judicious choice of empiric antibiotics, antibiotic stewardship and alternate modalities should be considered for the management or prevention of neonatal sepsis in India.

  20. Resistant Hypertension.

    Science.gov (United States)

    Doroszko, Adrian; Janus, Agnieszka; Szahidewicz-Krupska, Ewa; Mazur, Grzegorz; Derkacz, Arkadiusz

    2016-01-01

    Resistant hypertension is a severe medical condition which is estimated to appear in 9-18% of hypertensive patients. Due to higher cardiovascular risk, this disorder requires special diagnosis and treatment. The heterogeneous etiology, risk factors and comorbidities of resistant hypertension stand in need of sophisticated evaluation to confirm the diagnosis and select the best therapeutic options, which should consider lifestyle modifications as well as pharmacological and interventional treatment. After having excluded pseudohypertension, inappropriate blood pressure measurement and control as well as the white coat effect, suspicion of resistant hypertension requires an analysis of drugs which the hypertensive patient is treated with. According to one definition - ineffective treatment with 3 or more antihypertensive drugs including diuretics makes it possible to diagnose resistant hypertension. A multidrug therapy including angiotensin - converting enzyme inhibitors, angiotensin II receptor blockers, beta blockers, diuretics, long-acting calcium channel blockers and mineralocorticoid receptor antagonists has been demonstrated to be effective in resistant hypertension treatment. Nevertheless, optional, innovative therapies, e.g. a renal denervation or baroreflex activation, may create a novel pathway of blood pressure lowering procedures. The right diagnosis of this disease needs to eliminate the secondary causes of resistant hypertension e.g. obstructive sleep apnea, atherosclerosis and renal or hormonal disorders. This paper briefly summarizes the identification of the causes of resistant hypertension and therapeutic strategies, which may contribute to the proper diagnosis and an improvement of the long term management of resistant hypertension.

  1. Prevalence, antimicrobial resistance and risk factors for Campylobacter colonising dogs and cats in Greece

    Directory of Open Access Journals (Sweden)

    T. Lazou

    2017-09-01

    Full Text Available The study was conducted to determine the prevalence, antimicrobial resistance and risk factors for Campylobacter colonising dogs and cats in Greece. Faecal specimens were collected from 181 dogs and 132 cats. Culture methods were applied to detect Campylobacter spp. and a multiplex PCR assay to identify the isolates. The prevalence of Campylobacter spp. was 3.8% in dogs and 12.1% in cats. The most frequently identified Campylobacter species in dogs was C. jejuni (57.1% followed by C. coli (42.9%. All feline isolates were identified as C. jejuni apart from one isolate that was characterised as Campylobacter-like organism. Gender, age, breed, life style, diarrhoea and type of diet of dogs and cats did not significantly correlate (P>0.05 with Campylobacter isolation. Possible predictors regarding Campylobacter presence in dogs and cats were assessed by binary logistic regression. A tendency towards higher risk for Campylobacter contamination was observed in dogs consuming a homemade diet and in outdoor cats. Disk diffusion method revealed that all Campylobacter isolates exhibited susceptibility to erythromycin, gentamicin and streptomycin. Contrariwise, 66.7% of canine isolates were resistant concurrently to tetracycline and quinolones and 59.0%, 13.6% and 4.5% of feline isolates were resistant to quinolones, quinolones along with tetracycline and tetracycline alone, respectively

  2. Antibiotic Resistance

    DEFF Research Database (Denmark)

    Munck, Christian

    morbidity and mortality as well as an increase in the cost of treatment. Understanding how bacteria respond to antibiotic exposure gives the foundations for a rational approach to counteract antimicrobial resistance. In the work presented in this thesis, I explore the two fundamental sources...... of antimicrobial resistance: (1) adaptive mutations and (2) horizontal acquisition of resistance genes from antibiotic gene reservoirs. By studying the geno- and phenotypic changes of E. coli in response to single and drug-pair exposures, I uncover the evolutionary trajectories leading to adaptive resistance. I...... to rationally design drug combinations that limit the evolution of antibiotic resistance due to counteracting evolutionary trajectories. My results highlight that an in-depth knowledge about the genetic responses to the individual antimicrobial compounds enables the prediction of responses to drug combinations...

  3. A comparison of antimicrobial resistance rates in Gram-positive pathogens isolated in the UK from October 1996 to January 1997 and October 1997 to January 1998.

    Science.gov (United States)

    Andrews, J; Ashby, J; Jevons, G; Marshall, T; Lines, N; Wise, R

    2000-03-01

    Rates of resistance for two consecutive years for 28 centres (10 Teaching, nine Associate Teaching and nine District General hospitals) in the UK were compared. Combined rates of resistance for each of the hospital types of Staphylococcus aureus to methicillin revealed an increase in the rate of resistance in Teaching hospitals (12.5% year 1, 23.5% year 2), but, for Associate Teaching and District General hospitals rates fell (Associate Teaching 19.1% year 1, 11.9% year 2; District General 16.5% year 1 and 11.3% year 2). Using conventional methodology to determine MICs, no strain was considered to have reduced susceptibility to vancomycin. Among coagulase-negative staphylococci, increased resistance was observed for Staphylococcus epidermidis to rifampicin, for Staphylococcus haemolyticus to clindamycin, for Staphylococcus saprophyticus to penicillin and for Staphylococcus spp. to clindamycin, methicillin and rifampicin. For Streptococcus pneumoniae an upward trend in low-level resistance to penicillin was observed (18 of the 28 centres), however, for high-level resistance the trend was in the opposite direction (only four centres showed an increase). For Enterococcus faecalis there was a trend to a fall in levels of resistance, the only exception being an increase in high-level gentamicin resistance (10.5% year 1, 15.1% year 2, P = 0.0388). For Enterococcus faecium rates of resistance were not significantly different except for increases in resistance to nitrofurantoin and rifampicin.

  4. Dynamics of extended-spectrum cephalosporin resistance in pathogenic Escherichia coli isolated from diseased pigs in Quebec, Canada.

    Science.gov (United States)

    Jahanbakhsh, Seyedehameneh; Smith, Matthew G; Kohan-Ghadr, Hamid-Reza; Letellier, Ann; Abraham, Sam; Trott, Darren J; Fairbrother, John Morris

    2016-08-01

    The aim of this study was to investigate the evolution with time of ceftiofur-resistant Escherichia coli clinical isolates from pigs in Québec, Canada, between 1997 and 2012 with respect to pathotypes, clones and antimicrobial resistance. Eighty-five ceftiofur-resistant E. coli isolates were obtained from the OIE (World Organisation for Animal Health) Reference Laboratory for Escherichia coli. The most prevalent pathovirotypes were enterotoxigenic E. coli (ETEC):F4 (40%), extraintestinal pathogenic E. coli (ExPEC) (16.5%) and Shiga toxin-producing E. coli (STEC):F18 (8.2%). Susceptibility testing to 15 antimicrobial agents revealed a high prevalence of resistance to 13 antimicrobials, with all isolates being multidrug-resistant. blaCMY-2 (96.5%) was the most frequently detected β-lactamase gene, followed by blaTEM (49.4%) and blaCTX-M (3.5%). Pulsed-field gel electrophoresis (PFGE) applied to 45 representative E. coli isolates revealed that resistance to ceftiofur is spread both horizontally and clonally. In addition, the emergence of extended-spectrum β-lactamase-producing E. coli isolates carrying blaCTX-M was observed in 2011 and 2012 in distinct clones. The most predominant plasmid incompatibility (Inc) groups were IncFIB, IncI1, IncA/C and IncFIC. Resistance to gentamicin, kanamycin and chloramphenicol as well as the frequency of blaTEM and IncA/C significantly decreased over the study period, whereas the frequency of IncI1 and multidrug resistance to seven antimicrobial categories significantly increased. These findings reveal that extended-spectrum cephalosporin-resistant porcine E. coli isolates in Québec belong to several different clones with diverse antimicrobial resistance patterns and plasmids. Furthermore, blaCMY-2 was the major β-lactamase gene in these isolates. From 2011, we report the emergence of blaCTX-M in distinct clones. Copyright © 2016 Elsevier B.V. and International Society of Chemotherapy. All rights reserved.

  5. Resistance and sheet resistance measurements using electron beam induced current

    International Nuclear Information System (INIS)

    Czerwinski, A.; Pluska, M.; Ratajczak, J.; Szerling, A.; KaPtcki, J.

    2006-01-01

    A method for measurement of spatially uniform or nonuniform resistance in layers and strips, based on electron beam induced current (EBIC) technique, is described. High electron beam currents are used so that the overall resistance of the measurement circuit affects the EBIC signal. During the evaluation, the electron beam is scanned along the measured object, whose load resistance varies with the distance. The variation is compensated by an adjustable resistance within an external circuit. The method has been experimentally deployed for sheet resistance determination of buried regions of lateral confinements in semiconductor laser heterostructures manufactured by molecular beam epitaxy

  6. [Profile of bacterial resistance in pediatric urinary tract infections in 2014].

    Science.gov (United States)

    Flammang, A; Morello, R; Vergnaud, M; Brouard, J; Eckart, P

    2017-03-01

    In pediatric units, bacteria-producing extended-spectrum-betalactamase (ESBL) have an increasing prevalence among bacteria causing febrile urinary tract infections (UTIs). The purpose of this study was to evaluate the epidemiology of bacteria resistance patterns observed in UTIs, in order to assess the current antibiotic treatment protocols. This study is based upon a single-center retrospective chart review of the cytobacteriological urine cultures performed in UTIs between 1 January and 31 December 2014, in the medical pediatric unit of the Caen University Hospital. Out of the total of 219 cases of UTI, 26.9% were recurrences of UTI, 18.3% were infections in infants less than 3 months old, 21% of the patients suffered from underlying uropathy, and 16.4% of the patients had recently been exposed to antibiotics. In 80.3% of the cases, Escherichia coli was found, while Enterococcus faecalis was found in 5.6%. The antibiograms proved that 33.5% of the bacteria were sensitive. Half of E. coli were resistant to ampicillin, 4.9% to cefixime, 4.9% to ceftriaxone, 1.1% to gentamicin, and 27.8% to trimethoprim-sulfamethoxazole. Nine E. coli and one Enterobacter cloacae produced ESBL, accounting for 4.6% of the UTIs. We did not find any bacteria-producing high-level cephalosporinase. Cefixime resistance was statistically linked to ongoing antibiotic treatment (OR=5.98; 95% CI [1.44; 24.91], P=0.014) and underlying uropathy (OR=6.24; 95% CI [1.47; 26.42], P=0.013). Ceftriaxone resistance was statistically related to ongoing antibiotic treatment (OR=6.93; 95% CI [1.45; 33.13], P=0.015). These results argue in favor of maintaining intravenous ceftriaxone for probabilistic ambulatory treatment. However, in case of hospitalization, cefotaxime can replace ceftriaxone, due to its lower ecological impact. Moreover, it is necessary to continue monitoring bacterial resistance and regularly review our treatment protocols. Copyright © 2017 Elsevier Masson SAS. All rights reserved.

  7. Prevalence of the antibiotic resistance genes in coagulase-positive- and negative-Staphylococcus in chicken meat retailed to consumers

    Directory of Open Access Journals (Sweden)

    Kamelia Mahmoud Osman

    2016-11-01

    Full Text Available The use of antibiotics in farm management (growing crops and raising animals has become a major area of concern. Its implications is the consequent emergence of antibiotic resistant bacteria (ARB and accordingly their access into the human food chain with passage of antibiotic resistance genes (ARG to the normal human intestinal microbiota and hence to other pathogenic bacteria causative human disease. Therefore, we pursued in this study to unravel the frequency and the quinolone resistance determining region, mecA and cfr genes of methicillin-susceptible Staphylococcus aureus (MSSA, methicillin-resistant S. aureus (MRSA, methicillin-resistant coagulase-negative staphylococci (MRCNS and methicillin-susceptible coagulase-negative staphylococci (MSCNS isolated from the retail trade of ready-to-eat raw chicken meat samples collected during one year and sold across the Great Cairo area. The 50 Staphylococcus isolated from retail raw chicken meat were analyzed for their antibiotic resistance phenotypic profile on 12 antibiotics (penicillin, oxacillin, methicillin, ampicillin-sulbactam, erythromycin, tetracycline, clindamycin, gentamicin, ciprofloxacin, chloramphenicol, sulfamethoxazole-trimethoprim and vancomycin and their endorsement of the quinolone resistance determining region, mecA and cfr genes. The isolation results revealed 50 isolates, CPS (14 and CNS (36, representing ten species (S. aureus, S. hyicus, S. epidermedius, S. lugdunensis, S. haemolyticus, S. hominus, S. schleiferi, S. cohnii, S. intermedius and S. lentus. Twenty seven isolates were methicillin-resistant. Out of the characterized 50 staphylococcal isolates, three were MRSA but only 2/3 carried the mecA gene. The ARG that bestows resistance to quinolones, β-lactams, macrolides, lincosamides and streptogramin B (MLS(B in MRSA and MR-CNS were perceived. According to the available literature, the present investigation was a unique endeavor into the identification of the quinolone-resistance

  8. Prevalence of the Antibiotic Resistance Genes in Coagulase-Positive-and Negative-Staphylococcus in Chicken Meat Retailed to Consumers.

    Science.gov (United States)

    Osman, Kamelia; Badr, Jihan; Al-Maary, Khalid S; Moussa, Ihab M I; Hessain, Ashgan M; Girah, Zeinab M S Amin; Abo-Shama, Usama H; Orabi, Ahmed; Saad, Aalaa

    2016-01-01

    The use of antibiotics in farm management (growing crops and raising animals) has become a major area of concern. Its implications is the consequent emergence of antibiotic resistant bacteria (ARB) and accordingly their access into the human food chain with passage of antibiotic resistance genes (ARG) to the normal human intestinal microbiota and hence to other pathogenic bacteria causative human disease. Therefore, we pursued in this study to unravel the frequency and the quinolone resistance determining region, mec A and cfr genes of methicillin-susceptible Staphylococcus aureus (MSSA), methicillin-resistant S. aureus (MRSA), methicillin-resistant coagulase-negative staphylococci (MRCNS) and methicillin-susceptible coagulase-negative staphylococci (MSCNS) isolated from the retail trade of ready-to-eat raw chicken meat samples collected during 1 year and sold across the Great Cairo area. The 50 Staphylococcus isolated from retail raw chicken meat were analyzed for their antibiotic resistance phenotypic profile on 12 antibiotics (penicillin, oxacillin, methicillin, ampicillin-sulbactam, erythromycin, tetracycline, clindamycin, gentamicin, ciprofloxacin, chloramphenicol, sulfamethoxazole-trimethoprim, and vancomycin) and their endorsement of the quinolone resistance determining region, mec A and cfr genes. The isolation results revealed 50 isolates, CPS (14) and CNS (36), representing ten species ( S. aureus, S. hyicus, S. epidermedius, S. lugdunensis, S. haemolyticus, S. hominus, S. schleiferi, S. cohnii, S. intermedius , and S. lentus ). Twenty seven isolates were methicillin-resistant. Out of the characterized 50 staphylococcal isolates, three were MRSA but only 2/3 carried the mec A gene. The ARG that bestows resistance to quinolones, β-lactams, macrolides, lincosamides, and streptogramin B [MLS( B )] in MRSA and MR-CNS were perceived. According to the available literature, the present investigation was a unique endeavor into the identification of the quinolone-resistance

  9. Antibiotic resistance and pathogenicity factors in Staphylococcus aureus isolated from mastitic Sahiwal cattle.

    Science.gov (United States)

    Kumar, Ravinder; Yadav, B R; Singh, R S

    2011-03-01

    Methicillin-resistant Staphylococcus aureus (MRSA) poses a serious problem in dairy animals suffering from mastitis. In the present study, the distribution of mastitic MRSA and antibiotic resistance was studied in 107 strains of S. aureus isolated from milk samples from 195 infected udders. The characterizations pathogenic factors (adhesin and toxin genes) and antibiotic susceptibility of isolates were carried out using gene amplification and disc diffusion assays, respectively. A high prevalence of MRSA was observed in the tested isolates (13.1%). The isolates were also highly resistant to antibiotics, i.e. 36.4% were resistant to streptomycin, 33.6% to oxytetracycline, 29.9% to gentamicin and 26.2% each to chloramphenicol, pristinomycin and ciprofloxacin. A significant variation in the expression of pathogenic factors (Ig, coa and clf) was observed in these isolates. The overall distribution of adhesin genes ebp, fib, bbp, fnbB, cap5, cap8, map and cna in the isolates was found to be 69.1, 67.2, 6.5, 20.5, 60.7, 26.1, 81.3 and 8.4%, respectively. The presence of fib, fnbB, bbp and map genes was considerably greater in MRSA than in methicillin-susceptible S. aureus (MSSA) isolates. The proportions of toxin genes, namely, hlb, seb, sec, sed, seg and sei, in the isolates were found to be 94.3, 0.9, 8.4, 0.9, 10.2 and 49.5%, respectively. The proportions of agr genes I, II, III and IV were found to be 39.2, 27.1, 21.5 and 12.1%, respectively. A few isolates showed similar antibiotic-resistance patterns, which could be due to identical strains or the dissemination of the same strains among animals. These findings can be utilized in mastitis treatment programmes and antimicrobials strategies in organized herd.

  10. Prevalence, Virulence Genes and Antimicrobial Resistance Profiles of Salmonella Serovars from Retail Beef in Selangor, Malaysia

    Directory of Open Access Journals (Sweden)

    Tze Y. Thung

    2018-01-01

    Full Text Available The aim of the present study was to investigate the prevalence of Salmonella spp., Salmonella Enteritidis and Salmonella Typhimurium in retail beef from different retail markets of Selangor area, as well as, to assess their pathogenic potential and antimicrobial resistance. A total of 240 retail beef meat samples (chuck = 60; rib = 60; round = 60; sirloin = 60 were randomly collected. The multiplex polymerase chain reaction (mPCR in combination with the most probable number (MPN method was employed to detect Salmonella spp., S. Enteritidis and S. Typhimurium in the meat samples. The prevalence of Salmonella spp., S. Enteritidis and S. Typhimurium in 240 beef meat samples were 7.50, 1.25, and 0.83%, respectively. The microbial loads of total Salmonella was found in the range of <3 to 15 MPN/g. Eight different serovars of Salmonella were identified among the 23 isolates, and S. Agona was the predominant serovar (26.09%. Interestingly, all the Salmonella isolates were resistant to penicillin, erythromycin and vancomycin, but the sensitivity was observed for tetracycline, gentamicin and amoxicillin/clavulanic acid. All 23 isolates were resistant to at least three antibiotics. Two S. Typhimurium isolates (8.70% exhibited the highest multiple antibiotic resistance (MAR index value of 0.56 which shown resistance to nine antibiotics. PCR analysis of virulence genes showed that all Salmonella isolates (100% were positive for the invA gene. Meanwhile, pefA was only identified in S. Enteritidis and S. Typhimurium. The findings in this study indicate that retail beef products tested were widely contaminated with multi-drug resistant (MDR Salmonella and various virulence genes are present among the isolated Salmonella serovars.

  11. Dissemination of antibiotic resistance in methicillin-resistant Staphylococcus aureus and vancomycin-resistant S aureus strains isolated from hospital effluents.

    Science.gov (United States)

    Mandal, Santi M; Ghosh, Ananta K; Pati, Bikas R

    2015-12-01

    Vancomycin-resistant Staphylococcus aureus (VRSA) and methicillin-resistant S aureus (MRSA) strains were examined in hospital effluents. Most S aureus strains are resistant to methicillin (MRSA), followed by tetracycline. Approximately 15% of MRSA strains are also resistant to vancomycin (VRSA). All VRSA strains developed a VanR/VanS-regulated 2-component system of VanA-type resistance in their genome. Results indicate that there is a possibility of developing resistance to aminoglycosides by VRSA strains in the near future. Copyright © 2015 Association for Professionals in Infection Control and Epidemiology, Inc. Published by Elsevier Inc. All rights reserved.

  12. Evaluation of Antimicrobial Resistance and Virulence Genes in Uropathogenic Escherichia coli in Pediatric and Adult Patients

    Directory of Open Access Journals (Sweden)

    Kerem YILMAZ

    2017-06-01

    Full Text Available We aimed to evaluate the antimicrobial resistance patterns and the prevalence of certain virulence genes in uropathogenic E. coli isolated from pediatric and adult patients with uncomplicated urinary tract infection.We examined nonduplicate 83 uropathogenic E. coli isolated from mid-stream clean-catch urine samples of the pediatric and adult outpatients with the diagnosis of acute uncomplicated urinary tract infection. VITEK® 2 automated system (bioMerieux, Marcy l’Etoile, France was used for identification and determination of antimicrobial resistance. We examined the isolates in respect to their antimicrobial resistance patterns and the presence of virulence genes (pap, aer, sfa, hly and cnf-1. Antimicrobial susceptibility testing results of the E. coli isolates revealed that commonly used empiric antimicrobials (ciprofloxacin, trimethoprim–sulfamethoxazole, gentamicin, ampicillin and cephalothin for urinary tract infections were less effective than others. Most frequently detected virulence genes were pap and aer in both age groups. Sfa and hly genes were the least frequently detected genes in the pediatric age group; hly gene was the also the least common in the adult age group. There was no association with virulence factors and antimicrobial resistance patterns of the uropathogenic E. coli isolates in contrary to literature. More comprehensive studies with larger sample groups are needed to demonstrate the relation between virulence factors with antimicrobial drugs in different age groups.

  13. Dinitroaniline herbicide resistance in a multiple-resistant Lolium rigidum population.

    Science.gov (United States)

    Chen, Jinyi; Yu, Qin; Owen, Mechelle; Han, Heping; Powles, Stephen

    2018-04-01

    The pre-emergence dinitroaniline herbicides (such as trifluralin and pendimethalin) are vital to Australian no-till farming systems. A Lolium rigidum population collected from the Western Australian grain belt with a 12-year trifluralin use history was characterised for resistance to dinitroaniline, acetyl CoA carboxylase (ACCase)- and acetolactate synthase (ALS)-inhibiting herbicides. Target-site resistance mechanisms were investigated. This L. rigidum population exhibited 32-fold resistance to trifluralin, as compared with the susceptible population. It also displayed 12- to 30-fold cross-resistance to other dinitroaniline herbicides (pendimethalin, ethalfluralin and oryzalin). In addition, this population showed multiple resistance to commonly used post-emergence ACCase- and ALS-inhibiting herbicides. Two target-site α-tubulin gene mutations (Val-202-Phe and Thr-239-Ile) previously documented in other dinitroaniline-resistant weed species were identified, and some known target-site mutations in ACCase (Ile-1781-Leu, Asp-2078-Gly and Cys-2088-Arg) and ALS (Pro-197-Gln/Ser) were found in the same population. An agar-based Petri dish screening method was established for the rapid diagnosis of resistance to dinitroaniline herbicides. Evolution of target-site resistance to both pre- and post-emergence herbicides was confirmed in a single L. rigidum population. The α-tubulin mutations Val-202-Phe and Thr-239-Ile, documented here for the first time in L. rigidum, are likely to be responsible for dinitroaniline resistance in this population. Early detection of dinitroaniline herbicide resistance and integrated weed management strategies are needed to maintain the effectiveness of dinitroaniline herbicides. © 2017 Society of Chemical Industry. © 2017 Society of Chemical Industry.

  14. Etoxazole resistance in predatory mite Phytoseiulus persimilis A.-H. (Acari: Phytoseiidae): Cross-resistance, inheritance and biochemical resistance mechanisms.

    Science.gov (United States)

    Yorulmaz Salman, Sibel; Aydınlı, Fatma; Ay, Recep

    2015-07-01

    Phytoseiulus persimilis of the family Phytoseiidae is an effective predatory mite species that is used to control pest mites. The LC50 and LC60 values of etoxazole were determined on P. persimilis using a leaf-disc method and spraying tower. A laboratory selection population designated ETO6 was found to have a 111.63-fold resistance to etoxazole following 6 selection cycles. This population developed low cross-resistance to spinosad, spiromesifen, acetamiprid, indoxacarb, chlorantraniliprole, milbemectin and moderate cross-resistance to deltamethrin. PBO, IBP and DEM synergised resistance 3.17-, 2.85- and 3.60-fold respectively. Crossing experiments revealed that etoxazole resistance in the ETO6 population was an intermediately dominant and polygenic. In addition, detoxifying enzyme activities were increased 2.71-fold for esterase, 3.09-fold for glutathione S-transferase (GST) and 2.76-fold for cytochrome P450 monooxygenase (P450) in the ETO6 population. Selection for etoxazole under laboratory conditions resulted in the development of etoxazole resistance in the predatory mite P. persimilis that are resistant to pesticides are considered valuable for use in resistance management programmes within integrated pest control strategies. Copyright © 2014 Elsevier Inc. All rights reserved.

  15. Relaxation resistance of heat resisting alloys with cobalt

    International Nuclear Information System (INIS)

    Borzdyka, A.M.

    1977-01-01

    Relaxation resistance of refractory nickel-chromium alloys containing 5 to 14 % cobalt is under study. The tests involve the use of circular samples at 800 deg to 850 deg C. It is shown that an alloy containing 14% cobalt possesses the best relaxation resistance exceeding that of nickel-chromium alloys without any cobalt by a factor of 1.5 to 2. The relaxation resistance of an alloy with 5% cobalt can be increased by hardening at repeated loading

  16. Phenotypic- and Genotypic-Resistance Detection for Adaptive Resistance Management in Tetranychus urticae Koch.

    Directory of Open Access Journals (Sweden)

    Deok Ho Kwon

    Full Text Available Rapid resistance detection is necessary for the adaptive management of acaricide-resistant populations of Tetranychus urticae. Detection of phenotypic and genotypic resistance was conducted by employing residual contact vial bioassay (RCV and quantitative sequencing (QS methods, respectively. RCV was useful for detecting the acaricide resistance levels of T. urticae, particularly for on-site resistance detection; however, it was only applicable for rapid-acting acaricides (12 out of 19 tested acaricides. QS was effective for determining the frequencies of resistance alleles on a population basis, which corresponded to 12 nonsynonymous point mutations associated with target-site resistance to five types of acaricides [organophosphates (monocrotophos, pirimiphos-methyl, dimethoate and chlorpyrifos, pyrethroids (fenpropathrin and bifenthrin, abamectin, bifenazate and etoxazole]. Most field-collected mites exhibited high levels of multiple resistance, as determined by RCV and QS data, suggesting the seriousness of their current acaricide resistance status in rose cultivation areas in Korea. The correlation analyses revealed moderate to high levels of positive relationships between the resistance allele frequencies and the actual resistance levels in only five of the acaricides evaluated, which limits the general application of allele frequency as a direct indicator for estimating actual resistance levels. Nevertheless, the resistance allele frequency data alone allowed for the evaluation of the genetic resistance potential and background of test mite populations. The combined use of RCV and QS provides basic information on resistance levels, which is essential for choosing appropriate acaricides for the management of resistant T. urticae.

  17. Resistant mechanisms and molecular epidemiology of imipenem-resistant Acinetobacter baumannii.

    Science.gov (United States)

    Xiao, Shu-Zhen; Chu, Hai-Qing; Han, Li-Zhong; Zhang, Zhe-Min; Li, Bing; Zhao, Lan; Xu, Liyun

    2016-09-01

    The aim of the study was to investigate the resistant mechanisms and homology of imipenem-resistant Acinetobacter baumannii (A. baumannii). A total of 46 non-duplicate imipenem‑resistant A. baumannii clinical isolates were collected from three tertiary hospitals between July, 2011 and June, 2012. The minimal inhibitory concentrations (MICs) of antimicrobial agents were determined using the agar dilution method. Phenylalanine‑arginine β-naphthylamide was used to detect the presence of the efflux pump-mediated resistant mechanism. Polymerase chain reaction was employed to amplify genes associated with drug resistance, including β‑lactamase genes, efflux pump genes and outer membrane protein gene CarO. A few amplicons were randomly selected and sequenced. Multilocus sequence analysis (MLST) was employed in typing A. baumanni. A. baumannii was resistant to imipenem, simultaneously showing resistance to several other antimicrobials. In addtition, 13 A. baumannii were found to mediate drug resistance through operation of the efflux pump. Of the various drug resistance genes tested, blaOXA‑51 was present in 46 isolates, blaOXA‑23 gene was present in 44 isolates and blaNDM gene was found in only one strain. Other drug resistant‑associated genes, including blaKPC, blaIMP, blaOXA-24, blaOXA‑58, blaSHV, blaGIM and blaVIM were not detected. Mutation of adeS and outer membrane protein gene CarO were found in a few of the imipenem‑resistant isolates. The MLST analysis revealed that all 46 clinical isolates were clustered into 11 genotypes and the most frequent genotype was ST208. In conclusion, β‑lactamase genes, genes involved in efflux pump and mutation of outer membrane protein encoding gene may be important in mediating imipenem resistance in A. baumannii. Of the 11 different genotypes, ST11 was shared by the majority of A. baumannii, which may be due to horizontal transfer of patients from hospitals.

  18. Multidrug-Resistant Candida

    DEFF Research Database (Denmark)

    Arendrup, Maiken Cavling; Patterson, Thomas F

    2017-01-01

    Invasive Candida infections remain an important cause of morbidity and mortality, especially in hospitalized and immunocompromised or critically ill patients. A limited number of antifungal agents from only a few drug classes are available to treat patients with these serious infections. Resistance...... can be either intrinsic or acquired. Resistance mechanisms are not exchanged between Candida; thus, acquired resistance either emerges in response to an antifungal selection pressure in the individual patient or, more rarely, occur due to horizontal transmission of resistant strains between patients....... Although multidrug resistance is uncommon, increasing reports of multidrug resistance to the azoles, echinocandins, and polyenes have occurred in several Candida species, most notably Candida glabrata and more recently Candida auris. Drivers are overall antifungal use, subtherapeutic drug levels at sites...

  19. Colistin- and Carbapenem-Resistant Escherichia coli Harboring mcr-1 and blaNDM-5, Causing a Complicated Urinary Tract Infection in a Patient from the United States

    Directory of Open Access Journals (Sweden)

    José R. Mediavilla

    2016-08-01

    Full Text Available Colistin is increasingly used as an antibiotic of last resort for the treatment of carbapenem-resistant Gram-negative infections. The plasmid-borne colistin resistance gene mcr-1 was initially identified in animal and clinical samples from China and subsequently reported worldwide, including in the United States. Of particular concern is the spread of mcr-1 into carbapenem-resistant bacteria, thereby creating strains that approach pan-resistance. While several reports of mcr-1 have involved carbapenem-resistant strains, no such isolates have been described in the United States. Here, we report the isolation and identification of an Escherichia coli strain harboring both mcr-1 and carbapenemase gene blaNDM-5 from a urine sample in a patient without recent travel outside the United States. The isolate exhibited resistance to both colistin and carbapenems, but was susceptible to amikacin, aztreonam, gentamicin, nitrofurantoin, tigecycline, and trimethoprim-sulfamethoxazole. The mcr-1- and blaNDM-5-harboring plasmids were completely sequenced and shown to be highly similar to plasmids previously reported from China. The strain in this report was first isolated in August 2014, highlighting an earlier presence of mcr-1 within the United States than previously recognized.

  20. Methicillin-Resistant Staphylococcus aureus nosocomial infection trends in Hospital universiti sains Malasia during 2002-2007

    International Nuclear Information System (INIS)

    Al-Talib, Hasnain I.; Yean, Chan Y

    2010-01-01

    Methicillin-resistant staphylococcus aureus (MRSA) is a major nosocomial pathogen that causes severe morbidity and mortality in many hospitals worldwide.The aim of the present study was to assess the burden of MRSA nosocomial infection,its association with factors of interest, and its antimicrobial susceptibility.This was a retrospective analysis of a database of all s aureus that were cultured from patients admitted to the defferent wards of hospital universiti sains malasia(HUSM) over a aperiod of 6 years.The MRSA infections rate was 10.0 Per 1000 hospital admissions.The incidence density rate of MRSA infections during the study period was 1.8 per 1000 patient-days,with annual rates ranging from 0.95 to 3.47 per 1000 patients-days.Duration of hospitalization,previous antibiotic use,and bedside invasive proceures of MRSa infections were found in orthopedic wards (25.3%) followed by surgical wards (18.2%) amd omtensive care units(ICU) (16.4%).All MRSA isolates were resistant to erythromycin (98.0%),co-trimoxazole (94.0%)and gentamicin (92.0%)clindamycin was the best antibiotic with only 6% resistance.All MRSA isolates were sensitive to vancomycin.The rate of the noscomial MRSA infection per 1000 admissions was higher than that in other studies.The three factors associated most signaficantly with acquired MRSA infections included duration of hospitalization,antibiotic use,and bedside invasive procedures.This study confirmed that vancomycin-resistant s aureus has not yet been established in HUSM (Author).

  1. Prevalence and genotypic relatedness of methicillin resistant Staphylococcus aureus in a tertiary care hospital

    Directory of Open Access Journals (Sweden)

    B A Fomda

    2014-01-01

    resistant to erythromycin, clindamycin, cotrimoxazole, and ciprofloxacin, whereas high sensitivity was seen to vancomycin followed by gentamicin. CDD and MIC for cefoxitin showed 100% sensitivity, specificity, PPV and NPV as compared to PCR for mecA gene. In maximum number of isolates PFGE type A pattern was seen suggesting clonal relatedness.

  2. Molecular characterization of antibiotic-resistant Staphylococcus aureus from livestock (bovine and swine

    Directory of Open Access Journals (Sweden)

    Asima Zehra

    2017-06-01

    Full Text Available Aim: The aim of this study was to figure the prevalence, phenotypic and genotypic antibiotic resistance (AR pattern of Staphylococcus aureus isolated from bovine and swine nares. Materials and Methods: Colonies with typical morphology on Baird-Parker agar supplemented with egg-yolk tellurite emulsion were selected and biochemically/genotypically identified as S. aureus. These strains were further subjected to epsilometer test for their sensitivity to various clinically important antibiotics and antibiotic susceptibility testing for amoxicillin/clavulanic acid, and double-disk diffusion testing was performed by the standard disc diffusion method following CLSI guidelines. S. aureus strains were also tested for the presence of AR genes, viz., blaZ, mecA, aacA-aphD, erm (ermA, ermB, ermC, tet (efflux genes tetK and tetL, tetM and tetO of the ribosomal protection family, and vanA. Results: The nasal cavities of 17 out of 47 randomly selected bovine and 20 out of 28 randomly selected swine were positive for S. aureus, representing the prevalence of 36.2% (95% confidence interval [CI]: 22.5-49.9 and 71.4% (95% CI: 54.7-88.1, respectively. Most of the S. aureus strains showed higher resistance to penicillin (94.6%, minimal inhibitory concentration [MIC] =1.5 μg/ml followed by ciprofloxacin (56.7%, MIC =32 μg/ml and tetracycline (18.9%, MIC =32 μg/ml. About 10-15% of the strains were resistant to gentamicin (MIC 16 μg/ml and oxacillin (MIC 6-8 μg/ml. None of the strains were resistant to vancomycin (MIC 0.25-1.5 μg/ml. In this study, 32.4% strains were resistant to three or more than three antibiotics and prevalence of this multi-drug resistant S. aureus was 45% (95% CI: 26.6-63.4 and 17.6% (95% CI: 6.7- 28.5 in swine and bovine nasal samples, respectively. Four strains from pigs were borderline oxacillin-resistant S. aureus MIC 6-8 μg/ml, but none were mecA positive. Two of these strains were β-lactamase hyperproducers. Among the resistance

  3. Combating Antibiotic Resistance

    Science.gov (United States)

    ... Bacteria Phasing Out Certain Antibiotic Use in Farm Animals FDA: Cutting-Edge Technology Sheds Light on Antibiotic Resistance For More Information Antibiotics and Antibiotic Resistance Antimicrobial Resistance Information for Consumers and Health Professionals CDC: ...

  4. HIV Resistance Testing

    Science.gov (United States)

    ... 14, 2016 Select a Language: Fact Sheet 126 HIV Resistance Testing WHAT IS RESISTANCE? HOW DOES RESISTANCE ... ARVs. If you miss doses of your medications, HIV will multiply more easily. More mutations will occur. ...

  5. Rapid colorimetric assay for gentamicin injection.

    Science.gov (United States)

    Tarbutton, P

    1987-01-01

    A rapid colorimetric method for determining gentamicin concentration in commercial preparations of gentamicin sulfate injection was developed. Methods currently available for measuring gentamicin concentration via its colored complex with cupric ions in alkaline solution were modified to reduce the time required for a single analysis. The alkaline copper tartrate (ACT) reagent solution was prepared such that each milliliter contained 100 mumol cupric sulfate, 210 mumol potassium sodium tartrate, and 1.25 mmol sodium hydroxide. The assay involves mixing 0.3 mL gentamicin sulfate injection 40 mg/mL (of gentamicin), 1.0 mL ACT reagent, and 0.7 mL water; the absorbance of the resulting solution at 560 nm was used to calculate the gentamicin concentration in the sample. For injections containing 10 mg/mL of gentamicin, the amount of the injection was increased to 0.5 mL and water decreased to 0.5 mL. The concentration of gentamicin in samples representing 11 lots of gentamicin sulfate injection 40 mg/mL and 8 lots of gentamicin sulfate injection 10 mg/mL was determined. The specificity, reproducibility, and accuracy of the assay were assessed. The colored complex was stable for at least two hours. Gentamicin concentration ranged from 93.7 to 108% and from 95 to 109% of the stated label value of the 40 mg/mL and the 10 mg/mL injections, respectively. No components of the preservative system present in the injections interfered with the assay. Since other aminoglycosides produced a colored complex, the assay is not specific for gentamicin. The assay was accurate and reproducible over the range of 4-20 mg of gentamicin. This rapid and accurate assay can be easily applied in the hospital pharmacy setting.

  6. Using data on resistance prevalence per sample in the surveillance of antimicrobial resistance

    DEFF Research Database (Denmark)

    Vieira, Antonio; Shuyu, Wu; Jensen, Lars Bogø

    2008-01-01

    Objectives: In most existing antimicrobial resistance monitoring programmes, one single bacterial colony from each collected sample is susceptibility tested against a panel of antimicrobials. Detecting the proportion of colonies resistant to different antimicrobials in each sample can provide...... quantitative data on antimicrobial resistance (resistance prevalence per sample). Methods: In this study, a total of 98 faecal samples from slaughter pigs were tested for tetracycline and sulphonamide resistance in Escherichia coli using the single colony method, and these results were compared...... with the results obtained using the resistance prevalence per sample method. Results: The results obtained by the resistance prevalence per sample method showed a lower occurrence of resistance. Tetracycline resistance in E. coli was found in 36.7% of the samples using the single colony method, while the mean...

  7. Resisting Organizational Change

    OpenAIRE

    Andersson, Gunnar

    2015-01-01

    We are continuously reminded of how change induces controversy and resistance, regardless of support. We repeatedly experience resistance in difficulties of implementation, little progress, and poor results, rather than increased productivity as anticipated. In a detailed account of how change plays out, a mosaic of what resistance looks like emerges. The picture is both familiar and absolutely concrete, and challenges the structural assumptions and dichotomies on support and resistance in an...

  8. High chlorpyrifos resistance in Culex pipiens mosquitoes: strong synergy between resistance genes

    Science.gov (United States)

    Alout, H; Labbé, P; Berthomieu, A; Makoundou, P; Fort, P; Pasteur, N; Weill, M

    2016-01-01

    We investigated the genetic determinism of high chlorpyrifos resistance (HCR), a phenotype first described in 1999 in Culex pipiens mosquitoes surviving chlorpyrifos doses ⩾1 mg l−1 and more recently found in field samples from Tunisia, Israel or Indian Ocean islands. Through chlorpyrifos selection, we selected several HCR strains that displayed over 10 000-fold resistance. All strains were homozygous for resistant alleles at two main loci: the ace-1 gene, with the resistant ace-1R allele expressing the insensitive G119S acetylcholinesterase, and a resistant allele of an unknown gene (named T) linked to the sex and ace-2 genes. We constructed a strain carrying only the T-resistant allele and studied its resistance characteristics. By crossing this strain with strains harboring different alleles at the ace-1 locus, we showed that the resistant ace-1R and the T alleles act in strong synergy, as they elicited a resistance 100 times higher than expected from a simple multiplicative effect. This effect was specific to chlorpyrifos and parathion and was not affected by synergists. We also examined how HCR was expressed in strains carrying other ace-1-resistant alleles, such as ace-1V or the duplicated ace-1D allele, currently spreading worldwide. We identified two major parameters that influenced the level of resistance: the number and the nature of the ace-1-resistant alleles and the number of T alleles. Our data fit a model that predicts that the T allele acts by decreasing chlorpyrifos concentration in the compartment targeted in insects. PMID:26463842

  9. Distribution and characterization of methicillin-resistant Staphylococcus aureus (MRSA) at the small animal hospital, faculty of veterinary medicine, Chiang Mai University, Thailand.

    Science.gov (United States)

    Patchanee, Prapas; Tadee, Pakpoom; Ingkaninan, Pimlada; Tankaew, Pallop; Hoet, Armando E; Chupia, Vena

    2014-03-01

    Of 416 samples taken from veterinary staff (n = 30), dogs (n = 356) and various environmental sites (n = 30) at the Small Animal Hospital, Faculty of Veterinary Medicine, Chiang Mai University, Thailand, 13 samples contained methicillin-resistant Staphylococcus aureus (MRSA), of which 1 (SCCmec type II) came from veterinarian, 9 (SCCmec types I, III, IVa, V and untypeable) from dogs, and 3 (SCCmec types I, III, and IVb) from environmental samples. The MRSA isolates were 100% susceptible to vancomycin (100%), 69% to cephazolin and 62% to gentamicin, but were up to 92% resistant to tetracycline group, 69% to trimethoprim-sulfamethoxazoles and 62% to ceftriaxone. In addition, all MRSA isolates showed multidrug resistance. As the MRSA isolates from the veterinary staff and dogs were of different SCCmec types, this suggests there were no cross-infections. However, environmental contamination appears to have come from dogs, and appropriate hygienic practices should be introduced to solve this problem.

  10. Measurement of Dynamic Resistance in Resistance Spot Welding

    DEFF Research Database (Denmark)

    Wu, Pei; Lu, J.; Zhang, Wenqi

    2007-01-01

    is influenced by inductive noise caused by the high welding current. In this study, the dynamic resistance is determined by measuring the voltage at primary side and current at secondary side. This increases the accuracy of measurement because of higher signal-noise ratio, and allows to apply to in-process......The conventional methods of determining the dynamic resistance were mostly done by measuring the voltage and current at secondary side of transformer in resistance welding machines, in which the measuring set-up normally interferes with the movement of electrode, and the measuring precision...

  11. Surveillance of ESBL producing multidrug resistant Escherichia coli in a teaching hospital in India

    Directory of Open Access Journals (Sweden)

    Shakti Rath

    2014-04-01

    Full Text Available Objective: To record nosocomial and community-acquired accounts of antibiotic resistance in Escherichia coli (E. coli strains, isolated from clinical samples of a teaching hospital by surveillance, over a period of 39 months (November 2009-January 2013. Methods: Clinical samples from nosocomial sources, i.e., wards and cabins, intensive care unit (ICU and neonatal intensive care unit (NICU, and community (outpatient department, OPD sources of the hospital, were used for isolating strains of E. coli, which were subjected for testing for production of ‘extended spectrum beta-lactamase’-(ESBL enzyme as well as determining antibiotic sensitivity pattern with 23 antibiotics. Results: Of the total 1642 (100% isolates, 810 (49.33% strains were from OPD and 832 (50.66% were from hospital settings. Occurrence of infectious E. coli strains increased in a mathematical progression in community sources, but in nosocomial infections, such values remained almost constant in each quarter. A total of 395 (24.05% ESBL strains were isolated from the total 810 isolates of community; of the total of 464 (28.25% isolates of wards and cabins, 199 (12.11% were ESBL strains; and among the total of 368 (22.41% isolates of ICU and NICU, ESBLs were 170 (10.35%; the total nosocomial ESBL isolates, 369 (22.47% were from the nosocomial total of 832 (50.66% isolates. Statistically, it was confirmed that ESBL strains were equally distributed in community or hospital units. Antibiogram of 23 antibiotics revealed progressive increases of drug-resistance against each antibiotic with the maximum resistance values were recorded against gentamicin: 92% and 79%, oxacillin: 94% and 69%, ceftriaxone: 85% and 58%, and norfloxacin 97% and 69% resistance, in nosocomial and community isolates, respectively. Conclusions: This study revealed the daunting state of occurrence of multidrug resistant E. coli and its infection dynamics in both community and hospital settings.

  12. Payload hardware and experimental protocol development to enable future testing of the effect of space microgravity on the resistance to gentamicin of uropathogenic Escherichia coli and its σs-deficient mutant

    Science.gov (United States)

    Matin, A. C.; Wang, J.-H.; Keyhan, Mimi; Singh, Rachna; Benoit, Michael; Parra, Macarena P.; Padgen, Michael R.; Ricco, Antonio J.; Chin, Matthew; Friedericks, Charlie R.; Chinn, Tori N.; Cohen, Aaron; Henschke, Michael B.; Snyder, Timothy V.; Lera, Matthew P.; Ross, Shannon S.; Mayberry, Christina M.; Choi, Sungshin; Wu, Diana T.; Tan, Ming X.; Boone, Travis D.; Beasley, Christopher C.; Piccini, Matthew E.; Spremo, Stevan M.

    2017-11-01

    Human immune response is compromised and bacteria can become more antibiotic resistant in space microgravity (MG). We report that under low-shear modeled microgravity (LSMMG), stationary-phase uropathogenic Escherichia coli (UPEC) become more resistant to gentamicin (Gm), and that this increase is dependent on the presence of σs (a transcription regulator encoded by the rpoS gene). UPEC causes urinary tract infections (UTIs), reported to afflict astronauts; Gm is a standard treatment, so these findings could impact astronaut health. Because LSMMG findings can differ from MG, we report preparations to examine UPEC's Gm sensitivity during spaceflight using the E. coli Anti-Microbial Satellite (EcAMSat) as a free-flying "nanosatellite" in low Earth orbit. Within EcAMSat's payload, a 48-microwell fluidic card contains and supports study of bacterial cultures at constant temperature; optical absorbance changes in cell suspensions are made at three wavelengths for each microwell and a fluid-delivery system provides growth medium and predefined Gm concentrations. Performance characterization is reported here for spaceflight prototypes of this payload system. Using conventional microtiter plates, we show that Alamar Blue (AB) absorbance changes can assess the Gm effect on E. coli viability, permitting telemetric transfer of the spaceflight data to Earth. Laboratory results using payload prototypes are consistent with wellplate and flask findings of differential sensitivity of UPEC and its ΔrpoS strain to Gm. if σs plays the same role in space MG as in LSMMG and Earth gravity, countermeasures discovered in recent Earth studies (aimed at weakening the UPEC antioxidant defense) to control UPEC infections would prove useful also in space flights. Further, EcAMSat results should clarify inconsistencies from previous space experiments on bacterial antibiotic sensitivity and other issues.

  13. DIRProt: a computational approach for discriminating insecticide resistant proteins from non-resistant proteins.

    Science.gov (United States)

    Meher, Prabina Kumar; Sahu, Tanmaya Kumar; Banchariya, Anjali; Rao, Atmakuri Ramakrishna

    2017-03-24

    Insecticide resistance is a major challenge for the control program of insect pests in the fields of crop protection, human and animal health etc. Resistance to different insecticides is conferred by the proteins encoded from certain class of genes of the insects. To distinguish the insecticide resistant proteins from non-resistant proteins, no computational tool is available till date. Thus, development of such a computational tool will be helpful in predicting the insecticide resistant proteins, which can be targeted for developing appropriate insecticides. Five different sets of feature viz., amino acid composition (AAC), di-peptide composition (DPC), pseudo amino acid composition (PAAC), composition-transition-distribution (CTD) and auto-correlation function (ACF) were used to map the protein sequences into numeric feature vectors. The encoded numeric vectors were then used as input in support vector machine (SVM) for classification of insecticide resistant and non-resistant proteins. Higher accuracies were obtained under RBF kernel than that of other kernels. Further, accuracies were observed to be higher for DPC feature set as compared to others. The proposed approach achieved an overall accuracy of >90% in discriminating resistant from non-resistant proteins. Further, the two classes of resistant proteins i.e., detoxification-based and target-based were discriminated from non-resistant proteins with >95% accuracy. Besides, >95% accuracy was also observed for discrimination of proteins involved in detoxification- and target-based resistance mechanisms. The proposed approach not only outperformed Blastp, PSI-Blast and Delta-Blast algorithms, but also achieved >92% accuracy while assessed using an independent dataset of 75 insecticide resistant proteins. This paper presents the first computational approach for discriminating the insecticide resistant proteins from non-resistant proteins. Based on the proposed approach, an online prediction server DIRProt has

  14. Resistance patterns, prevalence, and predictors of fluoroquinolones resistance in multidrug resistant tuberculosis patients

    Directory of Open Access Journals (Sweden)

    Nafees Ahmad

    2016-01-01

    Conclusion: The high degree of drug resistance observed, particularly to fluoroquinolones, is alarming. We recommend the adoption of more restrictive policies to control non-prescription sale of fluoroquinolones, its rational use by physicians, and training doctors in both private and public–private mix sectors to prevent further increase in fluoroquinolones resistant Mycobacterium tuberculosis strains.

  15. Shear flow generation and turbulence suppression by resistive ballooning and resistive interchange modes

    International Nuclear Information System (INIS)

    Guzdar, P.N.; Drake, J.F.

    1993-01-01

    The generation of shear flow by resistive ballooning modes and resistive interchange modes is compared and contrasted using a 3-D fluid code. The resistive ballooning modes give rise to poloidally asymmetric transport and hence drive poloidal rotation due to the Reynold's Stress as well as the anomalous Stringer/Winsor mechanism. On the other hand the resistive interchange mode can drive shear flow only through the Reynold's Stress. The studies show that if the self-consistent sheared flow is suppressed, the resistive ballooning modes give rise to a larger anomalous transport than produced by the resistive interchange modes. Furthermore the shear flow generated by the resistive ballooning modes is larger than that driven by the resistive interchange modes due to the combined effect of the dual mechanisms stated earlier. As a consequence strong suppression of the fluctuations as well as reduction of the transport occurs for resistive ballooning modes. On the other hand, for the resistive interchange modes the level of fluctuation as well as the anomalous transport is not reduced by the self consistent shear flow generated by the Reynold's Stress. This latter result is in agreement with some earlier 3-D simulation of resistive interchange modes

  16. Virulence traits and antibiotic resistance among enterococci isolated from dogs with periodontal disease.

    Science.gov (United States)

    Oliveira, Manuela; Tavares, Marta; Gomes, Diana; Touret, Tiago; São Braz, Berta; Tavares, Luís; Semedo-Lemsaddek, Teresa

    2016-06-01

    Periodontal disease - PD - is one of the most widespread diseases in dogs, but the role of this odontogenic infection in the dissemination of pathogenic bacteria present in the oral mucosa to other animals or pet owners is understudied. Trying to unveil the putative pathogenicity of enterococci present in the gums of dogs diagnosed with PD, thirty-two animals were investigated during routine visits to a private veterinary clinic. Seventy-one enterococci were recovered and characterized regarding species, genomic variability, virulence traits, antimicrobial resistance and biofilm-forming ability. Isolates were mainly identified as Enterococcus faecalis, with the large majority (95%) being able to produce biofilm. Regarding antibiotic resistance, all dog-enterococci were susceptible to ampicillin, amoxicillin/clavulanate, gentamicin-120, imipenem and vancomycin; while distinct levels of resistance were observed for chloramphenicol (10%), erythromycin (20%), streptomycin-300 (35%) and tetracycline (95%). For virulence traits incidence levels of 35% were observed for β-hemolysis and 25% for cylA, 25% for gelatinase and 35% for gelE; 85% harbor efaAfs and ebpABC; while ace, agg and esp are present respectively in 50, 30 and 10% of the dog-enterococci; efaAfm and acm were detected in all the Enterococcus faecium. Overall, the widespread prevalence of PD in dogs, associated with the close contact between companion animals, other animals and humans, may act as source for the dissemination of opportunistic pathogenic bacteria. Hence, aforementioned data on virulence and resistance features, emphasizes the need for active surveillance measures, such as the diagnose of PD in companion animals during routine visits to the veterinary clinic. Copyright © 2016 Elsevier Ltd. All rights reserved.

  17. Rapid report acetamiprid resistance and cross-resistance in the diamondback moth, Plutella xylostella.

    Science.gov (United States)

    Ninsin, Kodwo D

    2004-09-01

    A 110-fold acetamiprid-resistant Plutella xylostella (L) strain was established after four selection experiments (in five generations) on a 9.5-fold resistant colony in the laboratory. The resistant strain did not show cross-resistance to chlorfluazuron or Bacillus thuringiensis subsp kurstaki Berliner, but displayed low resistance to cartap and phenthoate.

  18. Occurrence of integrons and resistance genes among sulphonamide-resistant Shigella spp. from Brazil

    DEFF Research Database (Denmark)

    Peirano, G.; Agersø, Yvonne; Aarestrup, Frank Møller

    2005-01-01

    Objectives: To determine the occurrence of class 1 and 2 integrons and antimicrobial resistance genes among sulphonamide-resistant Shigella strains isolated in Brazil during 1999-2003. Methods: Sixty-two Shigella (Shigella flexneri, n = 47 and Shigella sonnei, n = 15) were tested against 21...... antimicrobial agents. The presence of integrons classes 1 and 2 and antimicrobial resistance genes was investigated by PCR using specific primers. Results: A total of eight antimicrobial resistance profiles were identified, with the profile of resistance to sulfamethoxazole, trimethoprim, spectinomycin...... of 2214 bp harbouring a gene cassette array conferring resistance to trimethoprim, streptothricin and spectinomycin/streptomycin. The genes coding for resistance to chloramphenicol (catA1), tetracycline [tet(A) and tet(B)] and ampicillin (bla(OXA) and bla(TEM)), were detected in resistant strains...

  19. Multidrug-resistant tuberculosis

    Directory of Open Access Journals (Sweden)

    McNerney Ruth

    2008-01-01

    Full Text Available Abstract Background With almost 9 million new cases each year, tuberculosis remains one of the most feared diseases on the planet. Led by the STOP-TB Partnership and WHO, recent efforts to combat the disease have made considerable progress in a number of countries. However, the emergence of mutated strains of Mycobacterium tuberculosis that are resistant to the major anti-tuberculosis drugs poses a deadly threat to control efforts. Multidrug-resistant tuberculosis (MDR-TB has been reported in all regions of the world. More recently, extensively drug resistant-tuberculosis (XDR-TB that is also resistant to second line drugs has emerged in a number of countries. To ensure that adequate resources are allocated to prevent the emergence and spread of drug resistance it is important to understand the scale of the problem. In this article we propose that current methods of describing the epidemiology of drug resistant tuberculosis are not adequate for this purpose and argue for the inclusion of population based statistics in global surveillance data. Discussion Whereas the prevalence of tuberculosis is presented as the proportion of individuals within a defined population having disease, the prevalence of drug resistant tuberculosis is usually presented as the proportion of tuberculosis cases exhibiting resistance to anti-tuberculosis drugs. Global surveillance activities have identified countries in Eastern Europe, the former Soviet Union and regions of China as having a high proportion of MDR-TB cases and international commentary has focused primarily on the urgent need to improve control in these settings. Other regions, such as sub-Saharan Africa have been observed as having a low proportion of drug resistant cases. However, if one considers the incidence of new tuberculosis cases with drug resistant disease in terms of the population then countries of sub-Saharan Africa have amongst the highest rates of transmitted MDR-TB in the world. We propose

  20. Resistant and Refractory Hypertension: Antihypertensive Treatment Resistance vs Treatment Failure

    Science.gov (United States)

    Siddiqui, Mohammed; Dudenbostel, Tanja; Calhoun, David A.

    2017-01-01

    Resistant or difficult to treat hypertension is defined as high blood pressure that remains uncontrolled with 3 or more different antihypertensive medications, including a diuretic. Recent definitions also include controlled blood pressure with use of 4 or more medications as also being resistant to treatment. Recently, refractory hypertension, an extreme phenotype of antihypertensive treatment failure has been defined as hypertension uncontrolled with use of 5 or more antihypertensive agents, including a long-acting thiazide diuretic and a mineralocorticoid receptor antagonist. Patients with resistant vs refractory hypertension share similar characteristics and comorbidities, including obesity, African American race, female sex, diabetes, coronary heart disease, chronic kidney disease, and obstructive sleep apnea. Patients with refractory vs resistant hypertension tend to be younger and are more likely to have been diagnosed with congestive heart failure. Refractory hypertension might also differ from resistant hypertension in terms of underlying cause. Preliminary evidence suggests that refractory hypertension is more likely to be neurogenic in etiology (ie, heightened sympathetic tone), vs a volume-dependent hypertension that is more characteristic of resistant hypertension in general. PMID:26514749

  1. Extensively Drug-Resistant Tuberculosis: Principles of Resistance, Diagnosis, and Management.

    Science.gov (United States)

    Wilson, John W; Tsukayama, Dean T

    2016-04-01

    Extensively drug-resistant (XDR) tuberculosis (TB) is an unfortunate by-product of mankind's medical and pharmaceutical ingenuity during the past 60 years. Although new drug developments have enabled TB to be more readily curable, inappropriate TB management has led to the emergence of drug-resistant disease. Extensively drug-resistant TB describes Mycobacterium tuberculosis that is collectively resistant to isoniazid, rifampin, a fluoroquinolone, and an injectable agent. It proliferates when established case management and infection control procedures are not followed. Optimized treatment outcomes necessitate time-sensitive diagnoses, along with expanded combinations and prolonged durations of antimicrobial drug therapy. The challenges to public health institutions are immense and most noteworthy in underresourced communities and in patients coinfected with human immunodeficiency virus. A comprehensive and multidisciplinary case management approach is required to optimize outcomes. We review the principles of TB drug resistance and the risk factors, diagnosis, and managerial approaches for extensively drug-resistant TB. Treatment outcomes, cost, and unresolved medical issues are also discussed. Copyright © 2016 Mayo Foundation for Medical Education and Research. Published by Elsevier Inc. All rights reserved.

  2. Prevalence of chlorhexidine-resistant methicillin-resistant Staphylococcus aureus following prolonged exposure.

    Science.gov (United States)

    Schlett, Carey D; Millar, Eugene V; Crawford, Katrina B; Cui, Tianyuan; Lanier, Jeffrey B; Tribble, David R; Ellis, Michael W

    2014-08-01

    Chlorhexidine has been increasingly utilized in outpatient settings to control methicillin-resistant Staphylococcus aureus (MRSA) outbreaks and as a component of programs for MRSA decolonization and prevention of skin and soft-tissue infections (SSTIs). The objective of this study was to determine the prevalence of chlorhexidine resistance in clinical and colonizing MRSA isolates obtained in the context of a community-based cluster-randomized controlled trial for SSTI prevention, during which 10,030 soldiers were issued chlorhexidine for body washing. We obtained epidemiological data on study participants and performed molecular analysis of MRSA isolates, including PCR assays for determinants of chlorhexidine resistance and high-level mupirocin resistance and pulsed-field gel electrophoresis (PFGE). During the study period, May 2010 to January 2012, we identified 720 MRSA isolates, of which 615 (85.4%) were available for molecular analysis, i.e., 341 clinical and 274 colonizing isolates. Overall, only 10 (1.6%) of 615 isolates were chlorhexidine resistant, including three from the chlorhexidine group and seven from nonchlorhexidine groups (P > 0.99). Five (1.5%) of the 341 clinical isolates and five (1.8%) of the 274 colonizing isolates harbored chlorhexidine resistance genes, and four (40%) of the 10 possessed genetic determinants for mupirocin resistance. All chlorhexidine-resistant isolates were USA300. The overall prevalence of chlorhexidine resistance in MRSA isolates obtained from our study participants was low. We found no association between extended chlorhexidine use and the prevalence of chlorhexidine-resistant MRSA isolates; however, continued surveillance is warranted, as this agent continues to be utilized for infection control and prevention efforts. Copyright © 2014, American Society for Microbiology. All Rights Reserved.

  3. KTA 625 alloy tube with excellent corrosion resistance and heat resistance

    International Nuclear Information System (INIS)

    Fujiwara, Kazuo; Kadonaga, Toshiki; Kikuma, Seiji.

    1982-01-01

    The problems when seamless tubes are produced by using nickel base 625 alloy (61Ni-22Cr-9Mo-Cb) which is known as a corrosion resistant and heat resistant alloyF were examined, and the confirmation experiment was carried out on its corrosion resistance and heat resistance. Various difficulties have been experienced in the tube making owing to the characteristics due to the chemical composition, but they were able to be solved by the repeated experiments. As for the characteristics of the product, the corrosion resistance was excellent particularly in the environment containing high temperature, high concentration chloride, and also the heat resistance was excellent in the wide temperature range from normal temperature to 1000 deg C. From these facts, the wide fields of application are expected for these alloy tubes, including the evaporation and concentration equipment for radioactive wastes in atomic energy field. Expecting the increase of demand hereafter, Kobe Steel Ltd. examined the problems when seamless tubes are produced from the 625 alloy by Ugine Sejournet process. The aptitude for tube production such as the chemical composition, production process and the product characteristics, the corrosion resistance against chloride, hydrogen sulfide, polythionic and other acids,F the high temperature strength and oxidation resistance are reported. (Kako, I.)

  4. Use of a gentamicin-impregnated collagen sheet (Collatamp(®)) in the management of major soft tissue complications in pediatric cochlear implants.

    Science.gov (United States)

    Benito-González, Fernando; Benito, Jose; Sánchez, Luis Alberto Guardado; Estevez Alonso, Santiago; Muñoz Herrera, Angel; Batuecas-Caletrio, Angel

    2014-09-01

    The objective was to report the effectiveness of salvage treatment in soft tissue infection around cochlear implants with an absorbable gentamicin collagen sheet and a periosteum and skin rotation flaps. Three patients with cochlear implant and persistent surrounding soft tissue infection are included. All of them underwent antibiotic treatment prior to surgery without any response. In this study preoperative and postoperative audiograms were practiced. Surgical excision of infectious skin and a periosteum and skin rotation flaps were performed. The cochlear implant was refixed in the temporal bone and a gentamicin-impregnated collagen sheet was located covering the cochlear implant. headings In all patients with soft tissue infection around the cochlear implant, infection was completely resolved. It was not necessary to remove the device in any case. The use of an absorbable gentamicin-impregnated collagen sheet is not described for the management of soft tissue complications in pediatric cochlear implant patients. The local application of high concentrations of antibiotic administered by this sheet may be effective against resistant bacteria and, in conjunction with surgery, may resolve this type of complications.

  5. Molecular characterization and antibiotic resistance of enterotoxigenic and entero-aggregative Escherichia coli isolated from raw milk and unpasteurized cheeses

    Directory of Open Access Journals (Sweden)

    Mojtaba Bonyadian

    2014-04-01

    Full Text Available The aim of this study was to determine the occurrence of enterotoxigenic and enteroaggregative Escherichia coli strains and antibiotic resistance of the isolates in raw milk and unpasteurized cheese. Out of 200 samples of raw milk and 50 samples of unpasteurized cheeses, 96 and 24 strains of E. coli were isolated, respectively. Polymerase chain reaction (PCR was used to detect the genes encoding heat-stable enterotoxin a (STa, heat-stable enterotoxin b (STb, heat labile toxin (LT and enteroaggregative heat-stable toxin1 (EAST1. Twelve out of 120 (10.00% isolates harbored the gene for EAST1, 2(1.66% isolates were detected as producing STb and LT toxins and 12 (10.00% strains contained STb and EAST1 genes. None of the strains contain the STa gene. All of the strains were tested for antibiotic resistance by disk diffusion method. Disks included: ciprofloxacin (CFN, trimetoprim-sulfamethoxazole (TSX, oxytetracycline (OTC, gentamicin (GMN, cephalexin (CPN, nalidixic acid (NDA and nitrofurantoin (NFN, ampicillin (AMP, neomycin (NEO and streptomycin (STM. Among 120 isolated strains of E. coli, the resistance to each antibiotics were as follows: OTC100%, CPN 86.00%, NDA 56.00%, NFN 42.00%, GMN 30.00%, TSX 28.00%, CFN 20%, AM 23.40% and STM 4.25%. None of the isolates were resistant to NEO. The present data indicate that different resistant E. coli pathogens may be found in raw milk and unpasteurized cheese. It poses an infection risk for human and transferring the resistant factors to microflora of the consumers gut.

  6. Trend of extensively drug-resistant Acinetobacter baumannii and the remaining therapeutic options: a multicenter study in Tehran, Iran over a 3-year period.

    Science.gov (United States)

    Jasemi, S; Douraghi, M; Adibhesami, H; Zeraati, H; Rahbar, M; Boroumand, M A; Aliramezani, A; Ghourchian, S; Mohammadzadeh, M

    2016-12-01

    Comprehensive data on drug-resistant patterns of Acinetobacter baumannii isolates in developing countries is limited. We conducted a multihospital study to assess the rate and trend of drug-resistant phenotypes in Ac. baumannii using standardized definitions and to determine the remaining therapeutic options against resistant phenotypes. The 401 nonduplicate isolates were collected from six hospitals which are geographically distributed across Tehran, Iran over a 3-year period. Following PCR of bla OXA -51-like gene, susceptibility testing was performed against nine antimicrobial agent categories. Three hundred and ninety (97%) isolates were resistant to least two carbapenems; carbapenem-resistant Ac. baumannii. The majority of isolates (366, 91·3%) were extensively drug resistant (XDR) and the rest of the isolates were classified as multidrug resistant (26, 6·8%) and susceptible (9, 2·2%). The rate of XDR-AB slightly decreased from 93·8% in 2011 to 89·8% in 2013. A considerable decrease in resistance to doxycycline, minocycline and tigecycline was demonstrated. The XDR-AB isolates showed susceptibility to gentamicin (10·4%), tobramycin (23%), ampicilin-sulbactam (30·1%), minocycline (32·8%), tigecycline (10·7%), doxycycline (21·6%), colistin (100%) and polymixin B (100%). We demonstrated the rising trend of resistance to all antibiotic categories except tetracyclines and folate pathway inhibitors. We found that the treatment options against XDR-AB are extremely limited and each treatment alternative including even old, but safe, antibiotics might be considered. The high frequency of drug-resistant phenotypes including carbapenem-resistant Acinetobacter baumannii, multidrug-resistant, and extensively resistant has been demonstrated in Ac. baumannii isolates tested here. As the antibiotic resistance pattern of isolates varies in different geographical regions, this study can provide comprehensive information about the antibiotic resistance profile of Ac

  7. Cross-Resistance of UV- or Chlorine Dioxide-Resistant Echovirus 11 to Other Disinfectants

    Directory of Open Access Journals (Sweden)

    Qingxia Zhong

    2017-10-01

    Full Text Available The emergence of waterborne viruses with resistance to disinfection has been demonstrated in the laboratory and in the environment. Yet, the implications of such resistance for virus control remain obscure. In this study we investigate if viruses with resistance to a given disinfection method exhibit cross-resistance to other disinfectants. Chlorine dioxide (ClO2- or UV-resistant populations of echovirus 11 were exposed to five inactivating treatments (free chlorine, ClO2, UV radiation, sunlight, and heat, and the extent of cross-resistance was determined. The ClO2-resistant population exhibited cross-resistance to free chlorine, but to none of the other inactivating treatments tested. We furthermore demonstrated that ClO2 and free chlorine act by a similar mechanism, in that they mainly inhibit the binding of echovirus 11 to its host cell. As such, viruses with host binding mechanisms that can withstand ClO2 treatment were also better able to withstand oxidation by free chlorine. Conversely, the UV-resistant population was not significantly cross-resistant to any other disinfection treatment. Overall, our results indicate that viruses with resistance to multiple disinfectants exist, but that they can be controlled by inactivating methods that operate by a distinctly different mechanism. We therefore suggest to utilize two disinfection barriers that act by different mechanisms in order to control disinfection-resistant viruses.

  8. Characterization of methicillin-resistant Staphylococcus aureus isolated from healthy turkeys and broilers using DNA microarrays

    Directory of Open Access Journals (Sweden)

    Hosny El-Adawy

    2016-12-01

    Full Text Available Methicillin-resistant Staphylococcus aureus (MRSA is a major human health problem and recently, domestic animals, in particular pigs and poultry are discussed as carriers and possible reservoirs of MRSA. Twenty seven S. aureus isolates from five turkey farms (n=18 and two broiler farms (n=9 were obtained by culturing of choana and skin swabs from apparently healthy birds, identified by Taqman-based real-time duplex nuc-mecA-PCR and characterized by spa typing as well as by a DNA microarray based assay which covered, amongst others, a considerable number of antibiotic resistance genes, species controls and virulence markers. The antimicrobial susceptibility profiles were tested by agar diffusion assays and genotypically confirmed by the microarray. Five different spa types (3 in turkeys and 2 in broilers were detected. The majority of MRSA isolates (24/27 belonged to clonal complex 398-MRSA-V. The most frequently occurring spa types were accordingly t011, t034 and t899. A single CC5-MRSA-III isolated from turkey and CC398-MRSA with an unidentified/truncated SCCmec element in turkey and broiler were additionally detected. The phenotypic antimicrobial resistance profiles of S. aureus isolated from both turkeys and broilers against 14 different antimicrobials showed that all isolates were resistant to ampicillin, cefoxitin, oxacillin, doxycycline and tetracycline. Moreover, all S. aureus isolated from broilers were resistant to erythromycin and azithromycin. All isolates were susceptible to gentamicin, chloramphenicol, sulphonamides and fusidic acid. The resistance rate against ciprofloxacin was 55.6% in broiler isolates and 42.1% in turkey isolates. All tetracycline resistant isolates possessed genes tetK/M. All erythromycin-resistant broiler isolates carried ermA. Only one broiler isolate (11.1% carried genes ermA, ermB and ermC, while 55.6% of turkey isolates possessed ermA and ermB genes.Neither PVL genes (lukF/S-PV, animal-associated leukocidin

  9. Distribution and Antimicrobial Resistance Profile of Yersinia Species Isolated From Chicken and Beef Meat

    Directory of Open Access Journals (Sweden)

    Shadi Aghamohammad

    2015-11-01

    Full Text Available Background: Foodborne diseases are widespread and growing public health problem in developed and developing countries. There are many microorganisms act as etiological agents for foodborne diseases such as Campylobacter spp., Listeria, Staphylococcos, Salmonella, Bacillus, Yersinia spp. High prevalence of gastrointestinal illness, including fatal cases attributable to yersiniosis, is also observed in many developing countries. Objectives: The purpose of this study was to investigate the prevalence of Yersinia enterocolitica and other Yersinia species in meat and chicken samples in various seasons and to determine their antibiotic resistance profile. Materials and Methods: To investigate the prevalence of Yersinia spp., a total of 450 samples, including chicken (n = 226 and beef meat (n = 224 were collected from supermarkets in Tehran. All samples were transported on ice to the laboratory and microbiological analysis was carried out within 2 hours after the collection. Susceptibility testing of bacterial strains was according to CLSI guideline at 28˚C by the disk diffusion assay. Results: From a total of 450 samples, (226 chickens and 224 beef meats, 70 (15.5% samples were positive for Yersinia spp. Of these isolates, (80% 56 species were identified as Y. enterocolitica, 8 (11% as Y. frederiksenii, 5 (7% as Y. intermedia and 1 (1.4% as Y. kristensenii. The highest rate of resistance was seen against cephalotin (98%, and ampicillin (52%. However, gentamicin and chloramphenicol were the most active antibiotics against the target cultures. Considering the season of isolation, Yersinia spp. were frequently isolated in autumn (52%, followed by spring (29%. Conclusions: Y. enterocolitica was the most spp. distributed among other species. Many factors, such as isolation assay, season, and geographical location play critical role in reports of increase or decrease in the prevalence of the Yersinia spp. all over the world. Our findings demonstrate that

  10. Animation of Antimicrobial Resistance

    Medline Plus

    Full Text Available ... Animal & Veterinary Safety & Health Antimicrobial Resistance Animation of Antimicrobial Resistance Share Tweet Linkedin Pin it More sharing options ... of Animation of Antimicrobial Resistance More in Antimicrobial ... Antimicrobial Resistance Monitoring System About NARMS 2015 NARMS Integrated ...

  11. Antibiotic resistance reservoirs

    NARCIS (Netherlands)

    Versluis, Dennis

    2016-01-01

    One of the major threats to human health in the 21st century is the emergence of pathogenic bacteria that are resistant to multiple antibiotics, thereby limiting treatment options. An important route through which pathogens become resistant is via acquisition of resistance genes from

  12. An Experimental Study of the Electrical Contact Resistance in Resistance Welding

    DEFF Research Database (Denmark)

    Song, Quanfeng; Zhang, Wenqi; Bay, Niels

    2005-01-01

    Electrical contact resistance is of critical importance in resistance welding. In this article, the contact resistance is experimentally investigated for welding mild steel, stainless steel, and aluminum to themselves. A parametric study was carried out on a Gleeble® machine, investigating...

  13. Analysis and modeling of resistive switching mechanisms oriented to resistive random-access memory

    International Nuclear Information System (INIS)

    Huang Da; Wu Jun-Jie; Tang Yu-Hua

    2013-01-01

    With the progress of the semiconductor industry, the resistive random-access memory (RAM) has drawn increasing attention. The discovery of the memristor has brought much attention to this study. Research has focused on the resistive switching characteristics of different materials and the analysis of resistive switching mechanisms. We discuss the resistive switching mechanisms of different materials in this paper and analyze the differences of those mechanisms from the view point of circuitry to establish their respective circuit models. Finally, simulations are presented. We give the prospect of using different materials in resistive RAM on account of their resistive switching mechanisms, which are applied to explain their resistive switchings

  14. Antimicrobial Resistance

    Science.gov (United States)

    ... least 10 countries (Australia, Austria, Canada, France, Japan, Norway, Slovenia, South Africa, Sweden and the United Kingdom ... plan Global report on surveillance Country situation analysis Policy to combat antimicrobial resistance More on antimicrobial resistance ...

  15. Antimicrobial Resistance

    Science.gov (United States)

    ... can prevent and manage antimicrobial resistance. It is collaborating with partners to strengthen the evidence base and ... on the global action plan. WHO has been leading multiple initiatives to address antimicrobial resistance: World Antibiotic ...

  16. Pyrethroid resistance and cross-resistance in the German cockroach, Blattella germanica (L).

    Science.gov (United States)

    Wei, Y; Appel, A G; Moar, W J; Liu, N

    2001-11-01

    A German cockroach (Blatella germanica (L)) strain, Apyr-R, was collected from Opelika, Alabama after control failures with pyrethroid insecticides. Levels of resistance to permethrin and deltamethrin in Apyr-R (97- and 480-fold, respectively, compared with a susceptible strain, ACY) were partially or mostly suppressed by piperonyl butoxide (PBO) and S,S,S,-tributylphosphorotrithioate (DEF), suggesting that P450 monooxygenases and hydrolases are involved in resistance to these two pyrethroids in Apyr-R. However, incomplete suppression of pyrethroid resistance with PBO and DEF implies that one or more additional mechanisms are involved in resistance. Injection, compared with topical application, resulted in 43- and 48-fold increases in toxicity of permethrin in ACY and Apyr-R, respectively. Similarly, injection increased the toxicity of deltamethrin 27-fold in ACY and 28-fold in Apyr-R. These data indicate that cuticular penetration is one of the obstacles for the effectiveness of pyrethroids against German cockroaches. However, injection did not change the levels of resistance to either permethrin or deltamethrin, suggesting that a decrease in the rate of cuticular penetration may not play an important role in pyrethroid resistance in Apyr-R. Apyr-R showed cross-resistance to imidacloprid, with a resistance ratio of 10. PBO treatment resulted in no significant change in the toxicity of imidacloprid, implying that P450 monooxygenase-mediated detoxication is not the mechanism responsible for cross-resistance. Apyr-R showed no cross-resistance to spinosad, although spinosad had relatively low toxicity to German cockroaches compared with other insecticides tested in this study. This result further confirmed that the mode of action of spinosad to insects is unique. Fipronil, a relatively new insecticide, was highly toxic to German cockroaches, and the multi-resistance mechanisms in Apyr-R did not confer significant cross-resistance to this compound. Thus, we propose

  17. Drug use and antimicrobial resistance among Escherichia coli and Enterococcus spp. isolates from chicken and turkey flocks slaughtered in Quebec, Canada.

    Science.gov (United States)

    Boulianne, Martine; Arsenault, Julie; Daignault, Danielle; Archambault, Marie; Letellier, Ann; Dutil, Lucie

    2016-01-01

    An observational study was conducted of chicken and turkey flocks slaughtered at federal processing plants in the province of Quebec, Canada. The objectives were to estimate prevalence of drug use at hatchery and on farm and to identify antimicrobial resistance (AMR) in cecal Escherichia coli and Enterococcus spp. isolates and factors associated with AMR. Eighty-two chicken flocks and 59 turkey flocks were sampled. At the hatchery, the most used antimicrobial was ceftiofur in chickens (76% of flocks) and spectinomycin in turkeys (42% of flocks). Virginiamycin was the antimicrobial most frequently added to the feed in both chicken and turkey flocks. At least 1 E. coli isolate resistant to third-generation cephalosporins was present in all chicken flocks and in a third of turkey flocks. Resistance to tetracycline, streptomycin, and sulfisoxazole was detected in > 90% of flocks for E. coli isolates. Antimicrobial resistance (AMR) was observed to bacitracin, erythromycin, lincomycin, quinupristin-dalfopristin, and tetracycline in both chicken and turkey flocks for Enterococcus spp. isolates. No resistance to vancomycin was observed. The use of ceftiofur at hatchery was significantly associated with the proportion of ceftiofur-resistant E. coli isolates in chicken flocks. In turkey flocks, ceftiofur resistance was more frequent when turkeys were placed on litter previously used by chickens. Associations between drug use and resistance were observed with tetracycline (turkey) in E. coli isolates and with bacitracin (chicken and turkey), gentamicin (turkey), and tylosin (chicken) in Enterococcus spp. isolates. Further studies are needed to provide producers and veterinarians with alternative management practices and tools in order to reduce the use of antimicrobial feed additives in poultry.

  18. Resistance and cross-resistance profile of the diaryltriazine NNRTI and candidate microbicide UAMC01398.

    Science.gov (United States)

    Ariën, Kevin K; Venkatraj, Muthusamy; Michiels, Johan; Joossens, Jurgen; Vereecken, Katleen; Van der Veken, Pieter; Heeres, Jan; De Winter, Hans; Heyndrickx, Leo; Augustyns, Koen; Vanham, Guido

    2016-05-01

    The resistance development, cross-resistance to other NNRTIs and the impact of resistance on viral replicative fitness were studied for the new and potent NNRTI UAMC01398. Resistance was selected by dose escalation and by single high-dose selection against a comprehensive panel of NNRTIs used as therapeutics and NNRTIs under investigation for pre-exposure prophylaxis of sexual HIV transmission. A panel of 27 site-directed mutants with single mutations or combinations of mutations involved in reverse transcriptase (RT) inhibitor-mediated resistance was developed and used to confirm resistance to UAMC01398. Cross-resistance to other NNRTIs was assessed, as well as susceptibility of UAMC01398-resistant HIV to diarylpyrimidine-resistant viruses. Finally, the impact of UAMC01398 resistance on HIV replicative fitness was studied. We showed that UAMC01398 has potent activity against dapivirine-resistant HIV, that at least four mutations in the RT are required in concert for resistance and that the resistance profile is similar to rilpivirine, both genotypically and phenotypically. Resistance development to UAMC01398 is associated with a severe fitness cost. These data, together with the enhanced safety profile and good solubility in aqueous gels, make UAMC01398 an excellent candidate for HIV topical prevention. © The Author 2016. Published by Oxford University Press on behalf of the British Society for Antimicrobial Chemotherapy. All rights reserved. For Permissions, please e-mail: journals.permissions@oup.com.

  19. Resisting Mind Control.

    Science.gov (United States)

    Anderson, Susan M.; Zimbardo, Philip G.

    1980-01-01

    Provides conceptual analyses of mind control techniques along with practical advice on how to resist these techniques. The authors stress that effective mind control stems more from everyday social relations than from exotic technological gimmicks. Suggestions are given for resisting persuasion, resisting systems, and challenging the system.…

  20. Prevalence and antibiotic-resistance characteristics of Enterococcus spp. Isolated from free-living and captive raptors in Central Illinois.

    Science.gov (United States)

    Marrow, Judilee; Whittington, Julia K; Mitchell, Mark; Hoyer, Lois L; Maddox, Carol

    2009-04-01

    Due to their predatory nature, raptor species may serve as important indicators of environmental contamination with antimicrobial-resistant bacteria. Raptors prey on small rodents and birds that have diverse habitat ranges, including urban and rural environments, and their intestinal microflora can reflect that of the animals on which they feed. Enterococcus spp. were selected as target organisms because they have been isolated from the avian gastrointestinal tract, can be conferred by prey items, and because they are capable of multiple resistance patterns. They are also a concerning source of human antimicrobial resistance. In this study fecal cultures were obtained from 15 May 2004 to 31 August 2004, from 21 free-living raptors and four captive raptors. Enterococcus was isolated from 21 (84%) of the 25 birds, and 54 isolates were chosen for further study based upon unique colony morphology. The most common isolate recovered was Enterococcus faecalis (95%, 95% confidence interval [CI]: 89-100). One bird in the study was determined to have Enterococcus gallinarum. Two distinct ribotypes of E. faecalis were identified, one with unique bands at 11 and 13 kb and the other with unique bands at 14 and 20 kb. Both ribotypes were found in free-living and captive birds. The Enterococcus isolates in this study demonstrated a variety of antimicrobial-resistance characteristics, including almost complete resistance to amikacin, first-generation cephalosporins, spectinomycin, and sulphadimethoxime. Isolates demonstrated variable resistance to chloramphenicol, gentamicin, enrofloxacin, erythromycin, and ticarcillin. No phenotypically vancomycin-resistant E. faecalis isolates were recovered from any of the raptors; three isolates had intermediate level susceptibility. A significantly higher number of isolates collected from captive birds demonstrated resistance to chloramphenicol than those obtained from free-living birds. This trend was not duplicated with any of the remaining

  1. Cross-resistance and Inheritance of Resistance to Emamectin Benzoate in Spodoptera exigua (Lepidoptera: Noctuidae).

    Science.gov (United States)

    Che, Wunan; Huang, Jianlei; Guan, Fang; Wu, Yidong; Yang, Yihua

    2015-08-01

    Beet armyworm, Spodoptera exigua (Hübner), is a worldwide pest of many crops. Chemical insecticides are heavily used for its control in China, and serious resistance has been evolved in the field to a variety of insecticides including emamectin benzoate. Through repeated backcrossing to a susceptible strain (WH-S) and selection with emamectin benzoate, the trait conferring resistance to emamectin benzoate in a field-collected population of S. exigua (moderately resistant to emamectin benzoate and strongly resistant to pyrethroids and indoxacarb) was introgressed into WH-S to generate a near-isogenic resistant strain (WH-EB). Compared with WH-S, the WH-EB strain developed a 1,110-fold resistance to emamectin benzoate and a high level of cross-resistance to abamectin (202-fold), with low levels of cross-resistance to cypermethrin (10-fold) and chlorfluazuron (7-fold), but no cross-resistance to representatives of another six different classes of insecticides (chlorantraniliprole, chlorfenapyr, indoxacarb, spinosad, tebufenozide, and chlorpyrifos). Resistance to emamectin benzoate in WH-EB was autosomal, incompletely dominant, and polygenic. Limited cross-resistance in WH-EB indicates that emamectin benzoate can be rotated with other classes of insecticides to which it does not show cross-resistance to delay the evolution of resistance in S. exigua. The incompletely dominant nature of resistance in S. exigua may explain the rapid evolution of resistance to emamectin benzoate in the field, and careful deployment of this chemical within a resistance management program should be considered. © The Authors 2015. Published by Oxford University Press on behalf of Entomological Society of America. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  2. Resistance to lambda-cyhalothrin in Spanish field populations of Ceratitis capitata and metabolic resistance mediated by P450 in a resistant strain.

    Science.gov (United States)

    Arouri, Rabeh; Le Goff, Gaelle; Hemden, Hiethem; Navarro-Llopis, Vicente; M'saad, Mariem; Castañera, Pedro; Feyereisen, René; Hernández-Crespo, Pedro; Ortego, Félix

    2015-09-01

    The withdrawal of malathion in the European Union in 2009 resulted in a large increase in lambda-cyhalothrin applications for the control of the Mediterranean fruit fly, Ceratitis capitata, in Spanish citrus crops. Spanish field populations of C. capitata have developed resistance to lambda-cyhalothrin (6-14-fold), achieving LC50 values (129-287 ppm) higher than the recommended concentration for field treatments (125 ppm). These results contrast with the high susceptibility to lambda-cyhalothrin found in three Tunisian field populations. We have studied the mechanism of resistance in the laboratory-selected resistant strain W-1Kλ (205-fold resistance). Bioassays with synergists showed that resistance was almost completely suppressed by the P450 inhibitor PBO. The study of the expression of 53 P450 genes belonging to the CYP4, CYP6, CYP9 and CYP12 families in C. capitata revealed that CYP6A51 was overexpressed (13-18-fold) in the resistant strain. The W-1Kλ strain also showed high levels of cross-resistance to etofenprox (240-fold) and deltamethrin (150-fold). Field-evolved resistance to lambda-cyhalothrin has been found in C. capitata. Metabolic resistance mediated by P450 appears to be the main resistance mechanism in the resistant strain W-1Kλ. The levels of cross-resistance found may compromise the effectiveness of other pyrethroids for the control of this species. © 2014 Society of Chemical Industry. © 2014 Society of Chemical Industry.

  3. Resistance to Powdery Mildews

    DEFF Research Database (Denmark)

    Siwoszek, Agnieszka Izabela

    in majority of them. Resistance to barley powdery mildew in the field is controlled by use of resistant varieties in a combination with fungicides. Early disease management is crucial for effective control. Yet, the pathogen commonly develops fungicide resistance due to simple point mutations. Several studies...... protection. In the present study, I provide an overview of the current knowledge about plant pathogens and plant disease resistance. I use Arabidopsis as a model to investigate the mechanism of non-host resistance, presumed to be the most durable and broad-spectrum form of resistance. I attempt to determine...

  4. [Molecular typing characterization of food-borne methicillin-resistant Staphylococcus aureus in China].

    Science.gov (United States)

    Bai, Y; Wang, W; Yan, L; Yang, S R; Yan, S F; Dong, Y P; Zhao, B C; Zhao, Y Y; Xu, J; Hu, Y J; Li, F Q

    2018-04-06

    Objective: To analyses the antimicrobial resistance and molecular characterization of 21 MRSA isolates cultured from retail foods from different provinces in China, and evaluate the molecular typing methods. Methods: Twenty-one MRSA isolates were obtained from national foodborne pathogen surveillance network in 2012 (Chinese salad, n= 3; milk, n= 1; cake, n= 2; rice, n= 1; cold noodle, n= 1; spiced beef, n= 1; dumpling, n= 1; packed meal, n= 1; salad, n= 1; raw pork, n= 9). The antimicrobial resistance of 21 strains to 12 antimicrobial agents was tested by broth dilution method. Polymerase chain reaction (PCR) and DNA sequencing were performed to obtain the genetic types of MLST (ST) and spa typing. The clonal complex (CC) was assigned by eBURST soft and the MLVA type (MT) and MLVA complex (MC) were identified via the database of the MLVA website (http://www.mlva.net). Sma I pulsed-field gel electrophoresis ( Sma Ⅰ-PFGE) was also carried out to obtain the PFGE patterns of 21 strains. The genetic diversity and discriminatory power of typing were calculated by the Simpson's index of diversity (diversity index, DI) to find out the best genotyping method for MRSA. Results: All MRSA isolates showed multi-drug resistance(MDR), and were resistant to oxacillin, benzylpenicillin, clindamycin and erythromycin, and 71.4% (15/21), 47.6% (10/21), 42.9% (9/21) and 28.6% (6/21) of the MRSA isolates were resistant to tetracycline, ciprofloxacin, trimethoprim/sulfamethoxazole and gentamicin, respectively. Moreover, one strain was found to be resistant to all three antimicrobials of levofloxacin, moxifloxacin and rifampicin. Great diversity was found in these food-associated MRSA (6 STs, 7 spa types, and 9 MTs). PFGE patterns were more diverse than those of other three molecular typing methods (19 pulse types). The index of diversity (DI) of PFGE, MLVA, spa typing and MLST was 0.99, 0.80, 0.73, and 0.61, respectively. Among the MRSA isolates, CC9-ST9-t899-MT929-MC2236 (PFGE

  5. The change of antibiotic resistance profiles over the years in Pseudomonas aeruginosa and Acinetobacter baumannii strains isolated from intensive care units

    Directory of Open Access Journals (Sweden)

    M. Cem Şirin

    2015-09-01

    Full Text Available Objective: The aim of this study was to determine the antibiotic resistance profiles of Pseudomonas aeruginosa and Acinetobacter baumannii strains isolated from patients in our hospital intensive care units (ICUs between the years 2011-2014 and to investigate the changes of these profiles over the years. Methods: Identification and antibiotic susceptibility testing of the strains were performed by automated system. Cefoperazone-sulbactam and tigecycline susceptibility was determined by disk diffusion method. Imipenem, meropenem and colistin resistance was confirmed by E-test method. Chi-square and Fisher's exact test were used to compare the antibiotic susceptibilities statistically. Results: The highest resistance rates were determined for imipenem (50.2%, meropenem (51.9% and piperacillin-tazobactam (64.0% in P. aeruginosa strains (n=722. The changes in the rates of antibiotic resistance were not statistically significant in P. aeruginosa strains between the years 2011 and 2014. The decrease in gentamicin, amikacin and trimethoprim-sulfamethoxazole resistance and the increase in cefoperazone-sulbactam and tigecycline resistance was found to be statistically significant in A. baumannii strains (n=1044 between the years 2011 and 2014. The increase in imipenem and meropenem resistance was found to be statistically significant between the years 2012 and 2013. Piperacillin-tazobactam, ceftazidime, cefepime, imipenem and meropenem resistances in A. baumannii strains were found to be over 95% in all the years. Colistin was found to be the most effective antimicrobial agent for both bacteria. Conclusion: The determination of considerably high antibiotic resistance rates in P. aeruginosa and A. baumannii strains isolated from our hospital ICUs has indicated that rational antibiotic use policies and more effective infection control programs should be applied along with monitoring the antibiotic susceptibility profiles constantly. J Clin Exp Invest 2015

  6. Genotypic relatedness and antimicrobial resistance of Salmonella Heidelberg isolated from chickens and turkeys in the midwestern United States.

    Science.gov (United States)

    Nisar, Muhammad; Kassem, Issmat I; Rajashekara, Gireesh; Goyal, Sagar M; Lauer, Dale; Voss, Shauna; Nagaraja, Kakambi V

    2017-05-01

    Salmonella is one of the most common causes of foodborne illnesses in humans in the United States, and domestic poultry is considered an important source of this pathogen. Salmonella enterica subsp. enterica serovar Heidelberg is the fourth most commonly reported Salmonella from retail meats and food animals in the United States. We assessed the genotypes and antimicrobial resistance phenotypes of Salmonella Heidelberg isolated from various chicken and turkey hatcheries and breeder farms in the Midwest. The genotypes of 33 S. Heidelberg isolates from chickens ( n = 19) and turkeys ( n = 14) were compared using pulsed-field gel electrophoresis analysis. Cluster analysis of the fingerprints showed that the majority of the chicken isolates grouped together with 87% similarity; those from turkeys clustered with 88% similarity. Similarity between chicken and turkey isolates was also high (86%). Isolates from turkeys were generally more genetically diverse than those from chickens. Antimicrobial susceptibility analysis detected resistance to sulfisoxazole (36% of the isolates), streptomycin (33%), gentamicin (27%), tetracycline (24%), ampicillin and amoxicillin-clavulanic acid (15%), cefoxitin (12%), ceftriaxone and ceftiofur (12%), and chloramphenicol (9%). None of the isolates was resistant to azithromycin, ciprofloxacin, or nalidixic acid. Although the number of the isolates was limited in our study, we conclude that S. Heidelberg isolates from the same host generally clustered together and that a considerable number of the isolates were resistant to a number of antimicrobial agents.

  7. plasmid mediated resistance in multidrug resistant bacteria isolated

    African Journals Online (AJOL)

    User

    PLASMID MEDIATED RESISTANCE IN MULTIDRUG RESISTANT BACTERIA. ISOLATED FROM CHILDREN WITH SUSPECTED SEPTICAEMIA IN ZARIA,. NIGERIA. AbdulAziz, Z. A.,1* Ehinmidu, J. O.,1 Adeshina, G. O.,1 Pala, Y. Y2., Yusuf, S. S2. and. Bugaje, M. A.3. 1Department of Pharmaceutics and Pharmaceutical ...

  8. Electrical resistivity measurements to predict abrasion resistance of ...

    Indian Academy of Sciences (India)

    WINTEC

    increasing expansion of highway and other construction works and decreasing natural aggregate resources in the world, the demand for crushed stone aggregates has in- creased from day-to-day. One of the important properties of rock aggregates is abrasion resistance. The abrasion resistance of aggregates is generally ...

  9. Resistant hypertension.

    Science.gov (United States)

    Wei, Fang-Fei; Zhang, Zhen-Yu; Huang, Qi-Fang; Yang, Wen-Yi; Staessen, Jan A

    2018-06-15

    The publication of the first non-randomised proof-of-concept trial of renal denervation as a treatment modality in treatment-resistant hypertension set the stage for a search for novel devices with the expectation that technology would reduce the burden of hypertension by reducing or eliminating the costly and lifelong use of blood pressure lowering medications. As we demonstrate in this review, this idea so attractive to manufacturers and invasive cardiologists and radiologists overlooked decades of careful pathophysiological research in a disease, which still remains enigmatic but remains the major cause of cardiovascular mortality worldwide. To make our point, we first reviewed the prevalence and risks associated with treatment-resistant hypertension. Next, we highlighted the key points required for the diagnosis of treatment-resistant hypertension, including the recording of the ambulatory blood pressure and the assessment of adherence to medication. Finally, we summarised new insights in the management of treatment-resistant hypertension by medication and devices and in the future research. Throughout our review, we focused on new evidence became available since 2013. Our conclusion is that optimising medical treatment based on simple algorithms remains the state of the art in treatment-resistant hypertension.

  10. Antibacterial Activity and Antibiotic-Enhancing Effects of Honeybee Venom against Methicillin-Resistant Staphylococcus aureus

    Directory of Open Access Journals (Sweden)

    Sang Mi Han

    2016-01-01

    Full Text Available Methicillin-resistant Staphylococcus aureus (MRSA, along with other antibiotic resistant bacteria, has become a significant social and clinical problem. There is thus an urgent need to develop naturally bioactive compounds as alternatives to the few antibiotics that remain effective. Here we assessed the in vitro activities of bee venom (BV, alone or in combination with ampicillin, penicillin, gentamicin or vancomycin, on growth of MRSA strains. The antimicrobial activity of BV against MRSA strains was investigated using minimum inhibitory concentrations (MIC, minimum bactericidal concentrations (MBC and a time-kill assay. Expression of atl which encodes murein hydrolase, a peptidoglycan-degrading enzyme involved in cell separation, was measured by reverse transcription-polymerase chain reaction. The MICs of BV were 0.085 µg/mL and 0.11 µg/mL against MRSA CCARM 3366 and MRSA CCARM 3708, respectively. The MBC of BV against MRSA 3366 was 0.106 µg/mL and that against MRSA 3708 was 0.14 µg/mL. The bactericidal activity of BV corresponded to a decrease of at least 3 log CFU/g cells. The combination of BV with ampicillin or penicillin yielded an inhibitory concentration index ranging from 0.631 to 1.002, indicating a partial and indifferent synergistic effect. Compared to ampicillin or penicillin, both MRSA strains were more susceptible to the combination of BV with gentamicin or vancomycin. The expression of atl gene was increased in MRSA 3366 treated with BV. These results suggest that BV exhibited antibacterial activity and antibiotic-enhancing effects against MRSA strains. The atl gene was increased in MRSA exposed to BV, suggesting that cell division was interrupted. BV warrants further investigation as a natural antimicrobial agent and synergist of antibiotic activity.

  11. Characterization and antimicrobial susceptibility of one antibiotic-sensitive and one multidrug-resistant Corynebacterium kroppenstedtii strain isolated from patients with granulomatous mastitis

    Directory of Open Access Journals (Sweden)

    I. Fernández-Natal

    2016-11-01

    Full Text Available Human infections associated with Corynebacterium kroppenstedtii are rarely reported, and this organism is usually described as antibiotic sensitive. Almost all published cases of C. kroppenstedtii infections have been associated with breast pathology in women and have been described in New Zealand, France, Canada, India and Japan. Here we describe the microbiologic characteristics of two strains isolated from two women diagnosed of granulomatous mastitis in Spain. One C. kroppenstedtii isolate was antibiotic sensitive while the other was multidrug resistant. Biochemical identification was possible using a wide battery of methods including API Coryne V2.0, API Strep, API NH, API NE, matrix-assisted laser desorption/ionization time-of-flight mass spectrometry and 16S rRNA gene amplification and sequencing. Antimicrobial susceptibility to 28 antibiotics as determined by Etest showed one isolate being sensitive to benzylpenicillin, ciprofloxacin, moxifloxacin, gentamicin, vancomycin, clindamycin, tetracycline, linezolid and rifampin. The second isolate showed resistance to ciprofloxacin, moxifloxacin, clindamycin, tetracycline and rifampin. The multidrug-resistant isolate contained the erm(X, tet(W, cmx, aphA1-IAB, strAB and sul1 resistance genes known from the R plasmid pJA144188 of Corynebacterium resistens. These genes were absent in the genome of the antibiotic-sensitive isolate. This report confirms the tropism of this microorganism for women's breasts and presents the first description of a multidrug-resistant C. kroppenstedtii strain.

  12. Antibiotic Resistance in Escherichia Coli Strains Isolated from Urine of Inpatients and Outpatients

    Directory of Open Access Journals (Sweden)

    Abolfazl Davoodabadi

    2012-08-01

    Full Text Available The urinary tract infections regarded as a health problem around the world and not only as an agent of nosocomial infections but also infections in the community. Community acquired UTIs cause significant illness in the first 2 years of life [1]. Urinary tract infections in both inpatient and outpatient are common and widespread use of antibiotics is often the cause of emerging one or more antibiotic-resistant microorganisms [2]. Most studies have shown higher antibiotic resistance in bacterial strains isolated from hospitalized patients than outpatients. In this study, antibiogram was performed using disk diffusion susceptibility method according to NCCLS standards of the International Committee [3]. 8 different antibiotics, including ciprofloxacin (CP: 30 μg, ceftriaxone (CRO: 30 μg, cephalotin (CF: 30 μg, cefixime (CFM: 5 μg, cotrimoxazole (SXT, nalidixic acid (NA: 30 μg, nitrofurantoin (FM: 300 μg, gentamicin (GM: 10 μg were used for antibiogram. During 1388 the total number of urine samples sent to hospital microbiology laboratories valiasr (aj of Arak was 5156, of which 446 samples (65.8% were positive for E. coli culture.

  13. Prevalence and antimicrobial resistance of Bacillus cereus isolated from beef products in Egypt

    Directory of Open Access Journals (Sweden)

    Reyad Shawish

    2017-12-01

    Full Text Available Foodborne pathogens have the main concern in public health and food safety. Bacillus cereus food poisoning is one of the most important foodborne pathogens worldwide. In the present study, a total of 200 random beef product samples were collected from different supermarkets located at Menofia and Cairo governorates were examined for the presence of B. cereus. In addition, the presence of some virulence encoding genes was evaluated using Multiplex PCR. Finally, the antibiogram testing was conveyed to illustrate the resistance pattern of the confirmed B. cereus. The data showed that B. cereus was recovered from 22.5%, 30%, 25%, 37.5% and 15% of the minced meat, burger, sausage, kofta, and luncheon respectively. Among the 20 examined isolates 18/20 (90% were harbor hblC enterotoxin encoding gene compared with 20/20 (100 were have cytK enterotoxin encoding gene. The isolated strains of B. cereus were resistant to penicillin G and sensitive to oxacillin, clindamycin, vancomycin, erythromycin, gentamicin, ciprofloxacin, and ceftriaxone. In all, the obtained data showed the importance of emerging B. cereus in disease control and prevention programs, and in regular clinical and food quality control laboratories in Egypt.

  14. [Resistance risk and resistance stability of Frankliniella occidentalis to imidacloprid, emamectin benzoate, and phoxim].

    Science.gov (United States)

    Wang, Sheng-Yin; Yu, Yi; Liu, Yong-Jie; Ma, Jing-Yu

    2012-12-01

    In order to effectively control the damage of Frankliniella occidentalis (Pergande), Phaseolus vuglaris was dipped with imidacloprid, phoxim, and emamectin benzoate, respectively to select the resistance populations of F. occidentalis from its susceptible population, and the resistance inheritance and resistance risk were analyzed with the resistance reality heredity. After 32, 32, and 24 generations' selection, the F. occidentalis populations obtained 13.8-fold, 29.4-fold and 39.0-fold resistance to imidacloprid, phoxim, and emamectin benzoate, respectively. The resistance reality heritability to imidacloprid, phoxim, and emamectin benzoate was 0.112, 0.166, and 0.259, respectively. The resistance development rate to emamectin benzoate was the fastest, followed by to phoxim, and to imidacloprid. The higher the resistance levels of the selected populations, the lower the differences between the larva and adult susceptibility to imidacloprid, phoxim, and emamectin benzoate. Stopping selection for 12 continuous generations, the resistance level of the selected resistance populations to imidacloprid, phoxim, and emamectin benzoate had definite decline, but it was difficult to regain the original susceptibility. F. occidentalis had a greater potential to gain high level resistance to imidacloprid, phoxim, and emamectin benzoate. Compared with the resistance of F. occidentalis to phoxim and emamectin benzoate, the resistance to imidacloprid increased slower and decreased faster, and thus, imidacloprid was more appropriate to control F. occidentalis in practice.

  15. Resistance Selection and Characterization of Chlorantraniliprole Resistance in Plutella xylostella (Lepidoptera: Plutellidae).

    Science.gov (United States)

    Liu, Xia; Wang, Hong-Yan; Ning, Yu-Bo; Qiao, Kang; Wang, Kai-Yun

    2015-08-01

    The diamondback moth, Plutella xylostella (L.), is considered one of the most damaging lepidopteran pests, and it has developed resistance to all conventional insecticide classes in the field. Chlorantraniliprole is the first commercial insecticide that belongs to the new chemical class of diamide insecticides. But, P. xylostella have already shown resistance to chlorantraniliprole in China. After 52 generations of selection with chlorantraniliprole, ∼48.17-fold resistance was observed. The resistant strain showed cross-resistance to flubendiamide (7.29-fold), abamectin (6.11-fold), and cyantraniliprole (3.31-fold). Quantitative real-time polymerase chain reaction analysis showed that the expression of the ryanodine receptor gene was higher in the resistant strain than that in the susceptible strain. Enzyme assays indicated that cytochrome P450 activity in the resistant strain was 4.26 times higher compared with the susceptible strain, whereas no difference was seen for glutathione-S-transferase and esterase. Moreover, the toxicity of chlorantraniliprole in the resistant strain could be synergized by piperonyl butoxide, but not by diethyl maleate, and S,S,S-tributyl phosphorothioate. These results can serve as an important base for guiding the use of insecticide in field and delaying the development of pests that are resistant to the insecticides. © The Authors 2015. Published by Oxford University Press on behalf of Entomological Society of America. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  16. Antibiotic Resistance Among Staphylococcus aureus and Escherichia coli Isolated From Traditional and Industrial Food Samples

    Directory of Open Access Journals (Sweden)

    Mojtaba Arslani

    2017-05-01

    Full Text Available Background: Foodborne diseases are one of the serious problems in the world. Every year, more than 100 million people are affected by foodborne and waterborne diseases particularly immunocompromised diseases. Objectives: The aim of the present study was to evaluate bacterial load and antibiotic resistance pattern in bacterial isolates from food samples of meat, dairy, and pastry products from west of Tehran, Iran, during April 2007 to March 2008. Materials and Methods: A total of 1625 different food samples including dairy products, meat and pastries were collected randomly from different parts of the west of Tehran. All samples were kept at 4°C. The samples were first cultured according to the standard bacteriological methods and then Staphylococcus aureus and Escherichia coli isolates were identified using standard bacteriological tests. Antimicrobial susceptibility test was performed by disk diffusion method according to Clinical & Laboratory Standards Institute (CLSI guidelines. Results: During 2007 and 2008, 2.8% and 3% of the food samples were contaminated with S. aureus. Similarly, 3.5% and 6.4% of the food samples were contaminated with E. coli. E. coli isolates were highly resistant to amikacin and cephotaxime and this resistance was increased in 2008. Similarly S. aureus isolates were resistant to ciprofloxacin, cephotaxime, gentamicin, and tetracyclin. There was no significant difference during 2007-2008. Conclusion: The rate of contamination during 2007 was 2.8% and during 2008 was 3% for S. aureus. This strain was isolated from the food samples. Further studies should be done to determine the changes of bacterial resistance pattern for various food samples. Thus, the baseline for comparison with future prospective studies should be established, enabling the determination of trends over time.

  17. Characterization of Hospital-Associated Lineages of Ampicillin-Resistant Enterococcus faecium from Clinical Cases in Dogs and Humans

    Directory of Open Access Journals (Sweden)

    Cindy-Love eTremblay

    2013-08-01

    Full Text Available Ampicillin-resistant Enterococcus faecium (ARE has rapidly emerged worldwide and is one of the most important nosocomial pathogens. However, very few reports are available on ARE isolates from canine clinical cases. The objective of this study was to characterize ARE strains of canine clinical origin from a veterinary teaching hospital in Canada and to compare them with human strains. Ten ARE strains from dogs and humans were characterized by multilocus sequence typing (MLST, pulsed field gel electrophoresis (PFGE, antibiotic susceptibility and biofilm activities, presence of rep-families, CRISPR-cas and putative virulence genes. All ARE strains (n = 10 were resistant to ciprofloxacin and lincomycin. Resistances to tetracycline (n = 6, macrolides (n = 6, and to high concentrations of gentamicin, kanamycin and streptomycin (n = 5 were also observed. Canine ARE isolates were found to be susceptible to vancomycin whereas resistance to this antibiotic was observed in human strains. Ampicillin resistance was linked to PBP5 showing mutations at 25 amino acid positions. Fluoroquinolone resistance was attributable to ParC, GyrA, and GyrB mutations. Data demonstrated that all canine ARE were acm (collagen binding protein-positive and that most harbored the efaAfm gene, encoding for a cell wall adhesin. Biofilm formation was observed in two human strains but not in canine strains. Two to five rep-families were observed per strain but no CRISPR sequences were found. A total of six STs (1, 18, 65, 202, 205, and 803 were found with one belonging to a new ST (ST803. These STs were identical or closely related to human hospital-associated lineages. This report describes for the first time the characterization of canine ARE hospital-associated strains in Canada and also supports the importance of prudent antibiotic use in veterinary medicine to avoid zoonotic spread of canine ARE.

  18. Investigation of the antibiotic resistance and biofilm-forming ability of Staphylococcus aureus from subclinical bovine mastitis cases.

    Science.gov (United States)

    Aslantaş, Özkan; Demir, Cemil

    2016-11-01

    A total of 112 Staphylococcus aureus isolates obtained from subclinical bovine mastitis cases were examined for antibiotic susceptibility and biofilm-forming ability as well as genes responsible for antibiotic resistance, biofilm-forming ability, and adhesin. Antimicrobial susceptibility of the isolates were determined by disk diffusion method. Biofilm forming ability of the isolates were investigated by Congo red agar method, standard tube method, and microplate method. The genes responsible for antibiotic resistance, biofilm-forming ability, and adhesion were examined by PCR. Five isolates (4.5%) were identified as methicillin-resistant Staph. aureus by antibiotic susceptibility testing and confirmed by mecA detection. The resistance rates to penicillin, ampicillin, tetracycline, erythromycin, trimethoprim-sulfamethoxazole, enrofloxacin, and amoxicillin-clavulanic acid were 45.5, 39.3, 33, 26.8, 5.4, 0.9, and 0.9%, respectively. All isolates were susceptible against vancomycin and gentamicin. The blaZ (100%), tetK (67.6%), and ermA (70%) genes were the most common antibiotic-resistance genes. Using Congo red agar, microplate, and standard tube methods, 70.5, 67, and 62.5% of the isolates were found to be biofilm producers, respectively. The percentage rate of icaA, icaD, and bap genes in Staph. aureus isolates were 86.6, 86.6, and 13.4%, respectively. The adhesion molecules fnbA, can, and clfA were detected in 87 (77.7%), 98 (87.5%), and 75 (70%) isolates, respectively. The results indicated that Staph. aureus from sublinical bovine mastitis cases were mainly resistant to β-lactams and, to a lesser extent, to tetracycline and erythromycin. Also, biofilm- and adhesion-related genes, which are increasingly accepted as an important virulence factor in the pathogenesis of Staph. aureus infections, were detected at a high rate. Copyright © 2016 American Dairy Science Association. Published by Elsevier Inc. All rights reserved.

  19. Dogs leaving the ICU carry a very large multi-drug resistant enterococcal population with capacity for biofilm formation and horizontal gene transfer.

    Directory of Open Access Journals (Sweden)

    Anuradha Ghosh

    Full Text Available The enterococcal community from feces of seven dogs treated with antibiotics for 2-9 days in the veterinary intensive care unit (ICU was characterized. Both, culture-based approach and culture-independent 16S rDNA amplicon 454 pyrosequencing, revealed an abnormally large enterococcal community: 1.4±0.8×10(8 CFU gram(-1 of feces and 48.9±11.5% of the total 16,228 sequences, respectively. The diversity of the overall microbial community was very low which likely reflects a high selective antibiotic pressure. The enterococcal diversity based on 210 isolates was also low as represented by Enterococcus faecium (54.6% and Enterococcus faecalis (45.4%. E. faecium was frequently resistant to enrofloxacin (97.3%, ampicillin (96.5%, tetracycline (84.1%, doxycycline (60.2%, erythromycin (53.1%, gentamicin (48.7%, streptomycin (42.5%, and nitrofurantoin (26.5%. In E. faecalis, resistance was common to tetracycline (59.6%, erythromycin (56.4%, doxycycline (53.2%, and enrofloxacin (31.9%. No resistance was detected to vancomycin, tigecycline, linezolid, and quinupristin/dalfopristin in either species. Many isolates carried virulence traits including gelatinase, aggregation substance, cytolysin, and enterococcal surface protein. All E. faecalis strains were biofilm formers in vitro and this phenotype correlated with the presence of gelE and/or esp. In vitro intra-species conjugation assays demonstrated that E. faecium were capable of transferring tetracycline, doxycycline, streptomycin, gentamicin, and erythromycin resistance traits to human clinical strains. Multi-locus variable number tandem repeat analysis (MLVA and pulsed-field gel electrophoresis (PFGE of E. faecium strains showed very low genotypic diversity. Interestingly, three E. faecium clones were shared among four dogs suggesting their nosocomial origin. Furthermore, multi-locus sequence typing (MLST of nine representative MLVA types revealed that six sequence types (STs originating from five

  20. Emergence of multidrug-resistant, extensively drug-resistant and untreatable gonorrhea

    Science.gov (United States)

    Unemo, Magnus; Nicholas, Robert A

    2013-01-01

    The new superbug Neisseria gonorrhoeae has retained resistance to antimicrobials previously recommended for first-line treatment and has now demonstrated its capacity to develop resistance to the extended-spectrum cephalosporin, ceftriaxone, the last remaining option for first-line empiric treatment of gonorrhea. An era of untreatable gonorrhea may be approaching, which represents an exceedingly serious public health problem. Herein, we review the evolution, origin and spread of antimicrobial resistance and resistance determinants (with a focus on extended-spectrum cephalosporins) in N. gonorrhoeae, detail the current situation regarding verified treatment failures with extended-spectrum cephalosporins and future treatment options, and highlight essential actions to meet the large public health challenge that arises with the possible emergence of untreatable gonorrhea. Essential actions include: implementing action/response plans globally and nationally; enhancing surveillance of gonococcal antimicrobial resistance, treatment failures and antimicrobial use/misuse; and improving prevention, early diagnosis and treatment of gonorrhea. Novel treatment strategies, antimicrobials (or other compounds) and, ideally, a vaccine must be developed. PMID:23231489

  1. Silica-Gentamicin Nanohybrids: Synthesis and Antimicrobial Action

    Directory of Open Access Journals (Sweden)

    Dina Ahmed Mosselhy

    2016-03-01

    Full Text Available Orthopedic applications commonly require the administration of systemic antibiotics. Gentamicin is one of the most commonly used aminoglycosides in the treatment and prophylaxis of infections associated with orthopedic applications, but gentamicin has a short half-life. However, silica nanoparticles (SiO2 NPs can be used as elegant carriers for antibiotics to prolong their release. Our goal is the preparation and characterization of SiO2-gentamicin nanohybrids for their potential antimicrobial administration in orthopedic applications. In vitro gentamicin release profile from the nanohybrids (gentamicin-conjugated SiO2 NPs prepared by the base-catalyzed precipitation exhibited fast release (21.4% during the first 24 h and further extension with 43.9% release during the five-day experiment. Antimicrobial studies of the SiO2-gentamicin nanohybrids versus native SiO2 NPs and free gentamicin were performed against Bacillus subtilis (B. subtilis, Pseudomonas fluorescens (P. fluorescens and Escherichia coli (E. coli. SiO2-gentamicin nanohybrids were most effective against B. subtilis. SiO2 NPs play no antimicrobial role. Parallel antimicrobial studies for the filter-sterilized gentamicin were performed to assess the effect of ultraviolet (UV-irradiation on gentamicin. In summary, the initial fast gentamicin release fits the need for high concentration of antibiotics after orthopedic surgical interventions. Moreover, the extended release justifies the promising antimicrobial administration of the nanohybrids in bone applications.

  2. Cross-resistance, inheritance and biochemical mechanisms of imidacloprid resistance in B-biotype Bemisia tabaci.

    Science.gov (United States)

    Wang, Zhenyu; Yao, Mingde; Wu, Yidong

    2009-11-01

    The B-type Bemisia tabaci (Gennadius) has become established in many regions in China, and neonicotinoids are extensively used to control this pest. Imidacloprid resistance in a laboratory-selected strain of B-type B. tabaci was characterised in order to provide the basis for recommending resistance management tactics. The NJ-Imi strain of B-type B. tabaci was selected from the NJ strain with imidacloprid for 30 generations. The NJ-Imi strain exhibited 490-fold resistance to imidacloprid, high levels of cross-resistance to three other neonicotinoids, low levels of cross-resistance to monosultap, cartap and spinosad, but no cross-resistance to abamectin and cypermethrin. Imidacloprid resistance in the NJ-Imi strain was autosomal and semi-dominant. It is shown that enhanced detoxification mediated by cytochrome-P450-dependent monooxygenases contributes to imidacloprid resistance to some extent in the NJ-Imi strain. Results from synergist bioassays and cross-resistance patterns indicated that target-site insensitivity may be involved in imidacloprid resistance in the NJ-Imi strain of B. tabaci. Although oxidative detoxification mediated by P450 monooxygenases is involved in imidacloprid resistance in the NJ-Imi strain of B-type B. tabaci, target-site modification as an additional resistance mechanism cannot be ruled out. Considering the high risk of cross-resistance, neonicotinoids should be regarded as a single group when implementing an insecticide rotation scheme in B. tabaci control. (c) 2009 Society of Chemical Industry.

  3. Sampling, prevalence and characterization of methicillin-resistant Staphylococcus aureus on two Belgian pig farms

    Directory of Open Access Journals (Sweden)

    Isabelle Dewaele

    2011-02-01

    Full Text Available This study investigated the spread of MRSA (methicillin-resistant Staphylococcus aureus on two Belgian pig farms. Pigs of different ages (from farrowing to slaughter age and sows as well as the barn environment were screened extensively on two occasions three months apart. A subset of MRSA isolates was tested for antimicrobial susceptibility to 16 antibiotics and was further characterized by pulsed-field gel electrophoresis. Ninety-five percent and 77% of the tested pigs on farm A and farm B, respectively, were colonized with MRSA. MRSA positive animals were detected in all age categories sampled on each sampling day. Piglets were already colonized in the farrowing unit with the same or other MRSA strains than their mother. The prevalence of MRSA colonized pigs increased significantly after weaning and decreased during the fattening period. Pigs carried MRSA mainly in the nares, followed by the perineum and skin and to a lesser degree the rectum. A pig could be contaminated or colonized with different MRSA strains at the same time. The barn environment was also found to be contaminated with different MRSA strains, including the air inlet and outlet. All isolates tested on both farms were resistant to both tetracycline and trimethoprim, while they were susceptible to rifampicin, mupirocin and linezolid. There was a significant difference in resistance prevalence between the two farms for the antibiotics gentamicin, kanamycin, tobramycin, tylosin, lincomycin and quinupristin/dalfopristin. Furthermore, several antibiotic resistance profiles were observed within one farm. This study clearly indicates that several MRSA strains circulate on one farm, from the nursery unit to the fattening unit. This is important to consider when attempts are made to remediate these farms.

  4. Mechanisms of Antibiotic Resistance

    Science.gov (United States)

    Munita, Jose M.; Arias, Cesar A.

    2015-01-01

    Emergence of resistance among the most important bacterial pathogens is recognized as a major public health threat affecting humans worldwide. Multidrug-resistant organisms have emerged not only in the hospital environment but are now often identified in community settings, suggesting that reservoirs of antibiotic-resistant bacteria are present outside the hospital. The bacterial response to the antibiotic “attack” is the prime example of bacterial adaptation and the pinnacle of evolution. “Survival of the fittest” is a consequence of an immense genetic plasticity of bacterial pathogens that trigger specific responses that result in mutational adaptations, acquisition of genetic material or alteration of gene expression producing resistance to virtually all antibiotics currently available in clinical practice. Therefore, understanding the biochemical and genetic basis of resistance is of paramount importance to design strategies to curtail the emergence and spread of resistance and devise innovative therapeutic approaches against multidrug-resistant organisms. In this chapter, we will describe in detail the major mechanisms of antibiotic resistance encountered in clinical practice providing specific examples in relevant bacterial pathogens. PMID:27227291

  5. Pathotyping and antibiotic resistance of porcine enterovirulent Escherichia coli strains from Switzerland (2014-2015).

    Science.gov (United States)

    Brand, P; Gobeli, S; Perreten, V

    2017-07-01

    A total of 131 porcine E. coli were isolated in 2014 and 2015 from the gut of 115 pigs raised in Switzerland and suffering from diarrhea. The isolates were tested for antibiotic resistance, serotypes, virulence factors and genetic diversity. Serotypes were assigned by agglutination tests and virulence genes were identified by polymerase chain reaction (PCR). Antibiotic resistance profile was determined by the measurement of the MIC of 14 antibiotics and by the detection of the corresponding genes using microarray and PCR approaches. Genetic diversity was determined by repetitive palindromic PCR (rep- PCR) revealing a heterogenous population. Half of the E. coli isolates possessing virulence factors could not be assigned to any of the 19 serotypes tested, but contained toxins and adhesins similarly to the sero-typable E. coli isolates. The most prevalent E. coli serotypes found were K88ac (18%), O139:K82 (6%), O141:K85ac (5%), O108:K`V189` (5%), O119:K`V113` (3%) and O157:K`V17` (2%). The combination of toxins EAST-1, STb and LT-I and adhesin F4 characterizing ETEC was the most frequent. The shigatoxin Stx2e (STEC) and intimin Eae (EPEC) were also detected, but less frequently. Seventy percent of the isolates were resistant to at least one antibiotic and 29% were resistant to more than 3 antibiotics. Isolates exhibited resistance to tetracycline (50%) associated to resistance genes tet(A), tet(B) and tet(C), sulfamethoxazole (49%) [sul1, sul2 and sul3], trimethoprim (34%) [dfr], nalidixic acid (29%), ampicillin (26%) [blaTEM-1], gentamicin (17%) [aac(3) -IIc, aac(3) -IVa and aac(3) -VIa], chloramphenicol (17%) [catAI and catAIII], and ciprofloxacin (8%) [mutations in GyrA (S83L) and ParC (S80I)]. All isolates were susceptible to 3rd generation cephalosporins, carbapenems, colistin and tigecycline. Pathogenic E. coli isolates from pigs in Switzerland could frequently not be assigned to a known serotype even if they contained diarrhea-causing virulence factors. They

  6. Animation of Antimicrobial Resistance

    Medline Plus

    Full Text Available ... video) Animation of Antimicrobial Resistance (text version) Arabic Translation of Animation of Antimicrobial Resistance Chinese Translation of Animation of Antimicrobial Resistance French Translation of ...

  7. Antibiotic resistance profile and virulence genes of uropathogenic Escherichia coli isolates in relation to phylogeny.

    Science.gov (United States)

    Adib, N; Ghanbarpour, R; Solatzadeh, H; Alizade, H

    2014-03-01

    Escherichia coli (E. coli) strains are the major cause of urinary tract infections (UTI) and belong to the large group of extra-intestinal pathogenic E. coli. The purposes of this study were to determine the antibiotic resistance profile, virulence genes and phylogenetic background of E. coli isolates from UTI cases. A total of 137 E. coli isolates were obtained from UTI samples. The antimicrobial susceptibility of confirmed isolates was determined by disk diffusion method against eight antibiotics. The isolates were examined to determine the presence and prevalence of selected virulence genes including iucD, sfa/focDE, papEF and hly. ECOR phylo-groups of isolates were determined by detection of yjaA and chuA genes and fragment TspE4.C2. The antibiogram results showed that 71% of the isolates were resistant to cefazolin, 60.42% to co-trimoxazole, 54.16% to nalidixic acid, 36.45% to gentamicin, 29.18% to ciprofloxacin, 14.58% to cefepime, 6.25% to nitrofurantoin and 0.00% to imipenem. Twenty-two antibiotic resistance patterns were observed among the isolates. Virulence genotyping of isolates revealed that 58.39% isolates had at least one of the four virulence genes. The iucD gene was the most prevalent gene (43.06%). The other genes including sfa/focDE, papEF and hly genes were detected in 35.76%, 18.97% and 2.18% isolates, respectively. Nine combination patterns of the virulence genes were detected in isolates. Phylotyping of 137 isolates revealed that the isolates fell into A (45.99%), B1 (13.14%), B2 (19.71%) and D (21.16%) groups. Phylotyping of multidrug resistant isolates indicated that these isolates are mostly in A (60.34%) and D (20.38%) groups. In conclusion, the isolates that possessed the iucD, sfa/focDE, papEF and hly virulence genes mostly belonged to A and B2 groups, whereas antibiotic resistant isolates were in groups A and D. Escherichia coli strains carrying virulence factors and antibiotic resistance are distributed in specific phylogenetic

  8. Mango resistance to fruit flies. II - resistance of the alfa cultivar

    Energy Technology Data Exchange (ETDEWEB)

    Rossetto, C.J.; Bortoletto, N., E-mail: rossetto@iac.sp.gov.b [Agencia Paulista de Tecnologia dos Agronegocios (APTA), Votuporanga, SP (Brazil). Polo Regional do Noroeste Paulista; Walder, J.M.M.; Mastrangelo, T. de A., E-mail: jmwalder@cena.usp.b [Centro de Energia Nuclear na Agricultura (CENA/USP), Piracicaba, SP (Brazil); Carvalho, C.R.L.; Castro, J.V. de, E-mail: climonta@iac.sp.gov.b, E-mail: josalba@iac.sp.gov.b [Instituto Agronomico de Campinas, SP (Brazil); Pinto, A.C. de Q. [EMBRAPA, Brasilia, DF (Brazil); Cortelazzo, A.L., E-mail: angelo@unicamp.b [Universidade Estadual de Campinas (UNICAMP), SP (Brazil). Inst. de Biologia

    2006-07-01

    The percentage of infested mango fruits of five selected mango varieties was evaluated during three years under field conditions. Three varieties with field resistance to fruit flies had less then 10% of fruits infested. Tommy Atkins, the susceptible commercial check, had 42,9% and the susceptible check had 98.9 % of infested fruits. The three field resistant varieties plus the susceptible commercial check, Tommy Atkins, were further tested in laboratory, under caged conditions, with artificial infestation of Anastrepha obliqua. The attempts of oviposition and the number of pupae developed from each fruit were evaluated. Under caged conditions, the cultivar Alfa maintained its field resistance and Espada Stahl and IAC 111 lost the field resistance and were as susceptible as Tommy Atkins. The attempts of oviposition were positively and highly correlated with the number of pupae developed in the fruits. Non preference for oviposition was confirmed as the main mechanism of resistance of mango fruits to fruit flies. In the absence of a more susceptible variety (no choice test) the cultivar Alfa has kept the resistance (author)

  9. Mango resistance to fruit flies. II - resistance of the alfa cultivar

    International Nuclear Information System (INIS)

    Rossetto, C.J.; Bortoletto, N.; Carvalho, C.R.L.; Castro, J.V. de; Pinto, A.C. de Q.; Cortelazzo, A.L.

    2006-01-01

    The percentage of infested mango fruits of five selected mango varieties was evaluated during three years under field conditions. Three varieties with field resistance to fruit flies had less then 10% of fruits infested. Tommy Atkins, the susceptible commercial check, had 42,9% and the susceptible check had 98.9 % of infested fruits. The three field resistant varieties plus the susceptible commercial check, Tommy Atkins, were further tested in laboratory, under caged conditions, with artificial infestation of Anastrepha obliqua. The attempts of oviposition and the number of pupae developed from each fruit were evaluated. Under caged conditions, the cultivar Alfa maintained its field resistance and Espada Stahl and IAC 111 lost the field resistance and were as susceptible as Tommy Atkins. The attempts of oviposition were positively and highly correlated with the number of pupae developed in the fruits. Non preference for oviposition was confirmed as the main mechanism of resistance of mango fruits to fruit flies. In the absence of a more susceptible variety (no choice test) the cultivar Alfa has kept the resistance (author)

  10. Cross-resistance of bisultap resistant strain of Nilaparvata lugens and its biochemical mechanism.

    Science.gov (United States)

    Ling, Shanfeng; Zhang, Runjie

    2011-02-01

    The resistant (R) strain of the planthopper Nilaparvata lugens (Stål) selected for bisultap resistance displayed 7.7-fold resistance to bisultap and also had cross-resistance to nereistoxin (monosultap, thiocyclam, and cartap), chlorpyrifos, dimethoate, and malathion but no cross-resistance to buprofezin, imidacloprid, and fipronil. To find out the biochemical mechanism of resistance to bisultap, biochemical assay was done. The results showed that cytochrome P450 monooxygenases (P450) activity in R strain was 2.71-fold that in susceptible strain (S strain), in which the changed activity for general esterase (EST) was 1.91 and for glutathione S-transferases only 1.32. Piperonyl butoxide (PBO) could significantly inhibit P450 activity (percentage of inhibition [PI]: 37.31%) in the R strain, with ESTs PI = 16.04% by triphenyl phosphate (TPP). The results also demonstrated that diethyl maleate had no synergism with bisultap. However, PBO displayed significant synergism in three different strains, and the synergism increased with resistance (S strain 1.42, Lab strain, 2.24 and R strain, 3.23). TPP also showed synergism for three strains, especially in R strain (synergistic ratio = 2.47). An in vitro biochemical study and in vivo synergistic study indicated that P450 might be play important role in the biochemical mechanism of bisultap resistance and that esterase might be the important factor of bisultap resistance. Acetylcholinesterase (AChE) insensitivity play important role in bisultap resistance. We suggest that buprofezin, imidacloprid, and fipronil could be used in resistance management programs for N. lugens via alternation and rotation with bisultap.

  11. Drug-resistant tuberculosis in Sindh

    International Nuclear Information System (INIS)

    Almani, S.A.; Memon, N.M.; Qureshi, A.F.

    2002-01-01

    Objective: To assess the prevalence of primary and secondary drug resistance amongst the clinical isolates of M.tuberculosis, to identify risk factors and how to overcome this problem. Design: A case series of 50 indoor patients with sputum smear-positive pulmonary tuberculosis. Place and duration of Study: Department of Medicine, Liaquat University of Medical and Health Sciences Jamshoro, Sindh, (Pakistan) from January 1999 to December 2000. Patients and methods: Four first line anti-tuberculous drugs rifampicine, ethambutol and streptomycin were tested for sensitivity pattern. Results: Twelve (26.66%) were sensitive to all four drugs, 12(26.66%) were resistant to one drug, 14 (31.11%) were resistant to two drugs, 2 (4.44%) were resistant to three drugs, and 5(11.11%) were resistant to all four drugs. Resistance to isoniazid was the most common in 27 cases (60%) with primary resistance in 6(13.33%) and secondary resistance in 21(46.66%), followed by resistance to streptomycin in 17 cases (37.77%) with primary resistance in 5(11.11%) and secondary resistance in 12 (26.66%). Resistance to ethambutol in 10 cases (22.22%) and rifampicine in 11 (24.44%) and all cases were secondary. Similarly multi-drugs resistance (MRD) TB was found in 11(24.44%) isolates. Conclusion: This study showed high prevalence of drug resistance among clinical isolates of M. tuberculosis. Their is a need to establish centers at number of places with adequate facilities for susceptibility testing so that the resistant pattern could be ascertained and treatment regimens tailored accordingly. (author)

  12. Virulence Factors and Antibiotic Resistance in Uropathogenic and Commensal Escherichia coli Isolates

    Directory of Open Access Journals (Sweden)

    Iraj Sedighi

    2016-10-01

    Full Text Available Background: Urinary Tract Infections (UTIs, including cystitis and pyelonephritis, are the most common infectious diseases in childhood. Aim and Objectives: Escherichia coli (E. coli account for as much as 90% of the community-acquired and also 50% of nosocomial UTIs. Therefore, the identification of E. coli strains and antibiotic resistance patterns is important for both clinical and epidemiological implications. Material and Methods: To characterize uropathogenic strains E. coli, we studied 100 strains recovered from both urine samples of children aged less than 7 years with community-acquired UTIs and stool samples of healthy children, respectively. Results: We assessed Virulence Factors (VFs and drug sensitivities of E. coli isolates. Drug sensitivities of the isolates were 94% (amikacin, 90% (nitrofurantoin, 66% (gentamicin, 56% (cefixime, 40% (nalidixic acid and 28% (cotrimoxazol. Laboratory tests showed that the prevalence of virulence factors ranged from 18% for hemolysin and P-fimbriae to 2% for type1-fimbriae. Most drug resistance was cotrimoxazole and amikacin was the lowest. P-fimbriae and hemolysin in uropathogenic E. coli were more frequent than non-pathogen type of E. coli. Conclusion: Although amikacin appeared to be the first choice for UTI in children, but nitrofurantoin seems to be practical and could be considered as the selective choice for uncomplicated lower UTIs.

  13. Corrosion-resistant metal surfaces

    Science.gov (United States)

    Sugama, Toshifumi [Wading River, NY

    2009-03-24

    The present invention relates to metal surfaces having thereon an ultrathin (e.g., less than ten nanometer thickness) corrosion-resistant film, thereby rendering the metal surfaces corrosion-resistant. The corrosion-resistant film includes an at least partially crosslinked amido-functionalized silanol component in combination with rare-earth metal oxide nanoparticles. The invention also relates to methods for producing such corrosion-resistant films.

  14. Contribution of non-target-site resistance in imidazolinone-resistant Imisun sunflower

    Directory of Open Access Journals (Sweden)

    Gabriela Breccia

    2017-08-01

    Full Text Available ABSTRACT The first commercial herbicide-resistant trait in sunflower (Helianthus annuus L. is known as ‘Imisun’. Imidazolinone resistance in Imisun cultivars has been reported to be genetically controlled by a major gene (known as Imr1 or Ahasl1-1 and modifier genes. Imr1 is an allelic variant of the Ahasl1 locus that codes for the acetohydroxyacid synthase, which is the target site of these herbicides. The mechanism of resistance endowed by modifier genes has not been characterized and it could be related to non-target-site resistance. The objective of this study was to evaluate the role of cytochrome P450 monooxygenases (P450s in Imisun resistance. The response to imazapyr herbicide in combination with P450s inhibitor malathion was evaluated in 2 Imisun lines, IMI-1 and RHA426. Malathion reduced herbicide efficacy in both lines, but IMI-1 was affected in a greater extent. A significant reduction in plant growth in response to P450s inhibitors 1-aminobenzotriazole and piperonyl butoxide treatment was detected in the Imisun line HA425. The increased susceptibility to imazapyr after P450s-inhibitor treatment indicates that herbicide metabolism by P450s is a mechanism involved in Imisun resistance. These results also suggest the involvement of different P450s isozymes in endowing resistance to imazapyr in Imisun cultivars.

  15. Antimicrobial resistance profile of Staphylococcus aureus isolates obtained from skin and soft tissue infections of outpatients from a university hospital in Recife -PE, Brazil Perfil de resistência antimicrobiana de isolados de Staphylococcus aureus provenientes de infecções de pele e tecidos moles de pacientes ambulatoriais de um hospital universitário em Recife - PE, Brasil

    Directory of Open Access Journals (Sweden)

    Fabiana Beserra Caraciolo

    2012-12-01

    Full Text Available BACKGROUND: Staphylococcus aureus has a notable ability to acquire resistance to antibiotics, and methicillin resistance represents a growing public health problem. Methicillin-resistant S. aureus (MRSA has also become important outside the hospital environment, particularly in the United States. In Brazil, since 2005, cases of community skin infections caused by MRSA have been reported, but resistance studies involving outpatients are scarce. OBJECTIVE: To know the resistance profile of S. aureus involved in skin and soft tissue infections of patients seen at the Dermatology outpatient clinic of a university hospital in Recife, Pernambuco State, northeastern Brazil. METHODS: Prospective study involving 30 patients with skin and soft tissue infections, seen at the Dermatology outpatient clinic from May until November 2011. To evaluate the susceptibility of S. aureus to antibiotics, the disk diffusion method and oxacillin screening agar were used. RESULTS: From a total of 30 samples of skin lesions, 19 (63% had positive culture for S. aureus. The following resistance patterns of S. aureus were observed: penicillin, 95%; tetracycline, 32%; erythromycin, 21%; gentamicin, 16%; cefoxitin, 11%; oxacillin, 11%; trimethoprim-sulfamethoxazole, 11%; chloramphenicol, 11%; clindamycin, 5% ; and ciprofloxacin, 0%. One of the identified MRSA was obtained from a patient without risk factors for its acquisition, and was resistant, beyond to the beta-lactams, only to tetracycline. CONCLUSIONS: With regard to the resistance patterns of S. aureus, resistances to tetracycline, erythromycin and gentamicin were the highest. It was documented, for the first time in Pernambuco, a case of skin infection caused by community-associated MRSA.FUNDAMENTOS: O Staphylococcus aureus possui uma notável habilidade de adquirir resistência antimicrobiana, sendo a resistência à meticilina um problema de saúde pública crescente. O S. aureus resistente à meticilina (MRSA vem se

  16. Resistance to Wheat Curl Mite in Arthropod-Resistant Rye-Wheat Translocation Lines

    Directory of Open Access Journals (Sweden)

    Lina Maria Aguirre-Rojas

    2017-11-01

    Full Text Available The wheat curl mite, Aceria toschiella (Keifer, and a complex of viruses vectored by A. toschiella substantially reduce wheat yields in every wheat-producing continent in the world. The development of A. toschiella-resistant wheat cultivars is a proven economically and ecologically viable method of controlling this pest. This study assessed A. toschiella resistance in wheat genotypes containing the H13, H21, H25, H26, H18 and Hdic genes for resistance to the Hessian fly, Mayetiola destructor (Say and in 94M370 wheat, which contains the Dn7 gene for resistance to the Russian wheat aphid, Diuraphis noxia (Kurdjumov. A. toschiella populations produced on plants containing Dn7 and H21 were significantly lower than those on plants of the susceptible control and no different than those on the resistant control. Dn7 resistance to D. noxia and H21 resistance to M. destructor resulted from translocations of chromatin from rye into wheat (H21—2BS/2RL, Dn7—1BL/1RS. These results provide new wheat pest management information, indicating that Dn7 and H21 constitute resources that can be used to reduce yield losses caused by A. toschiella, M. destructor, D. noxia, and wheat streak mosaic virus infection by transferring multi-pest resistance to single sources of germplasm.

  17. Genetic Determinants of High-Level Oxacillin Resistance in Methicillin-Resistant Staphylococcus aureus.

    Science.gov (United States)

    Pardos de la Gandara, Maria; Borges, Vitor; Chung, Marilyn; Milheiriço, Catarina; Gomes, João Paulo; de Lencastre, Herminia; Tomasz, Alexander

    2018-06-01

    Methicillin-resistant Staphylococcus aureus (MRSA) strains carry either a mecA - or a mecC -mediated mechanism of resistance to beta-lactam antibiotics, and the phenotypic expression of resistance shows extensive strain-to-strain variation. In recent communications, we identified the genetic determinants associated with the stringent stress response that play a major role in the antibiotic resistant phenotype of the historically earliest "archaic" clone of MRSA and in the mecC -carrying MRSA strain LGA251. Here, we sought to test whether or not the same genetic determinants also contribute to the resistant phenotype of highly and homogeneously resistant (H*R) derivatives of a major contemporary MRSA clone, USA300. We found that the resistance phenotype was linked to six genes ( fruB , gmk , hpt , purB , prsA , and relA ), which were most frequently targeted among the analyzed 20 H*R strains (one mutation per clone in 19 of the 20 H*R strains). Besides the strong parallels with our previous findings (five of the six genes matched), all but one of the repeatedly targeted genes were found to be linked to guanine metabolism, pointing to the key role that this pathway plays in defining the level of antibiotic resistance independent of the clonal type of MRSA. Copyright © 2018 American Society for Microbiology.

  18. Recessive Resistance to Plant Viruses: Potential Resistance Genes Beyond Translation Initiation Factors

    Directory of Open Access Journals (Sweden)

    Masayoshi Hashimoto

    2016-10-01

    Full Text Available The ability of plant viruses to propagate their genomes in host cells depends on many host factors. In the absence of an agrochemical that specifically targets plant viral infection cycles, one of the most effective methods for controlling viral diseases in plants is taking advantage of the host plant’s resistance machinery. Recessive resistance is conferred by a recessive gene mutation that encodes a host factor critical for viral infection. It is a branch of the resistance machinery and, as an inherited characteristic, is very durable. Moreover, recessive resistance may be acquired by a deficiency in a negative regulator of plant defense responses, possibly due to the autoactivation of defense signaling. Eukaryotic translation initiation factor (eIF 4E and eIF4G and their isoforms are the most widely exploited recessive resistance genes in several crop species, and they are effective against a subset of viral species. However, the establishment of efficient, recessive resistance-type antiviral control strategies against a wider range of plant viral diseases requires genetic resources other than eIF4Es. In this review, we focus on recent advances related to antiviral recessive resistance genes evaluated in model plants and several crop species. We also address the roles of next-generation sequencing and genome editing technologies in improving plant genetic resources for recessive resistance-based antiviral breeding in various crop species.

  19. Resistance to valproic acid as predictor of treatment resistance in genetic generalized epilepsies

    DEFF Research Database (Denmark)

    Gesche, Joanna; Khanevski, Marina; Solberg, Carl

    2017-01-01

    for refractory seizures. Resistance to valproic acid had a specificity of 100% to identify patients with drug resistance and correlated strongly with bad social outcome and seizure burden. Conversely, 21.2% of all patients with refractory seizures according to the ILAE definition later became seizure free...... (mainly with valproic acid). Our data suggest that "drug resistant GGE" must not be declared unless patients were adequately treated with valproic acid, and advocate resistance to valproic acid as a new clinical biomarker for drug-resistant GGE. A PowerPoint slide summarizing this article is available...

  20. Antibiotic Resistance in Foodborne Pathogens

    OpenAIRE

    Walsh, Ciara; Duffy, Geraldine

    2013-01-01

    Wide-spread antibiotic resistance among bacterial pathogens is now a serious public health issue and multi-antibiotic resistance has been reported in many foodborne pathogens including Salmonella and E. coli. A study to determine antibiotic resistance profiles of a range of Salmonella and Verocytotoxigenic E.coli (VTEC) isolated from Irish foods revealed significant levels of antibiotic resistance in the strains. S. typhimurium DT104 were multiantibiotic resistant with 97% resistant to 7 anti...

  1. Hydraulic resistance of biofilms

    KAUST Repository

    Dreszer, C.; Vrouwenvelder, Johannes S.; Paulitsch-Fuchs, Astrid H.; Zwijnenburg, Arie; Kruithof, Joop C.; Flemming, Hans Curt

    2013-01-01

    resistance is very low compared to the expected biofilm resistance and, thus, biofilm resistance can be determined accurately. Transmembrane pressure drop was monitored. As biofilm parameters, thickness, total cell number, TOC, and extracellular polymeric

  2. Experimental Studies on the Changes in Resistivity and Its Anisotropy Using Electrical Resistivity Tomography

    Directory of Open Access Journals (Sweden)

    Tao Zhu

    2012-01-01

    Full Text Available Three measuring lines were arranged on one of free planes of magnetite cuboid samples. Apparent resistivity data were acquired by MIR-2007 resistivity meter when samples were under uniaxial compression of servocontrol YAW-5000F loadingmachine in laboratory. Then we constructed the residual resistivity images using electrical resistivity tomography (ERT and plotted the diagrams of apparent resistivity anisotropy coefficient (ARAC λ∗ and the included angle α between the major axis of apparent resistivity anisotropy ellipse and the axis of load with pressure and effective depth. Our results show that with increasing pressure, resistivity and the decreased (D region and increased (I region resistivity regions have complex behaviors, but when pressure is higher than a certain value, the average resistivity decrease and the area of D region expand gradually in all time with the increase of pressure, which may be significant to the monitoring and prediction of earthquake, volcanic activities, and large-scale geologic motions. The effects of pressure on λ∗ and α are not very outstanding for dry magnetite samples.

  3. Prevalence of methicillin-resistant Staphylococcus aureus skin and nasal carriage isolates from bovines and its antibiogram

    Directory of Open Access Journals (Sweden)

    Alok Kumar

    2017-06-01

    Full Text Available Aim: This study was conducted to determine the prevalence of methicillin-resistant Staphylococcus aureus (MRSA in cattle and buffalo and to study their antibiotic resistance pattern. Materials and Methods: A total of 136 samples (skin and nasal swab from cattle and buffalo were collected. MRSA was identified by conventional bacterial culture techniques which were further confirmed by amplification of S. aureus-specific 16S rRNA by polymerase chain reaction (PCR. The isolates were further analyzed for the presence of mecA gene by PCR. The antimicrobial susceptibility profiling was performed by disc diffusion method. Results: The prevalence of MRSA in the current study was 28.57% and 34.28% in cattle nasal and skin swab, respectively, with an overall prevalence of 31.43% MRSA among cattle. Buffalo nasal and skin sample showed MRSA prevalence of 54.55% and 39.4%, respectively, with 46.9% overall prevalence. PCR could detect mecA gene in 36.4% and 58% MRSA isolates from cattle and buffalo, respectively. Antimicrobial susceptibility test found MRSA resistant to penicillin and oxytetracycline (88% each, cefoxitin (75%, cotrimoxazole (62%, and amoxyclav (50%. 100% sensitivity was observed against ciprofloxacin, amikacin, chloramphenicol, and gentamicin. Three (16.7% MRSA isolates from buffalo were found resistant to vancomycin. Conclusion: Cattle and buffalo were identified as a potential carrier of MRSA in Bihar (India. The isolation of vancomycinresistant S. aureus (VRSA in the current study indicates the emergence of VRSA in animal population which may be transmitted to the human beings working in close contact to the animals.

  4. Determination of antimicrobial and heavy metal resistance profiles of some bacteria isolated from aquatic amphibian and reptile species.

    Science.gov (United States)

    Hacioglu, Nurcihan; Tosunoglu, Murat

    2014-01-01

    The aim of the present study was to determine the level of antibiotic resistance patterns and distribution of heavy metal resistance of bacterial isolates from aquatic animals (Lissotriton vulgaris, Pelophylax ridibundus, Emys orbicularis, Mauremys rivulata, and Natrix natrix) in Turkey (Kavak Delta). A total of 153 bacteria have been successfully isolated from cloaca and oral samples of the aquatic amphibians and reptilians which were found, namely, Aeromonas sp. (n = 29), Plesiomonas sp. (n = 7), Vibrio sp. (n = 12), Citrobacter sp. (n = 12), Enterobacter sp. (n = 11), Escherichia sp. (n = 22), Klebsiella sp. (n = 22), Edwardsiella sp. (n = 6), Hafnia sp. (n = 1), Proteus sp. (n = 19), Providencia sp. (n = 8), and Pseudomonas sp. (n = 4). In terms of antibiotic and heavy metal susceptibility testing, each isolate was tested against 12 antibiotics and 4 metals. There was a high incidence of resistance to cefoxitin (46.40 %), ampicillin (44.44 %), erythromycin (35.29 %), and a low incidence of resistance to gentamicin (6.53 %), kanamycin (8.49 %), chloramphenicol (9.15 %), and cefotaxime (10.45 %). The multiple antibiotic resistance index of each bacterial species indicated that bacteria from raised amphibians and reptiles have been exposed to tested antibiotics, with results ranging from 0 to 0.58. Most isolates showed tolerance to different concentrations of heavy metals, and minimal inhibition concentrations ranged from100 to >3,200 μg/mL. According to these results, a significant occurrence of bacteria in the internal organs of reptiles and amphibians, with a high incidence of resistance against antibiotics and heavy metals, may risk aquatic animals and the public health. These data appoint the importance of epidemiological surveillance and microbiological monitoring and reinforce the need to implement environment protection programs for amphibian and reptile species.

  5. Selection for chlorpyrifos resistance in Liriomyza sativae Blanchard: Cross-resistance patterns, stability and biochemical mechanisms.

    Science.gov (United States)

    Askari-Saryazdi, Ghasem; Hejazi, Mir Jalil; Ferguson, J Scott; Rashidi, Mohammad-Reza

    2015-10-01

    The vegetable leafminer (VLM), Liriomyza sativae (Diptera: Agromyzidae) is a serious pest of vegetable crops and ornamentals worldwide. In cropping systems with inappropriate management strategies, development of resistance to insecticides in leafminers is probable. Chlorpyrifos is a commonly used pesticide for controlling leafminers in Iran, but resistance to this insecticide in leafminers has not been characterized. In order to develop strategies to minimize resistance in the field and greenhouse, a laboratory selected chlorpyrifos resistant strain of L. sativae was used to characterize resistance and determine the rate of development and stability of resistance. Selecting for resistance in the laboratory after 23 generations yielded a chlorpyrifos resistant selected strain (CRSS) with a resistance ratio of 40.34, determined on the larval stage. CRSS exhibited no cross-resistance to other tested insecticides except for diazinon. Synergism and biochemical assays indicated that esterases (EST) had a key role in metabolic resistance to chlorpyrifos, but glutathione S-transferase (GST) and mixed function oxidase (MFO) were not mediators in this resistance. In CRSS acetylcholinesterase (AChE) was more active than the susceptible strain, Sharif (SH). AChE in CRSS was also less sensitive to inhibition by propoxur. The kinetics parameters (Km and Vmax) of AChE indicated that affinities and hydrolyzing efficiencies of this enzyme in CRSS were higher than SH. Susceptibility to chlorpyrifos in L. sativae was re-gained in the absence of insecticide pressure. Synergism, biochemical and cross-resistance assays revealed that overactivity of metabolic enzymes and reduction in target site sensitivity are probably joint factors in chlorpyrifos resistance. An effective insecticide resistance management program is necessary to prevent fast resistance development in crop systems. Copyright © 2015 Elsevier Inc. All rights reserved.

  6. Resistance of Bacteria to Biocides.

    Science.gov (United States)

    Maillard, Jean-Yves

    2018-04-01

    Biocides and formulated biocides are used worldwide for an increasing number of applications despite tightening regulations in Europe and in the United States. One concern is that such intense usage of biocides could lead to increased bacterial resistance to a product and cross-resistance to unrelated antimicrobials including chemotherapeutic antibiotics. Evidence to justify such a concern comes mostly from the use of health care-relevant bacterial isolates, although the number of studies of the resistance characteristics of veterinary isolates to biocides have increased the past few years. One problem remains the definition of "resistance" and how to measure resistance to a biocide. This has yet to be addressed globally, although the measurement of resistance is becoming more pressing, with regulators both in Europe and in the United States demanding that manufacturers provide evidence that their biocidal products will not impact on bacterial resistance. Alongside in vitro evidence of potential antimicrobial cross-resistance following biocide exposure, our understanding of the mechanisms of bacterial resistance and, more recently, our understanding of the effect of biocides to induce a mechanism(s) of resistance in bacteria has improved. This article aims to provide an understanding of the development of antimicrobial resistance in bacteria following a biocide exposure. The sections provide evidence of the occurrence of bacterial resistance and its mechanisms of action and debate how to measure bacterial resistance to biocides. Examples pertinent to the veterinary field are used where appropriate.

  7. Antimicrobial Resistance and Genotypic Diversity of Campylobacter Isolated from Pig, Dairy and Beef Cattle in Tanzania

    Directory of Open Access Journals (Sweden)

    Isaac eKashoma

    2015-11-01

    Full Text Available Foodborne Campylobacter infections pose a serious threat to public health worldwide. However, the occurrence and characteristics of Campylobacter in food animals and products remain largely unknown in Tanzania. The objective of this study was to determine the prevalence, antibiotic resistance, and genetic profiles (sequence types, STs of Campylobacter isolated from feces of pigs and dairy and beef cattle in Tanzania. Overall, 259 (~ 30% of 864 samples were positive for Campylobacter spp, which were detected in 32.5%, 35.4%, and 19.6% of the pig, dairy, and beef cattle samples, respectively. Multiplex PCR analysis identified 64.5% and 29.3% of the Campylobacter isolates as C. coli and C. jejuni, respectively. The majority (91.9% of the isolates from pig samples were identified as C. coli, while C. jejuni accounted for 65.5% of the isolates from cattle. Antimicrobial susceptibility testing using the disk diffusion assay and the broth microdilution method revealed resistance to: ampicillin (70% and 76%, gentamicin (1.8% and 12.6%, respectively, streptomycin (65.8% and 74.8%, erythromycin (41.4% and 48.7%, tetracycline (18.9% and 23.4%, and ciprofloxacin (14.4% and 7.2%. Resistance to nalidixic acid (39.6%, azithromycin (13.5%, and chloramphenicol (4.5% was determined using the disk diffusion assay only, while resistance to tylosin (38.7% was quantified using the broth microdilution method. Multilocus sequence typing of 111 Campylobacter isolates resulted in the identification of 48 STs (26 C. jejuni and 22 C. coli of which 7 were novel (6 C. jejuni and 1 C. coli. Taken together, this study revealed the high prevalence, genetic diversity and antimicrobial resistance of Campylobacter in important food animals in Tanzania, which highlights the urgent need for the surveillance and control of Campylobacter in this country.

  8. Systematic drug screening reveals specific vulnerabilities and co-resistance patterns in endocrine-resistant breast cancer.

    Science.gov (United States)

    Kangaspeska, Sara; Hultsch, Susanne; Jaiswal, Alok; Edgren, Henrik; Mpindi, John-Patrick; Eldfors, Samuli; Brück, Oscar; Aittokallio, Tero; Kallioniemi, Olli

    2016-07-04

    The estrogen receptor (ER) inhibitor tamoxifen reduces breast cancer mortality by 31 % and has served as the standard treatment for ER-positive breast cancers for decades. However, 50 % of advanced ER-positive cancers display de novo resistance to tamoxifen, and acquired resistance evolves in 40 % of patients who initially respond. Mechanisms underlying resistance development remain poorly understood and new therapeutic opportunities are urgently needed. Here, we report the generation and characterization of seven tamoxifen-resistant breast cancer cell lines from four parental strains. Using high throughput drug sensitivity and resistance testing (DSRT) with 279 approved and investigational oncology drugs, exome-sequencing and network analysis, we for the first time, systematically determine the drug response profiles specific to tamoxifen resistance. We discovered emerging vulnerabilities towards specific drugs, such as ERK1/2-, proteasome- and BCL-family inhibitors as the cells became tamoxifen-resistant. Co-resistance to other drugs such as the survivin inhibitor YM155 and the chemotherapeutic agent paclitaxel also occurred. This study indicates that multiple molecular mechanisms dictate endocrine resistance, resulting in unexpected vulnerabilities to initially ineffective drugs, as well as in emerging co-resistances. Thus, combatting drug-resistant tumors will require patient-tailored strategies in order to identify new drug vulnerabilities, and to understand the associated co-resistance patterns.

  9. Camptothecin resistance

    DEFF Research Database (Denmark)

    Brangi, M; Litman, Thomas; Ciotti, M

    1999-01-01

    . Glucuronides were found at equal levels in both parental and resistant colon cancer cell lines for epirubicin and to a lesser extent for SN-38 and mitoxantrone. Low levels of glucuronidation could also be detected in the resistant breast cancer cells. These results were confirmed by analysis of the UGT1A...

  10. Enterobacter and Klebsiella species isolated from fresh vegetables marketed in Valencia (Spain) and their clinically relevant resistances to chemotherapeutic agents.

    Science.gov (United States)

    Falomir, María Pilar; Rico, Hortensia; Gozalbo, Daniel

    2013-12-01

    Occurrence of antibiotic-resistant pathogenic or commensal enterobacteria in marketed agricultural foodstuffs may contribute to their incorporation into the food chain and constitutes an additional food safety concern. In this work, we have determined the clinically relevant resistances to 11 common chemotherapeutic agents in Enterobacter and Klebsiella isolates from fresh vegetables from various sources (supermarkets and greengrocers' shops in Valencia, Spain). A total of 96 isolates were obtained from 160 vegetables analyzed (50% positive samples): 68 Enterobacter isolates (59 E. cloacae, two E. aerogenes, two E. cancerogenus, one E. gergoviae, and four E. sakazakii, currently Cronobacter spp.), and 28 Klebsiella isolates (19 K. oxytoca and 9 K. pneumoniae). Only seven isolates were susceptible to all agents tested, and no resistances to ceftazidime, ciprofloxacin, gentamicin, and chloramphenicol were detected. Most isolates were resistant to amoxicillin/clavulanic acid (74 [58 Enterobacter and 16 Klebsiella]) or to ampicillin (80 [55/25]). Other resistances were less frequent: nitrofurantoin (13 isolates [12/1]), tetracycline (6 [5/1]), co-trimoxazole (3 [3/0]), cefotaxime (1 [1/0]), and streptomycin (2 [1/1]). Multiresistant isolates to two (56 [41/15]), three (10 E. cloacae isolates), four (one E. cloacae and one K. pneumoniae isolate), and five (two E. cloacae isolates) chemotherapeutic agents were also detected. The presence of potential pathogens points to marketed fresh produce, which often is eaten raw, as a risk factor for consumer health. In addition, these results support the usefulness of these bacterial species as indicators of the spreading of antibiotic resistances into the environment, particularly in the food chain, and suggest their role as carriers of resistance determinants from farms to consumers, which may constitute an additional "silent" food safety concern. Therefore, there is a need to improve the hygienic quality of marketed fresh

  11. Regicide and Resistance

    DEFF Research Database (Denmark)

    Flohr, Mikkel

    2016-01-01

    This article examines the role of resistance in Michel Foucault’s political thought. The article recovers this otherwise obscured aspect of Foucault’s thought through a systematic analysis of his theoretical regicide and consequent reconceptualization of power, agency and resistance. It is argued...... that Foucault developed a highly original account of resistance, which was, and should continue to be considered, central to his thought and its critical potential. It is shown how Foucault’s concept of resistance overcomes the limitation of voluntarism and determinism, which continue to mare contemporary...... political theory, providing a passage from the critique of contemporary configurations of power to the irrepressible possibility that they may be contested and changed....

  12. The effect of electrode contact resistance and capacitive coupling on Complex Resistivity measurements

    DEFF Research Database (Denmark)

    Ingeman-Nielsen, Thomas

    2006-01-01

    The effect of electrode contact resistance and capacitive coupling on complex resistivity (CR) measurements is studied in this paper. An equivalent circuit model for the receiver is developed to describe the effects. The model shows that CR measurements are severely affected even at relatively lo...... with the contact resistance artificially increased by resistors. The results emphasize the importance of keeping contact resistance low in CR measurements....

  13. Animation of Antimicrobial Resistance

    Medline Plus

    Full Text Available ... Veterinary Safety & Health Antimicrobial Resistance Animation of Antimicrobial Resistance Share Tweet Linkedin Pin it More sharing options ... produced a nine-minute animation explaining how antimicrobial resistance both emerges and proliferates among bacteria. Over time, ...

  14. Animation of Antimicrobial Resistance

    Medline Plus

    Full Text Available ... Animal & Veterinary Safety & Health Antimicrobial Resistance Animation of Antimicrobial Resistance Share Tweet Linkedin Pin it More sharing ... CVM) produced a nine-minute animation explaining how antimicrobial resistance both emerges and proliferates among bacteria. Over ...

  15. Phenotypic Resistance to Antibiotics

    Directory of Open Access Journals (Sweden)

    Jose L. Martinez

    2013-04-01

    Full Text Available The development of antibiotic resistance is usually associated with genetic changes, either to the acquisition of resistance genes, or to mutations in elements relevant for the activity of the antibiotic. However, in some situations resistance can be achieved without any genetic alteration; this is called phenotypic resistance. Non-inherited resistance is associated to specific processes such as growth in biofilms, a stationary growth phase or persistence. These situations might occur during infection but they are not usually considered in classical susceptibility tests at the clinical microbiology laboratories. Recent work has also shown that the susceptibility to antibiotics is highly dependent on the bacterial metabolism and that global metabolic regulators can modulate this phenotype. This modulation includes situations in which bacteria can be more resistant or more susceptible to antibiotics. Understanding these processes will thus help in establishing novel therapeutic approaches based on the actual susceptibility shown by bacteria during infection, which might differ from that determined in the laboratory. In this review, we discuss different examples of phenotypic resistance and the mechanisms that regulate the crosstalk between bacterial metabolism and the susceptibility to antibiotics. Finally, information on strategies currently under development for diminishing the phenotypic resistance to antibiotics of bacterial pathogens is presented.

  16. Resistance and Resistant Reaction of Gossypium arboreum to the Reniform, Nematode, Rotylenchulus reniformis

    Science.gov (United States)

    Carter, William W.

    1981-01-01

    Gossypium arboreum 'Nanking CB 1402' possessed a high level of resistance to Rotylenchulus reniformis. Within 16 h, the nematode penetrated roots of resistant and susceptible cottons equally. After 36 h, significantly fewer nematodes were found in resistant roots. Larvae fed in either an endodermal or pericyclic cell and had no specificity for root tissue of a particular age. In roots of resistant G. arboreum '1402,' wall breakdown of pericyclic cells was evident after 3 d, endodermal and cortical cells collapsed, and the hypertrophied pericyclic cells disintegrated within 12 d. Cell walls immediately adjacent to the nematode's head were thickened and more safranin positive in resistant than in susceptible cotton cultivars. Several other cultivars of G. arboreum were also resistant to R. reniformis, based on nematode fecundity and percent egg reduction. PMID:19300777

  17. Metabolic Resistance in Bed Bugs

    Directory of Open Access Journals (Sweden)

    Omprakash Mittapalli

    2011-03-01

    Full Text Available Blood-feeding insects have evolved resistance to various insecticides (organochlorines, pyrethroids, carbamates, etc. through gene mutations and increased metabolism. Bed bugs (Cimex lectularius are hematophagous ectoparasites that are poised to become one of the major pests in households throughout the United States. Currently, C. lectularius has attained a high global impact status due to its sudden and rampant resurgence. Resistance to pesticides is one factor implicated in this phenomenon. Although much emphasis has been placed on target sensitivity, little to no knowledge is available on the role of key metabolic players (e.g., cytochrome P450s and glutathione S-transferases towards pesticide resistance in C. lectularius. In this review, we discuss different modes of resistance (target sensitivity, penetration resistance, behavioral resistance, and metabolic resistance with more emphasis on metabolic resistance.

  18. Molecular Detection of Antimicrobial Resistance

    Science.gov (United States)

    Fluit, Ad C.; Visser, Maarten R.; Schmitz, Franz-Josef

    2001-01-01

    The determination of antimicrobial susceptibility of a clinical isolate, especially with increasing resistance, is often crucial for the optimal antimicrobial therapy of infected patients. Nucleic acid-based assays for the detection of resistance may offer advantages over phenotypic assays. Examples are the detection of the methicillin resistance-encoding mecA gene in staphylococci, rifampin resistance in Mycobacterium tuberculosis, and the spread of resistance determinants across the globe. However, molecular assays for the detection of resistance have a number of limitations. New resistance mechanisms may be missed, and in some cases the number of different genes makes generating an assay too costly to compete with phenotypic assays. In addition, proper quality control for molecular assays poses a problem for many laboratories, and this results in questionable results at best. The development of new molecular techniques, e.g., PCR using molecular beacons and DNA chips, expands the possibilities for monitoring resistance. Although molecular techniques for the detection of antimicrobial resistance clearly are winning a place in routine diagnostics, phenotypic assays are still the method of choice for most resistance determinations. In this review, we describe the applications of molecular techniques for the detection of antimicrobial resistance and the current state of the art. PMID:11585788

  19. Animation of Antimicrobial Resistance

    Medline Plus

    Full Text Available ... Animal & Veterinary Safety & Health Antimicrobial Resistance Animation of Antimicrobial Resistance Share Tweet Linkedin Pin it More sharing options ... CVM) produced a nine-minute animation explaining how antimicrobial resistance both emerges and proliferates among bacteria. Over time, ...

  20. Fate of antibiotic resistant bacteria and genes during wastewater chlorination: implication for antibiotic resistance control.

    Directory of Open Access Journals (Sweden)

    Qing-Bin Yuan

    Full Text Available This study investigated fates of nine antibiotic-resistant bacteria as well as two series of antibiotic resistance genes in wastewater treated by various doses of chlorine (0, 15, 30, 60, 150 and 300 mg Cl2 min/L. The results indicated that chlorination was effective in inactivating antibiotic-resistant bacteria. Most bacteria were inactivated completely at the lowest dose (15 mg Cl2 min/L. By comparison, sulfadiazine- and erythromycin-resistant bacteria exhibited tolerance to low chlorine dose (up to 60 mg Cl2 min/L. However, quantitative real-time PCRs revealed that chlorination decreased limited erythromycin or tetracycline resistance genes, with the removal levels of overall erythromycin and tetracycline resistance genes at 0.42 ± 0.12 log and 0.10 ± 0.02 log, respectively. About 40% of erythromycin-resistance genes and 80% of tetracycline resistance genes could not be removed by chlorination. Chlorination was considered not effective in controlling antimicrobial resistance. More concern needs to be paid to the potential risk of antibiotic resistance genes in the wastewater after chlorination.

  1. Evaluating the Frequency of aac(6')-IIa, ant(2″)-I, intl1, and intl2 Genes in Aminoglycosides Resistant Klebsiella pneumoniae Isolates Obtained from Hospitalized Patients in Yazd, Iran.

    Science.gov (United States)

    Mokhtari, Hesam; Eslami, Gilda; Zandi, Hengameh; Dehghan-Banadkouki, Amin; Vakili, Mahmood

    2018-01-01

    Klebsiella pneumoniae (K. pneumoniae) is an opportunistic pathogen that could be resistant to many antimicrobial agents. Resistance genes can be carried among gram-negative bacteria by integrons. Enzymatic inactivation is the most important mechanism of resistance to aminoglycosides. In this study, the frequencies of two important resistance gene aac(6')-II a and ant(2″)-I, and genes coding integrase I and II, in K. pneumoniae isolates resistant to aminoglycosides were evaluated. In this cross-sectional study, an attempt was made to assess the antibiotic susceptibility of 130 K. pneumoniae isolates obtained from different samples of patients hospitalized in training hospitals of Yazd evaluated by disk diffusion method. The frequencies of aac(6')-II a, ant(2″)-I, intl1 , and intl2 genes were determined by PCR method. Data were analyzed by chi-square method using SPSS software (Ver. 16). our results showed that resistance to gentamicin, tobramycin, kanamycin, and amikacin were 34.6, 33.8, 43.8, and 14.6%, respectively. The frequencies of aac (6')-II a, ant(2″)-I, intl1 , and intl2 genes were 44.6, 27.7, 90, and 0%, respectively. This study showed there are high frequencies of genes coding aminoglycosides resistance in K. pneumoniae isolates. Hence, it is very important to monitor and inhibit the spread of antibiotic resistance genes.

  2. The Resistance of E.coli in Child Patients in Bingöl Region

    Directory of Open Access Journals (Sweden)

    İlhan Geçit

    2012-07-01

    Full Text Available Aim: In this study, it has been aimed to put forward the resistance of the antibiotic in urinary infections caused by E.coli. Material and Method: The samples of the urine culture sent from 1412 patients who referred to Bingol State Hospital with the suspicion of urinary tract infection between 2007-2011 were retrospectively analyzed. Those who have recently used the antibiotic were excluded from the study. Results: Of the urine cultures sent from 1412 patients with the suspicion of urinary tract infection, there was reproduction in 113 (8%. E.coli was proliferated in 78 patients (69% detected the reproduction in their urine culture. The gender distribution of the patients proliferated E.coli in their urine culture was respectively 13 male (17% and 65 girls (83%. The age range of the children detected the urinary tract infection acquired from the community was under 7 years 39%. The resistance rates of antibiotic for E.coli were found to be 71% for ampicillin, 53% for amoksilin-clavulanate, 51% for co-trimaksazol, 48% for cephalothin, 37% for cefuroxime, 30% for ciprofloxacin, 25% for cefepime, % 21 for norfloxacin, 21% for gentamicin, 6% for sulbactam-seforazom, 2% for amikacin, and 0% for imipenem and meropenem. Discussion: The resistance rates occurring against the antibiotics are getting more and more important because there has been a longer life expectancy in the age group of the children. For this reason, potential uropathogens and antibiotic sensitivities in children should be considered in the treatment by following closely.

  3. Hydraulic resistance of biofilms

    KAUST Repository

    Dreszer, C.

    2013-02-01

    Biofilms may interfere with membrane performance in at least three ways: (i) increase of the transmembrane pressure drop, (ii) increase of feed channel (feed-concentrate) pressure drop, and (iii) increase of transmembrane passage. Given the relevance of biofouling, it is surprising how few data exist about the hydraulic resistance of biofilms that may affect the transmembrane pressure drop and membrane passage. In this study, biofilms were generated in a lab scale cross flow microfiltration system at two fluxes (20 and 100Lm-2h-1) and constant cross flow (0.1ms-1). As a nutrient source, acetate was added (1.0mgL-1 acetate C) besides a control without nutrient supply. A microfiltration (MF) membrane was chosen because the MF membrane resistance is very low compared to the expected biofilm resistance and, thus, biofilm resistance can be determined accurately. Transmembrane pressure drop was monitored. As biofilm parameters, thickness, total cell number, TOC, and extracellular polymeric substances (EPS) were determined, it was demonstrated that no internal membrane fouling occurred and that the fouling layer actually consisted of a grown biofilm and was not a filter cake of accumulated bacterial cells. At 20Lm-2h-1 flux with a nutrient dosage of 1mgL-1 acetate C, the resistance after 4 days reached a value of 6×1012m-1. At 100Lm-2h-1 flux under the same conditions, the resistance was 5×1013m-1. No correlation of biofilm resistance to biofilm thickness was found; Biofilms with similar thickness could have different resistance depending on the applied flux. The cell number in biofilms was between 4×107 and 5×108 cellscm-2. At this number, bacterial cells make up less than a half percent of the overall biofilm volume and therefore did not hamper the water flow through the biofilm significantly. A flux of 100Lm-2h-1 with nutrient supply caused higher cell numbers, more biomass, and higher biofilm resistance than a flux of 20Lm-2h-1. However, the biofilm thickness

  4. A 5-year Surveillance Study on Antimicrobial Resistance of Acinetobacter baumannii Clinical Isolates from a Tertiary Greek Hospital.

    Science.gov (United States)

    Maraki, Sofia; Mantadakis, Elpis; Mavromanolaki, Viktoria Eirini; Kofteridis, Diamantis P; Samonis, George

    2016-09-01

    Acinetobacter baumannii has emerged as a major cause of nosocomial outbreaks. It is particularly associated with nosocomial pneumonia and bloodstream infections in immunocompromised and debilitated patients with serious underlying pathologies. Over the last two decades, a remarkable rise in the rates of multidrug resistance to most antimicrobial agents that are active against A. baumannii has been noted worldwide. We evaluated the rates of antimicrobial resistance and changes in resistance over a 5-year period (2010-2014) in A. baumannii strains isolated from hospitalized patients in a tertiary Greek hospital. Identification of A. baumannii was performed by standard biochemical methods and the Vitek 2 automated system, which was also used for susceptibility testing against 18 antibiotics: ampicillin/sulbactam, ticarcillin, ticarcillin/clavulanic acid, piperacillin, piperacillin/tazobactam, cefotaxime, ceftazidime, cefepime, imipenem, meropenem, gentamicin, amikacin, tobramycin, ciprofloxacin, tetracycline, tigecycline, trimethoprim/sulfamethoxazole, and colistin. Interpretation of susceptibility results was based on the Clinical and Laboratory Standards Institute criteria, except for tigecycline, for which the Food and Drug Administration breakpoints were applied. Multidrug resistance was defined as resistance to ≥3 classes of antimicrobial agents. Overall 914 clinical isolates of A. baumannii were recovered from the intensive care unit (ICU) (n = 493), and medical (n = 252) and surgical (n = 169) wards. Only 4.9% of these isolates were fully susceptible to the antimicrobials tested, while 92.89% of them were multidrug resistant (MDR), i.e., resistant to ≥3 classes of antibiotics. ICU isolates were the most resistant followed by isolates from surgical and medical wards. The most effective antimicrobial agents were, in descending order: colistin, amikacin, trimethoprim/sulfamethoxazole, tigecycline, and tobramycin. Nevertheless, with the exception of colistin

  5. Cross-resistance and biochemical mechanisms of resistance to indoxacarb in the diamondback moth, Plutella xylostella.

    Science.gov (United States)

    Zhang, Shuzhen; Zhang, Xiaolei; Shen, Jun; Li, Dongyang; Wan, Hu; You, Hong; Li, Jianhong

    2017-08-01

    Indoxacarb belongs to a class of insecticides known as oxadiazines and is the first commercialized pyrazoline-type voltage-dependent sodium channel blocker. A moderate level of resistance to indoxacarb has evolved in field populations of Plutella xylostella from Central China. In the present study, cross-resistance, resistance stability and metabolic mechanisms of indoxacarb resistance were investigated in this moth species. A P. xylostella strain with a high level of resistance to indoxacarb was obtained through continuous selection in the laboratory. The strain showed cross-resistance to metaflumizone, beta-cypermethrin and chlorfenapyr, but no resistance to cyantraniliprole, chlorantraniliprole, abamectin, chlorfluazuron, spinosad and diafenthiuron compared with the susceptible strain. Synergism tests revealed that piperonyl butoxide (PBO) (synergistic ratio, SR=7.8) and diethyl maleate (DEF) (SR=3.5) had considerable synergistic effects on indoxacarb toxicity in the resistant strain (F 58 ). Enzyme activity data showed there was an approximate 5.8-fold different in glutathione S-transferase (GST) and a 6.8-fold different in cytochrome P450 monooxygenase between the resistant strain (F 58 ) and susceptible strain, suggesting that the increased activity of these two enzymes is likely the main detoxification mechanism responsible for the species' resistance to indoxacarb. These results will be helpful for insecticide resistance management strategies to delay the development of indoxacarb resistance in fields. Copyright © 2017. Published by Elsevier Inc.

  6. Multi-Electrode Resistivity Probe for Investigation of Local Temperature Inside Metal Shell Battery Cells via Resistivity: Experiments and Evaluation of Electrical Resistance Tomography

    Directory of Open Access Journals (Sweden)

    Xiaobin Hong

    2015-01-01

    Full Text Available Direct Current (DC electrical resistivity is a material property that is sensitive to temperature changes. In this paper, the relationship between resistivity and local temperature inside steel shell battery cells (two commercial 10 Ah and 4.5 Ah lithium-ion cells is innovatively studied by Electrical Resistance Tomography (ERT. The Schlumberger configuration in ERT is applied to divide the cell body into several blocks distributed in different levels, where the apparent resistivities are measured by multi-electrode surface probes. The investigated temperature ranges from −20 to 80 °C. Experimental results have shown that the resistivities mainly depend on temperature changes in each block of the two cells used and the function of the resistivity and temperature can be fitted to the ERT-measurement results in the logistical-plot. Subsequently, the dependence of resistivity on the state of charge (SOC is investigated, and the SOC range of 70%–100% has a remarkable impact on the resistivity at low temperatures. The proposed approach under a thermal cool down regime is demonstrated to monitor the local transient temperature.

  7. Oxidation-resistant cermet

    Science.gov (United States)

    Phillips, W. M.

    1977-01-01

    Chromium metal alloys and chromium oxide ceramic are combined to produce cermets with oxidation-resistant properties. Application of cermets includes use in hot corrosive environments requiring strong resistive materials.

  8. Compound list: gentamicin [Open TG-GATEs

    Lifescience Database Archive (English)

    Full Text Available gentamicin GMC 00147 ftp://ftp.biosciencedbc.jp/archive/open-tggates/LATEST/Rat/in_vitro/gentam...icin.Rat.in_vitro.Liver.zip ftp://ftp.biosciencedbc.jp/archive/open-tggates/LATEST/Rat/in_vivo/Liver/Single/gentam...at/in_vivo/Liver/Repeat/gentamicin.Rat.in_vivo.Liver.Repeat.zip ftp://ftp.bioscie...ncedbc.jp/archive/open-tggates/LATEST/Rat/in_vivo/Kidney/Single/gentamicin.Rat.in_vivo.Kidney.Single.zip ftp...://ftp.biosciencedbc.jp/archive/open-tggates/LATEST/Rat/in_vivo/Kidney/Repeat/gentamicin.Rat.in_vivo.Kidney.Repeat.zip ...

  9. Low Prevalence of Carbapenem-Resistant Bacteria in River Water: Resistance Is Mostly Related to Intrinsic Mechanisms.

    Science.gov (United States)

    Tacão, Marta; Correia, António; Henriques, Isabel S

    2015-10-01

    Carbapenems are last-resort antibiotics to handle serious infections caused by multiresistant bacteria. The incidence of resistance to these antibiotics has been increasing and new resistance mechanisms have emerged. The dissemination of carbapenem resistance in the environment has been overlooked. The main goal of this research was to assess the prevalence and diversity of carbapenem-resistant bacteria in riverine ecosystems. The presence of frequently reported carbapenemase-encoding genes was inspected. The proportion of imipenem-resistant bacteria was on average 2.24 CFU/ml. Imipenem-resistant strains (n=110) were identified as Pseudomonas spp., Stenotrophomonas maltophilia, Aeromonas spp., Chromobacterium haemolyticum, Shewanella xiamenensis, and members of Enterobacteriaceae. Carbapenem-resistant bacteria were highly resistant to other beta-lactams such as quinolones, aminoglycosides, chloramphenicol, tetracyclines, and sulfamethoxazole/trimethoprim. Carbapenem resistance was mostly associated with intrinsically resistant bacteria. As intrinsic resistance mechanisms, we have identified the blaCphA gene in 77.3% of Aeromonas spp., blaL1 in all S. maltophilia, and blaOXA-48-like in all S. xiamenensis. As acquired resistance mechanisms, we have detected the blaVIM-2 gene in six Pseudomonas spp. (5.45%). Integrons with gene cassettes encoding resistance to aminoglycosides (aacA and aacC genes), trimethoprim (dfrB1b), and carbapenems (blaVIM-2) were found in Pseudomonas spp. Results suggest that carbapenem resistance dissemination in riverine ecosystems is still at an early stage. Nevertheless, monitoring these aquatic compartments for the presence of resistance genes and its host organisms is essential to outline strategies to minimize resistance dissemination.

  10. Antimicrobial resistance of thermophilic Campylobacter

    DEFF Research Database (Denmark)

    Aarestrup, Frank Møller; Engberg, J.

    2001-01-01

    Campylobacter has become the leading cause of zoonotic enteric infections in developed and developing countries world-wide. Antimicrobial resistance has emerged among Campylobacter mainly as a consequence of the use of antimicrobial agents in food animal production. Resistance to drugs of choice...... for the treatment of infections, macrolides and fluoroquinolones has emerged as a clinical problem and interventions to reduce this are recommended. Resistance to fluoroquinolones and macrolides is mediated by chromosomal mutations. Resistance to other relevant antimicrobial agents, mediated by acquired resistance...... genes, has not become widespread so far. However, resistance genes originating from both Gram-positive and Gram-negative bacterial species have been found, showing the potential for acquired resistance to emerge in Campylobacter....

  11. Resistance patterns and trends of extensively drug-resistant tuberculosis: 5-year experience

    Directory of Open Access Journals (Sweden)

    Amresh Kumar Singh

    2013-12-01

    Full Text Available Objective:Extensively drug-resistant tuberculosis (XDR-TB strains were emerged when multidrug-resistant TB (MDR- TB was inadequately treated. Inadequate treatment of MDR-TB cases may result in additional resistance especially non-XDR-TB and then XDR-TB. The aim of this study was to know the prevalence, resistance patterns and trends of the XDR-TB strains among the MDR-TB at a tertiary care hospital in Lucknow, India Methods: A total of 430 Mycobacterium isolates were underwent NAP test and TB MPT64 Ag test for the identification of Mycobacterium tuberculosis complex (MTBC. Drug-susceptibility test (DST was performed over MTBC for the first line drugs by 1% proportion method (Bactec and for the second-line drugs by 1% proportion method (Lowenstein- Jensen media. The XDR-TB status was further confirmed by line probe assay (GenoType® MTBDRsl assay. Results: Among the 430 isolates of mycobacterium, 365 (84.9% were MTBC and 139 (38.1% were MDR-TB respectively. Further 97 MDR-TB from “highly suspected drug resistant-TB (DR-TB” cases among MDR-TB were tested with second line drugs in which 15 (15.5% XDR-TB and 82 (84.5% were non-XDR-TB. Regarding XDR-TB status, using the 1% proportion method a 100% agreement was seen with the GenoType® MTBDRsl assay. Resistance patterns of XDR-TB were as; 10/15 (66.7% as isoniazid + rifampicin + ciprofloxacin + amikacin resistance and 5/15 (33.3% as isoniazid + rifampicin + ciprofloxacin + amikacin + kanamycin resistance. Conclusion:The prevalence of XDR-TB was 15.5% among MDR-TB. Hence laboratory testing of “highly suspected drug resistant-TB” isolates should be done for both first and second line drugs simultaneously especially in developing countries.J Microbiol Infect Dis 2013;3(4: 169-175

  12. Challenges to Resistance Welding

    DEFF Research Database (Denmark)

    Song, Quanfeng

    This report originates from the compulsory defense during my Ph.D. study at the Technical University of Denmark. Resistance welding is an old and well-proven technology. Yet the emergence of more and more new materials, new designs, invention off new joining techniques, and more stringent...... requirement in quality have imposed challenges to the resistance welding. More some research and development have to be done to adapt the old technology to the manufacturing industry of the 21st century. In the 1st part of the report, the challenging factors to the resistance welding are reviewed. Numerical...... simulation of resistance welding has been under development for many years. Yet it is no easy to make simulation results reliable and accurate because of the complexity of resistance welding process. In the 2nd part of the report numerical modeling of resistance welding is reviewed, some critical factors...

  13. Method of separate determination of high-ohmic sample resistance and contact resistance

    Directory of Open Access Journals (Sweden)

    Vadim A. Golubiatnikov

    2015-09-01

    Full Text Available A method of separate determination of two-pole sample volume resistance and contact resistance is suggested. The method is applicable to high-ohmic semiconductor samples: semi-insulating gallium arsenide, detector cadmium-zinc telluride (CZT, etc. The method is based on near-contact region illumination by monochromatic radiation of variable intensity from light emitting diodes with quantum energies exceeding the band gap of the material. It is necessary to obtain sample photo-current dependence upon light emitting diode current and to find the linear portion of this dependence. Extrapolation of this linear portion to the Y-axis gives the cut-off current. As the bias voltage is known, it is easy to calculate sample volume resistance. Then, using dark current value, one can determine the total contact resistance. The method was tested for n-type semi-insulating GaAs. The contact resistance value was shown to be approximately equal to the sample volume resistance. Thus, the influence of contacts must be taken into account when electrophysical data are analyzed.

  14. Efflux-mediated antimicrobial resistance.

    Science.gov (United States)

    Poole, Keith

    2005-07-01

    Antibiotic resistance continues to plague antimicrobial chemotherapy of infectious disease. And while true biocide resistance is as yet unrealized, in vitro and in vivo episodes of reduced biocide susceptibility are common and the history of antibiotic resistance should not be ignored in the development and use of biocidal agents. Efflux mechanisms of resistance, both drug specific and multidrug, are important determinants of intrinsic and/or acquired resistance to these antimicrobials, with some accommodating both antibiotics and biocides. This latter raises the spectre (as yet generally unrealized) of biocide selection of multiple antibiotic-resistant organisms. Multidrug efflux mechanisms are broadly conserved in bacteria, are almost invariably chromosome-encoded and their expression in many instances results from mutations in regulatory genes. In contrast, drug-specific efflux mechanisms are generally encoded by plasmids and/or other mobile genetic elements (transposons, integrons) that carry additional resistance genes, and so their ready acquisition is compounded by their association with multidrug resistance. While there is some support for the latter efflux systems arising from efflux determinants of self-protection in antibiotic-producing Streptomyces spp. and, thus, intended as drug exporters, increasingly, chromosomal multidrug efflux determinants, at least in Gram-negative bacteria, appear not to be intended as drug exporters but as exporters with, perhaps, a variety of other roles in bacterial cells. Still, given the clinical significance of multidrug (and drug-specific) exporters, efflux must be considered in formulating strategies/approaches to treating drug-resistant infections, both in the development of new agents, for example, less impacted by efflux and in targeting efflux directly with efflux inhibitors.

  15. Gentamicin serum concentrations in patients with gentamicin-PMMA beads for infected hip joints : A prospective observational cohort study

    NARCIS (Netherlands)

    De Klaver, Paul A.G.; Hendriks, Johannes G.E.; Van Onzenoort, Hein A.W.; Schreurs, Berend W.; Touw, Daan J.; Derijks, Luc J.J.

    Background: Gentamicin-polymethylmethacrylate (PMMA) beads release gentamicin gradually, and high concentrations develop only locally. It is unclear how frequent and in which patients gentamicin serum concentrations are measurable and possibly lead to toxicity. The aim of this study was to

  16. Antibiotic resistance in animals.

    Science.gov (United States)

    Barton, Mary D; Pratt, Rachael; Hart, Wendy S

    2003-01-01

    There is currently no systematic surveillance or monitoring of antibiotic resistance in Australian animals. Registration of antibiotics for use in animals is tightly controlled and has been very conservative. Fluoroquinolones have not been registered for use in food producing animals and other products have been removed from the market because of human health concerns. In the late 1970s, the Animal Health Committee coordinated a survey of resistance in Salmonella and Escherichia coli isolates from cattle, pigs and poultry and in bovine Staphylococcus aureus. Some additional information is available from published case reports. In samples collected prior to the withdrawal of avoparcin from the market, no vancomycin resistant Enterococcus faecium or Enterococcus faecalis were detected in samples collected from pigs, whereas some vanA enterococci, including E. faecium and E. faecalis, were found in chickens. No vanB enterococci were detected in either species. Virginiamycin resistance was common in both pig and poultry isolates. Multiple resistance was common in E. coli and salmonellae isolates. No fluoroquinolone resistance was found in salmonellae, E. coli or Campylobacter. Beta-lactamase production is common in isolates from bovine mastitis, but no methicillin resistance has been detected. However, methicillin resistance has been reported in canine isolates of Staphylococcus intermedius and extended spectrum beta-lactamase producing E. coli has been found in dogs.

  17. Systematic drug screening reveals specific vulnerabilities and co-resistance patterns in endocrine-resistant breast cancer

    International Nuclear Information System (INIS)

    Kangaspeska, Sara; Hultsch, Susanne; Jaiswal, Alok; Edgren, Henrik; Mpindi, John-Patrick; Eldfors, Samuli; Brück, Oscar; Aittokallio, Tero; Kallioniemi, Olli

    2016-01-01

    The estrogen receptor (ER) inhibitor tamoxifen reduces breast cancer mortality by 31 % and has served as the standard treatment for ER-positive breast cancers for decades. However, 50 % of advanced ER-positive cancers display de novo resistance to tamoxifen, and acquired resistance evolves in 40 % of patients who initially respond. Mechanisms underlying resistance development remain poorly understood and new therapeutic opportunities are urgently needed. Here, we report the generation and characterization of seven tamoxifen-resistant breast cancer cell lines from four parental strains. Using high throughput drug sensitivity and resistance testing (DSRT) with 279 approved and investigational oncology drugs, exome-sequencing and network analysis, we for the first time, systematically determine the drug response profiles specific to tamoxifen resistance. We discovered emerging vulnerabilities towards specific drugs, such as ERK1/2-, proteasome- and BCL-family inhibitors as the cells became tamoxifen-resistant. Co-resistance to other drugs such as the survivin inhibitor YM155 and the chemotherapeutic agent paclitaxel also occurred. This study indicates that multiple molecular mechanisms dictate endocrine resistance, resulting in unexpected vulnerabilities to initially ineffective drugs, as well as in emerging co-resistances. Thus, combatting drug-resistant tumors will require patient-tailored strategies in order to identify new drug vulnerabilities, and to understand the associated co-resistance patterns. The online version of this article (doi:10.1186/s12885-016-2452-5) contains supplementary material, which is available to authorized users

  18. Ciprofloxacin-resistant Escherichia coli in Central Greece: mechanisms of resistance and molecular identification

    Directory of Open Access Journals (Sweden)

    Mavroidi Angeliki

    2012-12-01

    Full Text Available Abstract Background Fluoroquinolone resistant E. coli isolates, that are also resistant to other classes of antibiotics, is a significant challenge to antibiotic treatment and infection control policies. In Central Greece a significant increase of ciprofloxacin-resistant Escherichia coli has occurred during 2011, indicating the need for further analysis. Methods A total of 106 ciprofloxacin-resistant out of 505 E. coli isolates consecutively collected during an eight months period in a tertiary Greek hospital of Central Greece were studied. Antimicrobial susceptibility patterns and mechanisms of resistance to quinolones were assessed, whereas selected isolates were further characterized by multilocus sequence typing and β-lactamase content. Results Sequence analysis of the quinolone-resistance determining region of the gyrA and parC genes has revealed that 63% of the ciprofloxacin-resistant E. coli harbored a distinct amino acid substitution pattern (GyrA:S83L + D87N; ParC:S80I + E84V, while 34% and 3% carried the patterns GyrA:S83L + D87N; ParC:S80I and GyrA:S83L + D87N; ParC:S80I + E84G respectively. The aac (6’-1b-cr plasmid-mediated quinolone resistance determinant was also detected; none of the isolates was found to carry the qnrA, qnrB and qnrS. Genotyping of a subset of 35 selected ciprofloxacin-resistant E. coli by multilocus sequence typing has revealed the presence of nine sequence types; ST131 and ST410 were the most prevalent and were exclusively correlated with hospital and health care associated infections, while strains belonging to STs 393, 361 and 162 were associated with community acquired infections. The GyrA:S83L + D87N; ParC:S80I + E84V substitution pattern was found exclusively among ST131 ciprofloxacin-resistant E. coli. Extended-spectrum β-lactamase-positive ST131 ciprofloxacin-resistant isolates produced CTX-M-type enzymes; eight the CTX-M-15 and one the CTX-M-3 variant. CTX-M-1 like and KPC-2 enzymes were detected

  19. Predictable Phenotypes of Antibiotic Resistance Mutations.

    Science.gov (United States)

    Knopp, M; Andersson, D I

    2018-05-15

    Antibiotic-resistant bacteria represent a major threat to our ability to treat bacterial infections. Two factors that determine the evolutionary success of antibiotic resistance mutations are their impact on resistance level and the fitness cost. Recent studies suggest that resistance mutations commonly show epistatic interactions, which would complicate predictions of their stability in bacterial populations. We analyzed 13 different chromosomal resistance mutations and 10 host strains of Salmonella enterica and Escherichia coli to address two main questions. (i) Are there epistatic interactions between different chromosomal resistance mutations? (ii) How does the strain background and genetic distance influence the effect of chromosomal resistance mutations on resistance and fitness? Our results show that the effects of combined resistance mutations on resistance and fitness are largely predictable and that epistasis remains rare even when up to four mutations were combined. Furthermore, a majority of the mutations, especially target alteration mutations, demonstrate strain-independent phenotypes across different species. This study extends our understanding of epistasis among resistance mutations and shows that interactions between different resistance mutations are often predictable from the characteristics of the individual mutations. IMPORTANCE The spread of antibiotic-resistant bacteria imposes an urgent threat to public health. The ability to forecast the evolutionary success of resistant mutants would help to combat dissemination of antibiotic resistance. Previous studies have shown that the phenotypic effects (fitness and resistance level) of resistance mutations can vary substantially depending on the genetic context in which they occur. We conducted a broad screen using many different resistance mutations and host strains to identify potential epistatic interactions between various types of resistance mutations and to determine the effect of strain

  20. Diversity of enterococcal species and characterization of high-level aminoglycoside resistant enterococci of samples of wastewater and surface water in Tunisia.

    Science.gov (United States)

    Ben Said, Leila; Klibi, Naouel; Lozano, Carmen; Dziri, Raoudha; Ben Slama, Karim; Boudabous, Abdellatif; Torres, Carmen

    2015-10-15

    One hundred-fourteen samples of wastewater (n=64) and surface-water (n=50) were inoculated in Slanetz-Bartley agar plates supplemented or not with gentamicin (SB-Gen and SB plates, respectively) for enterococci recovery. Enterococci were obtained from 75% of tested samples in SB media (72% in wastewater; 78% in surface-water), and 85 enterococcal isolates (one/positive-sample) were obtained. Enterococcus faecium was the most prevalent species (63.5%), followed by Enterococcus faecalis (20%), Enterococcus hirae (9.4%), Enterococcus casseliflavus (4.7%), and Enterococcus gallinarum/Enterococcus durans (2.4%). Antibiotic resistance detected among these enterococci was as follows [percentage/detected gene (number isolates)]: kanamycin [29%/aph(3')-IIIa (n=22)], streptomycin [8%/ant(6)-Ia (n=4)], erythromycin [44%/erm(B) (n=34)], tetracycline [18%/tet(M) (n=6)/tet(M)-tet(L) (n=9)], chloramphenicol [2%/cat(A) (n=1)], ciprofloxacin [7%] and trimethoprim-sulfamethoxazole [94%]. High-level-gentamicin resistant (HLR-G) enterococci were recovered from 15 samples in SB-Gen or SB plates [12/64 samples of wastewater (19%) and 3/50 samples of surface-water (6%)]; HLR-G isolates were identified as E. faecium (n=7), E. faecalis (n=6), and E. casseliflavus (n=2). These HLR-G enterococci carried the aac(6')-Ie-aph(2")-Ia and erm(B) genes, in addition to aph(3')-IIIa (n=10), ant(6)-Ia (n=9), tet(M) (n=13), tet(L) (n=8) and cat(A) genes (n=2). Three HLR-G enterococci carried the esp virulence gene. Sequence-types detected among HLR-G enterococci were as follows: E. faecalis (ST480, ST314, ST202, ST55, and the new ones ST531 and ST532) and E. faecium (ST327, ST12, ST296, and the new ones ST985 and ST986). Thirty-two different PFGE patterns were detected among 36 high-level-aminoglycoside-resistant enterococci recovered in water samples. Diverse genetic lineages of HLR-G enterococci were detected in wastewater and surface-water in Tunisia. Water can represent an important source for the

  1. Organization of a resistance gene cluster linked to rhizomania resistance in sugar beet

    Science.gov (United States)

    Genetic resistance to rhizomania has been in use for over 40 years. Characterization of the molecular basis for susceptibility and resistance has proved challenging. Nucleotide-binding leucine-rich-repeat-containing (NB-LRR) genes have been implicated in numerous gene-for-gene resistance interaction...

  2. An 11-year analysis of the prevalent uropathogens and the changing pattern of Escherichia coli antibiotic resistance in 38,530 community urinary tract infections, Dublin 1999-2009.

    Science.gov (United States)

    Cullen, I M; Manecksha, R P; McCullagh, E; Ahmad, S; O'Kelly, F; Flynn, R; McDermott, T E D; Murphy, P; Grainger, R; Fennell, J P; Thornhill, J A

    2013-03-01

    Knowledge of local antimicrobial resistance patterns is essential for evidence-based empirical antibiotic prescribing, and a cutoff point of 20% has been suggested as the level of resistance at which an agent should no longer be used empirically. We sought to identify the changing incidence of causative uropathogens over an 11-year period. We also examined the trends in antibiotic resistance encountered in both the pooled urine samples and those where the causative organism was Escherichia coli. A retrospective analysis of the antimicrobial resistance within the positive community urine isolates over the 11-year period, 1999 to 2009, in a single Dublin teaching hospital was performed. In total 38,530 positive urine samples processed at our laboratory originated in the community of which 23,838 (56.7%) had E. coli as the infecting organism. The prevalence of E. coli has been increasing in recent years in community UTIs with 70.4% of UTIs in the community caused by E.coli in 2009. Ampicillin and trimethoprim were the least-active agents against E. coli with mean 11-year resistance rates of 60.8 and 31.5%, respectively. Significant trends of increasing resistance over the 11-year period were identified for trimethoprim, co-amoxyclav, cefuroxime and gentamicin. Ciprofloxacin remains a reasonable empirical antibiotic choice in this community with an 11-year resistance rate of 10.6%. Higher antibiotic resistance rates were identified in the male population and in children. Resistance rates to commonly prescribed antibiotics are increasing significantly. This data will enable evidence-based empirical prescribing which will ensure more effective treatment and lessen the emergence of resistant uropathogens in the community.

  3. Glyphosate-Resistant Goosegrass from Mississippi

    Directory of Open Access Journals (Sweden)

    Vijay K. Nandula

    2013-05-01

    Full Text Available A suspected glyphosate-resistant goosegrass [Eleusine indica (L. Gaertn.] population, found in Washington County, Mississippi, was studied to determine the level of resistance and whether the resistance was due to a point mutation, as was previously identified in a Malaysian population. Whole plant dose response assays indicated a two- to four-fold increase in resistance to glyphosate. Leaf disc bioassays based on a glyphosate-dependent increase in shikimate levels indicated a five- to eight-fold increase in resistance. Sequence comparisons of messenger RNA for epsps, the gene encoding the enzyme 5-enolpyruvylshikimate-3-phosphate synthase, from resistant and sensitive goosegrass, revealed a cytosine to thymine nucleotide change at position 319 in the resistant accessions. This single nucleotide polymorphism causes a proline to serine amino acid substitution at position 106 in 5-enolpyruvylshikimate-3-phosphate synthase. A real-time polymerase chain reaction assay using DNA probes specific for the nucleotide change at position 319 was developed to detect this polymorphism. Goosegrass from 42 locations were screened, and the results indicated that glyphosate-resistant goosegrass remained localized to where it was discovered. Pendimethalin, s-metolachlor, clethodim, paraquat and fluazifop controlled resistant goosegrass 93% to 100%, indicating that several control options for glyphosate-resistant goosegrass are available.

  4. Treatment Options for Carbapenem-Resistant and Extensively Drug-Resistant Acinetobacter baumannii Infections

    Science.gov (United States)

    Viehman, J. Alexander; Nguyen, Minh-Hong; Doi, Yohei

    2014-01-01

    Acinetobacter baumannii is a leading cause of healthcare-associated infections worldwide. Due to various intrinsic and acquired mechanisms of resistance, most β-lactam agents are not effective against many strains, and carbapenems have played an important role in therapy. Recent trends show many infections are caused by carbapenem-resistant, or even extensively drug-resistant (XDR) strains, for which effective therapy is not well established. Evidence to date suggests that colistin constitutes the backbone of therapy, but the unique pharmacokinetic properties of colistin have led many to suggest the use of combination antimicrobial therapy. However, the combination of agents and dosing regimens that delivers the best clinical efficacy while minimizing toxicity is yet to be defined. Carbapenems, sulbactam, rifampin and tigecycline have been the most studied in the context of combination therapy. Most data regarding therapy for invasive, resistant A. baumannii infections come from uncontrolled case series and retrospective analyses, though some clinical trials have been completed and others are underway. Early institution of appropriate antimicrobial therapy is shown to consistently improve survival of patients with carbapenem-resistant and XDR A. baumannii infection, but the choice of empiric therapy in these infections remains an open question. This review summarizes the most current knowledge regarding the epidemiology, mechanisms of resistance, and treatment considerations of carbapenem-resistant and XDR A. baumannii. PMID:25091170

  5. Antimicrobial resistance and prevalence of CvfB, SEK and SEQ genes among Staphylococcus aureus isolates from paediatric patients with bloodstream infections.

    Science.gov (United States)

    Liang, Bing-Shao; Huang, Yan-Mei; Chen, Yin-Shuang; Dong, Hui; Mai, Jia-Liang; Xie, Yong-Qiang; Zhong, Hua-Min; Deng, Qiu-Lian; Long, Yan; Yang, Yi-Yu; Gong, Si-Tang; Zhou, Zhen-Wen

    2017-11-01

    Staphylococcus aureus ( S. aureus ) is one of the most frequently isolated pathogens in neonatal cases of early and late-onset sepsis. Drug resistance profiles and carriage of toxin genes may affect the treatment and outcome of an infection. The present study aimed to determine the antimicrobial resistance patterns and frequencies of the toxin-associated genes conserved virulence factor B (CvfB), staphylococcal enterotoxin Q (SEQ) and staphylococcal enterotoxin K (SEK) among S. aureus isolates recovered from paediatric patients with bloodstream infections (BSIs) in Guangzhou (China). Of the 53 isolates, 43.4% were methicillin-resistant S. aureus (MRSA), and resistance rates to penicillin, erythromycin, clindamycin, trimethoprim/sulfamethoxazole, tetracycline, and ciprofloxacin of 92.5, 66.0, 62.3, 13.2, 20.8 and 1.9% were recorded, respectively. However, no resistance to nitrofurantoin, dalfopristin/quinupristin, rifampicin, gentamicin, linezolid or vancomycin was detected. Resistance to erythromycin, clindamycin and tetracycline in the MRSA group was significantly higher than that in the methicillin-susceptible S. aureus (MSSA) group. No significant differences in antimicrobial resistance patterns were noted between two age groups (≤1 year and >1 year). The proportion of S. aureus isolates positive for CvfB, SEQ and SEK was 100, 34.0 and 35.8%, respectively, with 24.5% (13/53) of strains carrying all three genes. Compared with those in MSSA isolates, the rates of SEK, SEQ and SEK + SEQ carriage among MRSA isolates were significantly higher. Correlations were identified between the carriage of SEQ, SEK and SEQ + SEK genes and MRSA (contingency coefficient 0.500, 0.416, 0.546, respectively; Pstudy clarified the characteristics of BSI-associated S. aureus and enhanced the current understanding of the pathogenicity and treatment of MRSA.

  6. Antibiotic resistance, phylogenetic grouping and virulence potential of Escherichia coli isolated from the faeces of intensively farmed and free range poultry.

    Science.gov (United States)

    Obeng, Akua Serwaah; Rickard, Heather; Ndi, Olasumbo; Sexton, Margaret; Barton, Mary

    2012-01-27

    Antibiotic use in poultry production is a risk factor for promoting the emergence of resistant Escherichia coli. To ascertain differences in different classes of chickens, the resistance profile, some virulence genes and phylogenetic grouping on 251 E. coli isolates from intensive meat (free range and indoor commercial) and free range egg layer chickens collected between December 2008 and June 2009 in South Australia were performed. Among the 251 strains, 102 (40.6%) and 67 (26.7%) were found to be resistant to tetracycline and ampicillin respectively. Resistance was also observed to trimethoprim-sulfamethoxazole (12.4%), streptomycin (10.8%), spectinomycin (9.6%), neomycin (6.0%) and florfenicol (2.0%) but no resistance was found to ceftiofur, ciprofloxacin or gentamicin. Amplification of DNA of the isolates by polymerase chain reaction revealed the presence of genes that code for resistant determinants: tetracycline (tet(A), tet(B) and tet(C)), ampicillin (bla(TEM) and bla(SHV)), trimethoprim (dhfrV and dhfrXIII), sulphonamide (sulI and sulII), neomycin (aph(3)-Ia(aphA1)), and spectinomycin-streptinomycin (aadA2). In addition, 32.3-39.4% of the isolates were found to belong to commensal groups (A and B1) and 11.2-17.1% belonged to the virulent groups (B2 and D). Among the 251 E. coli isolates, 25 (10.0%) carried two or more virulence genes typical of Extraintestinal pathogenic E. coli (ExPEC). Furthermore, 17 of the isolates with multi-resistance were identified to be groups B2 and D. Although no significant difference was observed between isolates from free range and indoor commercial meat chickens (P>0.05), significant differences was observed between the different classes of meat chickens (free range and indoor commercial) and egg layers (Pzoonotic potential of poultry E. coli isolates. Copyright © 2011. Published by Elsevier B.V.

  7. Biological improvement of radiation resistance

    Energy Technology Data Exchange (ETDEWEB)

    Chun, K J; Lee, Y K; Kim, J S; Kim, J K; Lee, S J

    2000-08-01

    To investigate the mechanisms of gene action related to the radiation resistance in microorganisms could be essentially helpful for the development of radiation protectants and hormeric effects of low dose radiation. This book described isolation of radiation-resistant microorganisms, induction of radiation-resistant and functionally improved mutants by gamma-ray radiation, cloning and analysis of the radiation resistance related genes and analysis of the expressed proteins of the radiation resistant related genes.

  8. Biological improvement of radiation resistance

    International Nuclear Information System (INIS)

    Chun, K. J.; Lee, Y. K.; Kim, J. S.; Kim, J. K.; Lee, S. J.

    2000-08-01

    To investigate the mechanisms of gene action related to the radiation resistance in microorganisms could be essentially helpful for the development of radiation protectants and hormeric effects of low dose radiation. This book described isolation of radiation-resistant microorganisms, induction of radiation-resistant and functionally improved mutants by gamma-ray radiation, cloning and analysis of the radiation resistance related genes and analysis of the expressed proteins of the radiation resistant related genes

  9. Biotechnology: herbicide-resistant crops

    Science.gov (United States)

    Transgenic, herbicide-resistant (HR) crops are planted on about 80% of the land covered by transgenic crops. More than 90% of HR crios are glyphosate-resistant (GR) crops, the others being resistant to glufosinate. The wide-scale adoption of HR crops, largely for economic reasons, has been the mos...

  10. Resistance in Everyday Life

    DEFF Research Database (Denmark)

    This book is about resistance in everyday life, illustrated through empirical contexts from different parts of the world. Resistance is a widespread phenomenon in biological, social and psychological domains of human cultural development. Yet, it is not well articulated in the academic literature....... The contributors deal with strategies for handling dissent by individuals or groups, specifically dissent through resistance. Resistance can be a location of intense personal, interpersonal and cultural negotiation, and that is the primary reason for interest in this phenomenon. Ordinary life events contain...

  11. Resistent tuberkulose i Danmark

    DEFF Research Database (Denmark)

    Thomsen, V O; Johansen, I S; Bauer, J O

    2001-01-01

    INTRODUCTION: Increased rates of multidrug-resistant (MDR) tuberculosis (TB) has been reported from countries close to Denmark. We evaluated the incidence of drug resistance in Denmark in order to determine the magnitude of the problem. MATERIALS AND METHODS: Susceptibility testing was performed......-cluster. Among all patients with isoniazid- and streptomycin-resistance, 77.0% had clustered strains. DISCUSSION: In conclusion, although drug resistance among untreated Danes was close to the rate estimated in good national programmes, close monitoring is needed in future years, as active transmission...

  12. Use of Colistin and Other Critical Antimicrobials on Pig and Chicken Farms in Southern Vietnam and Its Association with Resistance in Commensal Escherichia coli Bacteria.

    Science.gov (United States)

    Nguyen, Nhung T; Nguyen, Hoa M; Nguyen, Cuong V; Nguyen, Trung V; Nguyen, Men T; Thai, Hieu Q; Ho, Mai H; Thwaites, Guy; Ngo, Hoa T; Baker, Stephen; Carrique-Mas, Juan

    2016-07-01

    Antimicrobial resistance (AMR) is a global health problem, and emerging semi-intensive farming systems in Southeast Asia are major contributors to the AMR burden. We accessed 12 pig and chicken farms at key stages of production in Tien Giang Province, Vietnam, to measure antimicrobial usage and to investigate the prevalence of AMR to five critical antimicrobials (β-lactams, third-generation cephalosporins, quinolones, aminoglycosides, and polymyxins) and their corresponding molecular mechanisms among 180 Escherichia coli isolates. Overall, 94.7 mg (interquartile range [IQR], 65.3 to 151.1) and 563.6 mg (IQR, 398.9 to 943.6) of antimicrobials was used to produce 1 kg (live weight) of chicken and pig, respectively. A median of 3 (out of 8) critical antimicrobials were used on pig farms. E. coli isolates exhibited a high prevalence of resistance to ampicillin (97.8% and 94.4% for chickens and pigs, respectively), ciprofloxacin (73.3% and 21.1%), gentamicin (42.2% and 35.6%), and colistin (22.2% and 24.4%). The prevalence of a recently discovered colistin resistance gene, mcr-1, was 19 to 22% and had strong agreement with phenotypic colistin resistance. We conducted plasmid conjugation experiments with 37 mcr-1 gene-positive E. coli isolates and successfully observed transfer of the gene in 54.0% of isolates through a plasmid of approximately 63 kb, consistent with one recently identified in China. We found no significant correlation between total use of antimicrobials at the farm level and AMR. These data provide additional insight into the role of mcr-1 in colistin resistance on farms and outline the dynamics of phenotypic and genotypic AMR in semi-intensive farming systems in Vietnam. Our study provides accurate baseline information on levels of antimicrobial use, as well as on the dynamics of phenotypic and genotypic resistance for antimicrobials of critical importance among E. coli over the different stages of production in emerging pig and poultry production

  13. Isolation of Arsenic Resistant Escherichia coli from Sewage Water and Its Potential in Arsenic Biotransformation

    Directory of Open Access Journals (Sweden)

    Basanta Bista

    2017-04-01

    Full Text Available Arsenic contamination in drinking water from ground water poses a threat to the health of a large population in developing countries in Asia. This has sparked great interests in the potential of different microbes in arsenic resistance and removal from water. This study involves isolation of arsenic resistant Escherichia coli from sewage water from Kathmandu University and investigation of its attributes. Arsenic resistant E. coli was successfully isolated which could survive in high concentration of arsenic. The maximum tolerance of arsenite was 909.79 mg/L (sodium arsenite and 3120.1 mg/L arsenate (sodium arsenate which is well above most natural concentration of arsenic in ground water. This particular E. coli tolerated multiple heavy metal like silver nitrate, cobalt sulphate, cadmium chloride, nickel chloride, mercury chloride, copper sulphate, and zinc chloride at concentration 20 µM, 1 mM, 0.5mM, 1mM, 0.01 mM, 1 mM, and 1 mM respectively which are concentrations known to be toxic to E. coli. Biotransformation of arsenite to arsenate was also checked for by a qualitative silver nitrate technique. This E. coli was able to transform arsenate to arsenite. It showed some sensitivity to Ciprofloxacin, Gentamicin and Nalidixic Acid. As E. coli and its genome are very widely studied, these particular properties have a lot of potential in microbial remediation or microbial recovery of metals and possible recombination approaches.

  14. Genetic diversity and antimicrobial resistance of Campylobacter and Salmonella strains isolated from decoys and raptors.

    Science.gov (United States)

    Jurado-Tarifa, E; Torralbo, A; Borge, C; Cerdà-Cuéllar, M; Ayats, T; Carbonero, A; García-Bocanegra, I

    2016-10-01

    Infections caused by thermotolerant Campylobacter spp. and Salmonella spp. are the leading causes of human gastroenteritis worldwide. Wild birds can act as reservoirs of both pathogens. A survey was carried out to determine the prevalence, genetic diversity and antimicrobial resistance of thermotolerant Campylobacter and Salmonella in waterfowl used as decoys and wild raptors in Andalusia (Southern Spain). The overall prevalence detected for Campylobacter was 5.9% (18/306; CI95%: 3.25-8.52) in decoys and 2.3% (9/387; CI95%: 0.82-3.83) in wild raptors. Isolates were identified as C. jejuni, C. coli and C. lari in both bird groups. Salmonella was isolated in 3.3% (10/306; CI95%: 2.3-4.3) and 4.6% (18/394; CI95%: 3.5-5.6) of the decoys and raptors, respectively. Salmonella Enteritidis and Typhimurium were the most frequently identified serovars, although Salmonella serovars Anatum, Bredeney, London and Mikawasima were also isolated. Pulsed-field gel electrophoresis analysis of isolates showed higher genetic diversity within Campylobacter species compared to Salmonella serovars. Campylobacter isolates showed resistance to gentamicin, ciprofloxacin and tetracycline, while resistance to erythromycin and tetracycline was found in Salmonella isolates. The results indicate that both decoys and raptors can act as natural carriers of Campylobacter and Salmonella in Spain, which may have important implications for public and animal health. Copyright © 2016 Elsevier Ltd. All rights reserved.

  15. Drug resistance in Mexico: results from the National Survey on Drug-Resistant Tuberculosis.

    Science.gov (United States)

    Bojorquez-Chapela, I; Bäcker, C E; Orejel, I; López, A; Díaz-Quiñonez, A; Hernández-Serrato, M I; Balandrano, S; Romero, M; Téllez-Rojo Solís, M M; Castellanos, M; Alpuche, C; Hernández-Ávila, M; López-Gatell, H

    2013-04-01

    To present estimations obtained from a population-level survey conducted in Mexico of prevalence rates of mono-, poly- and multidrug-resistant strains among newly diagnosed cases of pulmonary tuberculosis (TB), as well as the main factors associated with multidrug resistance (combined resistance to isoniazid and rifampicin). Study data came from the National Survey on TB Drug Resistance (ENTB-2008), a nationally representative survey conducted during 2008-2009 in nine states with a stratified cluster sampling design. Samples were obtained for all newly diagnosed cases of pulmonary TB in selected sites. Drug susceptibility testing (DST) was performed for anti-tuberculosis drugs. DST results were obtained for 75% of the cases. Of these, 82.2% (95%CI 79.5-84.7) were susceptible to all drugs. The prevalence of multidrug-resistant TB (MDR-TB) was estimated at 2.8% (95%CI 1.9-4.0). MDR-TB was associated with previous treatment (OR 3.3, 95%CI 1.1-9.4). The prevalence of drug resistance is relatively low in Mexico. ENTB-2008 can be used as a baseline for future follow-up of drug resistance.

  16. Resistência de plantas daninhas aos herbicidas Weed resistance to herbicides

    Directory of Open Access Journals (Sweden)

    Pedro J. Christoffoleti

    1994-01-01

    Full Text Available A resistência de plantas daninhas aos herbicidas ocorre em função de um processo evolutivo. O desenvolvimento de biótipos de plantas daninhas resistentes é imposto pela agricultura moderna, através da pressão de seleção causada pelo uso intensivo dos herbicidas. O conhecimento dos mecanismos e fatores que favorecem o aparecimento de biótipos de plantas daninhas resistentes é fundamental para que técnicas de manejo sejam utilizadas no sentido de evitar ou retardar o aparecimento de plantas resistentes em uma área. São poucos os relatos ou citações de literatura no Brasil. Sendo assim, este trabalho de revisão procura relatar os principais avanços e descobertas na área de plantas daninhas resistentes aos herbicidas.Weed herbicide resistance has evolved from weed evolution. The modern agriculture is responsible for this evolution because of the intensive use of herbicides. The knowledge of mechanisms and factors that influence the weed herbicide resistance play an important role in the weed manegement techniques used to avoid or delay herbicide resistance appearence. There are not many report or scientific papers about herbi cide resistance in Brasil. Therefore, this literature review aims to provide information about the main advances and discoveries in the field of weed herbicide resistance.

  17. Heat resistant/radiation resistant cable and incore structure test device for FBR type reactor

    International Nuclear Information System (INIS)

    Tanimoto, Hajime; Shiono, Takeo; Sato, Yoshimi; Ito, Kazumi; Sudo, Shigeaki; Saito, Shin-ichi; Mitsui, Hisayasu.

    1995-01-01

    A heat resistant/radiation resistant coaxial cable of the present invention comprises an insulation layer, an outer conductor and a protection cover in this order on an inner conductor, in which the insulation layer comprises thermoplastic polyimide. In the same manner, a heat resistant/radiation resistant power cable has an insulation layer comprising thermoplastic polyimide on a conductor, and is provided with a protection cover comprising braid of alamide fibers at the outer circumference of the insulation layer. An incore structure test device for an FBR type reactor comprises the heat resistant/radiation resistant coaxial cable and/or the power cable. The thermoplastic polyimide can be extrusion molded, and has excellent radiation resistant by the extrusion, as well as has high dielectric withstand voltage, good flexibility and electric characteristics at high temperature. The incore structure test device for the FBR type reactor of the present invention comprising such a cable has excellent reliability and durability. (T.M.)

  18. Antimicrobial (Drug) Resistance

    Science.gov (United States)

    ... with facebook share with twitter share with linkedin Antimicrobial (Drug) Resistance Go to Information for Researchers ► Credit: ... and infectious diseases. Why Is the Study of Antimicrobial (Drug) Resistance a Priority for NIAID? Over time, ...

  19. Resistance seam welding

    International Nuclear Information System (INIS)

    Schueler, A.W.

    1977-01-01

    The advantages and disadvantages of the resistance seam welding process are presented. Types of seam welds, types of seam welding machines, seam welding power supplies, resistance seam welding parameters and seam welding characteristics of various metals

  20. Predicting resistance by mutagenesis: lessons from 45 years of MBC resistance

    Directory of Open Access Journals (Sweden)

    Nichola J. Hawkins

    2016-11-01

    Full Text Available When a new fungicide class is introduced, it is useful to anticipate the resistance risk in advance, attempting to predict both risk level and potential mechanisms. One tool for the prediction of resistance risk is laboratory selection for resistance, with the mutational supply increased through UV or chemical mutagenesis. This enables resistance to emerge more rapidly than in the field, but may produce mutations that would not emerge under field conditions.The methyl-benzimidazole carbamates (MBCs were the first systemic single-site agricultural fungicides, and the first fungicides affected by rapid evolution of target-site resistance. MBC resistance has now been reported in over 90 plant pathogens in the field, and laboratory mutants have been studied in nearly 30 species.The most common field mutations, including β-tubulin E198A/K/G, F200Y and L240F, have all been identified in laboratory mutants. However, of 28 mutations identified in laboratory mutants, only nine have been reported in the field. Therefore, the predictive value of mutagenesis studies would be increased by understanding which mutations are likely to emerge in the field.Our review of the literature indicates that mutations with high resistance factors, and those found in multiple species, are more likely to be reported in the field. However, there are many exceptions, possibly due to fitness penalties. Whether a mutation occurred in the same species appears less relevant, perhaps because β-tubulin is highly conserved so functional constraints are similar across all species. Predictability of mutations in other target sites will depend on the level and conservation of constraints.

  1. Changing prevalence and resistance patterns in children with drug-resistant tuberculosis in Mumbai.

    Science.gov (United States)

    Shah, Ira; Shah, Forum

    2017-05-01

    The prevalence of drug-resistant (DR) tuberculosis (TB) in children is increasing. Although, in India, multi-drug-resistant (MDR) TB rates have been relatively stable, the number of children with pre-extensively drug-resistant and extensively drug-resistant (XDR) TB is increasing. To determine whether the prevalence of DR TB in children in Mumbai is changing and to study the evolving patterns of resistance. A retrospective study was undertaken in 1311 paediatric patients referred between April 2007 and March 2013 to the Paediatric TB clinic at B. J. Wadia Hospital for Children, Mumbai. Children were defined as having DR TB on the basis of drug susceptibility testing (DST) of Mycobacterium tuberculosis grown on culture of body fluids (in the case of extra pulmonary TB) or from gastric lavage/bronchi-alveolar lavage/sputum in patients with pulmonary TB or from DST of the contacts. The prevalence of DR TB was calculated and the type of DR was evaluated yearly and in the pre-2010 and post-2010 eras. The overall prevalence of DR TB was 86 (6.6%) with an increase from 23 (5.6%) patients pre-2010 to 63 (7%) post-2010 (P = 0.40). Nine (10.4%) patients were diagnosed on the basis of contact with a parent with DR TB. Overall fluoroquinolone resistance increased from 9 (39.1%) pre-2010 to 59 (93.7%) post-2010 (P = 0.0001): moxifloxacin resistance increased from 2 (8.7%) to 29 (46%) (P = 0.0018) and ofloxacin resistance increased from 7 (30.4%) to 30 (47.6%) (P = 0.14). Ethionamide resistance also increased from 6 (26.1%) to 31 (49.2%) (P = 0.04), aminoglycoside resistance was one (4.3%) pre-2010 and 12 (19%) post-2010 (P = 0.17) and resistance remained virtually the same for both amikacin [0 pre-2010 and 6 (9.5%) after 2010] and kanamycin [one (4.3%) pre- and 6 (9.5%) post-2010]. Of the first-line drugs, resistance remained the same for isoniazid [23 (100%) to 61 (96.8%)], rifampicin [22 (95.7%) to 51 (80.9%),P = 0.17], pyrazinamide [15 (65.2%) to

  2. Quantitative genetic tools for insecticide resistance risk assessment: estimating the heritability of resistance

    Science.gov (United States)

    Michael J. Firko; Jane Leslie Hayes

    1990-01-01

    Quantitative genetic studies of resistance can provide estimates of genetic parameters not available with other types of genetic analyses. Three methods are discussed for estimating the amount of additive genetic variation in resistance to individual insecticides and subsequent estimation of heritability (h2) of resistance. Sibling analysis and...

  3. Resistance patterns among multidrug-resistant tuberculosis patients in greater metropolitan Mumbai: trends over time.

    Science.gov (United States)

    Dalal, Alpa; Pawaskar, Akshay; Das, Mrinalini; Desai, Ranjan; Prabhudesai, Pralhad; Chhajed, Prashant; Rajan, Sujeet; Reddy, Deepesh; Babu, Sajit; Jayalakshmi, T K; Saranchuk, Peter; Rodrigues, Camilla; Isaakidis, Petros

    2015-01-01

    While the high burden of multidrug-resistant tuberculosis (MDR-TB) itself is a matter of great concern, the emergence and rise of advanced forms of drug-resistance such as extensively drug-resistant TB (XDR-TB) and extremely drug-resistant TB (XXDR-TB) is more troubling. The aim of this study was to investigate the trends over time of patterns of drug resistance in a sample of MDR-TB patients in greater metropolitan Mumbai, India. This was a retrospective, observational study of drug susceptibility testing (DST) results among MDR-TB patients from eight health care facilities in greater Mumbai between 2005 and 2013. We classified resistance patterns into four categories: MDR-TB, pre-XDR-TB, XDR-TB and XXDR-TB. A total of 340 MDR-TB patients were included in the study. Pre-XDR-TB was the most common form of drug-resistant TB observed overall in this Mumbai population at 56.8% compared to 29.4% for MDR-TB. The proportion of patients with MDR-TB was 39.4% in the period 2005-2007 and 27.8% in 2011-2013, while the proportion of those with XDR-TB and XXDR-TB was changed from 6.1% and 0% respectively to 10.6% and 5.6% during the same time period. During the same periods, the proportions of patients with ofloxacin, moxifloxacin and ethionamide resistance significantly increased from 57.6% to 75.3%, from 60.0% to 69.5% and from 24.2% to 52.5% respectively (pMumbai highlight the need for individualized drug regimens, designed on the basis of DST results involving first- and second-line anti-TB drugs and treatment history of the patient. A drug-resistant TB case-finding strategy based on molecular techniques that identify only rifampicin resistance will lead to initiation of suboptimal treatment regimens for a significant number of patients, which may in turn contribute to amplification of resistance and transmission of strains with increasingly advanced resistance within the community.

  4. Molecular epidemiology of clinical and carrier strains of methicillin resistant Staphylococcus aureus (MRSA in the hospital settings of north India

    Directory of Open Access Journals (Sweden)

    Dar Mohammad J

    2006-09-01

    and the ciprofloxacin (Cf and erythromycin (E resistance seemed to be chromosomal mediated. In one of the experiments, plasmid pJMR1O from Staphylococcus aureus coding for ampicillin (A, gentamicin (G and amikacin (Ak resistance was transformed into Escherichia coli. The minimal inhibitory concentrations (MICs for A and G were lower in E. coli than in S. aureus. However, the MIC for Ak was higher in E. coli transformants than in S. aureus. Conclusion There is a progressive increase in MRSA prevalence and multi-drug resistance in staphylococci. Vancomycin is still the drug of choice for MRSA infections. The major reservoir of methicillin resistant staphylococci in hospitals is colonized/infected inpatients and colonized hospital workers. Resistance transfer from staphylococci to E. coli as well as from clinical to carrier staphylococci due to antibiotic stress seemed to be an alarming threat to antimicrobial chemotherapy.

  5. Vancomycin Resistance in Staphylococcus aureus


    Science.gov (United States)

    McGuinness, Will A.; Malachowa, Natalia; DeLeo, Frank R.

    2017-01-01

    The evolution of Staphylococcus aureus during the modern antibiotic era has been delineated by distinct strain emergence events, many of which include acquisition of antibiotic resistance. The relative high burden of methicillin-resistant S. aureus (MRSA) in healthcare and community settings is a major concern worldwide. Vancomycin, a glycopeptide antibiotic that inhibits cell wall biosynthesis, remains a drug of choice for treatment of severe MRSA infections. S. aureus strains exhibiting increased resistance to vancomycin, known as vancomycin intermediate-resistant S. aureus (VISA) (MIC = 4-8 µg/mL), were discovered in the 1990s. The molecular basis of resistance in VISA is polygenic and involves stepwise mutations in genes encoding molecules predominantly involved in cell envelope biosynthesis. S. aureus isolates with complete resistance to vancomycin (MIC ≥ 16 µg/mL) are termed vancomycin-resistant S. aureus (VRSA)—they were first reported in the U.S. in 2002. Resistance in VRSA is conferred by the vanA gene and operon, which is present on a plasmid. Although treatment of VRSA infections is challenging, the total number of human VRSA infections to date is limited (14 in the U.S.). By comparison, the burden of VISA is relatively high and the molecular mechanisms of resistance are less well-defined. VISA are associated with persistent infections, vancomycin treatment failure, and poor clinical outcomes. Here, we review in brief progress made toward understanding the acquisition of antibiotic resistance in S. aureus, with an emphasis on the molecular mechanisms underlying vancomycin resistance. PMID:28656013

  6. Reducing Resistance

    DEFF Research Database (Denmark)

    Lindell, Johanna

    care may influence decisions on antibiotic use. Based on video-and audio recordings of physician-patient consultations it is investigated how treatment recommendations are presented, can be changed, are forecast and explained, and finally, how they seemingly meet resistance and how this resistance......Antibiotic resistance is a growing public health problem both nationally and internationally, and efficient strategies are needed to reduce unnecessary use. This dissertation presents four research studies, which examine how communication between general practitioners and patients in Danish primary...... is responded to.The first study in the dissertation suggests that treatment recommendations on antibiotics are often done in a way that encourages patient acceptance. In extension of this, the second study of the dissertation examines a case, where acceptance of such a recommendation is changed into a shared...

  7. Triazole resistance surveillance in Aspergillus fumigatus.

    Science.gov (United States)

    Resendiz Sharpe, Agustin; Lagrou, Katrien; Meis, Jacques F; Chowdhary, Anuradha; Lockhart, Shawn R; Verweij, Paul E

    2018-04-01

    Triazole resistance is an increasing concern in the opportunistic mold Aspergillus fumigatus. Resistance can develop through exposure to azole compounds during azole therapy or in the environment. Resistance mutations are commonly found in the Cyp51A-gene, although other known and unknown resistance mechanisms may be present. Surveillance studies show triazole resistance in six continents, although the presence of resistance remains unknown in many countries. In most countries, resistance mutations associated with the environment dominate, but it remains unclear if these resistance traits predominately migrate or arise locally. Patients with triazole-resistant aspergillus disease may fail to antifungal therapy, but only a limited number of cohort studies have been performed that show conflicting results. Treatment failure might be due to diagnostic delay or due to the limited number of alternative treatment options. The ISHAM/ECMM Aspergillus Resistance Surveillance working group was set up to facilitate surveillance studies and stimulate international collaborations. Important aims are to determine the resistance epidemiology in countries where this information is currently lacking, to gain more insight in the clinical implications of triazole resistance through a registry and to unify nomenclature through consensus definitions.

  8. [Antibiotic resistance patterns of Escherichia coli strains isolated from urine cultures in Turkey: a meta-analysis].

    Science.gov (United States)

    Aykan, Sadiye Berna; Ciftci, Ihsan Hakkı

    2013-10-01

    those groups were significant in terms of ampicilin, amoxicillin-clavulanate, cefuroxime, ceftriaxone, trimethoprim-sulfamethoxazole, gentamicin, ciprofloxacin, amikacin and cefepime resistances (pantibiotic resistance patterns of E.coli strains isolated from urine cultures between 1996-2012 demonstrated significant variability, and many studies were based only on laboratory data. The results of this meta-analysis demonstrated that the resistance rates in commonly-used antibiotics for empirical therapy were high. In conclusion, information obtained by systematic evaluation of national data will be valuable for the determination of optimal antibiotic regimens and in prevention of unnecessary antibiotic use.

  9. Prevalence of plasmid-mediated quinolone resistance determinants among oxyiminocephalosporin-resistant Enterobacteriaceae in Argentina

    Directory of Open Access Journals (Sweden)

    Giovanna Rincon Cruz

    2013-11-01

    Full Text Available High quinolone resistance rates were observed among oxyiminocephalosporin-resistant enterobacteria. In the present study, we searched for the prevalence of plasmid-mediated quinolone resistance (PMQR genes within the 55 oxyiminocephalosporin-resistant enterobacteria collected in a previous survey. The main PMQR determinants were aac(6'-Ib-cr and qnrB, which had prevalence rates of 42.4% and 33.3%, respectively. The aac(6'-Ib-cr gene was more frequently found in CTX-M-15-producing isolates, while qnrB was homogeneously distributed among all CTX-M producers.

  10. Conjunctival bacterial flora and antibiotic resistance pattern in patients undergoing cataract surgery

    Directory of Open Access Journals (Sweden)

    Arantes Tiago Eugênio Faria e

    2006-01-01

    Full Text Available PURPOSE: To evaluate the conjunctival bacterial flora and its antibiotic resistance pattern in eyes of patients undergoing cataract surgery. METHODS: From August to October 2004, 50 patients undergoing cataract surgery in the "Fundação Altino Ventura", Recife, Brazil, were prospectively evaluated. Conjunctival material was obtained on the day of surgery, before the application of topical anesthetic, antibiotic or povidone-iodine. The collected material was inoculated and bacterioscopic analysis was carried out. In the cases where there was bacterial growth, antibiotic susceptibility tests and cultures, for isolation and identification of the bacteria, were performed. RESULTS: Of the 50 eyes, 43 (86.0% had positive cultures. The coagulase-negative Staphylococcus (CNS, found in 27 (54.0% eyes, was the most frequent organism. More than 90% of the isolates of this bacterium were susceptible to cephalotin, vancomycin, chloramphenicol, ofloxacin and gatifloxacin; 70 to 90% were susceptible to gentamicin, cefotaxime, oxacillin and ciprofloxacin; and less than 70% were sensible to neomycin. Four (10.5% of the bacterial isolates were resistant to four or more antibiotics, two of them were CNS. CONCLUSION: The most frequent bacterium in the conjunctival flora is the coagulase-negative Staphylococcus. The isolates of this organism showed low susceptibility rate to neomycin, and high susceptibility rates to cephalotin, vancomycin, chloramphenicol, ofloxacin and gatifloxacin.

  11. Risk factors associated with the antimicrobial resistance of Staphylococcus aureus isolated from bovine mastitis

    Directory of Open Access Journals (Sweden)

    Daniele C. Beuron

    2014-10-01

    Full Text Available The objective of this study was to evaluate herd management practices and mastitis treatment procedures as risk factors associated with Staphylococcus aureus antimicrobial resistance. For this study, 13 herds were selected to participate in the study to evaluate the association between their management practices and mastitis treatment procedures and in vitro antimicrobial susceptibility. A total of 1069 composite milk samples were collected aseptically from the selected cows in four different periods over two years. The samples were used for microbiological culturing of S. aureus isolates and evaluation of their antimicrobial susceptibility. A total of 756 samples (70.7% were culture-positive, and S. aureus comprised 27.77% (n=210 of the isolates. The S. aureus isolates were tested using the disk-diffusion susceptibility assay with the following antimicrobials: ampicillin 10mg; clindamycin 2μg; penicillin 1mg; ceftiofur 30μg; gentamicin 10mg; sulfa-trimethoprim 25μg; enrofloxacin 5μg; sulfonamide 300μg; tetracycline 30μg; oxacillin 1mg; cephalothin 30μg and erythromycin 5μg. The variables that were significantly associated with S. aureus resistance were as follows: the treatment of clinical mastitis for ampicillin (OR=2.18, dry cow treatment for enrofloxacin (OR=2.11 and not sending milk samples for microbiological culture and susceptibility tests, for ampicillin (OR=2.57 and penicillin (OR=4.69. In conclusion, the identification of risk factors for S. aureus resistance against various mastitis antimicrobials is an important information that may help in practical recommendations for prudent use of antimicrobial in milk production.

  12. Virulence and antimicrobial resistance of common urinary bacteria from asymptomatic students of Niger Delta University, Amassoma, Bayelsa State, Nigeria

    Directory of Open Access Journals (Sweden)

    Adebola Onanuga

    2016-01-01

    Full Text Available Background: Asymptomatic bacteriuria frequently occurs among all ages with the possibility of developing into urinary tract infections, and the antimicrobial resistance patterns of the etiologic organisms are essential for appropriate therapy. Thus, we investigated the virulence and antimicrobial resistance patterns of common urinary bacteria in asymptomatic students of Niger Delta University, Amassoma, Bayelsa State, Nigeria in a cross-sectional study. Materials and Methods: Clean catch mid-stream early morning urine samples collected from 200 asymptomatic University students of aged ranges 15–30 years were cultured, screened and common bacteria were identified using standard microbiological procedures. The isolates were screened for hemolysin production and their susceptibility to antibiotics was determined using standard disc assay method. Results: A total prevalence rate of 52.0% significant bacteriuria was detected and it was significantly higher among the female with a weak association (χ2 = 6.01, phi = 0.173, P = 0.014. The Klebsiella pneumoniae and Staphylococcus aureus isolates were most frequently encountered among the isolated bacteria and 18 (12.7% of all the bacterial isolates produced hemolysins. All the bacterial isolates exhibited 50–100% resistance to the tested beta-lactam antibiotics, tetracycline and co-trimoxazole. The isolated bacteria were 85-100% multi-drug resistant. However, most of the isolates were generally susceptible to gentamicin and ofloxacin. The phenotypic detection of extended-spectrum beta-lactamases was 9 (9.6% among the tested Gram-negative bacterial isolates. Conclusions: The observed high proportions of multidrug resistant urinary bacteria among asymptomatic University students call for the need of greater control of antibiotic use in this study area.

  13. Antimicrobial Resistance status and prevalence rates of Extended Spectrum Beta-Lactamase (ESBL producers isolated from a mixed human population.

    Directory of Open Access Journals (Sweden)

    Ruth A. Afunwa

    2011-05-01

    Full Text Available Owing to the increasing epidemiological and therapeutic challenges associated with infections due to ESBL producers, ESBL prevalence rate among some bacteria isolates from healthy and non-healthy human population in a metropolitan Nigerian setting was evaluated.A total of one hundred and forty-five (145 bacteria strains were isolated from a total of four hundred and sixty (460 samples collected from urine, wound, throat and anal swabs of 220 healthy volunteers in the community and from 240 patients in 2 secondary and 2 tertiary hospitals (altogether, 4 in Enugu metropolis. The presumptive confirmatory test used for ESBL detection was the Double Disc Synergy Test (DDST method. Conjugation and plasmid curing studies were also done for resistance factor determination.Of the 145 isolates, 20 were ESBL producers with 35% of these ESBL producers being of community origin and 65% from hospitals. This translates to 4.8% and 9% incidences (comparably higher than established prevalence of 4.4% and 7.5 respectively for community and hospital infections respectively. The ESBL isolates showed high resistance to tetracycline, gentamicin, pefloxacin, ceftriaxone, cefuroxime, ciprofloxacin and Augmentin® (Amoxicilin and clavulanic acid combination. Conjugation studies for Resistance plasmid transfer showed non-transference of resistance determinants between the ESBL transconjugants and recipient strains. Correspondingly, the plasmid curing studies revealed that the acridine orange could not effect a cure on the isolates as they still retained high resistance to the antibiotics after the treatment.This study confirms the growing incidences/pool of ESBL strains in Nigeria and call for widespread and continuous monitoring towards an effective management of the potential therapeutic hurdle posed by this trend.

  14. Whole genome analysis of linezolid resistance in Streptococcus pneumoniae reveals resistance and compensatory mutations

    Directory of Open Access Journals (Sweden)

    Légaré Danielle

    2011-10-01

    Full Text Available Abstract Background Several mutations were present in the genome of Streptococcus pneumoniae linezolid-resistant strains but the role of several of these mutations had not been experimentally tested. To analyze the role of these mutations, we reconstituted resistance by serial whole genome transformation of a novel resistant isolate into two strains with sensitive background. We sequenced the parent mutant and two independent transformants exhibiting similar minimum inhibitory concentration to linezolid. Results Comparative genomic analyses revealed that transformants acquired G2576T transversions in every gene copy of 23S rRNA and that the number of altered copies correlated with the level of linezolid resistance and cross-resistance to florfenicol and chloramphenicol. One of the transformants also acquired a mutation present in the parent mutant leading to the overexpression of an ABC transporter (spr1021. The acquisition of these mutations conferred a fitness cost however, which was further enhanced by the acquisition of a mutation in a RNA methyltransferase implicated in resistance. Interestingly, the fitness of the transformants could be restored in part by the acquisition of altered copies of the L3 and L16 ribosomal proteins and by mutations leading to the overexpression of the spr1887 ABC transporter that were present in the original linezolid-resistant mutant. Conclusions Our results demonstrate the usefulness of whole genome approaches at detecting major determinants of resistance as well as compensatory mutations that alleviate the fitness cost associated with resistance.

  15. The perspectives of polygenic resistance in breeding for durable disease resistance

    NARCIS (Netherlands)

    Lindhout, P.

    2002-01-01

    Polygenic resistance is generally quantitative without clear race specific effects. With the onset of molecular markers technologies, the identification of chromosome regions that are involved in quantitative resistance has become feasible. These regions are designated quantitative trait loci

  16. Resistência de Eleusine indica aos inibidores de ACCase Eleusine indica resistance to ACCase inhibitors

    Directory of Open Access Journals (Sweden)

    R.A. Vidal

    2006-01-01

    Full Text Available Dentre as causas da ineficácia no controle de plantas daninhas destaca-se a resistência delas aos herbicidas. Os objetivos deste trabalho foram avaliar a suspeita de resistência de Eleusine indica a inibidores de acetil-CoA carboxilase (ACCase e investigar a ocorrência de resistência cruzada entre os inibidores de ACCase. Biótipo de Eleusine indica originado do Mato Grosso com suspeita de resistência aos herbicidas inibidores de ACCase foi avaliado em casa de vegetação na sua suscetibilidade para diversos produtos do grupo dos ariloxifenoxipropionatos e cicloexanodionas. Estudos de resposta à dose confirmaram que o biótipo era 18 vezes mais insensível ao sethoxydim do que biótipo suscetível nunca aspergido com herbicidas. Também se constatou resistência cruzada ao fenoxaprop, cyhalofop, propaquizafop e butroxydim. Não se observou resistência cruzada aos produtos fluazifop, haloxyfop, quizalofop e clethodim.Among the causes for weed control inefficacy, the worst one is resistance to herbicides. The objectives of this work were to evaluate an Eleusine indica biotype suspected of resistance to ACCase inhibitors and to investigate the occurrence of cross- resistance to several ACCase inhibitors. One biotype of Eleusine indica originated from Mato Grosso with suspected resistance to ACCase inhibitors was evaluated in a greenhouse in relation to its susceptibility to several products of the ariloxyphenoxypropionate and cyclohexanedione groups. Studies on dose response confirmed that the suspected biotype was 18 times more insensitive to sethoxydim than the susceptible biotype that had never been treated with herbicides. Cross-resistance was confirmed for fenoxaprop, cyhalofop, propaquizafop and butroxydim. No cross-resistance was observed with fluazifop, haloxyfop, quizalofop, and clethodim.

  17. Resistance to change

    NARCIS (Netherlands)

    Dow, J.; Perotti, E.

    2009-01-01

    Established firms often fail to maintain leadership following disruptive market shifts. We argue that such firms are more prone to internal resistance. A radical adjustment of assets affects the distribution of employee rents, creating winners and losers. Losers resist large changes when strong

  18. Pseudomonas aeruginosa: evaluation of pathogen burden and drug-resistance trends in a tertiary care hospital

    International Nuclear Information System (INIS)

    Saeed, M.; Hussain, S.; Ahmad, A.

    2018-01-01

    To evaluate the pathogen burden and antibiotic-resistance trends of Pseudomonas aeruginosa among hospitalised patients at a tertiary care hospital. Study Design:Retrospective, hospital record-based, cross-sectional study. Place and Duration of Study:Microbiology Laboratory, Allama Iqbal Medical College/Jinnah Hospital, Lahore, from January 2014 to December 2016. Methodology:A total of 5,960 samples were collected from clinically suspected cases of bacterial infections, admitted to the hospital. Microbial identification and antibiotic susceptibility pattern were carried out and analysed. Results:Out of a total of 5,960 samples, Pseudomonas aeruginosawas isolated from 1,268 (21.2%) specimens. Department-wise isolation rate was n=600 (42.9%), n=268 (15.4%), n=201 (12.6%), and n=199 (16.0%) from intensive care unit (ICU), surgical units, medical units, and Gynae wards, respectively (p<0.0001). Sample-wise isolation rate was, wound swabs n=448 (35%), urine n=356 (28%), sputum n=187 (14 %), tracheal aspirate n=127 (10%), blood n=99 (7%), and broncho-alveolar lavage n=51 (4%) (p<0.0001). Drug-resistance pattern showed low rates for carbapenems (meropenem n=440 (35%), Imipenem n=436 (34%) and beta-lactam + beta-lactamase inhibitor combination (piperacillin+ tazobactam n=437 (34%) while alarming rates were observed for cephalosporins (ceftazidime n=716 (56%), fluoroquinolones (ciprofloxacin n=690 (54%), cefoperazone+sulbactam n=685 (54%), aminoglycosides (gentamicin, n=669 (53%), amikacin n=608 (48%), and monobactams (aztreonam n=666 (52%). Decreasing trend was observed only for amikacin 63% to 37%, aztreonam showed similar pattern throughout, while there was an increasing trend of drug resistance in all groups of antibiotics. Conclusion:Emerging drug-resistant strains of Pseudomonas aeruginosaare probably linked to the injudicious use of antibiotics, leading to ineffective empirical therapy. Therefore, we suggest that culture and antimicrobial susceptibility testing should

  19. A bacterial antibiotic-resistance gene that complements the human multidrug-resistance P-glycoprotein gene

    NARCIS (Netherlands)

    van Veen, HW; Callaghan, R; Soceneantu, L; Sardini, A; Konings, WN; Higgins, CF

    1998-01-01

    Bacteria have developed many fascinating antibiotic-resistance mechanisms(1,2). A protein in Lactococcus lactis, LmrA, mediates antibiotic resistance by extruding amphiphilic compounds from the inner leaflet of the cytoplasmic membrane(3,4). Unlike other known bacterial multidrug-resistance

  20. FRC collisionless resistivity

    International Nuclear Information System (INIS)

    Tajima, T.; Horton, W.

    1990-01-01

    Ions in the field reversed configuration (FRC) exhibit stochastic orbits due to the field null and the curvature of poloidal field lines. Velocity correlations of these particles decay in a power law fashion t -m where 1 ≤ m ≤ 2. This decay of the single particle correlation function is characteristic of the long tail correlations of strongly chaotic or nonlinear systems found in other problems of statistical physics. This decay of correlations gives rise to a collisionless resistivity that can far exceed the collisional resistivity in an FRC plasma. The finite correlation τ c of a single particle limits the acceleration in the electric field producing the finite resistivity. Maxwellian test particle distributions are integrated to find the measure of the set of stochastic ions that contribute to the collisionless resistivity. The computed conductivity is proportional to the square root of the characteristic ion gyroradius in both simulation and theory

  1. Increased resistance to first-line agents among bacterial pathogens isolated from urinary tract infections in Latin America: time for local guidelines?

    Directory of Open Access Journals (Sweden)

    Soraya S Andrade

    2006-11-01

    Full Text Available Emerging resistance phenotypes and antimicrobial resistance rates among pathogens recovered from community-acquired urinary tract infections (CA-UTI is an increasing problem in specific regions, limiting therapeutic options. As part of the SENTRY Antimicrobial Surveillance Program, a total of 611 isolates were collected in 2003 from patients with CA-UTI presenting at Latin American medical centers. Each strain was tested in a central laboratory using Clinical Laboratory Standard Institute (CLSI broth microdilution methods with appropriate controls. Escherichia coli was the leading pathogen (66%, followed by Klebsiella spp. (7%, Proteus mirabilis (6.4%, Enterococcus spp. (5.6%, and Pseudomonas aeruginosa (4.6%. Surprisingly high resistance rates were recorded for E. coli against first-line orally administered agents for CA-UTI, such as ampicillin (53.6%, TMP/SMX (40.4%, ciprofloxacin (21.6%, and gatifloxacin (17.1%. Decreased susceptibility rates to TMP/SMX and ciprofloxacin were also documented for Klebsiella spp. (79.1 and 81.4%, respectively, and P. mirabilis (71.8 and 84.6%, respectively. For Enterococcus spp., susceptibility rates to ampicillin, chloramphenicol, ciprofloxacin, and vancomycin were 88.2, 85.3, 55.9, and 97.1%, respectively. High-level resistance to gentamicin was detected in 24% of Enterococcus spp. Bacteria isolated from patients with CA-UTI in Latin America showed limited susceptibility to orally administered antimicrobials, especially for TMP/SMX and fluoroquinolones. Our results highlight the need for developing specific CA-UTI guidelines in geographic regions where elevated resistance to new and old compounds may influence prescribing decisions.

  2. Antibacterial activity of Hibiscus sabdariffa L. calyces against hospital isolates of multidrug resistant Acinetobacter baumannii

    Directory of Open Access Journals (Sweden)

    Emad Mohamed Abdallah

    2016-11-01

    Full Text Available Objective: To evaluate the antibacterial activity of methanol extract of Hibiscus sabdariffa (H. sabdariffa calyces employed in Sudanese folk medicine against five hospital isolates of multidrug resistant Acinetobacter baumannii (MDR A. baumannii. Methods: The antibacterial activity of 80% methanol extract (v/v of H. sabdariffa calyces was evaluated by agar disc diffusion, minimum inhibitory concentration and minimum bactericidal concentration methods. Antibiotic susceptibility of selected A. baumannii strains was tested. Results: In the present investigation, the methanol extract from the calyces of H. sabdariffa exhibited significant antibacterial properties against the non-MDR A. baumannii as well as the MDR A. baumannii strains with a zone of inhibition ranging from (11.3 ± 0.3 to (13.6 ± 0.3 mm. The relative percentage inhibition of H. sabdariffa extract (10 mg/disc with respect to gentamicin (10 mg/disc had potent antibacterial properties and was much more effective than gentamicin. Values of minimum inhibitory concentration and minimum bactericidal concentration ranged from 25 to 50 and 50 to 100 mg/mL, respectively, revealing the potential bactericidal properties of the extract. Conclusions: According to the present study, the calyces of H. sabdariffa can be used as a substitute source of the current ineffective synthetic antibiotics used against MDR A. baumannii.

  3. The Prehistory of Antibiotic Resistance.

    Science.gov (United States)

    Perry, Julie; Waglechner, Nicholas; Wright, Gerard

    2016-06-01

    Antibiotic resistance is a global problem that is reaching crisis levels. The global collection of resistance genes in clinical and environmental samples is the antibiotic "resistome," and is subject to the selective pressure of human activity. The origin of many modern resistance genes in pathogens is likely environmental bacteria, including antibiotic producing organisms that have existed for millennia. Recent work has uncovered resistance in ancient permafrost, isolated caves, and in human specimens preserved for hundreds of years. Together with bioinformatic analyses on modern-day sequences, these studies predict an ancient origin of resistance that long precedes the use of antibiotics in the clinic. Understanding the history of antibiotic resistance is important in predicting its future evolution. Copyright © 2016 Cold Spring Harbor Laboratory Press; all rights reserved.

  4. Activity of Daptomycin or Linezolid in Combination with Rifampin or Gentamicin against Biofilm-Forming Enterococcus faecalis or E. faecium in an In Vitro Pharmacodynamic Model Using Simulated Endocardial Vegetations and an In Vivo Survival Assay Using Galleria mellonella Larvae

    Science.gov (United States)

    Luther, Megan K.; Arvanitis, Marios; Mylonakis, Eleftherios

    2014-01-01

    Enterococci are the third most frequent cause of infective endocarditis. A high-inoculum stationary-phase in vitro pharmacodynamic model with simulated endocardial vegetations was used to simulate the human pharmacokinetics of daptomycin at 6 or 10 mg/kg of body weight/day or linezolid at 600 mg every 12 h (q12h), alone or in combination with gentamicin at 1.3 mg/kg q12h or rifampin at 300 mg q8h or 900 mg q24h. Biofilm-forming, vancomycin-susceptible Enterococcus faecalis and vancomycin-resistant Enterococcus faecium (vancomycin-resistant enterococcus [VRE]) strains were tested. At 24, 48, and 72 h, all daptomycin-containing regimens demonstrated significantly more activity (decline in CFU/g) than any linezolid-containing regimen against biofilm-forming E. faecalis. The addition of gentamicin to daptomycin (at 6 or 10 mg/kg) in the first 24 h significantly improved bactericidal activity. In contrast, the addition of rifampin delayed the bactericidal activity of daptomycin against E. faecalis, and the addition of rifampin antagonized the activities of all regimens against VRE at 24 h. Also, against VRE, the addition of gentamicin to linezolid at 72 h improved activity and was bactericidal. Rifampin significantly antagonized the activity of linezolid against VRE at 72 h. In in vivo Galleria mellonella survival assays, linezolid and daptomycin improved survival. Daptomycin at 10 mg/kg improved survival significantly over that with linezolid against E. faecalis. The addition of gentamicin improved the efficacy of daptomycin against E. faecalis and those of linezolid and daptomycin against VRE. We conclude that in enterococcal infection models, daptomycin has more activity than linezolid alone. Against biofilm-forming E. faecalis, the addition of gentamicin in the first 24 h causes the most rapid decline in CFU/g. Of interest, the addition of rifampin decreased the activity of daptomycin against both E. faecalis and VRE. PMID:24867993

  5. Effect of Ampicillin, Streptomycin, Penicillin and Tetracycline on Metal Resistant and Non-Resistant Staphylococcus aureus

    Directory of Open Access Journals (Sweden)

    Dagmar Chudobova

    2014-03-01

    Full Text Available There is an arising and concerning issue in the field of bacterial resistance, which is confirmed by the number of deaths associated with drug-resistant bacterial infections. The aim of this study was to compare the effects of antibiotics on Staphylococcus aureus non-resistant strain and strains resistant to cadmium or lead ions. Metal resistant strains were created by the gradual addition of 2 mM solution of metal ions (cadmium or lead to the S. aureus culture. An increasing antimicrobial effect of ampicillin, streptomycin, penicillin and tetracycline (0, 10, 25, 50, 75, 150, 225 and 300 µM on the resistant strains was observed using a method of growth curves. A significant growth inhibition (compared to control of cadmium resistant cells was observed in the presence of all the four different antibiotics. On the other hand, the addition of streptomycin and ampicillin did not inhibit the growth of lead resistant strain. Other antibiotics were still toxic to the bacterial cells. Significant differences in the morphology of cell walls were indicated by changes in the cell shape. Our data show that the presence of metal ions in the urban environment may contribute to the development of bacterial strain resistance to other substances including antibiotics, which would have an impact on public health.

  6. Effect of Ampicillin, Streptomycin, Penicillin and Tetracycline on Metal Resistant and Non-Resistant Staphylococcus aureus

    Science.gov (United States)

    Chudobova, Dagmar; Dostalova, Simona; Blazkova, Iva; Michalek, Petr; Ruttkay-Nedecky, Branislav; Sklenar, Matej; Nejdl, Lukas; Kudr, Jiri; Gumulec, Jaromir; Tmejova, Katerina; Konecna, Marie; Vaculovicova, Marketa; Hynek, David; Masarik, Michal; Kynicky, Jindrich; Kizek, Rene; Adam, Vojtech

    2014-01-01

    There is an arising and concerning issue in the field of bacterial resistance, which is confirmed by the number of deaths associated with drug-resistant bacterial infections. The aim of this study was to compare the effects of antibiotics on Staphylococcus aureus non-resistant strain and strains resistant to cadmium or lead ions. Metal resistant strains were created by the gradual addition of 2 mM solution of metal ions (cadmium or lead) to the S. aureus culture. An increasing antimicrobial effect of ampicillin, streptomycin, penicillin and tetracycline (0, 10, 25, 50, 75, 150, 225 and 300 µM) on the resistant strains was observed using a method of growth curves. A significant growth inhibition (compared to control) of cadmium resistant cells was observed in the presence of all the four different antibiotics. On the other hand, the addition of streptomycin and ampicillin did not inhibit the growth of lead resistant strain. Other antibiotics were still toxic to the bacterial cells. Significant differences in the morphology of cell walls were indicated by changes in the cell shape. Our data show that the presence of metal ions in the urban environment may contribute to the development of bacterial strain resistance to other substances including antibiotics, which would have an impact on public health. PMID:24651395

  7. Tritium labeled Gentamicin C : II.- Bioradioactive products of Gentamicin by Catalytic H2O-3H exchange reaction

    International Nuclear Information System (INIS)

    Suarez, C.; Diaz, A.; Paz, D.; Jimeno, M.L.

    1992-01-01

    The main bioradioactive degradation products from catalytic hydrogen exchange of gentamicin C, (C1 + C2 + C1a) in basic form, are generated by N-dimethylations in 3 - N and 6'-N positions. Their structures were confirmed by HNMR and 13 CNMR. These derivatives were fractionated by chromatography on silica gel. Antibacterial activities were similar to those of the parent antibiotics. Tritium exchange, under vacuum or nitrogen, is highly increased (4:1) when gentamicina are in basic form. In contrast with gentamicin sulfate, hydrolytic subproducts as garamine, gentamicine, garosamine and purpurosamines are practically absent. To properly optimize the exchange process, the composition of the gentamicin C complex must be taken into account. The exchange decreases in the order C2 > C1 > C1a. Because of 6' -N-dimenthyl gentamicin C1 is C2, the radiochemical yield of C2 appears enhanced in the H 2 O- 3 H exchange of a mixture of them. Radioactivity distribution among the components and subunits of these three gentamicins were studied by stron and mild hydrolysis, and by methanolysis. (author)

  8. Herbicide resistance and biodiversity: agronomic and environmental aspects of genetically modified herbicide-resistant plants.

    Science.gov (United States)

    Schütte, Gesine; Eckerstorfer, Michael; Rastelli, Valentina; Reichenbecher, Wolfram; Restrepo-Vassalli, Sara; Ruohonen-Lehto, Marja; Saucy, Anne-Gabrielle Wuest; Mertens, Martha

    2017-01-01

    Farmland biodiversity is an important characteristic when assessing sustainability of agricultural practices and is of major international concern. Scientific data indicate that agricultural intensification and pesticide use are among the main drivers of biodiversity loss. The analysed data and experiences do not support statements that herbicide-resistant crops provide consistently better yields than conventional crops or reduce herbicide amounts. They rather show that the adoption of herbicide-resistant crops impacts agronomy, agricultural practice, and weed management and contributes to biodiversity loss in several ways: (i) many studies show that glyphosate-based herbicides, which were commonly regarded as less harmful, are toxic to a range of aquatic organisms and adversely affect the soil and intestinal microflora and plant disease resistance; the increased use of 2,4-D or dicamba, linked to new herbicide-resistant crops, causes special concerns. (ii) The adoption of herbicide-resistant crops has reduced crop rotation and favoured weed management that is solely based on the use of herbicides. (iii) Continuous herbicide resistance cropping and the intensive use of glyphosate over the last 20 years have led to the appearance of at least 34 glyphosate-resistant weed species worldwide. Although recommended for many years, farmers did not counter resistance development in weeds by integrated weed management, but continued to rely on herbicides as sole measure. Despite occurrence of widespread resistance in weeds to other herbicides, industry rather develops transgenic crops with additional herbicide resistance genes. (iv) Agricultural management based on broad-spectrum herbicides as in herbicide-resistant crops further decreases diversity and abundance of wild plants and impacts arthropod fauna and other farmland animals. Taken together, adverse impacts of herbicide-resistant crops on biodiversity, when widely adopted, should be expected and are indeed very hard

  9. Resistance to fluoroquinolones and second-line injectable drugs: impact on multidrug-resistant TB outcomes

    NARCIS (Netherlands)

    Falzon, Dennis; Gandhi, Neel; Migliori, Giovanni B.; Sotgiu, Giovanni; Cox, Helen S.; Holtz, Timothy H.; Hollm-Delgado, Maria-Graciela; Keshavjee, Salmaan; Deriemer, Kathryn; Centis, Rosella; D'Ambrosio, Lia; Lange, Christoph G.; Bauer, Melissa; Menzies, Dick; Ahuja, S. D.; Ashkin, D.; Avendaño, M.; Banerjee, R.; Bauer, M.; Becerra, M. C.; Benedetti, A.; Burgos, M.; Centis, R.; Chan, E. D.; Chiang, C. Y.; Cobelens, F.; Cox, H.; D'Ambrosio, L.; de Lange, W. C. M.; DeRiemer, K.; Enarson, D.; Falzon, D.; Flanagan, K. L.; Flood, J.; Gandhi, N.; Garcia-Garcia, M. L.; Granich, R. M.; Hollm-Delgado, M. G.; Holtz, T. H.; Hopewell, P.; Iseman, M. D.; Jarlsberg, L. G.; Keshavjee, S.; Kim, H. R.; Koh, W. J.; Lancaster, J. L.; Lange, C.; Leimane, V.; Leung, C. C.; Li, J.

    2013-01-01

    A meta-analysis for response to treatment was undertaken using individual data of multidrug-resistant tuberculosis (MDR-TB) (resistance to isoniazid and rifampicin) patients from 26 centres. The analysis assessed the impact of additional resistance to fluoroquinolones and/or second-line injectable

  10. Resistance to change

    NARCIS (Netherlands)

    Dow, J.; Perotti, E.

    2013-01-01

    Established firms often fail to maintain leadership following disrup tive market shifts. We argue that such firms are more prone to internal resistance. A radical adjustment of assets affects the distribution of employee rents, creating winners and losers. Losers resist large changes when strong

  11. Antimicrobial resistance and resistance gene determinants in clinical Escherichia coli from different animal species in Switzerland.

    Science.gov (United States)

    Lanz, Roland; Kuhnert, Peter; Boerlin, Patrick

    2003-01-02

    Antimicrobial susceptibility testing was performed on a total of 581 clinical Escherichia coli isolates from diarrhea and edema disease in pigs, from acute mastitis in dairy cattle, from urinary tract infections in dogs and cats, and from septicemia in laying hens collected in Switzerland between 1999 and 2001. Among the 16 antimicrobial agents tested, resistance was most frequent for sulfonamides, tetracycline, and streptomycin. Isolates from swine presented significantly more resistance than those from the other animal species. The distribution of the resistance determinants for sulfonamides, tetracycline, and streptomycin was assessed by hybridization and PCR in resistant isolates. Significant differences in the distribution of resistance determinants for tetracycline (tetA, tetB) and sulfonamides (sulII) were observed between the isolates from swine and those from the other species. Resistance to sulfonamides could not be explained by known resistance mechanisms in more than a quarter of the sulfonamide-resistant and sulfonamide-intermediate isolates from swine, dogs and cats. This finding suggests that one or several new resistance mechanisms for sulfonamides may be widespread among E. coli isolates from these animal species. The integrase gene (intI) from class I integrons was detected in a large proportion of resistant isolates in association with the sulI and aadA genes, thus demonstrating the importance of integrons in the epidemiology of resistance in clinical E. coli isolates from animals.

  12. Are Sewage Treatment Plants Promoting Antibiotic Resistance?

    Science.gov (United States)

    1. Introduction 1.1. How bacteria exhibit resistance 1.1.1. Resistance to -lactams 1.1.2. Resistance to sulphonamides and trimethoprim 1.1.3. Resistance to macrolides 1.1.4. Resistance to fluoroquinolones 1.1.5. Resistance to tetracyclines 1.1.6. Resistance to nitroimidaz...

  13. Ceftaroline-Resistant, Daptomycin-Tolerant, and Heterogeneous Vancomycin-Intermediate Methicillin-Resistant Staphylococcus aureus Causing Infective Endocarditis.

    Science.gov (United States)

    Nigo, Masayuki; Diaz, Lorena; Carvajal, Lina P; Tran, Truc T; Rios, Rafael; Panesso, Diana; Garavito, Juan D; Miller, William R; Wanger, Audrey; Weinstock, George; Munita, Jose M; Arias, Cesar A; Chambers, Henry F

    2017-03-01

    We report a case of infective endocarditis (IE) caused by ceftaroline-resistant, daptomycin-tolerant, and heterogeneous vancomycin-intermediate methicillin-resistant S. aureus (MRSA). Resistance to ceftaroline emerged in the absence of drug exposure, and the E447K substitution in the active site of PBP2a previously associated with ceftaroline resistance was identified. Additionally, we present evidence of patient-to-patient transmission of the strain within the same unit. This case illustrates the difficulties in treating MRSA IE in the setting of a multidrug-resistant phenotype. Copyright © 2017 American Society for Microbiology.

  14. Phenotypic and genotypic detection of methicillin-resistant Staphylococcus aureus in hunting dogs in Maiduguri metropolitan, Borno State, Nigeria

    Directory of Open Access Journals (Sweden)

    Muhammad Mustapha

    2016-05-01

    Full Text Available Aim: To determine the presence of MRSA in hunting dogs in Maiduguri metropolitan. Materials and Methods: Phenotypic methods used includes microscopic technique, colony morphology study, catalase-coagulase tests, and the use of mannitol salt agar test, oxacillin resistance screening agar base, and antibiotic susceptibility testing methods. Genotypic approach was used for deoxyribonucleic acid extraction, and the presence of nuc and mecA gene was detected using polymerase chain reaction (PCR techniques. Results: Examination of 416 swab samples from nasal and perineal region of dogs revealed a total of 79.5% of S. aureus, where 62.5% of the isolates were MRSA. Molecular analysis revealed that 7nuc genes specific for S. aureus from 20 presumptive MRSA assay were all mecA PCR negative. The isolates were sensitive to gentamicin and ciprofloxacin but proved resistant to cefoxitin and oxacillin. Conclusion: High isolation rate of MRSA was found in hunting dogs. Significant level (p<0.05 of MRSA was isolated in the nasal cavity of hunting dogs than its perineum. Only nuc genes were detected from the MRSA isolates.

  15. Phenotypic and genotypic detection of methicillin-resistant Staphylococcus aureus in hunting dogs in Maiduguri metropolitan, Borno State, Nigeria.

    Science.gov (United States)

    Mustapha, Muhammad; Bukar-Kolo, Yachilla Maryam; Geidam, Yaqub Ahmed; Gulani, Isa Adamu

    2016-05-01

    To determine the presence of MRSA in hunting dogs in Maiduguri metropolitan. Phenotypic methods used includes microscopic technique, colony morphology study, catalase-coagulase tests, and the use of mannitol salt agar test, oxacillin resistance screening agar base, and antibiotic susceptibility testing methods. Genotypic approach was used for deoxyribonucleic acid extraction, and the presence of nuc and mecA gene was detected using polymerase chain reaction (PCR) techniques. Examination of 416 swab samples from nasal and perineal region of dogs revealed a total of 79.5% of S. aureus, where 62.5% of the isolates were MRSA. Molecular analysis revealed that 7nuc genes specific for S. aureus from 20 presumptive MRSA assay were all mecA PCR negative. The isolates were sensitive to gentamicin and ciprofloxacin but proved resistant to cefoxitin and oxacillin. High isolation rate of MRSA was found in hunting dogs. Significant level (p<0.05) of MRSA was isolated in the nasal cavity of hunting dogs than its perineum. Only nuc genes were detected from the MRSA isolates.

  16. Antimicrobial resistance and prevalence of resistance genes of obligate anaerobes isolated from periodontal abscesses.

    Science.gov (United States)

    Xie, Yi; Chen, Jiazhen; He, Junlin; Miao, Xinyu; Xu, Meng; Wu, Xingwen; Xu, Beiyun; Yu, Liying; Zhang, Wenhong

    2014-02-01

    This study attempts to determine the antimicrobial resistance profiles of obligate anaerobic bacteria that were isolated from a periodontal abscess and to evaluate the prevalence of resistance genes in these bacteria. Forty-one periodontal abscess samples were cultivated on selective and non-selective culture media to isolate the oral anaerobes. Their antibiotic susceptibilities to clindamycin, doxycycline, amoxicillin, imipenem, cefradine, cefixime, roxithromycin, and metronidazole were determined using the agar dilution method, and polymerase chain reaction assays were performed to detect the presence of the ermF, tetQ, nim, and cfxA drug resistance genes. A total of 60 different bacterial colonies was isolated and identified. All of the isolates were sensitive to imipenem. Of the strains, 6.7%, 13.3%, 16.7%, and 25% were resistant to doxycycline, metronidazole, cefixime, and amoxicillin, respectively. The resistance rate for both clindamycin and roxithromycin was 31.7%. Approximately 60.7% of the strains had the ermF gene, and 53.3% of the amoxicillin-resistant strains were found to have the cfxA gene. Two nim genes that were found in eight metronidazole-resistant strains were identified as nimB. In the present study, the Prevotella species are the most frequently isolated obligate anaerobes from periodontal abscesses. The current results show their alarmingly high resistance rate against clindamycin and roxithromycin; thus, the use of these antibiotics is unacceptable for the empirical therapy of periodontal abscesses. A brief prevalence of four resistance genes in the anaerobic bacteria that were isolated was also demonstrated.

  17. Mapping resistance to powdery mildew in barley reveals a large-effect nonhost resistance QTL.

    Science.gov (United States)

    Romero, Cynara C T; Vermeulen, Jasper P; Vels, Anton; Himmelbach, Axel; Mascher, Martin; Niks, Rients E

    2018-05-01

    Resistance factors against non-adapted powdery mildews were mapped in barley. Some QTLs seem effective only to non-adapted mildews, while others also play a role in defense against the adapted form. The durability and effectiveness of nonhost resistance suggests promising practical applications for crop breeding, relying upon elucidation of key aspects of this type of resistance. We investigated which genetic factors determine the nonhost status of barley (Hordeum vulgare L.) to powdery mildews (Blumeria graminis). We set out to verify whether genes involved in nonhost resistance have a wide effectiveness spectrum, and whether nonhost resistance genes confer resistance to the barley adapted powdery mildew. Two barley lines, SusBgt SC and SusBgt DC , with some susceptibility to the wheat powdery mildew B. graminis f.sp. tritici (Bgt) were crossed with cv Vada to generate two mapping populations. Each population was assessed for level of infection against four B. graminis ff.spp, and QTL mapping analyses were performed. Our results demonstrate polygenic inheritance for nonhost resistance, with some QTLs effective only to non-adapted mildews, while others play a role against adapted and non-adapted forms. Histology analyses of nonhost interaction show that most penetration attempts are stopped in association with papillae, and also suggest independent layers of defence at haustorium establishment and conidiophore formation. Nonhost resistance of barley to powdery mildew relies mostly on non-hypersensitive mechanisms. A large-effect nonhost resistance QTL mapped to a 1.4 cM interval is suitable for map-based cloning.

  18. Prevalence of enterobacteriaceae in Tupinambis merianae (Squamata: Teiidae from a captive facility in Central Brazil, with a profile of antimicrobial drug resistance in Salmonella enterica

    Directory of Open Access Journals (Sweden)

    Andréa de Moraes Carvalho

    2013-06-01

    Full Text Available The present study reports the presence of enterobacteriaceae in Tegu Lizards (Tupinambis merianaefrom a captive facility in central Brazil. From a total of 30 animals, 10 juveniles and 20 adults (10 males, 10 females, 60 samples were collected, in two periods separated by 15 days. The samples were cultivated in Xylose-lysine-deoxycholate agar (XLT4 and MacConkey agar. The Salmonella enterica were tested for antimicrobial susceptibility. A total of 78 bacteria was isolated, of wich 27 were from juveniles of T. merianae, 30 from adult males and 21 from adult females. Salmonella enterica was the most frequent bacteria followed by Citrobacter freundii, Escherichia coli, Enterobacter sakasakii, Kluivera sp., Citrobacter amalonaticus, Serratia marcescens, Citrobacter diversus, Yersinia frederiksenii, Serratia odorifera, and Serratia liquefaciens. Salmonella enterica subsp. diarizonae and houtenae showed resistance to cotrimoxazole, and serum Salmonella enterica Worthington showed resistance to tetracycline and gentamicin. Salmonella enterica Panama and S. enterica subsp. diarizonae showed intermediate sensitivity to cotrimoxazole. In addition to Enterobacteriaceae in the Tegu lizard, pathogenic serotypes of S. enterica also occur, and their antimicrobial resistance was confirmed.

  19. Animation of Antimicrobial Resistance

    Science.gov (United States)

    ... Animal & Veterinary Cosmetics Tobacco Products Animal & Veterinary Home Animal & Veterinary Safety & Health Antimicrobial Resistance Animation of Antimicrobial Resistance Share Tweet Linkedin Pin ...

  20. Diversity of Antimicrobial Resistance and Virulence Determinants in Pseudomonas aeruginosa Associated with Fresh Vegetables

    Directory of Open Access Journals (Sweden)

    Kashina Allydice-Francis

    2012-01-01

    Full Text Available With the increased focus on healthy eating and consuming raw vegetables, this study assessed the extent of contamination of fresh vegetables by Pseudomonas aeruginosa in Jamaica and examined the antibiotic susceptibility profiles and the presence of various virulence associated determinants of P. aeruginosa. Analyses indicated that vegetables from retail markets and supermarkets were widely contaminated by P. aeruginosa; produce from markets were more frequently contaminated, but the difference was not significant. Lettuce and carrots were the most frequently contaminated vegetables, while tomatoes were the least. Pigment production (Pyoverdine, pyocyanin, pyomelanin and pyorubin, fluorescein and alginate were common in these isolates. Imipenem, gentamicin and ciprofloxacin were the most inhibitory antimicrobial agents. However, isolates were resistant or showed reduced susceptibility to ampicillin, chloramphenicol, sulphamethoxazole/trimethoprim and aztreonam, and up to 35% of the isolates were resistant to four antimicrobial agents. As many as 30% of the isolates were positive for the fpv1 gene, and 13% had multiple genes. Sixty-four percent of the isolates harboured an exoenzyme gene (exoS, exoT, exoU or exoY, and multiple exo genes were common. We conclude that P. aeruginosa is a major contaminant of fresh vegetables, which might be a source of infection for susceptible persons within the community.

  1. Observations on resistive wall modes

    International Nuclear Information System (INIS)

    Gerwin, R.A.; Finn, J.M.

    1996-01-01

    Several results on resistive wall modes and their application to tokamaks are presented. First, it is observed that in the presence of collisional parallel dynamics there is an exact cancellation to lowest order of the dissipative and sound wave effects for an ideal Ohm's law. This is easily traced to the fact that the parallel dynamics occurs along the perturbed magnetic field lines for such electromagnetic modes. Such a cancellation does not occur in the resistive layer of a tearing-like mode. The relevance to models for resistive wall modes using an electrostatic Hammett-Perkins type operator to model Landau damping will be discussed. Second, we observe that with an ideal Ohm's law, resistive wall modes can be destabilized by rotation in that part of parameter space in which the ideal MHD modes are stable with the wall at infinity. This effect can easily be explained by interpreting the resistive wall instability in terms of mode coupling between the backward stable MHD mode and a stable mode locked into the wall. Such an effect can occur for very small rotation for tearing-resistive wall modes in which inertia dominates viscosity in the layer, but the mode is stabilized by further rotation. For modes for which viscosity dominates in the layer, rotation is purely stabilizing. For both tearing models, a somewhat higher rotation frequency gives stability essentially whenever the tearing mode is stable with a perfectly conducting wall. These tearing/resistive wall results axe also simply explained in terms of mode coupling. It has been shown that resonant external ideal modes can be stabilized in the presence of resistive wall and resistive plasma with rotation of order the nominal tearing mode growth rate. We show that these modes behave as resistive wall tearing modes in the sense above. This strengthens the suggestion that rotational stabilization of the external kink with a resistive wall is due to the presence of resistive layers, even for ideal modes

  2. Resist Parameter Extraction from Line-and-Space Patterns of Chemically Amplified Resist for Extreme Ultraviolet Lithography

    Science.gov (United States)

    Kozawa, Takahiro; Oizumi, Hiroaki; Itani, Toshiro; Tagawa, Seiichi

    2010-11-01

    The development of extreme ultraviolet (EUV) lithography has progressed owing to worldwide effort. As the development status of EUV lithography approaches the requirements for the high-volume production of semiconductor devices with a minimum line width of 22 nm, the extraction of resist parameters becomes increasingly important from the viewpoints of the accurate evaluation of resist materials for resist screening and the accurate process simulation for process and mask designs. In this study, we demonstrated that resist parameters (namely, quencher concentration, acid diffusion constant, proportionality constant of line edge roughness, and dissolution point) can be extracted from the scanning electron microscopy (SEM) images of patterned resists without the knowledge on the details of resist contents using two types of latest EUV resist.

  3. TOC-39, a novel parenteral broad-spectrum cephalosporin with excellent activity against methicillin-resistant Staphylococcus aureus.

    Science.gov (United States)

    Hanaki, H; Akagi, H; Masaru, Y; Otani, T; Hyodo, A; Hiramatsu, K

    1995-01-01

    TOC-39, a new parenteral cephalosporin, is a hydroxyimino-type cephem antibiotic with vinylthio-pyridyl moiety at the 3 position. TOC-39 was evaluated for antibacterial activity against various clinically isolated strains. TOC-39 had excellent activity, stronger than that of methicillin, oxacillin, the cephalosporins tested, imipenem, gentamicin, minocycline, tobramycin, ofloxacin, and ciprofloxacin against methicillin-resistant Staphylococcus aureus (MRSA) and had an MIC comparable to that of vancomycin (the MICs of TOC-39 and vancomycin for 90% of the strains tested were 3.13 and 1.56 micrograms/ml, respectively). Against Enterococcus faecalis strains, which are resistant to cephalosporins, TOC-39 was twice as active as ampicillin. Against methicillin-susceptible S. aureus, coagulase-negative Staphylococcus spp., and Streptococcus pneumoniae, TOC-39 was twice as active as or more active than cefotiam, ceftazidime, flomoxef, and cefpirome. Against Streptococcus pyogenes, TOC-39 was superior to cefotiam, ceftazidime, and flomoxef and was similar to cefpirome. In addition, the activity of TOC-39 was equal to or greater than that of cefotiam, ceftazidime, flomoxef, and cefpirome against Haemophilus influenzae, Escherichia coli, Klebsiella pneumoniae, Proteus mirabilis, and Morganella morganii. In terms of bactericidal effect against MRSA, TOC-39 was superior to vancomycin. No mutant resistant to TOC-39 or vancomycin was obtained from susceptible MRSA strains. In murine systemic infection models, TOC-39 showed potent activity against S. aureus and E. coli. Against highly MRSA, the activity of TOC-39 was comparable to that of vancomycin. PMID:7625799

  4. Genetics Home Reference: clopidogrel resistance

    Science.gov (United States)

    ... Facebook Twitter Home Health Conditions Clopidogrel resistance Clopidogrel resistance Printable PDF Open All Close All Enable Javascript to view the expand/collapse boxes. Description Clopidogrel resistance is a condition in which the drug clopidogrel ...

  5. Genetics Home Reference: warfarin resistance

    Science.gov (United States)

    ... Email Facebook Twitter Home Health Conditions Warfarin resistance Warfarin resistance Printable PDF Open All Close All Enable Javascript to view the expand/collapse boxes. Description Warfarin resistance is a condition in which individuals have ...

  6. Sobrevivência e perfil de resistência a antimicrobianos de Salmonella sp. isoladas em um sistema de tratamento de dejetos de suínos Survival and resistance patterns of Salmonella sp. isolated in a pig slurry treatment plant

    Directory of Open Access Journals (Sweden)

    Verônica Schmidt

    2003-10-01

    .5%, gentamicin (6.2% and amoxacilin/ clavulanic acid (5%. Most Salmonella Typhimurium strains (94.5% were resistant to 4 or more antibiotics. The multi-resistance level and the pattern variability of these strains were similar in the beginning and at the end of the stabilization ponds system.

  7. Resistance and conformity

    NARCIS (Netherlands)

    Sumter, S.R.; Bokhorst, C.L.; Westenberg, P.M.; Levesque, R.J.R.

    2011-01-01

    Resistance to peer influence, or the ability to resist making choices or adopting views under the implicit or explicit influence of your peers, is expected to undergo changes during adolescence. Two developmental trajectories have emerged from the field. On the one hand, adolescents show a temporary

  8. [Molecular characterization of resistance mechanisms: methicillin resistance Staphylococcus aureus, extended spectrum β-lactamases and carbapenemases].

    Science.gov (United States)

    Oteo, Jesús; Belén Aracil, María

    2015-07-01

    Multi-drug resistance in bacterial pathogens increases morbidity and mortality in infected patients and it is a threat to public health concern by their high capacity to spread. For both reasons, the rapid detection of multi-drug resistant bacteria is critical. Standard microbiological procedures require 48-72 h to provide the antimicrobial susceptibility results, thus there is emerging interest in the development of rapid detection techniques. In recent years, the use of selective and differential culture-based methods has widely spread. However, the capacity for detecting antibiotic resistance genes and their low turnaround times has made molecular methods a reference for diagnosis of multidrug resistance. This review focusses on the molecular methods for detecting some mechanisms of antibiotic resistance with a high clinical and epidemiological impact: a) Enzymatic resistance to broad spectrum β-lactam antibiotics in Enterobacteriaceae, mainly extended spectrum β-lactamases (ESBL) and carbapenemases; and b) methicillin resistance in Staphylococcus aureus. Copyright © 2015 Elsevier España, S.L.U. All rights reserved.

  9. Piggyback resistive Micromegas

    CERN Document Server

    Attié, D; Durand, D; Desforge, D; Ferrer-Ribas, E; Galán, J; Giomataris, Y; Gongadze, A; Iguaz, F J; Jeanneau, F; de Oliveira, R; Papaevangelou, T; Peyaud, A; Teixeira, A

    2013-01-01

    Piggyback Micromegas consists in a novel readout architecture where the anode element is made of a resistive layer on a ceramic substrate. The resistive layer is deposited on the thin ceramic substrate by an industrial process which provides large dynamic range of resistivity (10$^6$ to 10$^{10}$\\,M$\\Omega$/square). The particularity of this new structure is that the active part is entirely dissociated from the read-out element. This gives a large flexibility on the design of the anode structure and the readout scheme. Without significant loss, signals are transmitted by capacitive coupling to the read-out pads. The detector provides high gas gain, good energy resolution and the resistive layer assures spark protection for the electronics. This assembly could be combined with modern pixel array electronic ASICs. First tests with different Piggyback detectors and configurations will be presented. This structure is adequate for cost effective fabrication and low outgassing detectors. It was designed to perform ...

  10. Effect of physiological age on radiation resistance of some bacteria that are highly radiation resistant

    International Nuclear Information System (INIS)

    Keller, L.C.; Maxcy, R.B.

    1984-01-01

    Physiological age-dependent variation in radiation resistance was studied for three bacteria that are highly radiation resistant: Micrococcus radiodurans, Micrococcus sp. isolate C-3, and Moraxella sp. isolate 4. Stationary-phase cultures of M. radiodurans and isolate C-3 were much more resistant to gamma radiation than were log-phase cultures. This pattern of relative resistance was reversed for isolate 4. Resistance of isolate 4 to UV light was also greater during log phase, although heat resistance and NaCl tolerance after heat stresses were greater during stationary phase. Radiation-induced injury of isolate 4 compared with injury of Escherichia coli B suggested that the injury process, as well as the lethal process, was affected by growth phase. The hypothesis that growth rate affects radiation resistance was tested, and results were interpreted in light of the probable confounding effect of methods used to alter growth rates of bacteria. These results indicate that dose-response experiments should be designed to measure survival during the most resistant growth phase of the organism under study. The timing is particularly important when extrapolations of survival results might be made to potential irradiation processes for foods. 17 references

  11. Multidrug resistance in tumour cells: characterisation of the multidrug resistant cell line K562-Lucena 1

    Directory of Open Access Journals (Sweden)

    VIVIAN M. RUMJANEK

    2001-03-01

    Full Text Available Multidrug resistance to chemotherapy is a major obstacle in the treatment of cancer patients. The best characterised mechanism responsible for multidrug resistance involves the expression of the MDR-1 gene product, P-glycoprotein. However, the resistance process is multifactorial. Studies of multidrug resistance mechanisms have relied on the analysis of cancer cell lines that have been selected and present cross-reactivity to a broad range of anticancer agents. This work characterises a multidrug resistant cell line, originally selected for resistance to the Vinca alkaloid vincristine and derived from the human erythroleukaemia cell K562. This cell line, named Lucena 1, overexpresses P-glycoprotein and have its resistance reversed by the chemosensitisers verapamil, trifluoperazine and cyclosporins A, D and G. Furthermore, we demonstrated that methylene blue was capable of partially reversing the resistance in this cell line. On the contrary, the use of 5-fluorouracil increased the resistance of Lucena 1. In addition to chemotherapics, Lucena 1 cells were resistant to ultraviolet A radiation and hydrogen peroxide and failed to mobilise intracellular calcium when thapsigargin was used. Changes in the cytoskeleton of this cell line were also observed.A resistência a múltiplos fármacos é o principal obstáculo no tratamento de pacientes com câncer. O mecanismo responsável pela resistência múltipla mais bem caracterizado envolve a expressão do produto do gene MDR-1, a glicoproteína P. Entretanto, o processo de resistência tem fatores múltiplos. Estudos de mecanismos de resistência m��ltipla a fármacos têm dependido da análise de linhagens celulares tumorais que foram selecionadas e apresentam reatividade cruzada a uma ampla faixa de agentes anti-tumorais. Este trabalho caracteriza uma linhagem celular com múltipla resistência a fármacos, selecionada originalmente pela resistência ao alcalóide de Vinca vincristina e derivado

  12. Subgroup and resistance analyses of raltegravir for resistant HIV-1 infection

    DEFF Research Database (Denmark)

    Cooper, David A; Steigbigel, Roy T; Gatell, Jose M

    2008-01-01

    BACKGROUND: We evaluated the efficacy of raltegravir and the development of viral resistance in two identical trials involving patients who were infected with human immunodeficiency virus type 1 (HIV-1) with triple-class drug resistance and in whom antiretroviral therapy had failed. METHODS: We c...

  13. Occurrence and Distribution of Antibiotic-resistant Bacteria and Transfer of Resistance Genes in Lake Taihu

    Science.gov (United States)

    Yin, Qian; Yue, Dongmei; Peng, Yuke; Liu, Ying; Xiao, Lin

    2013-01-01

    The overuse of antibiotics has accelerated antibiotic resistance in the natural environment, especially fresh water, generating a potential risk for public health around the world. In this study, antibiotic resistance in Lake Taihu was investigated and this was the first thorough data obtained through culture-dependent methods. High percentages of resistance to streptomycin and ampicillin among bacterial isolates were detected, followed by tetracycline and chloramphenicol. Especially high levels of ampicillin resistance in the western and northern regions were illustrated. Bacterial identification of the isolates selected for further study indicated the prevalence of some opportunistic pathogens and 62.0% of the 78 isolates exhibited multiple antibiotic resistance. The presence of ESBLs genes was in the following sequence: blaTEM > blaSHV > blaCTMX and 38.5% of the isolates had a class I integrase gene. Of all tested strains, 80.8% were able to transfer antibiotic resistance through conjugation. We also concluded that some new families of human-associated ESBLs and AmpC genes can be found in natural environmental isolates. The prevalence of antibiotic resistance and the dissemination of transferable antibiotic resistance in bacterial isolates (especially in opportunistic pathogens) was alarming and clearly indicated the urgency of realizing the health risks of antibiotic resistance to human and animal populations who are dependent on Lake Taihu for water consumption. PMID:24240317

  14. Antibiotic Resistance and Antibiotic Resistance Genes in Escherichia coli Isolates from Hospital Wastewater in Vietnam.

    Science.gov (United States)

    Lien, La Thi Quynh; Lan, Pham Thi; Chuc, Nguyen Thi Kim; Hoa, Nguyen Quynh; Nhung, Pham Hong; Thoa, Nguyen Thi Minh; Diwan, Vishal; Tamhankar, Ashok J; Stålsby Lundborg, Cecilia

    2017-06-29

    The environmental spread of antibiotic-resistant bacteria has been recognised as a growing public health threat for which hospitals play a significant role. The aims of this study were to investigate the prevalence of antibiotic resistance and antibiotic resistance genes (ARGs) in Escherichia coli isolates from hospital wastewater in Vietnam. Wastewater samples before and after treatment were collected using continuous sampling every month over a year. Standard disk diffusion and E-test were used for antibiotic susceptibility testing. Extended-spectrum beta-lactamase (ESBL) production was tested using combined disk diffusion. ARGs were detected by polymerase chain reactions. Resistance to at least one antibiotic was detected in 83% of isolates; multidrug resistance was found in 32%. The highest resistance prevalence was found for co-trimoxazole (70%) and the lowest for imipenem (1%). Forty-three percent of isolates were ESBL-producing, with the bla TEM gene being more common than bla CTX-M . Co-harbouring of the bla CTX-M , bla TEM and qepA genes was found in 46% of isolates resistant to ciprofloxacin. The large presence of antibiotic-resistant E. coli isolates combined with ARGs in hospital wastewater, even post-treatment, poses a threat to public health. It highlights the need to develop effective processes for hospital wastewater treatment plants to eliminate antibiotic resistant bacteria and ARGs.

  15. Dominance of multidrug resistant CC271 clones in macrolide-resistant streptococcus pneumoniae in Arizona

    Directory of Open Access Journals (Sweden)

    Bowers Jolene R

    2012-01-01

    Full Text Available Abstract Background Rates of resistance to macrolide antibiotics in Streptococcus pneumoniae are rising around the world due to the spread of mobile genetic elements harboring mef(E and erm(B genes and post-vaccine clonal expansion of strains that carry them. Results Characterization of 592 clinical isolates collected in Arizona over a 10 year period shows 23.6% are macrolide resistant. The largest portion of the macrolide-resistant population, 52%, is dual mef(E/erm(B-positive. All dual-positive isolates are multidrug-resistant clonal lineages of Taiwan19F-14, mostly multilocus sequence type 320, carrying the recently described transposon Tn2010. The remainder of the macrolide resistant S. pneumoniae collection includes 31% mef(E-positive, and 9% erm(B-positive strains. Conclusions The dual-positive, multidrug-resistant S. pneumoniae clones have likely expanded by switching to non-vaccine serotypes after the heptavalent pneumococcal conjugate vaccine release, and their success limits therapy options. This upsurge could have a considerable clinical impact in Arizona.

  16. Evolution of methicillin-resistant Staphylococcus aureus towards increasing resistance

    DEFF Research Database (Denmark)

    Strommenger, Birgit; Bartels, Mette Damkjær; Kurt, Kevin

    2014-01-01

    To elucidate the evolutionary history of Staphylococcus aureus clonal complex (CC) 8, which encompasses several globally distributed epidemic lineages, including hospital-associated methicillin-resistant S. aureus (MRSA) and the highly prevalent community-associated MRSA clone USA300.......To elucidate the evolutionary history of Staphylococcus aureus clonal complex (CC) 8, which encompasses several globally distributed epidemic lineages, including hospital-associated methicillin-resistant S. aureus (MRSA) and the highly prevalent community-associated MRSA clone USA300....

  17. Controlling antibiotic resistance in the ICU

    NARCIS (Netherlands)

    Derde, L.P.G.

    2013-01-01

    Patients admitted to intensive care units (ICUs) are frequently colonized with (antibiotic-resistant) bacteria, which may lead to healthcare associated infections. Antimicrobial-resistant bacteria (AMRB), such as methicillin-resistant Staphylococcus aureus (MRSA), vancomycin-resistant Enterococci

  18. Clostridium difficile Infection and Patient-Specific Antimicrobial Resistance Testing Reveals a High Metronidazole Resistance Rate.

    Science.gov (United States)

    Barkin, Jodie A; Sussman, Daniel A; Fifadara, Nimita; Barkin, Jamie S

    2017-04-01

    Clostridium difficile (CD) infection (CDI) causes marked morbidity and mortality, accounting for large healthcare expenditures annually. Current CDI treatment guidelines focus on clinical markers of patient severity to determine the preferred antibiotic regimen of metronidazole versus vancomycin. The antimicrobial resistance patterns for patients with CD are currently unknown. The aim of this study was to define the antimicrobial resistance patterns for CD. This study included all patients with stools sent for CD testing to a private laboratory (DRG Laboratory, Alpharetta, Georgia) in a 6-month period from across the USA. Patient data was de-identified, with only age, gender, and zip-code available per laboratory protocol. All samples underwent PCR testing followed by hybridization for CD toxin regions A and B. Only patients with CD-positive PCR were analyzed. Antimicrobial resistance testing using stool genomic DNA evaluated presence of imidazole- and vancomycin-resistant genes using multiplex PCR gene detection. Of 2743, 288 (10.5%) stool samples were positive for CD. Six were excluded per protocol. Of 282, 193 (69.4%) were women, and average age was 49.4 ± 18.7 years. Of 282, 62 were PCR positive for toxins A and B, 160 for toxin A positive alone, and 60 for toxin B positive alone. Antimicrobial resistance testing revealed 134/282 (47.5%) patients resistant to imidazole, 17 (6.1%) resistant to vancomycin, and 9 (3.2%) resistant to imidazole and vancomycin. CD-positive patients with presence of imidazole-resistant genes from stool DNA extract was a common phenomenon, while vancomycin resistance was uncommon. Similar to treatment of other infections, antimicrobial resistance testing should play a role in CDI clinical decision-making algorithms to enable more expedited and cost-effective delivery of patient care.

  19. Anticoagulant Resistance

    DEFF Research Database (Denmark)

    Heiberg, Ann-Charlotte

    Although sewer rat control is carried out in more than 80 % of all Danish municipalities, with usage of large amounts of anticoagulant rodenticides, knowledge on anticoagulant resistance among rats living in the sewers is limited. As rat problems in urban areas are believed to be related to sewer...... problems (70-90 % in UK and DK) unawareness of resistance amongst these populations of Brown rats may constitute a future control problem and knowledge on this issue has become crucial. Rats were captured in sewers from seven different locations in the suburban area of Copenhagen. Locations was chosen...... to represent different sewer rat management strategies i) no anticoagulants for approx. 20 years ii) no anticoagulants for the last 5 years and iii) continuous control for many years. Animals were tested for resistance to bromadiolone by Blood-Clotting Response test, as bromadiolone is the most frequently used...

  20. Embodied Resistance to Persuasion in Advertising

    Science.gov (United States)

    Lewinski, Peter; Fransen, Marieke L.; Tan, Ed S.

    2016-01-01

    From the literature on resistance to persuasion in advertising, much is known about how people can resist advertising by adopting resistance strategies, such as avoidance, counter-arguing, and selective attention (e.g., Fransen et al., 2015b). However, the role of emotion regulation and bodily expression in resisting persuasion is so far underexplored. This is a surprising observation if one considers that at least 40% of advertisements use positive emotions (i.e., happiness) to persuade people to like the ad, brand, and product (Weinberger et al., 1995). In this article we present a framework in which we apply previous knowledge and theories on emotion regulation and embodiment to the process of resistance to persuasion. In doing so, we specifically address the role of facial expression in the course of resistance. The literature and findings from our own research lead us to propose that people can resist persuasion by controlling their facial expression of emotion when exposed to an advertisement. Controlling the expression of emotions elicited by an ad (for example refusing to smile) might be a fruitful way to resist the ad’s persuasive potential. Moreover, we argue that co-viewers can affect embodied resistance to persuasion. Showing the viability of embodied resistance to persuasion is relevant in view of the fact that ads trying to persuade us by addressing our positive emotions are ubiquitous. Embodied resistance might help people to cope with these induced positive emotions in order to resist advertisements and might therefore work as a novel and effective strategy to resist persuasion. PMID:27574512