WorldWideScience

Sample records for resistance factor spectinomycin

  1. Mutation in ribosomal protein S5 leads to spectinomycin resistance in Neisseria gonorrhoeae.

    Directory of Open Access Journals (Sweden)

    Elena eIlina

    2013-07-01

    Full Text Available Spectinomycin remains a useful reserve option for therapy of gonorrhea. The emergence of multidrug-resistant Neisseria gonorrhoeae strains with decreased susceptibility to cefixime and to ceftriaxone makes it the only medicine still effective for treatment of gonorrhea infection in analogous cases. However, adoption of spectinomycin as a routinely used drug of choice was soon followed by reports of spectinomycin resistance. The main molecular mechanism of spectinomycin resistance in N. gonorrhoeae was C1192T substitution in 16S rRNA genes. Here we reported a Thr-24→Pro mutation in ribosomal protein S5 found in spectinomycin resistant clinical N. gonorrhoeae strain, which carried no changes in 16S rRNA. In a series of experiments, the transfer of rpsE gene allele encoding the mutant ribosomal protein S5 to the recipient N. gonorrhoeae strains was analyzed. The relatively high rate of transformation (ca. 10-5 CFUs indicates the possibility of spread of spectinonycin resistance within gonococcal population due to the horizontal gene transfer.

  2. Determinants of virulence and of resistance to ceftiofur, gentamicin, and spectinomycin in clinical Escherichia coli from broiler chickens in Québec, Canada.

    Science.gov (United States)

    Chalmers, Gabhan; Cormier, Ashley C; Nadeau, Marie; Côté, Geneviève; Reid-Smith, Richard J; Boerlin, Patrick

    2017-05-01

    Antimicrobials are frequently used for the prevention of avian colibacillosis, with gentamicin used for this purpose in Québec until 2003. Ceftiofur was also used similarly, but voluntarily withdrawn in 2005 due to increasing resistance. Spectinomycin-lincomycin was employed as a replacement, but ceftiofur use was partially reinstated in 2007 until its definitive ban by the poultry industry in 2014. Gentamicin resistance frequency increased during the past decade in clinical Escherichia coli isolates from broiler chickens in Québec, despite this antimicrobial no longer being used. Since this increase coincided with the use of spectinomycin-lincomycin, co-selection of gentamicin resistance through spectinomycin was suspected. Therefore, relationships between spectinomycin, gentamicin, and ceftiofur resistance determinants were investigated here. The distribution of 13 avian pathogenic E. coli virulence-associated genes and their association with spectinomycin resistance were also assessed. A sample of 586 E. coli isolates from chickens with colibacillosis in Québec between 2009 and 2013 was used. The major genes identified for resistance to ceftiofur, gentamicin, and spectinomycin were bla CMY , aac(3)-VI, and aadA, respectively. The aadA and aac(3)-VI genes were strongly associated and shown to be located on a modified class 1 integron. The aadA and bla CMY genes were negatively associated, but when present together, were generally located on the same plasmids. No statistical positive association was observed between aadA and virulence genes, and virulence genes were only rarely detected on plasmids encoding spectinomycin resistance. Thus, the use of spectinomycin-lincomycin may likely select for gentamicin but not ceftiofur resistance, nor for any of the virulence-associated genes investigated. Copyright © 2017 Elsevier B.V. All rights reserved.

  3. Occurrence of integrons and resistance genes among sulphonamide-resistant Shigella spp. from Brazil

    DEFF Research Database (Denmark)

    Peirano, G.; Agersø, Yvonne; Aarestrup, Frank Møller

    2005-01-01

    Objectives: To determine the occurrence of class 1 and 2 integrons and antimicrobial resistance genes among sulphonamide-resistant Shigella strains isolated in Brazil during 1999-2003. Methods: Sixty-two Shigella (Shigella flexneri, n = 47 and Shigella sonnei, n = 15) were tested against 21...... antimicrobial agents. The presence of integrons classes 1 and 2 and antimicrobial resistance genes was investigated by PCR using specific primers. Results: A total of eight antimicrobial resistance profiles were identified, with the profile of resistance to sulfamethoxazole, trimethoprim, spectinomycin...... of 2214 bp harbouring a gene cassette array conferring resistance to trimethoprim, streptothricin and spectinomycin/streptomycin. The genes coding for resistance to chloramphenicol (catA1), tetracycline [tet(A) and tet(B)] and ampicillin (bla(OXA) and bla(TEM)), were detected in resistant strains...

  4. In vitro and in vivo comparisons of valnemulin, tiamulin, tylosin, enrofloxacin, and lincomycin/spectinomycin against Mycoplasma gallisepticum.

    Science.gov (United States)

    Jordan, F T; Forrester, C A; Ripley, P H; Burch, D G

    1998-01-01

    The minimum inhibitory concentrations (MICs) for valnemulin, tiamulin, enrofloxacin, tylosin, and lincomycin/spectinomycin were determined for a virulent strain of Mycoplasma gallispeticum (MG). At the initial reading, the lowest MICs were seen with valnemulin and tiamulin, followed by tylosin, enrofloxacin, and a relatively high MIC for lincomycin/spectinomycin. At the final reading, at 14 days, a similar pattern was obtained, with valnemulin giving the lowest MIC (tiamulin and one each of tylosin and enrofloxacin were administered to separate groups in the drinking water. In the second experiment, one group of chicks was given lincomycin/spectinomycin. Each experiment had one infected unmedicated group and an uninfected unmedicated group. Mortality, clinical signs, and gross lesions, in both experiments, were significantly less (P < 0.001) in the uninfected and infected medicated groups (except for the two lowest dosages of valnemulin, lincomycin, and spectinomycin) than in the infected unmedicated groups. Also, the mean body weight gain was greater in the uninfected and infected medicated groups. Among the infected birds, MG was recovered from fewer chicks in the infected medicated groups except for the lowest two dosages of valnemulin. Serologic results were negative for the uninfected groups, and there were fewer positive reactors for the infected medicated groups except for the group treated with lincomycin/spectinomycin. Valnemulin should prove to be a useful addition to the antimicrobials in the control of MG infection in chickens.

  5. 77 FR 56769 - New Animal Drugs; Change of Sponsor; Change of Sponsor Address; Lincomycin and Spectinomycin...

    Science.gov (United States)

    2012-09-14

    ... and Spectinomycin Soluble Powder; Sulfadimethoxine Oral Solution and Soluble Powder; Tiamulin AGENCY... Sulfadimethoxine Soluble Powder, ANADA 200-344 for Tiamulin Soluble Antibiotic, and ANADA 200-345 for Lincomycin...

  6. Antimicrobial resistance in Neisseria gonorrhoeae in China: a meta-analysis.

    Science.gov (United States)

    Chen, Yawen; Gong, Yanhong; Yang, Tingting; Song, Xingyue; Li, Jing; Gan, Yong; Yin, Xiaoxv; Lu, Zuxun

    2016-03-03

    Neisseria gonorrhoeae (N. gonorrhoeae) resistance to antimicrobial has been a major concern in China, and epidemiological data on N. gonorrhoeae resistance are not well understood. This meta-analysis was aimed at summarizing the evidence on N. gonorrhoeae resistance to penicillin, tetracycline, ciprofloxacin, ceftriaxone and spectinomycin in China. Two researchers independently searched five databases to identify studies on N. gonorrhoeae resistance to antimicrobials from the databases' inception to November 7, 2014. A random-effects model was used to estimate the antimicrobial resistance rates and their corresponding 95% confidence intervals (CIs). Publication bias was assessed with the Begg rank correlation test and the Egger test. We included 127 studies in our synthesis reporting antimicrobial resistance. Our analyses demonstrated that N. gonorrhoeae resistance to penicillin and tetracycline respectively increased from 74.41% (95% CI: 64.1-84.7%) and 68.3% (95% CI: 58.7-78.0%) in 2000 to 84.2% (95% CI: 79.7-88.8%) and 82.4% (95% CI: 79.9-84.7%) in 2012. N. gonorrhoeae resistance to ciprofloxacin experienced a steady increase from 12.7% (95% CI, 8.6-16.7%) in 1995 and reached 93.8% (95% CI: 91.9-95.7%) in 2003. N. gonorrhoeae resistance to ceftriaxone was 1.7% (95% CI: 0.5-5.7%) before 1995 and 0.5% (95% CI: 0.2-1.4%) in 2012, and N. gonorrhoeae resistance to spectinomycin was less than 2% from 1995 to 2012. N. gonorrhoeae resistance rates to penicillin, tetracycline and ciprofloxacin were high in China. Ceftriaxone and spectinomycin remained effective therapy for the treatment of gonorrhea. It is essential to strengthen N. gonorrhoeae resistance surveillance and update treatment guidelines timely.

  7. Antibiotic resistance and resistance genes in Escherichia coli from poultry farms, southwest Nigeria

    DEFF Research Database (Denmark)

    Adelowo, Olawale O.; Fagade, Obasola E.; Agersø, Yvonne

    2014-01-01

    %, ampicillin 36%, spectinomycin 28%, nalidixic acid 25%, chloramphenicol 22%, neomycin 14%, gentamicin 8%, amoxicillin-clavulanate, ceftiofur, cefotaxime, colistin, florfenicol and apramycin 0%. Resistance genes found among the isolates include bla-TEM (85%), sul2 (67%), sul3 (17%), aadA (65%), strA (70%), str...

  8. Combination Therapy Strategies Against Multiple-Resistant Streptococcus Suis

    Directory of Open Access Journals (Sweden)

    Yang Yu

    2018-05-01

    Full Text Available Streptococcus suis is a major swine pathogen, an emerging zoonotic agent responsible for meningitis, endocarditis and septicaemia followed by deafness in humans. The development of antimicrobial resistance in S. suis increases the risk for therapeutic failure in both animals and humans. In this study, we report the synergism of combination therapy against multi-resistant S. suis isolates from swine. Twelve antibiotic profiles were determined against 11 S. suis strains. To investigate their synergistic/antagonistic activity, checkerboard assay was performed for all the possible combinations. In-vitro killing curves and in-vivo treatment trials were used to confirm the synergistic activity of special combinations against S. suis dominant clones. In this study, 11 S. suis isolates were highly resistant to erythromycin, clindamycin, trimethoprim/sulfamethoxazole, and tetracycline with ratios of 80–100%, and the resistance percentages to enrofloxacin, florfenicol, and spectinomycin were ~50%. The checkerboard data identified two combination regimens, ampicillin plus apramycin and tiamulin plus spectinomycin which gave the greatest level of synergism against the S. suis strains. In-vitro kill-curves showed a bacterial reduction of over 3-logCFU with the use of combination treatments, whilst the application of mono-therapies achieve less than a 2-logCFU cell killing. In-vivo models confirm that administration of these two combinations significantly reduced the number of bacterial cells after 24 h of treatment. In conclusions, the combinations of ampicillin plus apramycin and tiamulin plus spectinomycin showed the greatest synergism and may be potential strategies for treatment of multi-resistant S. suis in animal.

  9. Metal and antibiotic resistance of bacteria isolated from the Baltic Sea.

    Science.gov (United States)

    Moskot, Marta; Kotlarska, Ewa; Jakóbkiewicz-Banecka, Joanna; Gabig-Cimińska, Magdalena; Fari, Karolina; Wegrzyn, Grzegorz; Wróbel, Borys

    2012-09-01

    The resistance of 49 strains of bacteria isolated from surface Baltic Sea waters to 11 antibiotics was analyzed and the resistance of selected strains to three metal ions (Ni2+, Mn2+, Zn2+) was tested. Most isolates belonged to Gammaproteobacteria (78%), while Alphaproteobacteria (8%), Actinobacteria (10%), and Bacteroidetes (4%) were less abundant. Even though previous reports suggested relationships between resistance and the presence of plasmids or the ability to produce pigments, no compelling evidence for such relationships was obtained for the strains isolated in this work. In particular, strains resistant to multiple antibiotics did not carry plasmids more frequently than sensitive strains. A relation between resistance and the four aminoglycosides tested (gentamycin, kanamycin, neomycin, and streptomycin), but not to spectinomycin, was demonstrated. This observation is of interest given that spectinomycin is not always classified as an aminoglycoside because it lacks a traditional sugar moiety. Statistical analysis indicated relationships between resistance to some antibiotics (ampicillin and erythromycin, chloramphenicol and erythromycin, chloramphenicol and tetracycline, erythromycin and tetracycline), suggesting the linkage of resistance genes for antibiotics belonging to different classes. The effects of NiSO4, ZnCl2 and MnCl2 on various media suggested that the composition of Marine Broth might result in low concentrations of Mn2+ due to chemical interactions that potentially lead to precipitation.

  10. Identification and determination of oxytetracycline, tiamulin, lincomycin, and spectinomycin in veterinary preparations by thin-layer chromatography/densitometry.

    Science.gov (United States)

    Krzek, J; Kwiecień, A; Starek, M; Kierszniewska, A; Rzeszutko, W

    2000-01-01

    A thin-layer chromatographic/densitometric method was developed for the identification and quantitation of oxytetracycline, tiamulin, lincomycin, and spectinomycin in veterinary preparations. Silica gel-coated thin layer chromatography plates and 2 mobile phases were used to separate these constituents. The appropriate compositions of the suitable mobile phases were established: 10% citric acid solution-n-hexane-ethanol (80 + 1 + 1, v/v) and n-butanol-ethanol-chloroform-25% ammonia (4 + 5 + 2 + 5, v/v). Along with Rf values and spot colors, direct UV and visual densitometric measurements were used for identification. Similar measuring ranges were used for quantitative analysis to obtain repeatable and reliable results for the preparations examined. The results of the quantitative analysis are characterized by a small confidence interval and are close to the declared contents of active constituents: oxytetracycline 30.01 +/- 0.38 g at lambda = 350 nm and 30.24 +/- 0.86 g at lambda = 430 nm; tiamulin, 10.19 +/- 0.86 g at lambda = 450 nm; lincomycin, 2.27 +/- 0.08 g at lambda = 278 nm; and spectinomycin, 2.18 +/- 0.07 g at lambda = 421 nm. The recoveries for all antibiotics ranged from 100.01 to 102.54%.

  11. Crystallization and preliminary crystallographic analysis of an aminoglycoside kinase from Legionella pneumophila

    International Nuclear Information System (INIS)

    Lemke, Christopher T.; Hwang, Jiyoung; Xiong, Bing; Cianciotto, Nicholas P.; Berghuis, Albert M.

    2005-01-01

    Two crystal forms of the antibiotic resistance enzyme APH(9)-Ia from L. pneumophila are reported. 9-Aminoglycoside phosphotransferase type Ia [APH(9)-Ia] is a resistance factor in Legionella pneuemophila, the causative agent of legionnaires’ disease. It is responsible for providing intrinsic resistance to the antibiotic spectinomycin. APH(9)-Ia phosphorylates one of the hydroxyl moieties of spectinomycin in an ATP-dependent manner, abolishing the antibiotic properties of this drug. Here, the crystallization and preliminary X-ray studies of this enzyme in two crystal forms is reported. One of the these crystal forms provides diffraction data to a resolution of 1.7 Å

  12. Antibiotic resistance, phylogenetic grouping and virulence potential of Escherichia coli isolated from the faeces of intensively farmed and free range poultry.

    Science.gov (United States)

    Obeng, Akua Serwaah; Rickard, Heather; Ndi, Olasumbo; Sexton, Margaret; Barton, Mary

    2012-01-27

    Antibiotic use in poultry production is a risk factor for promoting the emergence of resistant Escherichia coli. To ascertain differences in different classes of chickens, the resistance profile, some virulence genes and phylogenetic grouping on 251 E. coli isolates from intensive meat (free range and indoor commercial) and free range egg layer chickens collected between December 2008 and June 2009 in South Australia were performed. Among the 251 strains, 102 (40.6%) and 67 (26.7%) were found to be resistant to tetracycline and ampicillin respectively. Resistance was also observed to trimethoprim-sulfamethoxazole (12.4%), streptomycin (10.8%), spectinomycin (9.6%), neomycin (6.0%) and florfenicol (2.0%) but no resistance was found to ceftiofur, ciprofloxacin or gentamicin. Amplification of DNA of the isolates by polymerase chain reaction revealed the presence of genes that code for resistant determinants: tetracycline (tet(A), tet(B) and tet(C)), ampicillin (bla(TEM) and bla(SHV)), trimethoprim (dhfrV and dhfrXIII), sulphonamide (sulI and sulII), neomycin (aph(3)-Ia(aphA1)), and spectinomycin-streptinomycin (aadA2). In addition, 32.3-39.4% of the isolates were found to belong to commensal groups (A and B1) and 11.2-17.1% belonged to the virulent groups (B2 and D). Among the 251 E. coli isolates, 25 (10.0%) carried two or more virulence genes typical of Extraintestinal pathogenic E. coli (ExPEC). Furthermore, 17 of the isolates with multi-resistance were identified to be groups B2 and D. Although no significant difference was observed between isolates from free range and indoor commercial meat chickens (P>0.05), significant differences was observed between the different classes of meat chickens (free range and indoor commercial) and egg layers (Pzoonotic potential of poultry E. coli isolates. Copyright © 2011. Published by Elsevier B.V.

  13. Review of resistance factor for steel: resistance distributions and resistance factor calibration

    International Nuclear Information System (INIS)

    Schmidt, B.J.; Bartlett, F.M.

    2002-01-01

    Changes in the Canadian steel industry warrant a review of the steel resistance factor in CSA Standard S16 (formerly S16.1) 'Limit states design of steel structures', originally calibrated in the landmark study by Kennedy and Gad Aly in 1980. This paper presents statistical parameters for the bending, compression, and tension resistances of W, WWF, and HSS components produced since 1999 that have been derived from geometric and material properties presented in a companion paper. The resistance factor for steel was recalibrated for the live and dead load combination in the 1995 National Building Code of Canada. A resistance factor of 0.95 is suitable for laterally supported beams, stocky columns, and tension members failing by yield of the gross section, whereas the current value of 0.90 is appropriate for intermediate columns and tension members failing by fracture of the net section. (author)

  14. Characterisation of integrons and antibiotic resistance genes in Danish multiresistant Salmonella enterica Typhimurium DT104

    DEFF Research Database (Denmark)

    Sandvang, Dorthe; Aarestrup, Frank Møller; Jensen, Lars Bogø

    1997-01-01

    The presence and genetic content of integrons was investigated in eight Salmonella enterica Typhimurium DT104 isolates from different pig herds in Denmark. Two different integrons were identified using PCR and sequencing. Each of the integrons carried a single resistance cassette in addition...... to the sul1 and qacE Delta 1 genes characteristic of integrons. The first integron encoded the ant (3 ")-Ia gene that specified resistance to spectinomycin and streptomycin. The second contained the pse-l beta-lactamase gene. All the multiresistant strains contained both integrons. The presence of these two...... integrons did not account for the total phenotypic resistance of all the isolates and does not exclude the presence of other mobile DNA elements....

  15. Characterisation of integrons and antibiotic resistance genes in Danish multiresistant Salmonella enterica Typhimurium DT104

    DEFF Research Database (Denmark)

    Sandvang, Dorthe; Aarestrup, Frank Møller; Jensen, Lars Bogø

    1998-01-01

    The presence and genetic content of integrons was investigated in eight Salmonella enteritica Typhimurium DT104 isolates from different pig herds in Denmark. Two different integrons were identified using PCR and sequencing. Each of the integrons carried a single resistance cassette in addition...... to the sul1 and qacE Delta 1 genes characteristic of integrons. The first integron encoded the ant (3")-Ia gene that specified resistance to spectinomycin and streptomycin. The second contained the pse-1 beta-lactamase gene. All the multiresistant strains contained both integrons. The presence of these two...... integrons did not account for the total phenotypic resistance of all the isolates and does not exclude the presence of other mobile DNA elements....

  16. Prevalence and Antimicrobial Resistance of Salmonella Isolates from Chicken Carcasses in Retail Markets in Yangon, Myanmar.

    Science.gov (United States)

    Moe, Aung Zaw; Paulsen, Peter; Pichpol, Duangporn; Fries, Reinhard; Irsigler, Herlinde; Baumann, Maximilian P O; Oo, Kyaw Naing

    2017-06-01

    A cross-sectional investigation was conducted concerning prevalence, antimicrobial resistance, multidrug resistance patterns, and serovar diversity of Salmonella in chicken meat sold at retail in Yangon, Myanmar. The 141 chicken meat samples were collected at 141 retail markets in the Yangon Region, Myanmar, 1 November 2014 to 31 March 2015. Information on hygienic practices (potential risk factors) was retrieved via checklists. Salmonella was isolated and identified according to International Organization for Standardization methods (ISO 6579:2002) with minor modifications. Twelve antimicrobial agents belonging to eight pharmacological groups were used for antimicrobial susceptibility testing (disk diffusion method). Salmonella was recovered from 138 (97.9%) of the 141 samples. The isolates were most frequently resistant to trimethoprim-sulfamethoxazole (70.3% of isolates), tetracycline (54.3%), streptomycin (49.3%), and ampicillin (47.1%). Resistance was also found to chloramphenicol (29.7%), amoxicillin-clavulanic acid (17.4%), ciprofloxacin (9.4%), tobramycin (8.7%), gentamicin (8%), cefazolin (7.2%), lincomycin-spectinomycin (5.8%), and norfloxacin (0.7%). Among the 138 Salmonella isolates, 72 (52.2%) were resistant to three or more antimicrobial agents. Twenty-four serovars were identified among the 138 Salmonella-positive samples; serovars Albany, Kentucky, Braenderup, and Indiana were found in 38, 11, 10, and 8% of samples, respectively. None of the potential risk factors were significantly related to Salmonella contamination of chicken carcasses. This study provides new information regarding prevalence and antimicrobial resistance and Salmonella serovar diversity in retail markets in Yangon, Myanmar.

  17. Antibiotic resistance and resistance genes in Escherichia coli from poultry farms, southwest Nigeria.

    Science.gov (United States)

    Adelowo, Olawale O; Fagade, Obasola E; Agersø, Yvonne

    2014-09-12

    This study investigated the mechanisms of resistance in 36 E. coli isolated from waste, litter, soil and water samples collected from poultry farms in Southwestern Nigeria. Minimum inhibitory concentration (MIC) distributions of the isolates were determined using the methods of the Clinical and Laboratory Standard Institute and resistance genes detected by PCR. A total of 30 isolates (94%) showed resistance to more than one antimicrobial. Percentage resistance was: tetracycline 81%, sulphamethoxazole 67%, streptomycin 56%, trimethoprim 47 %, ciprofloxacin 42%, ampicillin 36%, spectinomycin 28%, nalidixic acid 25%, chloramphenicol 22%, neomycin 14%, gentamicin 8%, amoxicillin-clavulanate, ceftiofur, cefotaxime, colistin, florfenicol and apramycin 0%. Resistance genes found among the isolates include bla-TEM (85%), sul2 (67%), sul3 (17%), aadA (65%), strA (70%), strB (61%), catA1 (25%), cmlA1 (13%), tetA (21%) and tetB (17%). Class 1 and 2 integrons were found in five (14%) and six (17%) isolates, respectively, while one isolate was positive for both classes of integrons. Seven out of eight isolates with resistance to ciprofloxacin and MIC ≤ 32 mg/L to nalidixic acid contained qnrS genes. Our findings provided additional evidence that the poultry production environment in Nigeria represents an important reservoir of antibiotic resistance genes such as qnrS that may spread from livestock production farms to human populations via manure and water.

  18. An innovation resistance factor model

    Directory of Open Access Journals (Sweden)

    Siti Salwa Mohd Ishak

    2016-09-01

    Full Text Available The process and implementation strategy of information technology in construction is generally considered through the limiting prism of theoretical contexts generated from innovation diffusion and acceptance. This research argues that more attention should be given to understanding the positive effects of resistance. The study develops a theoretical framing for the Integrated Resistance Factor Model (IRFM. The framing uses a combination of diffusion of innovation theory, technology acceptance model and social network perspective. The model is tested to identify the most significant resistance factors using Partial Least Square (PLS technique. All constructs proposed in the model are found to be significant, valid and consistent with the theoretical framework. IRFM is shown to be an effective and appropriate model of user resistance factors. The most critical factors to influence technology resistance in the online project information management system (OPIMS context are: support from leaders and peers, complexity of the technology, compatibility with key work practices; and pre-trial of the technology before it is actually deployed. The study provides a new model for further research in technology innovation specific to the construction industry.

  19. Antimicrobial resistance in Salmonella spp. recovered from patients admitted to six different hospitals in Tehran, Iran from 2007 to 2008

    DEFF Research Database (Denmark)

    Tajbakhsh, Mercedeh; Hendriksen, Rene S.; Nochi, Zahra

    2012-01-01

    were screened for the presence of Salmonella, serotyped, tested for antimicrobial susceptibility using disk diffusion and examined for the presence of relevant resistance genes and integrons by PCR. A total of 1,120 patients were screened for the presence of Salmonella. Out of 71 Salmonella isolates...... recovered, the following serovars were identified: 17 Typhi, 14 Paratyphi C, 13 Enteritidis, 11 Paratyphi B, 10 Paratyphi A and six Infantis. Most resistance was observed towards sulfamethoxazole (30%), tetracyclines (25%), nalidixic acid (22%), spectinomycin (17%), trimethoprim (15%), ampicillin (14......%) and kanamycin (14%). The tetracycline resistance genes tet(A), tet(B), and tet(G) were found in 28%, 14% and 6% of the tetracycline resistant isolates, respectively. The genes aadA, aadB, strA, strB and aphA1-Iab were present in 83%, 55%, 34%, 1% and 17% of the aminoglycoside resistant isolates, respectively...

  20. Efficient Plastid Transformation in Arabidopsis.

    Science.gov (United States)

    Yu, Qiguo; Lutz, Kerry Ann; Maliga, Pal

    2017-09-01

    Plastid transformation is routine in tobacco ( Nicotiana tabacum ) but 100-fold less frequent in Arabidopsis ( Arabidopsis thaliana ), preventing its use in plastid biology. A recent study revealed that null mutations in ACC2 , encoding a plastid-targeted acetyl-coenzyme A carboxylase, cause hypersensitivity to spectinomycin. We hypothesized that plastid transformation efficiency should increase in the acc2 background, because when ACC2 is absent, fatty acid biosynthesis becomes dependent on translation of the plastid-encoded ACC β-carboxylase subunit. We bombarded ACC2 -defective Arabidopsis leaves with a vector carrying a selectable spectinomycin resistance ( aadA ) gene and gfp , encoding the green fluorescence protein GFP. Spectinomycin-resistant clones were identified as green cell clusters on a spectinomycin medium. Plastid transformation was confirmed by GFP accumulation from the second open reading frame of a polycistronic messenger RNA, which would not be translated in the cytoplasm. We obtained one to two plastid transformation events per bombarded sample in spectinomycin-hypersensitive Slavice and Columbia acc2 knockout backgrounds, an approximately 100-fold enhanced plastid transformation frequency. Slavice and Columbia are accessions in which plant regeneration is uncharacterized or difficult to obtain. A practical system for Arabidopsis plastid transformation will be obtained by creating an ACC2 null background in a regenerable Arabidopsis accession. The recognition that the duplicated ACCase in Arabidopsis is an impediment to plastid transformation provides a rational template to implement plastid transformation in related recalcitrant crops. © 2017 American Society of Plant Biologists. All Rights Reserved.

  1. Characterisation of recently emerged multiple antibiotic-resistant Salmonella enterica serovar typhimurium DT104 and other multiresistant phage types from Danish pig herds

    DEFF Research Database (Denmark)

    Baggesen, Dorte Lau; Aarestrup, Frank Møller

    1998-01-01

    electrophoresis (PFGE) using the restriction enzyme Xba I, Overall, 66 per cent of the 670 isolates were sensitive to all the antimicrobial agents tested. Eleven isolates of S typhimurium were resistant to ampicillin, streptomycin and tetracycline and also resistant to other antibiotics in different resistance...... patterns. Seven different multiresistant clones were identified, The most common clones were four isolates of DT104 and three isolates of DT193, TWO Of the three S typhimurium DT104 from 1994 and 1995 were sensitive to all the antimicrobials tested whereas the remaining isolate from 1994 was resistant......A total of 670 isolates of Salmonella enterica were isolated from Danish pig herds, phage typed and tested for susceptibility to amoxycillin + clavulanate, ampicillin, colistin, enrofloxacin, gentamicin, neomycin, spectinomycin, streptomycin, tetracyclines, and trimethoprim + sulphadiazine. S...

  2. Cloacael Carriage and Multidrug Resistance Escherichia coli O157:H7 from Poultry Farms, Eastern Ethiopia.

    Science.gov (United States)

    Shecho, Mude; Thomas, Naod; Kemal, Jelalu; Muktar, Yimer

    2017-01-01

    A cross-sectional study was carried out to determine antimicrobial drug resistance patterns of E. coli O157:H7 isolates and estimate the level of the pathogen. A total of 194 cloacae swab samples were collected randomly in two poultry farms. Standard cultural, biochemical, and serological (latex agglutination) methods were used to isolate E. coli O157:H7. The isolates were subjected to antimicrobial susceptibility testing using disc diffusion method. Out of 194 cloacae samples examined, 13.4% ( n = 26) were found to be positive for E. coli O157:H7. The finding indicated differences in E. coli O157:H7 infection among the different risk factors. Chicken from Adele Poultry Farm showed higher E. coli O157:H7 infection (OR = 3.89) than Haramaya University poultry farm and young birds had more infection (OR = 4.62) than adult birds. Of the total 14 antimicrobials included in the panel of study, the susceptibility results were varied with 96.15% and 0% E. coli O157:H7 isolates expressing resistance to erythromycin, clindamycin, spectinomycin, and ciprofloxacin, respectively. Multidrug resistance to more than two antimicrobial agents was detected in 24 (92.30%) of the isolates. The study showed high presence of antimicrobial resistant isolates of E. coli O157:H7. Further study is required to better understand the ecology and evolution of bacterial resistance to antimicrobial agents.

  3. Cloacael Carriage and Multidrug Resistance Escherichia coli O157:H7 from Poultry Farms, Eastern Ethiopia

    Directory of Open Access Journals (Sweden)

    Mude Shecho

    2017-01-01

    Full Text Available A cross-sectional study was carried out to determine antimicrobial drug resistance patterns of E. coli O157:H7 isolates and estimate the level of the pathogen. A total of 194 cloacae swab samples were collected randomly in two poultry farms. Standard cultural, biochemical, and serological (latex agglutination methods were used to isolate E. coli O157:H7. The isolates were subjected to antimicrobial susceptibility testing using disc diffusion method. Out of 194 cloacae samples examined, 13.4% (n=26 were found to be positive for E. coli O157:H7. The finding indicated differences in E. coli O157:H7 infection among the different risk factors. Chicken from Adele Poultry Farm showed higher E. coli O157:H7 infection (OR = 3.89 than Haramaya University poultry farm and young birds had more infection (OR = 4.62 than adult birds. Of the total 14 antimicrobials included in the panel of study, the susceptibility results were varied with 96.15% and 0% E. coli O157:H7 isolates expressing resistance to erythromycin, clindamycin, spectinomycin, and ciprofloxacin, respectively. Multidrug resistance to more than two antimicrobial agents was detected in 24 (92.30% of the isolates. The study showed high presence of antimicrobial resistant isolates of E. coli O157:H7. Further study is required to better understand the ecology and evolution of bacterial resistance to antimicrobial agents.

  4. Plant Translation Factors and Virus Resistance

    Directory of Open Access Journals (Sweden)

    Hélène Sanfaçon

    2015-06-01

    Full Text Available Plant viruses recruit cellular translation factors not only to translate their viral RNAs but also to regulate their replication and potentiate their local and systemic movement. Because of the virus dependence on cellular translation factors, it is perhaps not surprising that many natural plant recessive resistance genes have been mapped to mutations of translation initiation factors eIF4E and eIF4G or their isoforms, eIFiso4E and eIFiso4G. The partial functional redundancy of these isoforms allows specific mutation or knock-down of one isoform to provide virus resistance without hindering the general health of the plant. New possible targets for antiviral strategies have also been identified following the characterization of other plant translation factors (eIF4A-like helicases, eIF3, eEF1A and eEF1B that specifically interact with viral RNAs and proteins and regulate various aspects of the infection cycle. Emerging evidence that translation repression operates as an alternative antiviral RNA silencing mechanism is also discussed. Understanding the mechanisms that control the development of natural viral resistance and the emergence of virulent isolates in response to these plant defense responses will provide the basis for the selection of new sources of resistance and for the intelligent design of engineered resistance that is broad-spectrum and durable.

  5. Prevalence and antibiotic resistance of Enterococcus strains isolated from poultry.

    Science.gov (United States)

    Stępień-Pyśniak, Dagmara; Marek, Agnieszka; Banach, Tomasz; Adaszek, Łukasz; Pyzik, Ewelina; Wilczyński, Jarosław; Winiarczyk, Stanisław

    2016-06-01

    The aim of this study was to evaluate the frequency of occurrence of bacteria of the genus Enterococcus in poultry, to identify them by means of matrixassisted laser desorption/ionisation time-of-flight mass spectrometry (MALDITOF MS), and to analyse the antimicrobial susceptibility of the isolated strains to the drugs most frequently used in poultry. The material for the bacteriological tests was obtained mainly from the heart (97%) of the birds investigated. Of a total of 2,970 samples tested, 911 (30.7%) tested positive for Enterococcus spp. Enterococci were detected in broilers (88.1%), laying hens (5.3%), turkeys (3.9%), breeding hens (2.2%), and geese (0.4%). The most commonly identified species were Enterococcus (E.) faecalis (74.7%), E. faecium (10.1%), E. gallinarum (5.5%), E. hirae (4.6%), and E. cecorum (4.1%). The most frequent resistance properties were resistance to sulphamethoxazole/trimethoprim (88%), tylosin (71.4%), enrofloxacin (69.4%), doxycycline (67.3%), and lincomycin/spectinomycin (56.1%). Only one vancomycin-resistant Enterococcus, E. cecorum from a broiler, was found.

  6. Recessive Resistance to Plant Viruses: Potential Resistance Genes Beyond Translation Initiation Factors

    Directory of Open Access Journals (Sweden)

    Masayoshi Hashimoto

    2016-10-01

    Full Text Available The ability of plant viruses to propagate their genomes in host cells depends on many host factors. In the absence of an agrochemical that specifically targets plant viral infection cycles, one of the most effective methods for controlling viral diseases in plants is taking advantage of the host plant’s resistance machinery. Recessive resistance is conferred by a recessive gene mutation that encodes a host factor critical for viral infection. It is a branch of the resistance machinery and, as an inherited characteristic, is very durable. Moreover, recessive resistance may be acquired by a deficiency in a negative regulator of plant defense responses, possibly due to the autoactivation of defense signaling. Eukaryotic translation initiation factor (eIF 4E and eIF4G and their isoforms are the most widely exploited recessive resistance genes in several crop species, and they are effective against a subset of viral species. However, the establishment of efficient, recessive resistance-type antiviral control strategies against a wider range of plant viral diseases requires genetic resources other than eIF4Es. In this review, we focus on recent advances related to antiviral recessive resistance genes evaluated in model plants and several crop species. We also address the roles of next-generation sequencing and genome editing technologies in improving plant genetic resources for recessive resistance-based antiviral breeding in various crop species.

  7. Serological characterization and antimicrobial susceptibility of Streptococcus suis isolates from diagnostic samples in Denmark during 1995 and 1996

    DEFF Research Database (Denmark)

    Aarestrup, Frank Møller; Jorsal, Sven Erik Lind; Jensen, N. E.

    1998-01-01

    , ampicillin, ceftiofur, enrofloxacin, penicillin, spectinomycin, tiamulin and trimethoprim + sulphadiazine. A high frequency (> 30%) of resistance to tetracydine was observed. Among isolates of serotype 2, 9.7% were resistant to lincomycin and 12.9% to spiramycin. Among other serotypes 56.5% were resistant...

  8. Factors That Cause Trimethoprim Resistance in Streptococcus pyogenes

    Science.gov (United States)

    Bergmann, René; van der Linden, Mark; Chhatwal, Gursharan S.

    2014-01-01

    The use of trimethoprim in treatment of Streptococcus pyogenes infections has long been discouraged because it has been widely believed that this pathogen is resistant to this antibiotic. To gain more insight into the extent and molecular basis of trimethoprim resistance in S. pyogenes, we tested isolates from India and Germany and sought the factors that conferred the resistance. Resistant isolates were identified in tests for trimethoprim or trimethoprim-sulfamethoxazole (SXT) susceptibility. Resistant isolates were screened for the known horizontally transferable trimethoprim-insensitive dihydrofolate reductase (dfr) genes dfrG, dfrF, dfrA, dfrD, and dfrK. The nucleotide sequence of the intrinsic dfr gene was determined for resistant isolates lacking the horizontally transferable genes. Based on tentative criteria, 69 out of 268 isolates (25.7%) from India were resistant to trimethoprim. Occurring in 42 of the 69 resistant isolates (60.9%), dfrF appeared more frequently than dfrG (23 isolates; 33.3%) in India. The dfrF gene was also present in a collection of SXT-resistant isolates from Germany, in which it was the only detected trimethoprim resistance factor. The dfrF gene caused resistance in 4 out of 5 trimethoprim-resistant isolates from the German collection. An amino acid substitution in the intrinsic dihydrofolate reductase known from trimethoprim-resistant Streptococcus pneumoniae conferred resistance to S. pyogenes isolates of emm type 102.2, which lacked other aforementioned dfr genes. Trimethoprim may be more useful in treatment of S. pyogenes infections than previously thought. However, the factors described herein may lead to the rapid development and spread of resistance of S. pyogenes to this antibiotic agent. PMID:24492367

  9. Isolation of temperature-sensitive mutants of 16 S rRNA in Escherichia coli

    DEFF Research Database (Denmark)

    Triman, K; Becker, E; Dammel, C

    1989-01-01

    Temperature-sensitive mutants have been isolated following hydroxylamine mutagenesis of a plasmid containing Escherichia coli rRNA genes carrying selectable markers for spectinomycin resistance (U1192 in 16 S rRNA) and erythromycin resistance (G2058 in 23 S rRNA). These antibiotic resistance....... The mutations were localized by in vitro restriction fragment replacement followed by in vivo marker rescue and were identified by DNA sequence analysis. We report here seven single-base alterations in 16 S rRNA (A146, U153, A350, A359, A538, A1292 and U1293), five of which produce temperature......-sensitive spectinomycin resistance and two that produce unconditional loss of resistance. In each case, loss of ribosomal function can be accounted for by disruption of base-pairing in the secondary structure of 16 S rRNA. For the temperature-sensitive mutants, there is a lag period of about two generations between...

  10. Antibiotic resistance of Clostridium perfringens isolates from broiler chickens in Egypt.

    Science.gov (United States)

    Osman, K M; Elhariri, M

    2013-12-01

    The use of antibiotic feed additives in broiler chickens results in a high prevalence of resistance among their enteric bacteria, with a consequent emergence of antibiotic resistance in zoonotic enteropathogens. Despite growing concerns about the emergence of antibiotic-resistant strains, which show varying prevalences in different geographic regions, little work has been done to investigate this issue in the Middle East. This study provides insight into one of the world's most common and financially crippling poultry diseases, necrotic enteritis caused by Clostridium perfringens. The study was designed to determine the prevalence of antibiotic resistance in C. perfringens isolates from clinical cases of necrotic enteritis in broiler chickens in Egypt. A total of 125 isolates were obtained from broiler flocks in 35 chicken coops on 17 farms and were tested using the disc diffusion method. All 125 isolates were resistant to gentamicin, streptomycin, oxolinic acid, lincomycin, erythromycin and spiramycin. The prevalence of resistance to other antibiotics was also high: rifampicin (34%), chloramphenicol (46%), spectinomycin (50%), tylosin-fosfomycin (52%), ciprofloxacin (58%), norfloxacin (67%), oxytetracycline (71%), flumequine (78%), enrofloxacin (82%), neomycin (93%), colistin (94%), pefloxacin (94%), doxycycline (98%) and trimethoprim-sulfamethoxazole (98%). It is recommended that C. perfringens infections in Egypt should be treated with antibiotics for which resistant isolates are rare at present; namely, amoxicillin, ampicillin, cephradine, fosfomycin and florfenicol.

  11. Antimicrobial susceptibility pattern of Neisseria gonorrhoeae ...

    African Journals Online (AJOL)

    The high levels of bacterial resistance against ampicillin, ciprofloxacin, tetracycline, penicillin and spectinomycin, should be taken into account in developing any new guidelines in the management of the infection. Keywords: Neisseria gonorrhoeae, Female infertility Drug Resistance, Ciprofloxacin, Tetracycline, ...

  12. Stable plastid transformation in lettuce (Lactuca sativa L.).

    Science.gov (United States)

    Lelivelt, Cilia L C; McCabe, Matthew S; Newell, Christine A; Desnoo, C Bastiaan; van Dun, Kees M P; Birch-Machin, Ian; Gray, John C; Mills, Kingston H G; Nugent, Jacqueline M

    2005-08-01

    Although plastid transformation in higher plants was first demonstrated in the early 1990s it is only recently that the technology is being extended to a broader range of species. To date, the production of fertile transplastomic plants has been reported for tobacco, tomato, petunia, soybean, cotton and Lesquerella fendleri (Brassicaceae). In this study we demonstrate a polyethylene glycol-mediated plastid transformation system for lettuce that generates fertile, homoplasmic, plastid-transformed lines. Transformation was achieved using a vector that targets genes to the trnA/trnI intergenic region of the lettuce plastid genome employing the aadA gene as a selectable marker against spectinomycin. Spectinomycin resistance and heterologous gene transcription were shown in T(1) plants derived from self-pollinated primary regenerants demonstrating transmission of the plastid-encoded transgene to the first seed generation. Crossing with male sterile wild-type lettuce showed that spectinomycin resistance was not transmitted via pollen. Constructs containing the gfp gene showed plastid-based expression of green fluorescent protein. The lettuce plastid could have potential both as a production and a delivery system for edible human therapeutic proteins.

  13. Antibiotic resistance in bacteria isolated from vegetables with regards to the marketing stage (farm vs. supermarket).

    Science.gov (United States)

    Schwaiger, Karin; Helmke, Katharina; Hölzel, Christina Susanne; Bauer, Johann

    2011-08-15

    The aim of this study was to elucidate whether and to what extent fresh produce from Germany plays a role as a carrier and reservoir of antibiotic resistant bacteria. For this purpose, 1001 vegetables (fruit, root, bulbous vegetables, salads and cereals) were collected from 13 farms and 11 supermarkets in Germany and examined bacteriologically. Phenotypic resistance of Enterobacter cloacae (n=172); Enterobacter gergoviae (n=92); Pantoea agglomerans (n=96); Pseudomonas aeruginosa (n=295); Pseudomonas putida (n=106) and Enterococcus faecalis (n=100) against up to 30 antibiotics was determined by using the microdilution method. Resistance to ß-lactams was most frequently expressed by P. agglomerans and E. gergoviae against cefaclor (41% and 29%). Relatively high resistance rates were also observed for doxycycline (23%), erythromycin (21%) and rifampicin (65%) in E. faecalis, for spectinomycin (28%) and mezlocillin (12%) in E. cloacae, as well as for streptomycin (19%) in P. putida. In P. aeruginosa, relatively low resistance rates were observed for the aminoglycosides amikacin, apramicin, gentamicin, neomycin, netilmicin and tobramycin (bacteria isolated from farm samples were higher than those of the retail markets whenever significant differences were observed. This suggests that expressing resistance is at the expense of bacterial viability, since vegetables purchased directly at the farm are probably fresher than at the supermarket, and they have not been exposed to stress factors. However, this should not keep the customer from buying directly at the farm, since the overall resistance rates were not higher than observed in bacteria from human or animal origin. Instead, peeling or washing vegetables before eating them raw is highly recommended, since it reduces not only the risk of contact with pathogens, but also that of ingesting and spreading antibiotic resistant bacteria. Copyright © 2011 Elsevier B.V. All rights reserved.

  14. Resistivity Correction Factor for the Four-Probe Method: Experiment II

    Science.gov (United States)

    Yamashita, Masato; Yamaguchi, Shoji; Nishii, Toshifumi; Kurihara, Hiroshi; Enjoji, Hideo

    1989-05-01

    Experimental verification of the theoretically derived resistivity correction factor F is presented. Factor F can be applied to a system consisting of a disk sample and a four-probe array. Measurements are made on isotropic graphite disks and crystalline ITO films. Factor F can correct the apparent variations of the data and lead to reasonable resistivities and sheet resistances. Here factor F is compared to other correction factors; i.e. FASTM and FJIS.

  15. [In vitro activity of 12 antibiotics used in veterinary medicine against Mannheimia haemolytica and Pasteurella multocida isolated from calves in the Netherlands].

    Science.gov (United States)

    Mevius, D J; Hartman, E G

    2000-03-01

    Results of susceptibility tests of clinical isolates of animal pathogens are periodically summarized and reported by the Animal Health Service. However, these results are based upon qualitative test methods. In the present paper results of quantitative susceptibility tests of twelve antibacterial agents against Mannheimia haemolytica (MHA) and Pasteurella multocida (PMU) isolated from Dutch calves in 1996 and 1997 are presented. Minimum inhibitory concentrations of amoxicillin, ceftiofur, tetracycline, trimethoprim-sulphamethoxazole, tilmicosin, neomycin, gentamicin, spectinomycin, flumequine, enrofloxacin, chloramphenicol and florfenicol were determined. No resistance was detected for ceftiofur and florfenicol. Three strains had an intermediate susceptibility to tilmicosin. The resistance percentages of MHA and PMU for neomycin, gentamicin, spectinomycin, flumequine, enrofloxacin, and chloramphenicol varied from 2% to 16%. Higher resistance percentages (16%-53%) were observed for amoxicillin, tetracycline, and trimethoprim-sulphamethoxazole. The MIC breakpoints used to determine whether a strain is susceptible, intermediate, or resistant are arbitrary and discussed in this paper.

  16. Drug use and antimicrobial resistance among Escherichia coli and Enterococcus spp. isolates from chicken and turkey flocks slaughtered in Quebec, Canada.

    Science.gov (United States)

    Boulianne, Martine; Arsenault, Julie; Daignault, Danielle; Archambault, Marie; Letellier, Ann; Dutil, Lucie

    2016-01-01

    An observational study was conducted of chicken and turkey flocks slaughtered at federal processing plants in the province of Quebec, Canada. The objectives were to estimate prevalence of drug use at hatchery and on farm and to identify antimicrobial resistance (AMR) in cecal Escherichia coli and Enterococcus spp. isolates and factors associated with AMR. Eighty-two chicken flocks and 59 turkey flocks were sampled. At the hatchery, the most used antimicrobial was ceftiofur in chickens (76% of flocks) and spectinomycin in turkeys (42% of flocks). Virginiamycin was the antimicrobial most frequently added to the feed in both chicken and turkey flocks. At least 1 E. coli isolate resistant to third-generation cephalosporins was present in all chicken flocks and in a third of turkey flocks. Resistance to tetracycline, streptomycin, and sulfisoxazole was detected in > 90% of flocks for E. coli isolates. Antimicrobial resistance (AMR) was observed to bacitracin, erythromycin, lincomycin, quinupristin-dalfopristin, and tetracycline in both chicken and turkey flocks for Enterococcus spp. isolates. No resistance to vancomycin was observed. The use of ceftiofur at hatchery was significantly associated with the proportion of ceftiofur-resistant E. coli isolates in chicken flocks. In turkey flocks, ceftiofur resistance was more frequent when turkeys were placed on litter previously used by chickens. Associations between drug use and resistance were observed with tetracycline (turkey) in E. coli isolates and with bacitracin (chicken and turkey), gentamicin (turkey), and tylosin (chicken) in Enterococcus spp. isolates. Further studies are needed to provide producers and veterinarians with alternative management practices and tools in order to reduce the use of antimicrobial feed additives in poultry.

  17. Risk factors for cefotaxime resistance in children with pneumonia

    OpenAIRE

    A. A. Made Sucipta; Ida Bagus Subanada; Samik Wahab

    2012-01-01

    Background Pneumonia is a health problem in developing countries, often caused by bacterial agents. The widespread use of cefotaxime, a third-generation of cephalosporin to increased incidence of resistance to this antibiotic. Several studies have reported on risk factors associated with resistance to cefotaxime. Objective To oidentify risk factors for cefoxime resistence in children with pneumonia. Methods We performed a case-control study at Sanglah Hospital between January 2006-Dec...

  18. Risk factors for ciprofloxacin-resistant Campylobacter infection in Wales.

    Science.gov (United States)

    Evans, Meirion R; Northey, Gemma; Sarvotham, Tinnu S; Hopkins, A Lynne; Rigby, Christine J; Thomas, Daniel Rh

    2009-08-01

    To identify risk factors for ciprofloxacin resistance in both travel-related and domestically acquired Campylobacter infection. Case-comparison study of patients with ciprofloxacin-resistant and ciprofloxacin-susceptible Campylobacter infection conducted in Wales during 2003 and 2004. Foreign travel was the major risk factor for ciprofloxacin-resistant infection [adjusted odds ratio (adjOR) 24.0, 95% confidence interval (95% CI) 12.6-45.9]. Among travellers, case patients were five times more likely to drink still bottled water (adjOR 4.7, 95% CI 1.0-21.7), whilst among non-travellers, case patients were three times more likely to drink sparkling bottled water (adjOR 3.3, 95% CI 1.5-7.4). There was no increased risk associated with eating poultry or prior quinolone use. Foreign travel remains the most important risk factor for ciprofloxacin-resistant Campylobacter infection. The possible association of both domestic- and travel-related ciprofloxacin-resistant Campylobacter infection with bottled water needs to be further explored.

  19. Resistivity Correction Factor for the Four-Probe Method: Experiment I

    Science.gov (United States)

    Yamashita, Masato; Yamaguchi, Shoji; Enjoji, Hideo

    1988-05-01

    Experimental verification of the theoretically derived resistivity correction factor (RCF) is presented. Resistivity and sheet resistance measurements by the four-probe method are made on three samples: isotropic graphite, ITO film and Au film. It is indicated that the RCF can correct the apparent variations of experimental data to yield reasonable resistivities and sheet resistances.

  20. Molecular characterization of multidrug-resistant Shigella spp. of food origin.

    Science.gov (United States)

    Ahmed, Ashraf M; Shimamoto, Tadashi

    2015-02-02

    Shigella spp. are the causative agents of food-borne shigellosis, an acute enteric infection. The emergence of multidrug-resistant clinical isolates of Shigella presents an increasing challenge for clinicians in the treatment of shigellosis. Several studies worldwide have characterized the molecular basis of antibiotic resistance in clinical Shigella isolates of human origin, however, to date, no such characterization has been reported for Shigella spp. of food origin. In this study, we characterized the genetic basis of multidrug resistance in Shigella spp. isolated from 1600 food samples (800 meat products and 800 dairy products) collected from different street venders, butchers, retail markets, and slaughterhouses in Egypt. Twenty-four out of 27 Shigella isolates (88.9%) showed multidrug resistance phenotypes to at least three classes of antimicrobials. The multidrug-resistant Shigella spp. were as follows: Shigella flexneri (66.7%), Shigella sonnei (18.5%), and Shigella dysenteriae (3.7%). The highest resistance was to streptomycin (100.0%), then to kanamycin (95.8%), nalidixic acid (95.8%), tetracycline (95.8%), spectinomycin (93.6%), ampicillin (87.5%), and sulfamethoxazole/trimethoprim (87.5%). PCR and DNA sequencing were used to screen and characterize integrons and antibiotic resistance genes. Our results indicated that 11.1% and 74.1% of isolates were positive for class 1 and class 2 integrons, respectively. Beta-lactamase-encoding genes were identified in 77.8% of isolates, and plasmid-mediated quinolone resistance genes were identified in 44.4% of isolates. These data provide useful information to better understand the molecular basis of antimicrobial resistance in Shigella spp. To the best of our knowledge, this is the first report of the molecular characterization of antibiotic resistance in Shigella spp. isolated from food. Copyright © 2014 Elsevier B.V. All rights reserved.

  1. Risk factor analysis of equine strongyle resistance to anthelmintics

    Directory of Open Access Journals (Sweden)

    G. Sallé

    2017-12-01

    Full Text Available Intestinal strongyles are the most problematic endoparasites of equids as a result of their wide distribution and the spread of resistant isolates throughout the world. While abundant literature can be found on the extent of anthelmintic resistance across continents, empirical knowledge about associated risk factors is missing. This study brought together results from anthelmintic efficacy testing and risk factor analysis to provide evidence-based guidelines in the field. It involved 688 horses from 39 French horse farms and riding schools to both estimate Faecal Egg Count Reduction (FECR after anthelmintic treatment and to interview farm and riding school managers about their practices. Risk factors associated with reduced anthelmintic efficacy in equine strongyles were estimated across drugs using a marginal modelling approach. Results demonstrated ivermectin efficacy (96.3% ± 14.5% FECR, the inefficacy of fenbendazole (42.8% ± 33.4% FECR and an intermediate profile for pyrantel (90.3% ± 19.6% FECR. Risk factor analysis provided support to advocate for FEC-based treatment regimens combined with individual anthelmintic dosage and the enforcement of tighter biosecurity around horse introduction. The combination of these measures resulted in a decreased risk of drug resistance (relative risk of 0.57, p = 0.02. Premises falling under this typology also relied more on their veterinarians suggesting practitionners play an important role in the sustainability of anthelmintic usage. Similarly, drug resistance risk was halved in premises with frequent pasture rotation and with stocking rate below five horses/ha (relative risk of 0.53, p < 0.01. This is the first empirical risk factor analysis for anthelmintic resistance in equids. Our findings should guide the implementation of more sustained strongyle management in the field. Keywords: Horse, Nematode, Anthelmintic resistance, Strongyle, Cyathostomin

  2. Environmental factors influencing the development and spread of antibiotic resistance.

    Science.gov (United States)

    Bengtsson-Palme, Johan; Kristiansson, Erik; Larsson, D G Joakim

    2018-01-01

    Antibiotic resistance and its wider implications present us with a growing healthcare crisis. Recent research points to the environment as an important component for the transmission of resistant bacteria and in the emergence of resistant pathogens. However, a deeper understanding of the evolutionary and ecological processes that lead to clinical appearance of resistance genes is still lacking, as is knowledge of environmental dispersal barriers. This calls for better models of how resistance genes evolve, are mobilized, transferred and disseminated in the environment. Here, we attempt to define the ecological and evolutionary environmental factors that contribute to resistance development and transmission. Although mobilization of resistance genes likely occurs continuously, the great majority of such genetic events do not lead to the establishment of novel resistance factors in bacterial populations, unless there is a selection pressure for maintaining them or their fitness costs are negligible. To enable preventative measures it is therefore critical to investigate under what conditions and to what extent environmental selection for resistance takes place. In addition, understanding dispersal barriers is not only key to evaluate risks, but also to prevent resistant pathogens, as well as novel resistance genes, from reaching humans. © FEMS 2017.

  3. Antimicrobial susceptibility pattern of Neisseria gonorrhoeae in western Austria.

    Science.gov (United States)

    Allerberger, F; Kofler, H; Brezinka, C; Guggenbichler, J P; Dierich, M P

    1993-01-01

    From January to October 1992 24 Neisseria gonorrhoeae isolates from clinical specimens were collected at the Federal Public Health Laboratory in Innsbruck (Austria) and screened for resistance to penicillin G, erythromycin, tetracycline, spectinomycin, ceftriaxone, cefuroxime, ciprofloxacine, and silver nitrate. Patients originated from the Austrian provinces Salzburg, Tirol, and Vorarlberg, and presented with manifest gonorrhoea. Two of 24 isolates were penicillinase-producing N. gonorrhoeae. Both strains were isolated from men who had just returned from Thailand or Kenya. The isolate from Africa was also resistant to tetracycline. Five of 24 infections were acquired abroad, sex tourism being involved in four cases. The antimicrobial resistance pattern found in gonococci in western Austria revealed that topical silver nitrate and erythromycin are equally acceptable for use in prophylaxis of neonatal ophthalmia. Penicillin is still the drug of choice in the treatment of endemic infections. If gonorrhoea has been acquired abroad, especially in Asia or Africa, ceftriaxone, spectinomycin or ciprofloxazine are recommended for therapy.

  4. Macrophage-secreted factors induce adipocyte inflammation and insulin resistance

    International Nuclear Information System (INIS)

    Permana, Paska A.; Menge, Christopher; Reaven, Peter D.

    2006-01-01

    Macrophage infiltration into adipose tissue increases with obesity, a condition associated with low-grade inflammation and insulin resistance. We investigated the direct effects of macrophage-secreted factors on adipocyte inflammation and insulin resistance. 3T3-L1 adipocytes incubated with media conditioned by RAW264.7 macrophages (RAW-CM) showed dramatically increased transcription of several inflammation-related genes, greater nuclear factor kappa B (NF-κB) activity, and enhanced binding of U937 monocytes. All of these effects were prevented by co-incubation with pyrrolidinedithiocarbamate, an NF-κB inhibitor. Adipocytes incubated with RAW-CM also released more non-esterified fatty acids and this increased lipolysis was not suppressed by insulin. In addition, RAW-CM treatment decreased insulin-stimulated glucose uptake in adipocytes. Taken together, these results indicate that macrophage-secreted factors induce inflammatory responses and reduce insulin responsiveness in adipocytes. These effects of macrophage-secreted factors on adipocytes may contribute significantly to the systemic inflammation and insulin resistance associated with obesity

  5. Resistance phenotypes and genotypes of Salmonella enterica subsp. enterica isolates from feed, pigs, and carcasses in Brazil.

    Science.gov (United States)

    Lopes, Graciela Volz; Pissetti, Caroline; da Cruz Payão Pellegrini, Débora; da Silva, Luis Eduardo; Cardoso, Marisa

    2015-02-01

    Salmonella enterica subsp. enterica plays a role as a foodborne pathogen worldwide. The consumption of contaminated pork has been associated with human salmonellosis and the increase in antimicrobial resistance among Salmonella from pigs and pork products is a concern. A total of 225 Salmonella isolates from feed mills, the lairage environment, and the intestinal contents of pigs and carcasses were investigated for their antimicrobial susceptibility. A MIC for ciprofloxacin was screened by agar dilution, and antimicrobial resistance genes were investigated by PCR assays. Among the tested isolates, 171 (76%) showed resistance to at least one antimicrobial agent, and 91 (40.4%) were multiresistant. Resistance occurred most frequently to tetracycline (54.5%), sulfonamides (39.6%), and streptomycin (33.7%). Thirty-two (94.1%) nalidixic acid-resistant isolates exhibited decreased susceptibility to ciprofloxacin. The resistance genes found were blaTEM (ampicillin), tet(A) (tetracycline), tet(B) (tetracycline/minocycline), sul1, sul2, and sul3 (sulfonamides), catA1 (chloramphenicol), floR (florfenicol/chloramphenicol), strA and strB (streptomycin), aph(3')-Ia (kanamycin), aac(3)-IIa and aac(3)-IVa (apramycin/gentamicin), aadA variant (streptomycin/spectinomycin), and dfrA1 (trimethoprim). Salmonella isolates from pig feces and carcasses displayed a higher frequency of resistance to most antimicrobials tested than isolates from feed mills. Common resistance gene profiles were found in isolates from the lairage and the intestinal content of pigs and carcasses, demonstrating that resistance genes selected on farms may be found in pork.

  6. Risk factors for ceftiofur resistance in Escherichia coli from Belgian broilers.

    Science.gov (United States)

    Persoons, D; Haesebrouck, F; Smet, A; Herman, L; Heyndrickx, M; Martel, A; Catry, B; Berge, A C; Butaye, P; Dewulf, J

    2011-05-01

    A cross-sectional study on 32 different Belgian broiler farms was performed in 2007 and 2008 to identify risk factors for ceftiofur resistance in Escherichia coli. On each farm, one E. coli colony was isolated from 30 random birds. Following susceptibility testing of 14 antimicrobials, an on-farm questionnaire was used to obtain information on risk factors. Using a multilevel logistic regression model two factors were identified at the animal level: resistance to amoxicillin and to trimethoprim-sulfonamide. On the farm level, besides antimicrobial use, seven management factors were found to be associated with the occurrence of ceftiofur resistance in E. coli from broilers: poor hygienic condition of the medicinal treatment reservoir, no acidification of drinking water, more than three feed changes during the production cycle, hatchery of origin, breed, litter material used, and treatment with amoxicillin. This study confirms that not only on-farm antimicrobial therapy, but also management- and hatchery-related factors influence the occurrence of antimicrobial resistance.

  7. Antimicrobial resistance trends among Escherichia coli isolates obtained from dairy cattle in the northeastern United States, 2004-2011.

    Science.gov (United States)

    Cummings, Kevin J; Aprea, Victor A; Altier, Craig

    2014-01-01

    Monitoring antimicrobial resistance trends among bacteria isolated from food animals and people is necessary to inform risk analyses and guide public policy regarding antimicrobial use. Our objectives were to describe the antimicrobial resistance status of Escherichia coli isolates from dairy cattle in the northeastern United States and to identify trends in resistance to selected antimicrobial agents over time. We collected data retrospectively for all bovine E. coli isolates that were obtained from samples submitted to Cornell University's Animal Health Diagnostic Center between January 1, 2004 and December 31, 2011. We investigated temporal trends in the prevalence of resistant E. coli for each antimicrobial agent using the Cochran-Armitage trend test. Antimicrobial susceptibility testing was performed on 3373 bovine E. coli isolates from clinical samples submitted during the study period. Overall resistance to each antimicrobial agent ranged from 2.7% (enrofloxacin) to 91.3% (oxytetracycline). There was evidence of a significantly decreasing trend in prevalence of resistance to several agents: chlortetracycline, florfenicol, neomycin, oxytetracycline, spectinomycin, and trimethoprim/sulfamethoxazole. However, a significantly increasing trend in prevalence of resistance to enrofloxacin was also evident. These results do not support the idea that current antimicrobial use practices on dairy operations are driving a general increase in the emergence and dissemination of drug-resistant E. coli in the region served by the laboratory. However, resistance to some drugs remained consistently high during the study period, and increasing resistance to enrofloxacin is a key area of concern.

  8. Aluminum resistance transcription factor 1 (ART1) contributes to natural variation in rice aluminum resistance

    Science.gov (United States)

    Transcription factors (TFs) mediate stress resistance indirectly via physiological mechanisms driven by the array of genes they regulate. Therefore, when studying TF-mediated stress resistance, it is important to understand how TFs interact with different genetic backgrounds. Here, we fine-mapped th...

  9. [Risk factors for Pseudomonas aeruginosa infections, resistant to carbapenem].

    Science.gov (United States)

    Ghibu, Laura; Miftode, Egidia; Teodor, Andra; Bejan, Codrina; Dorobăţ, Carmen Mihaela

    2010-01-01

    Since their introduction in clinical practice,carbapenems have been among the most powerful antibiotics for treating serious infections cased by Gram-negative nosocomial pathogens, including Pseudomonas aeruginosa. The emergence of betalactamases with carbapenem-hydrolyzing activity is of major clinical concern. Pseudomonas aeruginosa is a leading cause of nosocomial infection. Risk factors for colonization with carbapenems-resistant Pseudomonas in hospital are: history of P. aeruginosa infection or colonization within the previous year, (length of hospital stay, being bedridden or in the ICU, mechanical ventilation, malignant disease, and history of chronic obstructive pulmonary disease have all been identified as independent risk factors for MDR P. aeruginosa infection. Long-term-care facilities are also reservoirs of resistant bacteria. Risk factors for colonization of LTCF residents with resistant bacteria included age > 86 years, antibiotic treatment in the previous 3 months, indwelling devices, chronic obstructive pulmonary disease, physical disability, and the particular LTCF unit.

  10. Clonal relationship among Vibrio cholerae O1 El Tor strains isolated in Somalia.

    Science.gov (United States)

    Scrascia, Maria; Pugliese, Nicola; Maimone, Francesco; Mohamud, Kadigia A; Grimont, Patrick A D; Materu, Sadiki F; Pazzani, Carlo

    2009-03-01

    One hundred and three Vibrio cholerae O1 strains, selected to represent the cholera outbreaks which occurred in Somalia in 1998-1999, were characterized by random amplified polymorphic DNA patterns, ribotyping, and antimicrobial susceptibility. All strains showed a unique amplified DNA pattern and 2 closely related ribotypes (B5a and B8a), among which B5a was the more frequently identified. Ninety-one strains were resistant to ampicillin, chloramphenicol, spectinomycin, streptomycin, sulfamethoxazole, and trimethoprim, conferred, except for spectinomycin, by a conjugative plasmid IncC. These findings indicated that the group of strains active in Somalia in the late 1990s had a clonal origin.

  11. Risk factors for multidrug resistant tuberculosis patients in Amhara ...

    African Journals Online (AJOL)

    Risk factors for multidrug resistant tuberculosis patients in Amhara National ... risk factors of MDR-TB patients in Amhara National Regional State, Ethiopia. ... strict adherence to directly observed therapy, appropriate management of TB ...

  12. Isolation and characterization of promoter regions from Streptococcus gordonii CH1

    NARCIS (Netherlands)

    Vriesema, A.J.M.; Dankert, J.; Zaat, S.A.J.

    1999-01-01

    We aimed to identify transcription signal sequences from Streptococcus gordonii strain CH1 by random chromosomal cloning. Five genomic fragments from a Sau3A digest, which constitutively activated transcription of a promoterless spectinomycin resistance gene in this strain, were isolated and

  13. Prevalence and risk factors for methicillin resistant Staphylococcus ...

    African Journals Online (AJOL)

    Prevalence and risk factors for methicillin resistant Staphylococcus aureus carriage among emergency department workers and bacterial contamination on touch surfaces in Erciyes University Hospital, Kayseri, Turkey.

  14. Calibration of Resistance Factors Needed in the LRFD Design of Driven Piles

    Science.gov (United States)

    2009-05-01

    This research project presents the calibration of resistance factors for the Load and Resistance Factor Design (LRFD) method of driven : piles driven into Louisiana soils based on reliability theory. Fifty-three square Precast-Prestressed-Concrete (P...

  15. Energy-efficiency and proliferation-resistance assessment factors

    International Nuclear Information System (INIS)

    1979-02-01

    Assessment factors suggested with regard to energy efficiency are: preservation of natural non-renewable resources: the degree of security of supply which can be achieved; the availability of necessary raw materials and technology; economic feasibility; and acceptability of a fuel cycle from environmental and safety views. In the area of proliferation resistance, it is suggested that the basic element is the political commitment by a Government not to use imported nuclear materials and equipment to manufacture nuclear explosives. 100% proliferation resistance is considered unattainable in practice. The role of international safeguards in detering possible diversion through the risk of early detection is described, and it is argued that efficient safeguards will force a Government willing to go nuclear to withdraw from its safeguards agreements. The second assessment factor, accordingly, is to consider different fuel cycles with regard to the efficient and rapid building up of a nuclear weapons capacity once the country has withdrawn from its safeguards commitments

  16. Novel genetic factors involved in resistance to Bacillus thuringiensis in Plutella xylostella.

    Science.gov (United States)

    Ayra-Pardo, C; Raymond, B; Gulzar, A; Rodríguez-Cabrera, L; Morán-Bertot, I; Crickmore, N; Wright, D J

    2015-12-01

    The widespread and sustainable exploitation of the entomopathogen Bacillus thuringiensis (Bt) in pest control is threatened by the evolution of resistance. Although resistance is often associated with loss of binding of the Bt toxins to the insect midgut cells, other factors have been implicated. Here we used suppressive subtractive hybridization and gene expression suppression to identify additional molecular components involved in Bt-resistance in Plutella xylostella. We isolated transcripts from genes that were differentially expressed in the midgut of larvae from a resistant population, following ingestion of a Bt kurstaki HD1 strain-based commercial formulation (DiPel), and compared with a genetically similar susceptible population. Quantitative real-time polymerase-chain reaction (RT-PCR) analysis confirmed the differential basal expression of a subset of these genes. Gene expression suppression of three of these genes (P. xylostella cyclin-dependent kinase 5 regulatory subunit associated protein 1-like 1, stromal cell-derived factor 2-like 1 and hatching enzyme-like 1) significantly increased the pathogenicity of HD1 to the resistant population. In an attempt to link the multitude of factors reportedly influencing resistance to Bt with the well-characterized loss of toxin binding, we also considered Bt-resistance models in P. xylostella and other insects. © 2015 The Royal Entomological Society.

  17. Sewage sludge and liquid pig manure as possible sources of antibiotic resistant bacteria.

    Science.gov (United States)

    Hölzel, Christina S; Schwaiger, Karin; Harms, Katrin; Küchenhoff, Helmut; Kunz, Anne; Meyer, Karsten; Müller, Christa; Bauer, Johann

    2010-05-01

    Within the last decades, the environmental spread of antibiotic resistant bacteria has become a topic of concern. In this study, liquid pig manure (n=305) and sewage sludge (n=111) - used as agricultural fertilizers between 2002 and 2005 - were investigated for the presence of Escherichia coli, Enterococcus faecalis and Enterococcus faecium. Bacteria were tested for their resistance against 40 chemotherapeutics including several "reserve drugs". E. coli (n=613) from pig manure were at a significantly higher degree resistant to streptomycin, doxycycline, spectinomycin, cotrimoxazole, and chloramphenicol than E. coli (n=116) from sewage sludge. Enterococci (Ent. faecalis, n=387, and Ent. faecium, n=183) from pig manure were significantly more often resistant to high levels of doxycycline, rifampicin, erythromycin, and streptomycin than Ent. faecalis (n=44) and Ent. faecium (n=125) from sewage sludge. Significant differences in enterococcal resistance were also seen for tylosin, chloramphenicol, gentamicin high level, fosfomycin, clindamicin, enrofloxacin, moxifloxacin, nitrofurantoin, and quinupristin/dalfopristin. By contrast, aminopenicillins were more effective in enterococci from pig manure, and mean MIC-values of piperacillin+tazobactam and third generation cefalosporines were significantly lower in E. coli from pig manure than in E. coli from sewage sludge. 13.4% (E. coli) to 25.3% (Ent. faecium) of pig manure isolates were high-level multiresistant to substances from more than three different classes of antimicrobial agents. In sewage sludge, high-level-multiresistance reached from 0% (Ent. faecalis) to 16% (Ent. faecium). High rates of (multi-) resistant bacteria in pig manure emphasize the need for a prudent - cautious - use of antibiotics in farm animals. Copyright 2010 Elsevier Inc. All rights reserved.

  18. Analysis of the Factors Affecting Resistance to Changes in Management Accounting Systems

    Directory of Open Access Journals (Sweden)

    Rodrigo Angonese

    2014-12-01

    Full Text Available Despite changes in the environment and management accounting practices, studies indicate that management accounting systems do not change or change at a much slower rate than expected. The stability of the management accounting systems used by companies may relate to resistance to changing these systems. This study analyzes the factors that contribute to resistance to implementing an integrated management system from the perspective of institutional theory, grounded in the old institutional economics. Methodologically, this study provides a qualitative assessment of the problem and a descriptive analysis of the resistance factors through a case-study approach. The data were collected using semi-structured interviews and analyzed through content analysis. Two companies were selected for this study due to their differing characteristics. The following seven factors were analyzed for resistance to implementing integrated management systems: institutional power, ontological insecurity, trust, inertia, lack of knowledge, acceptance of routines and decoupling. However, there was no evidence to characterize hierarchical power. The research findings indicate that changing management accounting systems, through the implementation of an integrated management system, faces internal resistance in these organizations. Each factor varies in intensity but is permanently present in these companies, such as ontological insecurity, trust, inertia, lack of knowledge, acceptance of routines and decoupling. These factors are awakened when the change process begins and, if they gather enough force, can stop the change.

  19. Investigation of antimicrobial resistance in Escherichia coli and enterococci isolated from Tibetan pigs.

    Directory of Open Access Journals (Sweden)

    Peng Li

    Full Text Available OBJECTIVES: This study investigated the antimicrobial resistance of Escherichia coli and enterococci isolated from free-ranging Tibetan pigs in Tibet, China, and analyzed the influence of free-ranging husbandry on antimicrobial resistance. METHODS: A total of 232 fecal samples were collected from Tibetan pigs, and the disk diffusion method was used to examine their antimicrobial resistance. Broth microdilution and agar dilution methods were used to determine minimum inhibitory concentrations for antimicrobial agents for which disks were not commercially available. RESULTS: A total of 129 E. coli isolates and 84 Enterococcus isolates were recovered from the fecal samples. All E. coli isolates were susceptible to amoxicillin/clavulanic acid, and 40.4% were resistant to tetracycline. A small number of isolates were resistant to florfenicol (27.9%, ampicillin (27.9%, sulfamethoxazole/trimethoprim (19.4%, nalidixic acid (19.4%, streptomycin (16.2% and ceftiofur (10.9%, and very low resistance rates to ciprofloxacin (7.8%, gentamicin (6.9%, and spectinomycin (2.3% were observed in E. coli. All Enterococcus isolates, including E. faecium, E. faecalis, E. hirae, and E. mundtii, were susceptible to amoxicillin/clavulanic acid and vancomycin, but showed high frequencies of resistance to oxacillin (92.8%, clindamycin (82.1%, tetracycline (64.3%, and erythromycin (48.8%. Resistance rates to florfenicol (17.9%, penicillin (6.0%, ciprofloxacin (3.6%, levofloxacin (1.2%, and ampicillin (1.2% were low. Only one high-level streptomycin resistant E. faecium isolate and one high-level gentamicin resistant E. faecium isolate were observed. Approximately 20% and 70% of E. coli and Enterococcus isolates, respectively, were defined as multidrug-resistant. CONCLUSIONS: In this study, E. coli and Enterococcus isolated from free-ranging Tibetan pigs showed relatively lower resistance rates than those in other areas of China, where more intensive farming practices are

  20. Cisplatin-resistant cells express increased levels of a factor that recognizes damaged DNA

    International Nuclear Information System (INIS)

    Chu, G.; Chang, E.

    1990-01-01

    Cancer treatment with the drug cisplatin is often thwarted by the emergence of drug-resistant cells. To study this phenomenon, the authors identified two independent cellular factors that recognize cisplatin-damaged DNA. One of the two factors, designated XPE binding factor, is deficient in complementation group E of xeroderma pigmentosum, an inherited disease characterized by defective repair of DNA damaged by ultraviolet radiation, cisplatin, and other agents. Human tumor cell lines selected for resistance to cisplatin showed more efficient DNA repair and increased expression of XPE binding factor. These results suggest that XPE binding factor may be responsible, at least in part, for the development of cisplatin resistance in human tumors and that the mechanism may be increased DNA repair

  1. Is hormesis an underestimated factor in the development of herbicide resistance?

    Directory of Open Access Journals (Sweden)

    Belz, Regina G.

    2014-02-01

    Full Text Available The growing impact of herbicide resistant weeds increasingly affects weed management and the delay of resistance evolution has become a major task of chemical weed control. Hormesis and, thus, the phenomenon that low doses of herbicides can boost weed growth could be of importance in this regard since the recommended field rate may represent a low dose for weeds that have evolved resistance to the applied herbicide and, thus, a potential hormetic dose. Applying the field rate may thus not only directly select resistant biotypes, it may also indirectly promote the success and spread of resistant biotypes via hormesis. Nevertheless, hormetic effects in resistant weeds are hitherto merely randomly observed and, thus, a clear quantitative basis to judge the significance of hormesis for resistance evolution is lacking. Therefore, this study aimed at quantifying the degree and frequency of herbicide hormesis in sensitive and resistant weed species in order to provide a first indication of whether the phenomenon deserves consideration as a potential factor contributing to the development of herbicide resistance. In germination assays complete dose-response experiments were conducted with sensitive and resistant biotypes of Matricaria inodora (ALS-target-site resistant; treated with iodosulfuron-methyl-sodium/mesosulfuron-methyl, Eleusine indica (glyphosateresistant; treated with glyphosate, and Chenopodium album (triazine/triazinone-target-site resistant; treated with terbuthylazine. After 10 days of cultivation under controlled conditions plant growth was analyzed by measuring shoot/root length and mass. Results indicated that herbicide hormesis occurred on average with a total frequency of 29% in sensitive/resistant biotypes with an average growth increase of 53% occurring typically within a dose zone exceeding 350fold. Hormetic effects occurred, however, very variable and only for specific endpoints and not plant growth in general. If such a

  2. Role of Risk Factors in the Incidence of Multidrug-Resistant Tuberculosis

    Directory of Open Access Journals (Sweden)

    Alya Putri Khairani

    2017-09-01

    Full Text Available Objective: To determine the risk factors that played roles in the incidence of multidrug-resistant tuberculosis (MDR-TB in such patients. Multidrug-Resistant Tuberculosis is a form of tuberculosis caused by Mycobacterium tuberculosis that is resistant to at least isoniazid and rifampicin. Methods: This was a case control study to compare MDR-TB to non-MDR-TB pulmonary tuberculosis outpatients in Dr. Hasan Sadikin General Hospital, Bandung on August–September 2014. Fifty MDR-TB outpatients were included as the cases and 50 non-MDR-TB outpatients as controls. Data was collected by questionnaires and patient’s registration forms. Bivariate and multivariate analyses were performed using chi-square test and multiple logistic regression test, with p<0.05 considered significant. Results: From bivariate analysis, number of previous tuberculosis treatments, regularity of previous treatment, and burden of cost were significant risk factors for developing MDR-TB (p<0.05; while from multivariate analysis, number of previous TB treatments was the only risk factor that played a significant role in the incidence of MDR-TB (OR 24.128 95% CI 6.771-85,976. Conclusions: Patients and medication factors are risk factors that play roles in the incidence of MDR-TB. The significant risk factor is the number of previous TB treatment.

  3. Molecular epidemiology and antimicrobial resistance of Salmonella Typhimurium DT104 on Ontario swine farms

    Science.gov (United States)

    Farzan, Abdolvahab; Friendship, Robert M.; Poppe, Cornelis; Martin, Laura; Dewey, Catherine E.; Funk, Julie

    2008-01-01

    This study was conducted to examine antimicrobial resistances, plasmid profiles, and pulsed-field gel electrophoresis patterns of 80 Salmonella Typhimurium (including var. Copenhagen) DT104 strains (including DT104a and DT104b) recovered from pig and environmental fecal samples on 17 swine farms in Ontario. No resistance was observed to amoxicillin/clavulanic acid, apramycin, carbadox, cephalothin, ceftriaxone, ceftiofur, cefoxitin, ciprofloxacin, nalidixic acid, trimethoprim, and tobramycin. However, the isolates exhibited resistance against 4 to 10 antimicrobials with the most frequent resistance being to sulfonamides (Su), ampicillin (A), streptomycin (S), spectinomycin (Sp), chloramphenicol (C), tetracycline (T), and florfenicol (F). Thirteen distinct resistance patterns were determined but 88% of isolates shared the typical resistance pattern “ACSpSSuT.” Twelve different plasmid profiles were observed; the 62 MDa virulence-associated plasmid was detected in 95% of the isolates. The 2.1 MDa plasmid was the second most frequent one, which was harbored by 65% isolates. The isolates were classified into 23 distinct genotypes by PFGE-SpeI + BlnI when difference in at least one fragment was defined as a distinct genotype. In total, 39 distinct “types” were observed when defining a “type” based on the combination of antimicrobial resistance, plasmid pattern, and PFGE-SpeI + BlnI for each isolate. The highest diversity was 0.96 (95% CI: 0.92, 0.96) for the “type” described above followed by 0.92 (95% CI: 0.88, 0.93) for PFGE-SpeI + BlnI. The diversity of DT104 isolates indicates there might be multiple sources for this microorganism on swine farms. This knowledge might be used to track these sources, as well as to study the extent of human salmonellosis attributed to pork compared to food products derived from other food-producing animals. PMID:18505209

  4. Genotyping using whole-genome sequencing is a realistic alternative to surveillance based on phenotypic antimicrobial susceptibility testing

    DEFF Research Database (Denmark)

    Zankari, Ea; Hasman, Henrik; Kaas, Rolf Sommer

    2013-01-01

    -genome sequencing (WGS) may soon be within reach even for routine surveillance and clinical diagnostics. The aim of this study was to evaluate WGS as a routine tool for surveillance of antimicrobial resistance compared with current phenotypic procedures. Methods: Antimicrobial susceptibility tests were performed...... to the categorizing of isolates as resistant and 2569 as susceptible. Seven cases of disagreement between tested and predicted susceptibility were observed, six of which were related to spectinomycin resistance in Escherichia coli. Correlation between MLST type and resistance profiles was only observed in Salmonella...

  5. Risk factors associated with multidrug resistant tuberculosis among ...

    African Journals Online (AJOL)

    Background: Multidrug resistant tuberculosis (MDR-TB) remains is an important public health problem in developing world. We conducted this study to determine risk factors associated with MDR-TB and drug susceptibility pattern to second line drug among MDR TB patients in Tanzania. Methods: Unmatched case control ...

  6. Risk Factors for Acquisition of Drug Resistance during Multidrug-Resistant Tuberculosis Treatment, Arkhangelsk Oblast, Russia, 2005–2010

    Science.gov (United States)

    Ershova, Julia; Vlasova, Natalia; Nikishova, Elena; Tarasova, Irina; Eliseev, Platon; Maryandyshev, Andrey O.; Shemyakin, Igor G.; Kurbatova, Ekaterina; Cegielski, J. Peter

    2015-01-01

    Acquired resistance to antituberculosis drugs decreases effective treatment options and the likelihood of treatment success. We identified risk factors for acquisition of drug resistance during treatment for multidrug-resistant tuberculosis (MDR TB) and evaluated the effect on treatment outcomes. Data were collected prospectively from adults from Arkhangelsk Oblast, Russia, who had pulmonary MDR TB during 2005–2008. Acquisition of resistance to capreomycin and of extensively drug-resistant TB were more likely among patients who received 3 effective drugs (9.4% vs. 0% and 8.6% vs. 0.8%, respectively). Poor outcomes were more likely among patients with acquired capreomycin resistance (100% vs. 25.9%), acquired ofloxacin resistance (83.6% vs. 22.7%), or acquired extensive drug resistance (100% vs. 24.4%). To prevent acquired drug resistance and poor outcomes, baseline susceptibility to first- and second-line drugs should be determined quickly, and treatment should be adjusted to contain >3 effective drugs. PMID:25988954

  7. Could Frequent Carbapenem Use Be a Risk Factor for Colistin Resistance?

    Science.gov (United States)

    Gundogdu, Aycan; Ulu-Kilic, Aysegul; Kilic, Huseyin; Ozhan, Esra; Altun, Dilek; Cakir, Ozlem; Alp, Emine

    2017-10-13

    The antibiotic colistin, which had been previously abandoned, is being brought back as a last line of defense against bacterial infection. However, colistin resistance was reported shortly after its reintroduction. This study evaluated the risk factors for colonization/infections due to colistin-resistant Acinetobacter baumannii (ColR-Ab) and Klebsiella pneumoniae (ColR-Kp) strains and characterized the molecular epidemiology of these two strains. Age, previous hospitalization duration, and previous use of carbapenem and colistin were risk factors for ColR-Kp, whereas previous use of carbapenem and colistin was a risk factor for ColR-Ab. According to pulsed-field gel electrophoresis analysis, most ColR-Kp strains could be grouped into two major pulsotypes. This appears to be an indicator of cross contamination of ColR-Kp strain, since different isolates appeared to be belonging to the same clones. The existence of colistin-susceptible (ColS) and colistin-resistant (ColR) strains in the same pulsotypes might also be an indicator of the recent emergence of resistance mechanisms. The results highlight the emergence of ColR pathogens in Turkey, which is considered to be developing country, and that carbapenem use coupled with insufficient infection control measures might increase the risk of ColR outbreaks.

  8. Assessment of clinical risk factors for drug-resistant epilepsy in children and teenagers

    Directory of Open Access Journals (Sweden)

    Marta Kasprzyk

    2014-09-01

    Full Text Available Introduction: Epilepsy is one of the most common neurological illnesses occurring in children. In approximately 20–30% of cases it is drug-resistant. Aim of the research: To assess the already-known risk factors, analyse the rarely described ones, and find new causes of epilepsy drug resistance in children, taking into account the level of impact of each factor. Material and methods : The study comprised 152 of all 383 children hospitalised in 2012 at the Neurology Department of the Polish Mother’s Memorial Hospital in Lodz due to epilepsy. Based on medical documentation, neurological examination, and our own questionnaire, we divided patients into two groups: drug-resistant epilepsy or drug-sensitive epilepsy. We compared the type, level of influence, and prevalence of different factors. For statistical analysis, the 2 test was used. Statistical significance was set at p < 0.05. Results: Drug-resistant epilepsy was found in 64 patients (42.1%, and drug-sensitive epilepsy was found in 88 patients (57.9%. Factors that were most probable to cause drug resistance included: high prevalence of seizures (Cramer’s V = 0.66, type of epileptic syndrome (V = 0.62, psychomotor developmental delay (V = 0.62, and occurrence of status epilepticus (V = 0.6. Factors such as infections of CNS in early childhood, repeated severe infections of airways in childhood, and mother’s infectious diseases with high fever during pregnancy were rare or non occurring (Cramer’s V = 0.41, 0.32, and 0.31, respectively. Conclusions : The study confirmed the previously known causes of drug resistance and indicated the significance of underestimated inflammatory and infectious factors involving pyrexia, in children and also in mothers during pregnancy.

  9. Antimicrobial resistance and resistance genes in Salmonella strains isolated from broiler chickens along the slaughtering process in China.

    Science.gov (United States)

    Zhu, Yuanting; Lai, Haimei; Zou, Likou; Yin, Sheng; Wang, Chengtao; Han, Xinfeng; Xia, Xiaolong; Hu, Kaidi; He, Li; Zhou, Kang; Chen, Shujuan; Ao, Xiaolin; Liu, Shuliang

    2017-10-16

    A total of 189 Salmonella isolates were recovered from 627 samples which were collected from cecal contents of broilers, chicken carcasses, chicken meat after cutting step and frozen broiler chicken products along the slaughtering process at a slaughterhouse in Sichuan province of China. The Salmonella isolates were subjected to antimicrobial susceptibility testing to 10 categories of antimicrobial agents using the Kirby-Bauer disk diffusion method. Those antibiotics-resistant isolates were further investigated for the occurrence of resistance genes, the presence of class 1 integron as well as the associated gene cassettes, and the mutations within the gyrA and parC genes. Consequently, the prevalence of Salmonella was 30.14% (47.96% for cecal content, 18.78% for chicken carcasses, 31.33% for cutting meat and 14.00% for frozen meat, respectively). The predominant serotypes were S. Typhimurium (15.34%) and S. Enteritidis (69.84%). High resistance rates to the following drugs were observed: nalidixic acid (99.5%), ampicillin (87.8%), tetracycline (51.9%), ciprofloxacin (48.7%), trimethoprim/sulfamethoxazole (48.1%), and spectinomycin (34.4%). Antimicrobial resistance profiling showed that 60.8% of isolates were multidrug resistant (MDR), and MDR strains increased from 44.7% to 78.6% along the slaughtering line. 94.6% (n=157) of beta-lactam-resistant isolates harbored at least one resistance gene of bla TEM or bla CTX-M . The relatively low prevalence of aminoglycoside resistance genes (aac(3)-II, aac(3)-IV, and ant(2″)-I) was found in 49 (66.2%) of antibiotic-resistant isolates. The tetracycline resistance genes (tet(A), tet(B), tet(C), and tet(G) and sulfonamide resistance genes (sul1, sul2, and sul3) were identified in 84 (85.7%) and 89 (97.8%) antibiotic-resistant isolates respectively. floR was identified in 44 (97.8%) florfenicol-resistant isolates. Class 1 integron was detected in 37.4% (n=43) of the MDR isolates. Two different gene cassettes, bla OXA-30 -aad

  10. Characterisation of recently emerged multiple antibiotic-resistant Salmonella enterica serovar typhimurium DT104 and other multiresistant phage types from Danish pig herds

    DEFF Research Database (Denmark)

    Baggesen, Dorte Lau; Aarestrup, Frank Møller

    1998-01-01

    A total of 670 isolates of Salmonella enterica were isolated from Danish pig herds, phage typed and tested for susceptibility to amoxycillin + clavulanate, ampicillin, colistin, enrofloxacin, gentamicin, neomycin, spectinomycin, streptomycin, tetracyclines, and trimethoprim + sulphadiazine. S...

  11. Primary drug-resistant tuberculosis in Hanoi, Viet Nam: present status and risk factors.

    Directory of Open Access Journals (Sweden)

    Nguyen Thi Le Hang

    Full Text Available INTRODUCTION: Resistance of Mycobacterium tuberculosis (MTB to anti-tuberculosis (TB drugs presents a serious challenge to TB control worldwide. We investigated the status of drug resistance, including multidrug-resistant (MDR TB, and possible risk factors among newly diagnosed TB patients in Hanoi, the capital of Viet Nam. METHODS: Clinical and epidemiological information was collected from 506 newly diagnosed patients with sputum smear- and culture-positive TB, and 489 (96.6% MTB isolates were subjected to conventional drug susceptibility testing, spoligotyping, and 15-locus variable numbers of tandem repeats typing. Adjusted odds ratios (aORs were calculated to analyze the risk factors for primary drug resistance. RESULTS: Of 489 isolates, 298 (60.9% were sensitive to all drugs tested. Resistance to isoniazid, rifampicin, streptomycin, ethambutol, and MDR accounted for 28.2%, 4.9%, 28.2%, 2.9%, and 4.5%, respectively. Of 24 isolates with rifampicin resistance, 22 (91.7% were MDR and also resistant to streptomycin, except one case. Factors associated with isoniazid resistance included living in old urban areas, presence of the Beijing genotype, and clustered strains [aOR = 2.23, 95% confidence interval (CI 1.15-4.35; 1.91, 1.18-3.10; and 1.69, 1.06-2.69, respectively. The Beijing genotype was also associated with streptomycin resistance (aOR = 2.10, 95% CI 1.29-3.40. Human immunodeficiency virus (HIV coinfection was associated with rifampicin resistance and MDR (aOR = 5.42, 95% CI 2.07-14.14; 6.23, 2.34-16.58, respectively. CONCLUSION: Isoniazid and streptomycin resistance was observed in more than a quarter of TB patients without treatment history in Hanoi. Transmission of isoniazid-resistant TB among younger people should be carefully monitored in urban areas, where Beijing strains and HIV coinfection are prevalent. Choosing an optimal treatment regimen on the basis of the results of drug susceptibility tests and monitoring of treatment

  12. Factors associated with acquisition of carbapenem-resistant Enterobacteriaceae

    Directory of Open Access Journals (Sweden)

    Lilian Silva Lavagnoli

    2017-10-01

    Full Text Available ABSTRACT Objective: to identify possible risk factors for acquisition of Enterobacterial strains with a marker for resistance to carbapenems. Methods: exploratory case-control study performed in hospital settings. The study sample consisted of patients with biological specimens that tested positive for carbapenem-resistant Enterobacteriaceae (cases, with the disk diffusion test and Etest, and controls with biological samples testing negative for carbapenem-resistant Enterobacteriaceae. In all, 65 patients were included: 13 (20% cases and 52 (80% controls. Results: the microorganisms isolated were Serratia marcescens (6, Klebsiella pneumoniae (4, and Enterobacter cloacae (3. Univariate analysis revealed that length of hospitalization prior to sample collection (p=0.002 and having a surgical procedure (p=0.006 were statistically significant. In the multivariable logistic regression model, both were still significant, with odds ratios of 0.93 (p = 0.009; 95% CI: 0.89 to 0.98 for length of hospitalization prior to sample collection, and 9.28 (p = 0.05; 95% CI: 1.01 to 85.14 for having a surgical procedure. Conclusion: shorter hospitalization times and increased surveillance of patients undergoing surgery could play a decisive role in reducing the spread of carbapenem-resistant microorganisms in hospital settings.

  13. Low back pain characterized by muscle resistance and occupational factors associated with nursing

    Directory of Open Access Journals (Sweden)

    Rafael de Souza Petersen

    2014-06-01

    Full Text Available OBJECTIVE: to identify the occupational factors associated with low back pain using a surveillance tool and to characterize the low back pain by the resistance of the extensor muscles of the vertebral column among nursing professionals at an Intensive Care Unit.METHODS: Cross-sectional study. The workers answered a questionnaire about occupational factors and participated in a resistance test of the extensor muscles of the vertebral column. Associations were established through Student's T-test or Mann-Whitney's U-test and correlations using Pearson's test.RESULTS: Out of 48 participants, 32 (67% suffered from low pain. For the resistance test, the subjects suffering from low back pain endured less time in comparison with asymptomatic subjects, but without significant differences (p=0.147. The duration of the pain episode showed a significant negative correlation (p=0.016 with the results of the resistance test though. The main factors identified as causes of low back pain were biomechanical and postural elements, conditions of the muscle structure and physical and organizational conditions.CONCLUSIONS: the main occupational factors associated with the low back pain were the posture and the characteristics of the physical and organizational conditions. In addition, the extensor muscles of the column showed a trend towards lesser resistance for workers in pain. This evidence is important when considering prevention and treatment strategies.

  14. Role of Transcription Factor Modifications in the Pathogenesis of Insulin Resistance

    Directory of Open Access Journals (Sweden)

    Mi-Young Kim

    2012-01-01

    Full Text Available Non-alcoholic fatty liver disease (NAFLD is characterized by fat accumulation in the liver not due to alcohol abuse. NAFLD is accompanied by variety of symptoms related to metabolic syndrome. Although the metabolic link between NAFLD and insulin resistance is not fully understood, it is clear that NAFLD is one of the main cause of insulin resistance. NAFLD is shown to affect the functions of other organs, including pancreas, adipose tissue, muscle and inflammatory systems. Currently efforts are being made to understand molecular mechanism of interrelationship between NAFLD and insulin resistance at the transcriptional level with specific focus on post-translational modification (PTM of transcription factors. PTM of transcription factors plays a key role in controlling numerous biological events, including cellular energy metabolism, cell-cycle progression, and organ development. Cell type- and tissue-specific reversible modifications include lysine acetylation, methylation, ubiquitination, and SUMOylation. Moreover, phosphorylation and O-GlcNAcylation on serine and threonine residues have been shown to affect protein stability, subcellular distribution, DNA-binding affinity, and transcriptional activity. PTMs of transcription factors involved in insulin-sensitive tissues confer specific adaptive mechanisms in response to internal or external stimuli. Our understanding of the interplay between these modifications and their effects on transcriptional regulation is growing. Here, we summarize the diverse roles of PTMs in insulin-sensitive tissues and their involvement in the pathogenesis of insulin resistance.

  15. Reasons of chlorella strain's resistance to physical and chemical factors of environment

    Energy Technology Data Exchange (ETDEWEB)

    Apasheva, L M; Bujiashvilie, D M; Naydich, V I; Shevchenko, V A

    1979-07-01

    Under environmental pollution it is necessary to study the reasons of an organism's resistance as well as the specificity of it. Some chlorella strains were under the influence of uv- and x-irradiation, heat treatment, cadmium salts, insecticide, and detergent. It was shown that cells which were resistant to physical factors were resistant to chemical intoxication, as well. The positive correlation between resistance of strains and the described influences and the levels of endogenic thiols was found. The second reason for higher resistance is an increase of free radicals' concentration. It is linked with increasing P/sub 700/ quantity of electron-transport chains that make possible higher levels of photosynthetic reactions of resistant cells.

  16. Methicillin-resistant Staphylococcus aureus of lineage ST398 as cause of mastitis in cows.

    Science.gov (United States)

    Silva, N C C; Guimarães, F F; Manzi, M P; Júnior, A Fernandes; Gómez-Sanz, E; Gómez, P; Langoni, H; Rall, V L M; Torres, C

    2014-12-01

    The objective of this study was to analyse the prevalence and molecular characteristics of methicillin-resistant Staphylococcus aureus (MRSA) in milk of cows with mastitis. The California mastitis test (CMT) was used to detect the presence of mastitis in all 100 cows of a farm in Brazil. The CMT was positive in milk of 115 mammary quarters from 36 cows (36%). MRSA isolates were recovered from 4 of these 36 cows with mastitis (11%), and they were further characterized (one MRSA/sample). The four MRSA isolates were typed as t011-ST398-agr1-SCCmecV and presented two different pulsed-field-gel-electrophoresis-ApaI patterns. These four MRSA isolates showed resistance to tetracycline, streptomycin and ciprofloxacin, carried the mecA, blaZ, tet(K), and tet(M) resistance genes, and presented the S84L and S80F amino acid substitutions in GyrA and GrlA proteins, respectively. Two ST398 isolates exhibited resistance to gentamicin and tobramycin [with aac(6)-aph(2") and ant(4)-Ia genes] and one isolate resistance to clindamycin [with lnu(B) and lsa(E) genes]; this latter isolate also carried the spectinomycin/streptomycin resistance genes spw and aadE. MRSA of lineage ST398 is worldwide spread, normally multidrug resistant and may be responsible for bovine mastitis. To our knowledge, this is the first detection of MRSA-ST398 in Brazil. Few studies on the epidemiology of methicillin-resistant Staphylococcus aureus (MRSA) from bovine isolates have been performed in Brazil. MRSA of lineage ST398 is worldwide spread and associated with farm animals. Multidrug-resistant MRSA-ST398 isolates were recovered in 11% of mastitic cows from a single farm, with one isolate carrying the unusual lsa(E), spw and aadE genes. To our knowledge, this is the first detection of MRSA-ST398 isolates in milk samples of cows with mastitis in Brazil. © 2014 The Society for Applied Microbiology.

  17. Hypoxia-inducible factor-1α induces multidrug resistance protein in colon cancer

    Directory of Open Access Journals (Sweden)

    Lv Y

    2015-07-01

    Full Text Available Yingqian Lv, Shan Zhao, Jinzhu Han, Likang Zheng, Zixin Yang, Li Zhao Department of Oncology, The Second Hospital, Hebei Medical University, Shijiazhuang, Hebei Province, People’s Republic of China Abstract: Multidrug resistance is the major cause of chemotherapy failure in many solid tumors, including colon cancer. Hypoxic environment is a feature for all solid tumors and is important for the development of tumor resistance to chemotherapy. Hypoxia-inducible factor (HIF-1α is the key transcription factor that mediates cellular response to hypoxia. HIF-1α has been shown to play an important role in tumor resistance; however, the mechanism is still not fully understood. Here, we found that HIF-1α and the drug resistance-associated gene multidrug resistance associated protein 1 (MRP1 were induced by treatment of colon cancer cells with the hypoxia-mimetic agent cobalt chloride. Inhibition of HIF-1α by RNA interference and dominant-negative protein can significantly reduce the induction of MRP1 by hypoxia. Bioinformatics analysis showed that a hypoxia response element is located at -378 to -373 bp upstream of the transcription start site of MRP1 gene. Luciferase reporter assay combined with mutation analysis confirmed that this element is essential for hypoxia-mediated activation of MRP gene. Furthermore, RNA interference revealed that HIF-1α is necessary for this hypoxia-driven activation of MRP1 promoter. Importantly, chromatin immunoprecipitation analysis demonstrated that HIF-1α could directly bind to this HRE site in vivo. Together, these data suggest that MRP1 is a downstream target gene of HIF-1α, which provides a potential novel mechanism for HIF-1α-mediated drug resistance in colon cancer and maybe other solid tumors as well. Keywords: hypoxia, hypoxia-inducible factor-1α, multidrug resistance associated protein, transcriptional regulation, chemotherapy tolerance

  18. Investigation and control of factors influencing resistance upset butt welding.

    OpenAIRE

    Kerstens, N.F.H.

    2010-01-01

    The purpose of this work is to investigate the factors influencing the resistance upset butt welding process to obtain an understanding of the metal behaviour and welding process characteristics, so that new automotive steels can be welded with reduced development time and fewer failures in production. In principle the welding process is rather simple, the materials to be joined are clamped between two electrodes and pressed together. Because there is an interface present with a higher resist...

  19. Liver-derived systemic factors drive β-cell hyperplasia in insulin resistant states

    Energy Technology Data Exchange (ETDEWEB)

    El Ouaamari, Abdelfattah; Kawamori, Dan; Dirice, Ercument; Liew, Chong Wee; Shadrach, Jennifer L.; Hu, Jiang; Katsuta, Hitoshi; Hollister-Lock, Jennifer; Qian, Weijun; Wagers, Amy J.; Kulkarni, Rohit N.

    2013-02-21

    Integrative organ cross-talk regulates key aspects of energy homeostasis and its dysregulation may underlie metabolic disorders such as obesity and diabetes. To test the hypothesis that cross-talk between the liver and pancreatic islets modulates β-cell growth in response to insulin resistance, we used the Liver-specific Insulin Receptor Knockout (LIRKO) mouse, a unique model that exhibits dramatic islet hyperplasia. Using complementary in vivo parabiosis and transplantation assays, and in vitro islet culture approaches, we demonstrate that humoral, non-neural, non-cell autonomous factor(s) induce β-cell proliferation in LIRKO mice. Furthermore, we report that a hepatocyte-derived factor(s) stimulates mouse and human β-cell proliferation in ex vivo assays, independent of ambient glucose and insulin levels. These data implicate the liver as a critical source of β-cell growth factors in insulin resistant states.

  20. Risk Factors for Emergence of Resistance to Broad-Spectrum Cephalosporins among Enterobacter spp.

    Science.gov (United States)

    Kaye, Keith S.; Cosgrove, Sara; Harris, Anthony; Eliopoulos, George M.; Carmeli, Yehuda

    2001-01-01

    Among 477 patients with susceptible Enterobacter spp., 49 subsequently harbored third-generation cephalosporin-resistant Enterobacter spp. Broad-spectrum cephalosporins were independent risk factors for resistance (relative risk [OR] = 2.3, P = 0.01); quinolone therapy was protective (OR = 0.4, P = 0.03). There were trends toward decreased risk for resistance among patients receiving broad-spectrum cephalosporins and either aminoglycosides or imipenem. Of the patients receiving broad-spectrum cephalosporins, 19% developed resistance. PMID:11502540

  1. Load and resistance factor design calibration to determine a resistance factor for the modification of the Kansas Department of Transportation-Engineering News Record formula.

    Science.gov (United States)

    2014-02-01

    This report contains the results of a study describing the development of resistance factors for use : with the Kansas Department of Transportation (KDOT) Engineering News Record (ENR) formula for driven : piles. KDOT has verified driven pile resista...

  2. [Factors associated with insulin resistence in rural populations].

    Science.gov (United States)

    Mendes, Larissa Loures; Gazzinelli, Andréa; Velásquez-Meléndez, Gustavo

    2009-04-01

    This study explores the relations of anthropometric, body composition assessments, biochemical and hemodynamic parameters with insulin resistance in two rural communities. Sample was composed by adults aged 18 or older, both sexes. Participants were excluded if pregnant and diabetic. Data collection included demographic lifestyle, hemodynamic, anthropometric and biochemical variables. From the 567 subjects, 50.4% were men and 49.6%, women. Most of the sample was non-white (75.7%), lived with partner (69.3%) and had low educational level. Overweight and obesity prevalences were 17.4% and 5.5%, respectively. Multivariate analysis found risk factors associated to insulin resistance for non-diabetic adults with low income and educational level: overweight, obesity, elevated waist-to-hip ratio, C-reactive protein and skin color.

  3. Nuclear respiratory factor-1 and bioenergetics in tamoxifen-resistant breast cancer cells

    International Nuclear Information System (INIS)

    Radde, Brandie N.; Ivanova, Margarita M.; Mai, Huy Xuan; Alizadeh-Rad, Negin; Piell, Kellianne; Van Hoose, Patrick; Cole, Marsha P.; Muluhngwi, Penn; Kalbfleisch, Ted S.; Rouchka, Eric C.; Hill, Bradford G.; Klinge, Carolyn M.

    2016-01-01

    Acquired tamoxifen (TAM) resistance is a significant clinical problem in treating patients with estrogen receptor α (ERα)+ breast cancer. We reported that ERα increases nuclear respiratory factor-1 (NRF-1), which regulates nuclear-encoded mitochondrial gene transcription, in MCF-7 breast cancer cells and NRF-1 knockdown stimulates apoptosis. Whether NRF-1 and target gene expression is altered in endocrine resistant breast cancer cells is unknown. We measured NRF-1and metabolic features in a cell model of progressive TAM-resistance. NRF-1 and its target mitochondrial transcription factor A (TFAM) were higher in TAM-resistant LCC2 and LCC9 cells than TAM-sensitive MCF-7 cells. Using extracellular flux assays we observed that LCC1, LCC2, and LCC9 cells showed similar oxygen consumption rate (OCR), but lower mitochondrial reserve capacity which was correlated with lower Succinate Dehydrogenase Complex, Subunit B in LCC1 and LCC2 cells. Complex III activity was lower in LCC9 than MCF-7 cells. LCC1, LCC2, and LCC9 cells had higher basal extracellular acidification (ECAR), indicating higher aerobic glycolysis, relative to MCF-7 cells. Mitochondrial bioenergetic responses to estradiol and 4-hydroxytamoxifen were reduced in the endocrine-resistant cells compared to MCF-7 cells. These results suggest the acquisition of altered metabolic phenotypes in response to long term antiestrogen treatment may increase vulnerability to metabolic stress. - Highlights: • NRF-1 and TFAM expression are higher in endocrine-resistant breast cancer cells. • Oxygen consumption rate is similar in endocrine-sensitive and resistant cells. • Mitochondrial reserve capacity is lower in endocrine-resistant cells. • Endocrine-resistant breast cancer cells have increased glycolysis. • Bioenergetic responses to E2 and tamoxifen are lower in endocrine-resistant cells.

  4. Nuclear respiratory factor-1 and bioenergetics in tamoxifen-resistant breast cancer cells

    Energy Technology Data Exchange (ETDEWEB)

    Radde, Brandie N.; Ivanova, Margarita M.; Mai, Huy Xuan; Alizadeh-Rad, Negin; Piell, Kellianne; Van Hoose, Patrick; Cole, Marsha P.; Muluhngwi, Penn; Kalbfleisch, Ted S. [Department of Biochemistry & Molecular Genetics, Center for Genetics and Molecular Medicine, University of Louisville School of Medicine, Louisville, KY 40292 (United States); Rouchka, Eric C. [Bioinformatics and Biomedical Computing Laboratory, Department of Computer Engineering and Computer Science, University of Louisville, Louisville, KY 40292 (United States); Hill, Bradford G. [Department of Medicine, University of Louisville School of Medicine, Louisville, KY 40292 (United States); Klinge, Carolyn M., E-mail: carolyn.klinge@louisville.edu [Department of Biochemistry & Molecular Genetics, Center for Genetics and Molecular Medicine, University of Louisville School of Medicine, Louisville, KY 40292 (United States)

    2016-09-10

    Acquired tamoxifen (TAM) resistance is a significant clinical problem in treating patients with estrogen receptor α (ERα)+ breast cancer. We reported that ERα increases nuclear respiratory factor-1 (NRF-1), which regulates nuclear-encoded mitochondrial gene transcription, in MCF-7 breast cancer cells and NRF-1 knockdown stimulates apoptosis. Whether NRF-1 and target gene expression is altered in endocrine resistant breast cancer cells is unknown. We measured NRF-1and metabolic features in a cell model of progressive TAM-resistance. NRF-1 and its target mitochondrial transcription factor A (TFAM) were higher in TAM-resistant LCC2 and LCC9 cells than TAM-sensitive MCF-7 cells. Using extracellular flux assays we observed that LCC1, LCC2, and LCC9 cells showed similar oxygen consumption rate (OCR), but lower mitochondrial reserve capacity which was correlated with lower Succinate Dehydrogenase Complex, Subunit B in LCC1 and LCC2 cells. Complex III activity was lower in LCC9 than MCF-7 cells. LCC1, LCC2, and LCC9 cells had higher basal extracellular acidification (ECAR), indicating higher aerobic glycolysis, relative to MCF-7 cells. Mitochondrial bioenergetic responses to estradiol and 4-hydroxytamoxifen were reduced in the endocrine-resistant cells compared to MCF-7 cells. These results suggest the acquisition of altered metabolic phenotypes in response to long term antiestrogen treatment may increase vulnerability to metabolic stress. - Highlights: • NRF-1 and TFAM expression are higher in endocrine-resistant breast cancer cells. • Oxygen consumption rate is similar in endocrine-sensitive and resistant cells. • Mitochondrial reserve capacity is lower in endocrine-resistant cells. • Endocrine-resistant breast cancer cells have increased glycolysis. • Bioenergetic responses to E2 and tamoxifen are lower in endocrine-resistant cells.

  5. Vitamin C deficiency aggravates tumor necrosis factor α-induced insulin resistance.

    Science.gov (United States)

    Qing, Zhou; Xiao-Hui, Wu; Xi-Mei, Wu; Chao-Chun, Zou

    2018-06-15

    Chronic low-grade inflammation plays a major role in the development of insulin resistance. The potential role and underlying mechanism of vitamin C, an antioxidant and anti-inflammatory agent, was investigated in tumor necrosis factor-α (TNF-α)-induced insulin resistance. Gulonolactone oxidase knockout (Gulo -/- ) mice genetically unable to synthesize vitamin C were used to induce insulin resistance by continuously pumping small doses of TNF-α for seven days, and human liver hepatocellular carcinoma cells (HepG2 cells) were used to induce insulin resistance by treatment with TNF-α. Vitamin C deficiency aggravated TNF-α-induced insulin resistance in Gulo -/- mice, resulting in worse glucose tolerance test (GTT) results, higher fasting plasma insulin level, and the inactivation of the protein kinase B (AKT)/glycogen synthase kinase-3β (GSK3β) pathway in the liver. Vitamin C deficiency also worsened liver lipid accumulation and inflammation in TNF-α-treated Gulo -/- mice. In HepG2 cells, vitamin C reversed the TNF-α-induced reduction of glucose uptake and glycogen synthesis, which were mediated by increasing GLUT2 levels and the activation of the insulin receptor substrate (IRS-1)/AKT/GSK3β pathway. Furthermore, vitamin C inhibited the TNF-α-induced activation of not only the mitogen-activated protein kinase (MAPKs), but also nuclear factor-kappa B (NF-κB) signaling. Taken together, vitamin C is essential for preventing and improving insulin resistance, and the supplementing with vitamin C may be an effective therapeutic intervention for metabolic disorders. Copyright © 2018 Elsevier B.V. All rights reserved.

  6. OBESITY-RELATED CARDIOVASCULAR RISK FACTORS AFTER LONG- TERM RESISTANCE TRAINING AND GINGER SUPPLEMENTATION

    Directory of Open Access Journals (Sweden)

    Sirvan Atashak

    2011-12-01

    Full Text Available Obesity and its metabolic consequences are major risk factors for cardiovascular morbidity and mortality. However, lifestyle interventions, including exercise training and dietary components may decrease cardiovascular risk. Hence, this study was conducted to assess the effects of ginger supplementation and progressive resistance training on some cardiovascular risk factors in obese men. In a randomized double-blind design, 32 obese Iranian men (BMI > 30 were assigned in to one of four groups: Placebo (PL, n = 8; ginger group (GI, n = 8 that consumed 1 gr ginger/d for 10 wk; resistance training plus placebo (RTPL, n = 8; and 1gr ginger plus resistance exercise (RTGI, n = 8. Progressive resistance training was performed three days per week for 10 weeks and included eight exercises. At baseline and after 10 weeks, body composition and anthropometric indices were measured. To identify other risk factors, venous blood samples were obtained before and 48-72 hours after the last training session for measurement of blood lipids (LDL-C, HDL-C, TG, systemic inflammation (CRP, and insulin resistance (HOMA-IR. After 10 weeks both RTGI and RTPL groups showed significant decreases in waist circumference (WC, waist-to-hip ratio (WHR, body fat percent, body fat mass, total cholesterol, and insulin resistance (p < 0.05 and a significant increase in fat free mass (FFM (p < 0.05, while it remained unchanged in PL and GI. Further, significant decreases in the mean values of CRP were observed in all groups except PL (p < 0.05. Our results reveal that resistance training is an effective therapeutic strategy to reduce cardiovascular risk in obese Iranian men. Further, ginger supplementation alone or in combination with resistance training, also reduces chronic inflammation. However more research on the efficacy of this supplement to reduce cardiovascular risk in humans is required.

  7. Reliability-based load and resistance factor design for piping: an exploratory case study

    International Nuclear Information System (INIS)

    Gupta, Abhinav; Choi, Byounghoan

    2003-01-01

    This paper presents an exploratory case study on the application of Load and Resistance Factor Design (LRFD) approach to the Section III of ASME Boiler and Pressure Vessel code for piping design. The failure criterion for defining the performance function is considered as plastic instability. Presently used design equation is calibrated by evaluating the minimum reliability levels associated with it. If the target reliability in the LRFD approach is same as that evaluated for the presently used design equation, it is shown that the total safety factors for the two design equations are identical. It is observed that the load and resistance factors are not dependent upon the diameter to thickness ratio. A sensitivity analysis is also conducted to study the variations in the load and resistance factors due to changes in (a) coefficients of variation for pressure, moment, and ultimate stress, (b) ratio of mean design pressure to mean design moment, (c) distribution types used for characterizing the random variables, and (d) statistical correlation between random variables. It is observed that characterization of random variables by log-normal distribution is reasonable. Consideration of statistical correlation between the ultimate stress and section modulus gives higher values of the load factor for pressure but lower value for the moment than the corresponding values obtained by considering the variables to be uncorrelated. Since the effect of statistical correlation on the load and resistance factors is relatively insignificant for target reliability values of practical interest, the effect of correlated variables may be neglected

  8. Embryonic transcription factor SOX9 drives breast cancer endocrine resistance.

    Science.gov (United States)

    Jeselsohn, Rinath; Cornwell, MacIntosh; Pun, Matthew; Buchwalter, Gilles; Nguyen, Mai; Bango, Clyde; Huang, Ying; Kuang, Yanan; Paweletz, Cloud; Fu, Xiaoyong; Nardone, Agostina; De Angelis, Carmine; Detre, Simone; Dodson, Andrew; Mohammed, Hisham; Carroll, Jason S; Bowden, Michaela; Rao, Prakash; Long, Henry W; Li, Fugen; Dowsett, Mitchell; Schiff, Rachel; Brown, Myles

    2017-05-30

    The estrogen receptor (ER) drives the growth of most luminal breast cancers and is the primary target of endocrine therapy. Although ER blockade with drugs such as tamoxifen is very effective, a major clinical limitation is the development of endocrine resistance especially in the setting of metastatic disease. Preclinical and clinical observations suggest that even following the development of endocrine resistance, ER signaling continues to exert a pivotal role in tumor progression in the majority of cases. Through the analysis of the ER cistrome in tamoxifen-resistant breast cancer cells, we have uncovered a role for an RUNX2-ER complex that stimulates the transcription of a set of genes, including most notably the stem cell factor SOX9, that promote proliferation and a metastatic phenotype. We show that up-regulation of SOX9 is sufficient to cause relative endocrine resistance. The gain of SOX9 as an ER-regulated gene associated with tamoxifen resistance was validated in a unique set of clinical samples supporting the need for the development of improved ER antagonists.

  9. Antimicrobial susceptibility of Haemophilus parasuis and Histophilus somni from pigs and cattle in Denmark

    DEFF Research Database (Denmark)

    Aarestrup, Frank Møller; Seyfarth, Anne Mette; Angen, Øystein

    2004-01-01

    A total of 52 Haemophilus parasuis and 80 Histophilus somni isolates were tested for antimicrobial susceptibility by MIC-determinations. None of the isolates were resistant to ampicillin, ceftiofur, ciprofloxacin, erythromycin, florphenicol, penicillin, spectinomycin, tetracycline, tiamulin......, or tilmicosin. Two H. parasuis isolates were resistant to trimethoprim + sulfamethoxazole. Six H. parasuis isolates had reduced susceptibility (0.06-0.5 mug/ml) to ciprofloxacin and 10 reduced susceptibility to TMP + sulfamethoxazole (1-2 mug/ml). This study showed that Danish isolates of H. parasuis and H...

  10. Effect of non-uniform surface resistance on the quality factor of superconducting niobium cavity

    Science.gov (United States)

    Tan, Weiwei; Lu, Xiangyang; Yang, Ziqin; Zhao, Jifei; Yang, Deyu; Yang, Yujia

    2016-08-01

    The formula Rs = G /Q0 is commonly used in the calculation of the surface resistance of radio frequency niobium superconducting cavities. The applying of such equation is under the assumption that surface resistance is consistent over the cavity. However, the distribution of the magnetic field varies over the cavity. The magnetic field in the equator is much higher than that in the iris. According to Thermal Feedback Theory, it leads non-uniform distribution of the density of heat flux, which results in a different temperature distribution along the cavity inter surface. The BCS surface resistance, which depends largely on the temperature, is different in each local inner surface. In this paper, the effect of surface non-uniform resistance on the quality factor has been studied, through the calculation of Q0 in the original definition of it. The results show that it is necessary to consider the non-uniform distribution of magnetic field when the accelerating field is above 20 MV/m for TESLA cavities. Also, the effect of inhomogeneity of residual resistance on the quality factor is discussed. Its distribution barely affects the quality factor.

  11. Risk factors associated with multidrug-resistant tuberculosis in Espírito Santo, Brazil

    Directory of Open Access Journals (Sweden)

    Geisa Fregona

    Full Text Available ABSTRACT OBJECTIVE To analyze the prevalence and factors associated with multidrug-resistant tuberculosis in Espírito Santo, Brazil. METHODS This is a cross-sectional study of cases of tuberculosis tested for first-line drugs (isoniazid, rifampicin, pyrazinamide, ethambutol, and streptomycin in Espírito Santo between 2002 and 2012. We have used laboratory data and registration of cases of tuberculosis – from the Sistema Nacional de Agravos de Notificação and Sistema para Tratamentos Especiais de Tuberculose. Individuals have been classified as resistant and non-resistant and compared in relation to the sociodemographic, clinical, and epidemiological variables. Some variables have been included in a logistic regression model to establish the factors associated with resistance. RESULTS In the study period, 1,669 individuals underwent anti-tuberculosis drug susceptibility testing. Of these individuals, 10.6% showed resistance to any anti-tuberculosis drug. The rate of multidrug resistance observed, that is, to rifampicin and isoniazid, has been 5%. After multiple analysis, we have identified as independent factors associated with resistant tuberculosis: history of previous treatment of tuberculosis [recurrence (OR = 7.72; 95%CI 4.24–14.05 and re-entry after abandonment (OR = 3.91; 95%CI 1.81–8.43], smoking (OR = 3.93; 95%CI 1.98–7.79, and positive culture for Mycobacterium tuberculosis at the time of notification of the case (OR = 3.22; 95%CI 1.15–8.99. CONCLUSIONS The partnership between tuberculosis control programs and health teams working in the network of Primary Health Care needs to be strengthened. This would allow the identification and monitoring of individuals with a history of previous treatment of tuberculosis and smoking. Moreover, the expansion of the offer of the culture of tuberculosis and anti-tuberculosis drug susceptibility testing would provide greater diagnostic capacity for the resistant types in Espírito Santo.

  12. Drug-resistance patterns of Mycobacterium tuberculosis strains and associated risk factors among multi drug-resistant tuberculosis suspected patients from Ethiopia.

    Science.gov (United States)

    Mesfin, Eyob Abera; Beyene, Dereje; Tesfaye, Abreham; Admasu, Addisu; Addise, Desalegn; Amare, Miskir; Dagne, Biniyam; Yaregal, Zelalem; Tesfaye, Ephrem; Tessema, Belay

    2018-01-01

    Multidrug drug-resistant tuberculosis (MDR-TB) is a major health problem and seriously threatens TB control and prevention efforts globally. Ethiopia is among the 30th highest TB burden countries for MDR-TB with 14% prevalence among previously treated cases. The focus of this study was on determining drug resistance patterns of Mycobacterium tuberculosis among MDR-TB suspected cases and associated risk factors. A cross-sectional study was conducted in Addis Ababa from June 2015 to December 2016. Sputum samples and socio-demographic data were collected from 358 MDR-TB suspected cases. Samples were analyzed using Ziehl-Neelsen technique, GeneXpert MTB/RIF assay, and culture using Lowenstein-Jensen and Mycobacterial growth indicator tube. Data were analyzed using SPSS version 23. A total of 226 the study participants were culture positive for Mycobacterium tuberculosis, among them, 133 (58.8%) participants were males. Moreover, 162 (71.7%) had been previously treated for tuberculosis, while 128 (56.6%) were TB/HIV co-infected. A majority [122 (54%)] of the isolates were resistant to any first-line anti-TB drugs. Among the resistant isolates, 110 (48.7%) were determined to be resistant to isoniazid, 94 (41.6%) to streptomycin, 89 (39.4%) to rifampicin, 72 (31.9%) to ethambutol, and 70 (30.9%) to pyrazinamide. The prevalence of MDR-TB was 89 (39.4%), of which 52/89 (58.4%) isolates were resistance to all five first-line drugs. Risk factors such as TB/HIV co-infection (AOR = 5.59, p = 0.00), cigarette smoking (AOR = 3.52, p = 0.045), alcohol drinking (AOR = 5.14, p = 0.001) hospital admission (AOR = 3.49, p = 0.005) and visiting (AOR = 3.34, p = 0.044) were significantly associated with MDR-TB. The prevalence of MDR-TB in the study population was of a significantly high level among previously treated patients and age group of 25-34. TB/HIV coinfection, smoking of cigarette, alcohol drinking, hospital admission and health facility visiting were identified as risk factors

  13. Investigation and control of factors influencing resistance upset butt welding.

    NARCIS (Netherlands)

    Kerstens, N.F.H.

    2010-01-01

    The purpose of this work is to investigate the factors influencing the resistance upset butt welding process to obtain an understanding of the metal behaviour and welding process characteristics, so that new automotive steels can be welded with reduced development time and fewer failures in

  14. DETERMINATION OF THE ISSUE CONCERNING THE LIFT RESISTANCE FACTOR OF LIGHTWEIGHT CAR

    Directory of Open Access Journals (Sweden)

    A. O. Shvets

    2015-10-01

    Full Text Available Purpose. The analytical study of the connection between the longitudinal force, acting on the light-weight car, lateral and vertical forces of interaction in the contact zone «wheel – rail» with the lift resistance factor value is to provide a simple relationships between them. Methodology. Research was conducted by the method of mathematical modeling of loading the freight car when driving at different speeds on straight and curved sections of a track. Findings. Even in the absence of «lift» accordingly the classical train stability theory, as the hinge-rod system, the presence of the longitudinal compressive forces may become a factor provoking cars derailment. The main reason of wheel climbing on rails is the combination of processes of dynamic interaction between the hunting vehicle and the track with simultaneous action of longitudinal compressive forces, and not the destabilization of train as a hingedrod system. To assess the impact of the longitudinal forces value on the lift resistance factor there are presented the calculation results for the empty gondola car motion, model No. 12-532, on 250 m radius curve with 150 mm rise and cross starting of car underframe relating to the track axis in 50 mm guiding section. The calculations were made in such a curve excluding the inertial forces from outstanding acceleration and taking into account the unbalanced acceleration with the permissible speed of 65 km/h. Originality. This study provides the technique of determining the lift resistance factor by longitudinal forces, which is somewhat different from the standard one, as well as evaluates impact of rolling stock speed on this factor. Practical value. The authors clarify the current method of determining the lift resistance factor by longitudinal forces and assess the impact of rolling stock speed on the value of this factor. From these studies one can conclude that because of cars hunting their lift is possible even when the train as

  15. Determination of in vitro synergy for dual antimicrobial therapy against resistant Neisseria gonorrhoeae using Etest and agar dilution.

    Science.gov (United States)

    Wind, Carolien M; de Vries, Henry J C; van Dam, Alje P

    2015-03-01

    In response to antimicrobial resistance of Neisseria gonorrhoeae to last-resort extended-spectrum cephalosporins, combination therapy of azithromycin+ceftriaxone is now recommended. Dual therapy can be effective to treat monoresistant strains as well as multidrug-resistant strains, preferably employing the effect of in vitro synergy. As reports on in vitro synergy of azithromycin+ceftriaxone in N. gonorrhoeae are conflicting, in this study an evaluation of this combination was performed using a cross-wise Etest method and agar dilution. Synergy was defined as a fractional inhibitory concentration index (FICI) of ≤0.5. To identify other dual treatment options for gonorrhoea, in vitro synergy was evaluated for 65 dual antimicrobial combinations using Etest. Azithromycin, cefixime, ceftriaxone, colistin, ertapenem, fosfomycin, gentamicin, minocycline, moxifloxacin, rifampicin, spectinomycin and tigecycline were screened for synergy in all possible combinations. No synergy or antagonism was found for any of the 65 combinations. The geometric mean FICI ranged from 0.82 to 2.00. The mean FICI of azithromycin+ceftriaxone was 1.18 (Etest) and 0.55 (agar dilution). The difference between both methods did not result in a difference in interpretation of synergy. Ceftriaxone-resistant strain F89 was tested in all combinations and no synergy was found for any of them. Most importantly, the ceftriaxone minimum inhibitory concentration of F89 was not decreased below the breakpoint with any concentration of azithromycin. Copyright © 2014 Elsevier B.V. and the International Society of Chemotherapy. All rights reserved.

  16. Gevoeligheid van belgische Mycoplasma Hyopneumoniae isolaten voor antimicrobiële middelen

    OpenAIRE

    Maes, Dominiek; Vicca, J.; STAKENBORG, T; Butaye, Patrick; de Kruif, Aart; Haesebrouck, Freddy

    2007-01-01

    To control Mycoplasma hyopneumoniae infections, the use of antibiotics in feed or water is a common practice. Unfortunately, information concerning the susceptibility of M. hyopneumoniae to different antimicrobials is very scarce. The in vitro susceptibility of 21 M hyopneumoniae field isolates was determined in this study using a broth microdilution technique. Acquired resistance to spectinomycin, oxytetracycline, doxycycline, gentamicin, florfenicol and tiamulin was not observed. One isolat...

  17. Importance of sigma factor mutations in increased triclosan resistance in Salmonella Typhimurium

    DEFF Research Database (Denmark)

    Gantzhorn, Mette Rørbæk; Olsen, John Elmerdahl; Thomsen, Line Elnif

    2015-01-01

    towards the antibiotics enrofloxacin and sulphamethoxazole/trimethoprim. CONCLUSIONS: Medium level triclosan resistance could be obtained by fabI mutations in S. Typhimurium, however, high level resistance was found to require sigma factor mutations in addition to a fabI mutation. Reduced antibiotic...

  18. Investigation into the effect of common factors on rolling resistance of belt conveyor

    Directory of Open Access Journals (Sweden)

    Lu Yan

    2015-08-01

    Full Text Available Since indentation rolling resistance accounts for the major part of total resistance of belt conveyor, it is important to compute it using a proper method during the design and application study of the belt conveyor. First, an approximate formula for computing the indentation rolling resistance is offered. In this formula, a one-dimensional Winkler foundation and a three-parameter viscoelastic Maxwell solid model of the belt backing material are used to determine the resistance to motion of a conveyor belt over idlers. With the help of this formula, the authors analyze the effect of common factors on the rolling resistance. Finally, experiments are carried out under certain condition compared with theoretical analysis. A reasonable correlation exists between the experimental results and the theoretical formulae.

  19. Factors influencing survival in patients with multidrug-resistant Acinetobacter baumannii infection

    Directory of Open Access Journals (Sweden)

    Mariana Lima Prata-Rocha

    Full Text Available Multidrug-resistant (MDR Acinetobacter baumannii (Acb is a rapidly emerging pathogen in healthcare settings. The aim of this study was to evaluate the predictors of poor outcome in patients with MDR Acb. This is the first report documenting factors influencing survival in patients with MDR Acb in this tertiary hospital. This study is a prospective of the hospital epidemiology database. A total of 73 patients with 84 Acb isolates were obtained between August 2009 and October 2010 in this hospital. In the present study, the 30-day mortality rate was 39.7%. Of 84 Acb isolates, 50 (59% were MDR, nine (11% were pan-resistant, and 25 (30% were non-MDR. The non-MDR isolates were used as the control group. The factors significantly associated with multidrug resistance included previous surgeries, presence of comorbidity (renal disease, use of more than two devices, parenteral nutrition, and inappropriate antimicrobial therapy. Significant predictors of 30-day mortality in the univariate analysis included pneumonia, diabetes mellitus, renal disease, use of more than two devices, and inappropriate antimicrobial therapy administered within two days of the onset of infection. The factors associated with mortality in patients with MDR Acb infection in this study were: age > 60 years, pneumonia, diabetes mellitus, renal disease, use of more than two invasive procedures, and inappropriate antimicrobial therapy. Vigilance is needed to prevent outbreaks of this opportunistic and deadly pathogen.

  20. Risk factors for antibiotic resistance in Campylobacter spp. isolated from raw poultry meat in Switzerland

    Directory of Open Access Journals (Sweden)

    Danuser Jürg

    2003-12-01

    Full Text Available Abstract Background The world-wide increase of foodborne infections with antibiotic resistant pathogens is of growing concern and is designated by the World Health Organization as an emerging public health problem. Thermophilic Campylobacter have been recognised as a major cause of foodborne bacterial gastrointestinal human infections in Switzerland and in many other countries throughout the world. Poultry meat is the most common source for foodborne cases caused by Campylobacter. Because all classes of antibiotics recommended for treatment of human campylobacteriosis are also used in veterinary medicine, in view of food safety, the resistance status of Campylobacter isolated from poultry meat is of special interest. Methods Raw poultry meat samples were collected throughout Switzerland and Liechtenstein at retail level and examined for Campylobacter spp. One strain from each Campylobacter-positive sample was selected for susceptibility testing with the disc diffusion and the E-test method. Risk factors associated with resistance to the tested antibiotics were analysed by multiple logistic regression. Results In total, 91 Campylobacter spp. strains were isolated from 415 raw poultry meat samples. Fifty-one strains (59% were sensitive to all tested antibiotics. Nineteen strains (22% were resistant to a single, nine strains to two antibiotics, and eight strains showed at least three antibiotic resistances. Resistance was observed most frequently to ciprofloxacin (28.7%, tetracycline (12.6%, sulphonamide (11.8%, and ampicillin (10.3%. One multiple resistant strain exhibited resistance to five antibiotics including ciprofloxacin, tetracycline, and erythromycin. These are the most important antibiotics for treatment of human campylobacteriosis. A significant risk factor associated with multiple resistance in Campylobacter was foreign meat production compared to Swiss meat production (odds ratio = 5.7. Conclusion Compared to the situation in other

  1. Evaluation of factors that affect rutting resistance of asphalt mixes by orthogonal experiment design

    Directory of Open Access Journals (Sweden)

    Guilian Zou

    2017-05-01

    Full Text Available Rutting has been one of the major distresses observed on asphalt pavement in China, due to increasing traffic volume, heavy axle load, continuous hot weather, etc., especially in long-steep-slope section, bus stops, etc. Many factors would affect rutting resistance of asphalt pavement, including material properties, climatic condition, traffic volumes, speed, and axle types, and construction quality.The orthogonal experimental design method was used in this study to reduce the number of tests required, without comprising the validity of the test results. The testing variables and their levels were selected according to investigations and field test results. Effects of various factors on asphalt pavement rutting performance were evaluated, including the asphalt binders, mixture type (aggregate gradation, axle load, vehicle speed and temperature.In this study, the wheel tracking test was used to evaluate rutting performance, as represented by the parameter Dynamic Stability (DS, of the various asphalt mixes. Test results were analyzed using range analysis and analysis of variance (ANOVA. All four factors evaluated in this study had significant effects on pavement rutting performance. The ranking of the significance was asphalt mixture type, temperature, loading frequency, and tire-pavement contact pressure. Asphalt mixture type was the most important factor that affects rutting resistance. Within the asphalt mixtures, asphalt binder had significant effects on rutting performance of mixes more than aggregate gradation. Rutting resistance of SBS modified asphalt mixes was significantly better than neat asphalt mixes, and skeleton dense structure mixes were better than suspended dense structure mixes. Keywords: Asphalt mixes, Rutting resistance, Effect factor, Orthogonal experiment design

  2. First report in Africa of two clinical isolates of Proteus mirabilis carrying Salmonella genomic island (SGI1) variants, SGI1-PmABB and SGI1-W.

    Science.gov (United States)

    Soliman, Ahmed M; Ahmed, Ashraf M; Shimamoto, Toshi; El-Domany, Ramadan A; Nariya, Hirofumi; Shimamoto, Tadashi

    2017-07-01

    Two Proteus mirabilis strains, designated PmTAN59 and PmKAF126, were isolated from two different Egyptian cities in 2014 and 2015, respectively. PmTAN59 was isolated from a sputum swab from a pneumonia patient in Tanta University Teaching Hospital. PmKAF126 was isolated from a patient with a diabetic foot infection in a hospital in the city of Kafr El-Sheikh. The two isolates were identified with bacterial small ribosomal RNA (16S rRNA) gene amplification and sequencing and tested for antimicrobial sensitivity with a Kirby-Bauer disk diffusion assay. The two strains were resistant to amoxicillin/clavulante, ampicillin, cefotaxime, cefoxitin, ceftriaxone, chloramphenicol, ciprofloxacin, colistin, gentamicin, kanamycin, nalidixic acid, spectinomycin, streptomycin, sulfamethoxazole/trimethoprime, and tetracycline, but sensitive to aztreonam, imipenem, and meropenem. Molecular characterization was used to map the entire backbone, including the multiple antibiotic resistance (MDR) region, of Salmonella genomic island 1 (SGI1). Both isolates carried a structure similar to SGI1, with two different MDR regions corresponding to SGI1-PmABB in PmTAN59 and SGI1-W in PmKAF126. SGI1-PmABB carried an integron of ~1.5kb with a two-gene cassette, aacCA5-aadA7, which confers resistance to gentamicin, streptomycin, and spectinomycin, whereas SGI1-W carried an integron of ~1.9kb containing aadA2-lnuF, which confers resistance to spectinomycin, streptomycin, and lincosamides. PmKAF126 carried the entire SGI1 sequence, however PmTAN59 carried a SGI1 structure with a deletion in the region from ORF S005 to ORF S009 and accompanied by insertion of IS1359 (1258bp). Furthermore, PmTAN59 carried class 2 integron of ~2.2kb containing dfrA1-sat2-aadA1. An ERIC-PCR analysis detected no clonal relationship between the two strains. Molecular screening for other antimicrobial resistance genes and a plasmid analysis indicated that PmTAN59 carried an IncFIB plasmid type. This strain also carried bla

  3. Risk factors for antimicrobial-resistant Neisseria gonorrhoeae and characteristics of patients infected with gonorrhea.

    Science.gov (United States)

    Fuertes de Vega, Irene; Baliu-Piqué, Carola; Bosch Mestres, Jordi; Vergara Gómez, Andrea; Vallés, Xavier; Alsina Gibert, Mercè

    2018-03-01

    There are very few data available regarding risk factors associated with antibiotic resistant-Neisseria gonorrhoeae. A study was conducted on 110 samples from 101 patients with gonococcal infection, in order to describe their characteristics and compare them with the antimicrobial susceptibility profile of their samples. An association was observed between resistant infections and heterosexual men, older age, concurrent sexually transmitted infection, and unsafe sexual behaviors. There is a need for improved data on the risk factors associated with antibiotic resistant gonococcal infection in order to identify risk groups, and to propose public health strategies to control this infection. Copyright © 2016 Elsevier España, S.L.U. and Sociedad Española de Enfermedades Infecciosas y Microbiología Clínica. All rights reserved.

  4. Appendectomy as a Risk Factor for Bacteremic Biliary Tract Infection Caused by Antibiotic-Resistant Pathogens

    Directory of Open Access Journals (Sweden)

    Koki Kawanishi

    2017-01-01

    Full Text Available Background/Aims. Recent evidence has suggested that appendix plays a pivotal role in the development and preservation of intestinal immune system. The aim of this study is to examine whether prior appendectomy is associated with an increased risk for the development of antibiotic-resistant bacteria in bacteremia from biliary tract infection (BTI. Methods. Charts from 174 consecutive cases of bacteremia derived from BTI were retrospectively reviewed. Using multivariate analysis, independent risk factors for development of antibiotic-resistant bacteria were identified among the clinical parameters, including a history of appendectomy. Results. In total, 221 bacteria strains were identified from 174 BTI events. Of those, 42 antibiotic-resistant bacteria were identified in 34 patients. Multivariate analysis revealed that prior appendectomy (Odds ratio (OR, 3.02; 95% confidence interval (CI, 1.15–7.87; p=0.026, antibiotic use within the preceding three months (OR, 3.06; 95% CI, 1.26–7.64; p=0.013, and bilioenteric anastomosis or sphincterotomy (OR, 3.77; 95% CI, 1.51–9.66; p=0.0046 were independent risk factors for antibiotic-resistant bacteria. Conclusions. Prior appendectomy was an independent risk factor for the development of antibiotic-resistant bacteria in bacteremia from BTI.

  5. Factors determining sensitivity or resistance of tumor cell lines towards artesunate.

    Science.gov (United States)

    Sertel, Serkan; Eichhorn, Tolga; Sieber, Sebastian; Sauer, Alexandra; Weiss, Johanna; Plinkert, Peter K; Efferth, Thomas

    2010-04-15

    Clinical oncology is still challenged by the development of drug resistance of tumors that result in poor prognosis for patients. There is an urgent necessity to understand the molecular mechanisms of resistance and to develop novel therapy strategies. Artesunate (ART) is an anti-malarial drug, which also exerts profound cytotoxic activity towards cancer cells. We first applied a gene-hunting approach using cluster and COMPARE analyses of microarray-based transcriptome-wide mRNA expression profiles. Among the genes identified by this approach were genes from diverse functional groups such as structural constituents of ribosomes (RPL6, RPL7, RPS12, RPS15A), kinases (CABC1, CCT2, RPL41), transcriptional and translational regulators (SFRS2, TUFM, ZBTB4), signal transducers (FLNA), control of cell growth and proliferation (RPS6), angiogenesis promoting factors (ITGB1), and others (SLC25A19, NCKAP1, BST1, DBH, FZD7, NACA, MTHFD2). Furthermore, we applied a candidate gene approach and tested the role of resistance mechanisms towards established anti-cancer drugs for ART resistance. By using transfected or knockout cell models we found that the tumor suppressor p16(INK4A) and the anti-oxidant protein, catalase, conferred resistance towards ART, while the oncogene HPV-E6 conferred sensitivity towards ART. The tumor suppressor p53 and its downstream protein, p21, as well as the anti-oxidant manganese-dependent superoxide dismutase did not affect cellular response to ART. In conclusion, our pharmacogenomic approach revealed that response of tumor cells towards ART is multi-factorial and is determined by gene expression associated with either ART sensitivity or resistance. At least some of the functional groups of genes (e.g. angiogenesis promoting factors, cell growth and proliferation-associated genes signal transducers and kinases) are also implicated in clinical responsiveness of tumors towards chemotherapy. It merits further investigation, whether ART is responsive in

  6. Coagulation factor VII variants resistant to inhibitory antibodies.

    Science.gov (United States)

    Branchini, Alessio; Baroni, Marcello; Pfeiffer, Caroline; Batorova, Angelika; Giansily-Blaizot, Muriel; Schved, Jean F; Mariani, Guglielmo; Bernardi, Francesco; Pinotti, Mirko

    2014-11-01

    Replacement therapy is currently used to prevent and treat bleeding episodes in coagulation factor deficiencies. However, structural differences between the endogenous and therapeutic proteins might increase the risk for immune complications. This study was aimed at identifying factor (F)VII variants resistant to inhibitory antibodies developed after treatment with recombinant activated factor VII (rFVIIa) in a FVII-deficient patient homozygous for the p.A354V-p.P464Hfs mutation, which predicts trace levels of an elongated FVII variant in plasma. We performed fluorescent bead-based binding, ELISA-based competition as well as fluorogenic functional (activated FX and thrombin generation) assays in plasma and with recombinant proteins. We found that antibodies displayed higher affinity for the active than for the zymogen FVII (half-maximal binding at 0.54 ± 0.04 and 0.78 ± 0.07 BU/ml, respectively), and inhibited the coagulation initiation phase with a second-order kinetics. Isotypic analysis showed a polyclonal response with a large predominance of IgG1. We hypothesised that structural differences in the carboxyl-terminus between the inherited FVII and the therapeutic molecules contributed to the immune response. Intriguingly, a naturally-occurring, poorly secreted and 5-residue truncated FVII (FVII-462X) escaped inhibition. Among a series of truncated rFVII molecules, we identified a well-secreted and catalytically competent variant (rFVII-464X) with reduced binding to antibodies (half-maximal binding at 0.198 ± 0.003 BU/ml) as compared to the rFVII-wt (0.032 ± 0.002 BU/ml), which led to a 40-time reduced inhibition in activated FX generation assays. Taken together our results provide a paradigmatic example of mutation-related inhibitory antibodies, strongly support the FVII carboxyl-terminus as their main target and identify inhibitor-resistant FVII variants.

  7. Risk Factors for Nosocomial Bacterremia Due to Methicillin-Resistant Staphylococcus Aureus

    NARCIS (Netherlands)

    M. Pujol (Miquel); C. Pena; R. Pallares (Roman); J. Ayats (Josefina); J. Ariza (Javier); F. Gudiol (Francesc)

    1994-01-01

    textabstractIn a prospective surveillance study (February 1990–December 1991) performed at a 1000-bed teaching hospital to identify risk factors for nosocomial methicillin-resistantStaphylococcus aureus (MRSA) bacteremia, 309 patients were found to be colonized (n=103; 33 %) or infected (n=206; 67

  8. Antimicrobial susceptibilities and molecular typing of neisseria gonorrhoeae isolates at a medical centre in Taiwan, 2001-2013 with an emphasis on high rate of azithromycin resistance among the isolates.

    Science.gov (United States)

    Liu, Yen-Hung; Huang, Yu-Tsung; Liao, Chun-Hsing; Hsueh, Po-Ren

    2018-05-01

    A high prevalence of gonococcal resistance to various antimicrobials and Neisseria gonorrhoeae isolates exhibiting resistance to extended-spectrum cephalosporins have been reported in the past few decades. A total of 226 N. gonorrhoeae isolates obtained from the National Taiwan University Hospital from 2001 to 2013 were evaluated. The minimum inhibitory concentrations (MICs) of the isolates to antimicrobials were determined by the agar dilution method and interpreted using the 2017 clinical breakpoints or epidemiological cut-off values recommended by the Clinical and Laboratory Standards Institute (CLSI) and European Committee on Antimicrobial Susceptibility Testing (EUCAST). The genetic relatedness of these isolates was determined by multilocus sequence typing. None of the isolates was resistant to ceftriaxone and cefotaxime, and the resistance rates to cefixime, spectinomycin, cefpodoxime, ciprofloxacin, and penicillin were 0.4%, 0.4%, 13.3%, 91.6%, and 87.6%, respectively. The rate of isolates resistant to azithromycin was 14.6% (EUCAST criteria), which is higher than in previous surveillance studies. A total of 57 sequence types (ST) were identified, and ST1901, ST7365, and ST1927 prevailed. Isolates of ST8143 emerged after 2011. ST1901 isolates had relatively higher MIC values for ceftriaxone and azithromycin than those of the other STs. In conclusion, ceftriaxone remains an effective drug of choice for gonorrhoeal management in Taiwan. High rates of azithromycin resistance among N. gonorrhoeae isolates were found. The circulating ST1901 strains with high MIC values for ceftriaxone and azithromycin and the emerging ST8143 strains were alarming. Copyright © 2018 Elsevier B.V. and International Society of Chemotherapy. All rights reserved.

  9. Antibiotic resistance of lactic acid bacteria and Bifidobacterium spp. isolated from dairy and pharmaceutical products.

    Science.gov (United States)

    D'Aimmo, Maria Rosaria; Modesto, Monica; Biavati, Bruno

    2007-04-01

    The outlines of antibiotic resistance of some probiotic microorganisms were studied. This study was conducted with the double purpose of verifying their ability to survive if they are taken simultaneously with an antibiotic therapy and to increase the selective properties of suitable media for the isolation of samples containing mixed bacterial populations. We isolated from commercial dairy and pharmaceutical products, 34 strains declared as probiotics, belonging to the genera Bifidobacterium and Lactobacillus, and 21 strains of starter culture bacteria. All the microorganisms have been compared by electrophoresis of the soluble proteins for the purpose of identifying them. A Multiplex-PCR with genus- and species-specific primers was used to detect for Bifidobacterium animalis subsp. lactis presence. All bifidobacteria were B. animalis subsp. lactis except one Bifidobacterium longum. Sometimes the identification showed that the used strain was not the one indicated on the label. The lactobacilli were Lactobacillus acidophilus, Lactobacillus casei, and Lactobacillus delbrueckii subsp. bulgaricus. The streptococci were all Streptococcus thermophilus. The minimal inhibitory concentration (MIC) of 24 common antibiotic substances has been valued by the broth microdilution method. All tested strains were susceptible to ampicillin, bacitracin, clindamycin, dicloxacillin, erytromycin, novobiocin, penicillin G, rifampicin (MIC(90) ranging from 0.01 to 4 microg/ml); resistant to aztreonam, cycloserin, kanamycin, nalidixic acid, polymyxin B and spectinomycin (MIC(90) ranging from 64 to >1000 microg/ml). The susceptibility to cephalothin, chloramphenicol, gentamicin, lincomycin, metronidazole, neomycin, paromomycin, streptomycin, tetracycline and vancomycin was variable and depending on the species.

  10. Prevalence and antibiotic-resistance characteristics of Enterococcus spp. Isolated from free-living and captive raptors in Central Illinois.

    Science.gov (United States)

    Marrow, Judilee; Whittington, Julia K; Mitchell, Mark; Hoyer, Lois L; Maddox, Carol

    2009-04-01

    Due to their predatory nature, raptor species may serve as important indicators of environmental contamination with antimicrobial-resistant bacteria. Raptors prey on small rodents and birds that have diverse habitat ranges, including urban and rural environments, and their intestinal microflora can reflect that of the animals on which they feed. Enterococcus spp. were selected as target organisms because they have been isolated from the avian gastrointestinal tract, can be conferred by prey items, and because they are capable of multiple resistance patterns. They are also a concerning source of human antimicrobial resistance. In this study fecal cultures were obtained from 15 May 2004 to 31 August 2004, from 21 free-living raptors and four captive raptors. Enterococcus was isolated from 21 (84%) of the 25 birds, and 54 isolates were chosen for further study based upon unique colony morphology. The most common isolate recovered was Enterococcus faecalis (95%, 95% confidence interval [CI]: 89-100). One bird in the study was determined to have Enterococcus gallinarum. Two distinct ribotypes of E. faecalis were identified, one with unique bands at 11 and 13 kb and the other with unique bands at 14 and 20 kb. Both ribotypes were found in free-living and captive birds. The Enterococcus isolates in this study demonstrated a variety of antimicrobial-resistance characteristics, including almost complete resistance to amikacin, first-generation cephalosporins, spectinomycin, and sulphadimethoxime. Isolates demonstrated variable resistance to chloramphenicol, gentamicin, enrofloxacin, erythromycin, and ticarcillin. No phenotypically vancomycin-resistant E. faecalis isolates were recovered from any of the raptors; three isolates had intermediate level susceptibility. A significantly higher number of isolates collected from captive birds demonstrated resistance to chloramphenicol than those obtained from free-living birds. This trend was not duplicated with any of the remaining

  11. Engineered reversal of drug resistance in cancer cells--metastases suppressor factors as change agents.

    Science.gov (United States)

    Yadav, Vinod Kumar; Kumar, Akinchan; Mann, Anita; Aggarwal, Suruchi; Kumar, Maneesh; Roy, Sumitabho Deb; Pore, Subrata Kumar; Banerjee, Rajkumar; Mahesh Kumar, Jerald; Thakur, Ram Krishna; Chowdhury, Shantanu

    2014-01-01

    Building molecular correlates of drug resistance in cancer and exploiting them for therapeutic intervention remains a pressing clinical need. To identify factors that impact drug resistance herein we built a model that couples inherent cell-based response toward drugs with transcriptomes of resistant/sensitive cells. To test this model, we focused on a group of genes called metastasis suppressor genes (MSGs) that influence aggressiveness and metastatic potential of cancers. Interestingly, modeling of 84 000 drug response transcriptome combinations predicted multiple MSGs to be associated with resistance of different cell types and drugs. As a case study, on inducing MSG levels in a drug resistant breast cancer line resistance to anticancer drugs caerulomycin, camptothecin and topotecan decreased by more than 50-60%, in both culture conditions and also in tumors generated in mice, in contrast to control un-induced cells. To our knowledge, this is the first demonstration of engineered reversal of drug resistance in cancer cells based on a model that exploits inherent cellular response profiles.

  12. Load and resistance factor rating (LRFR) in New York State : volume II.

    Science.gov (United States)

    2011-09-01

    This report develops a Load and Resistance Factor Rating (NYS-LRFR) methodology : for New York bridges. The methodology is applicable for the rating of existing : bridges, the posting of under-strength bridges, and checking Permit trucks. The : propo...

  13. Load and resistance factor rating (LRFR) in New York State : volume I.

    Science.gov (United States)

    2011-09-01

    This report develops a Load and Resistance Factor Rating (NYS-LRFR) methodology : for New York bridges. The methodology is applicable for the rating of existing : bridges, the posting of under-strength bridges, and checking Permit trucks. The : propo...

  14. In vitro sensitivity of Hungarian Actinobaculum suis strains to selected antimicrobials.

    Science.gov (United States)

    Biksi, I; Major, Andrea; Fodor, L; Szenci, O; Vetési, F

    2003-01-01

    In vitro antimicrobial sensitivity of 12 Hungarian isolates and the type strain ATCC 33144 of Actinobaculum suis to different antimicrobial compounds was determined both by the agar dilution and by the disc diffusion method. By agar dilution, MIC50 values in the range of 0.05-3.125 micrograms/ml were determined for penicillin, ampicillin, ceftiofur, doxycycline, tylosin, pleuromutilins, chloramphenicol, florfenicol, enrofloxacin and lincomycin. The MIC50 value of oxytetracycline and spectinomycin was 6.25 and 12.5 micrograms/ml, respectively. For ofloxacin, flumequine, neomycin, streptomycin, gentamicin, nalidixic acid, nitrofurantoin and sulphamethoxazole + trimethoprim MIC50 values were in the range of 25-100 micrograms/ml. With the disc diffusion method, all strains were sensitive to penicillin, cephalosporins examined, chloramphenicol and florfenicol, tetracyclines examined, pleuromutilins, lincomycin and tylosin. Variable sensitivity was observed for fluoroquinolones (flumequine, enrofloxacin, ofloxacin), most of the strains were susceptible to marbofloxacin. Almost all strains were resistant to aminoglycosides but most of them were sensitive to spectinomycin. A strong correlation was determined for disc diffusion and MIC results (Spearman's rho 0.789, p < 0001). MIC values of the type strain and MIC50 values of other tested strains did not differ significantly. Few strains showed a partially distinct resistance pattern for erythromycin, lincomycin and ampicillin in both methods.

  15. Isolation and characterization of methicillin-resistant Staphylococcus aureus from pork farms and visiting veterinary students.

    Directory of Open Access Journals (Sweden)

    Timothy S Frana

    Full Text Available In the last decade livestock-associated methicillin-resistant S. aureus (LA-MRSA has become a public health concern in many parts of the world. Sequence type 398 (ST398 has been the most commonly reported type of LA-MRSA. While many studies have focused on long-term exposure experienced by swine workers, this study focuses on short-term exposures experienced by veterinary students conducting diagnostic investigations. The objectives were to assess the rate of MRSA acquisition and longevity of carriage in students exposed to pork farms and characterize the recovered MRSA isolates. Student nasal swabs were collected immediately before and after farm visits. Pig nasal swabs and environmental sponge samples were also collected. MRSA isolates were identified biochemically and molecularly including spa typing and antimicrobial susceptibility testing. Thirty (30 veterinary students were enrolled and 40 pork farms were visited. MRSA was detected in 30% of the pork farms and in 22% of the students following an exposure to a MRSA-positive pork farm. All students found to be MRSA-positive initially following farm visit were negative for MRSA within 24 hours post visit. Most common spa types recovered were t002 (79%, t034 (16% and t548 (4%. Spa types found in pork farms closely matched those recovered from students with few exceptions. Resistance levels to antimicrobials varied, but resistance was most commonly seen for spectinomycin, tetracyclines and neomycin. Non-ST398 MRSA isolates were more likely to be resistant to florfenicol and neomycin as well as more likely to be multidrug resistant compared to ST398 MRSA isolates. These findings indicate that MRSA can be recovered from persons visiting contaminated farms. However, the duration of carriage was very brief and most likely represents contamination of nasal passages rather than biological colonization. The most common spa types found in this study were associated with ST5 and expands the range of

  16. Risk Factors for Acquired Rifamycin and Isoniazid Resistance: A Systematic Review and Meta-Analysis.

    Directory of Open Access Journals (Sweden)

    Neesha Rockwood

    Full Text Available Studies looking at acquired drug resistance (ADR are diverse with respect to geographical distribution, HIV co-infection rates, retreatment status and programmatic factors such as regimens administered and directly observed therapy. Our objective was to examine and consolidate evidence from clinical studies of the multifactorial aetiology of acquired rifamycin and/or isoniazid resistance within the scope of a single systematic review. This is important to inform policy and identify key areas for further studies.Case-control and cohort studies and randomised controlled trials that reported ADR as an outcome during antitubercular treatment regimens including a rifamycin and examined the association of at least 1 risk factor were included. Post hoc, we carried out random effects Mantel-Haenszel weighted meta-analyses of the impact of 2 key risk factors 1 HIV and 2 baseline drug resistance on the binary outcome of ADR. Heterogeneity was assessed used I2 statistic. As a secondary outcome, we calculated median cumulative incidence of ADR, weighted by the sample size of the studies.Meta-analysis of 15 studies showed increased risk of ADR with baseline mono- or polyresistance (RR 4.85 95% CI 3.26 to 7.23, heterogeneity I2 58%, 95% CI 26 to 76%. Meta-analysis of 8 studies showed that HIV co-infection was associated with increased risk of ADR (RR 3.02, 95% CI 1.28 to 7.11; there was considerable heterogeneity amongst these studies (I2 81%, 95% CI 64 to 90%. Non-adherence, extrapulmonary/disseminated disease and advanced immunosuppression in HIV co-infection were other risk factors noted. The weighted median cumulative incidence of acquired multi drug resistance calculated in 24 studies (assuming whole cohort as denominator, regardless of follow up DST was 0.1% (5th to 95th percentile 0.07 to 3.2%.Baseline drug resistance and HIV co-infection were significant risk factors for ADR. There was a trend of positive association with non-adherence which is likely

  17. Antimicrobial susceptibility of Neisseria gonorrhoeae isolates from patients attending a public referral center for sexually transmitted diseases in Belo Horizonte, State of Minas Gerais, Brazil

    Directory of Open Access Journals (Sweden)

    Ligia Maria Bedeschi Costa

    2013-06-01

    Full Text Available Introduction The aim of this study was to determine the antimicrobial susceptibility of Neisseria gonorrhoeae isolates obtained from patients attending a public referral center for sexually transmitted diseases and specialized care services (STD/SCS in Belo Horizonte, Brazil. Methods Between March 2011 and February 2012, 201 specimens of Neisseria gonorrhoeae were consecutively obtained from men with symptoms of urethritis and women with symptons of cervicitis or were obtained during their initial consultation. The strains were tested using the disk diffusion method, and the minimum inhibitory concentrations of azithromycin, cefixime, ceftriaxone, ciprofloxacin, chloramphenicol, penicillin, tetracycline and spectinomycin were determined using the E-test. Results The specimens were 100% sensitive to cefixime, ceftriaxone and spectinomycin and exhibited resistances of 4.5% (9/201, 21.4% (43/201, 11.9% (24/201, 22.4% (45/201 and 32.3% (65/201 to azithromycin, ciprofloxacin, chloramphenicol, penicillin and tetracycline, respectively. Intermediate sensitivities of 17.9% (36/201, 4% (8/201, 16.9% (34/201, 71.1% (143/201 and 22.9% (46/201 were observed for azithromycin, ciprofloxacin, chloramphenicol, penicillin and tetracycline, respectively. The specimens had plasmid-mediated resistance to penicillin PPNG 14.5% (29/201 and tetracycline TRNG 11.5% (23/201. Conclusions The high percentage of detected resistance to penicillin, tetracycline, chloramphenicol and ciprofloxacin indicates that these antibiotics are not appropriate for gonorrhea treatment at the Health Clinic and possibly in Belo Horizonte. The resistance and intermediate sensitivity of these isolates indicates that caution is recommended in the use of azithromycin and emphasizes the need to establish mechanisms for the surveillance of antimicrobial resistance for the effective control of gonorrhea.

  18. Correlation models between environmental factors and bacterial resistance to antimony and copper.

    Directory of Open Access Journals (Sweden)

    Zunji Shi

    Full Text Available Antimony (Sb and copper (Cu are toxic heavy metals that are associated with a wide variety of minerals. Sb(III-oxidizing bacteria that convert the toxic Sb(III to the less toxic Sb(V are potentially useful for environmental Sb bioremediation. A total of 125 culturable Sb(III/Cu(II-resistant bacteria from 11 different types of mining soils were isolated. Four strains identified as Arthrobacter, Acinetobacter and Janibacter exhibited notably high minimum inhibitory concentrations (MICs for Sb(III (>10 mM,making them the most highly Sb(III-resistant bacteria to date. Thirty-six strains were able to oxidize Sb(III, including Pseudomonas-, Comamonas-, Acinetobacter-, Sphingopyxis-, Paracoccus- Aminobacter-, Arthrobacter-, Bacillus-, Janibacter- and Variovorax-like isolates. Canonical correspondence analysis (CCA revealed that the soil concentrations of Sb and Cu were the most obvious environmental factors affecting the culturable bacterial population structures. Stepwise linear regression was used to create two predictive models for the correlation between soil characteristics and the bacterial Sb(III or Cu(II resistance. The concentrations of Sb and Cu in the soil was the significant factors affecting the bacterial Sb(III resistance, whereas the concentrations of S and P in the soil greatly affected the bacterial Cu(II resistance. The two stepwise linear regression models that we derived are as follows: MIC(Sb(III=606.605+0.14533 x C(Sb+0.4128 x C(Cu and MIC((Cu(II=58.3844+0.02119 x C(S+0.00199 x CP [where the MIC(Sb(III and MIC(Cu(II represent the average bacterial MIC for the metal of each soil (μM, and the C(Sb, C(Cu, C(S and C(P represent concentrations for Sb, Cu, S and P (mg/kg in soil, respectively, p<0.01]. The stepwise linear regression models we developed suggest that metals as well as other soil physicochemical parameters can contribute to bacterial resistance to metals.

  19. Temporal trends and risks factors for antimicrobial resistant Enterobacteriaceae urinary isolates from outpatients in Guadeloupe.

    Science.gov (United States)

    Guyomard-Rabenirina, Stéphanie; Malespine, Joyce; Ducat, Célia; Sadikalay, Syndia; Falord, Mélanie; Harrois, Dorothée; Richard, Vincent; Dozois, Charles; Breurec, Sébastien; Talarmin, Antoine

    2016-06-24

    Urinary tract infections are bacterial infections most commonly encountered in the community. The resistance rate of uropathogens to commonly prescribed antibiotics has increased worldwide but there are no published data concerning the resistance of strains isolated from community-acquired UTI in Guadeloupe. To assess the susceptibility patterns of Enterobacteriaceae strains isolated from outpatients in Guadeloupe we conducted a prospective study from December 2012 to May 2014 among outpatients consulting at private and public laboratories for urine analysis. Risk factors for E. coli resistance to amoxicillin, third-generation cephalosporin, and ciprofloxacin were also determined. To study the trends of E. coli resistance rates over the past 10 years, data on the susceptibility patterns of E. coli from 2003 to 2014 were also collected from three major laboratories for a retrospective study. During the prospective study, we isolated 1293 bacterial strains from the urine of outpatients presenting for urine analysis. The most commonly isolated bacteria were E. coli (57 %) and Klebsiella pneumoniae (15.5 %). Thirty seven per cent of the E. coli strains were resistant to amoxicillin. Resistance rates to third generation cephalosporin were low for E. coli and other Enterobacteriaceae (3.1 and 12.2 % respectively) and mostly due to the presence of an Extended Spectrum Beta-lactamase. Resistance to cotrimoxazole and ciprofloxacin was moderate (17.8 and 15.6 % respectively). However, the resistance rate of E. coli to ciprofloxacin has significantly increased during the last 10 years. Risk factors were consistent with previously reported data, especially for the increasing ciprofloxacin resistance with age. General practitioners in Guadeloupe need to be better informed to favor the prescription of fosfomycin-trometamol to reduce the risk of resistance to fluoroquinolones.

  20. Niclosamide inhibits epithelial-mesenchymal transition and tumor growth in lapatinib-resistant human epidermal growth factor receptor 2-positive breast cancer.

    Science.gov (United States)

    Liu, Junjun; Chen, Xiaosong; Ward, Toby; Mao, Yan; Bockhorn, Jessica; Liu, Xiaofei; Wang, Gen; Pegram, Mark; Shen, Kunwei

    2016-02-01

    Acquired resistance to lapatinib, a human epidermal growth factor receptor 2 kinase inhibitor, remains a clinical problem for women with human epidermal growth factor receptor 2-positive advanced breast cancer, as metastasis is commonly observed in these patients. Niclosamide, an anti-helminthic agent, has recently been shown to exhibit cytotoxicity to tumor cells with stem-like characteristics. This study was designed to identify the mechanisms underlying lapatinib resistance and to determine whether niclosamide inhibits lapatinib resistance by reversing epithelial-mesenchymal transition. Here, two human epidermal growth factor receptor 2-positive breast cancer cell lines, SKBR3 and BT474, were exposed to increasing concentrations of lapatinib to establish lapatinib-resistant cultures. Lapatinib-resistant SKBR3 and BT474 cells exhibited up-regulation of the phenotypic epithelial-mesenchymal transition markers Snail, vimentin and α-smooth muscle actin, accompanied by activation of nuclear factor-кB and Src and a concomitant increase in stem cell marker expression (CD44(high)/CD24(low)), compared to naive lapatinib-sensitive SKBR3 and BT474 cells, respectively. Interestingly, niclosamide reversed epithelial-mesenchymal transition, induced apoptosis and inhibited cell growth by perturbing aberrant signaling pathway activation in lapatinib-resistant human epidermal growth factor receptor 2-positive cells. The ability of niclosamide to alleviate stem-like phenotype development and invasion was confirmed. Collectively, our results demonstrate that lapatinib resistance correlates with epithelial-mesenchymal transition and that niclosamide inhibits lapatinib-resistant cell viability and epithelial-mesenchymal transition. These findings suggest a role of niclosamide or derivatives optimized for more favorable bioavailability not only in reversing lapatinib resistance but also in reducing metastatic potential during the treatment of human epidermal growth factor receptor

  1. Factors Affecting Dissolution Resistance of AC Anodizing Al in Sodium Carbonate Solution

    International Nuclear Information System (INIS)

    Abou-Krisha, M.

    2001-01-01

    Studies were performed to determine the effect of different factors on the properties and so the dissolution resistance of the anodic film of Al. Conductance and thermometric measurements were applied to evaluate the dissolution rate. The effect of applied AC voltage concentration of sodium carbonate solution, the anodization time and the temperature of sodium carbonate solutions show a parallel increase in the dissolution resistance of studied Al in hydrochloride acid. The results show that films formed by sodium carbonate solution were of porous type and have pronounced high resistance. Scanning electron microscope and x-ray diffraction further examined the films. The anodic and cathodic behavior and the effect of the scanning rate on the polarization of Al in sodium carbonate solution were studied. The regression analysis was applied to all results. (Author)

  2. Insulin resistance and associated factors: a cross-sectional study of bank employees.

    Science.gov (United States)

    Salaroli, Luciane Bresciani; Cattafesta, Monica; Molina, Maria Del Carmen Bisi; Zandonade, Eliana; Bissoli, Nazaré Souza

    2017-04-01

    Insulin resistance is characterized by the failure of target cells to respond to normal levels of circulating insulin, and this condition is related to cardiovascular disease. This study sought to evaluate the prevalence of insulin resistance and its association with markers of metabolic abnormalities and metabolic syndrome in bank employees. A cross-sectional study was performed on 498 working men and women aged ≥20 years old. The Homeostasis Model Assessment (HOMA-IR) was used to determine the presence of insulin resistance based on cut-off values of ≤2.71 for normal insulin levels and >2.71 for insulin resistance, as established for the adult Brazilian population. It was observed that the 52 (10.4%) overweight individuals with insulin resistance were 4.97 times (95%CI 1.31-18.83) more likely to have high HOMA-IR values than the normal-weight participants; among those who were obese, the likelihood increased to 17.87 (95%CI 4.36-73.21). Individuals with large waist circumferences were 3.27 times (95%CI 1.03-10.38) more likely to develop insulin resistance than those who were within normal parameters. The HOMA-IR values differed between subjects with and without metabolic syndrome, with values of 2.83±2.5 and 1.10±0.81 (p=0.001), respectively. The levels of insulin, ultrasensitive C-reactive protein and uric acid were also associated with insulin resistance. The prevalence of insulin resistance among bank employees is high, and insulin resistance is associated with and serves as a marker of metabolic syndrome. Cardiovascular disease and metabolic syndrome-associated metabolic abnormalities were observed, and insulin resistance may be a risk factor in this group of professionals.

  3. Gender differences in factors influencing insulin resistance in elderly hyperlipemic non-diabetic subjects

    Directory of Open Access Journals (Sweden)

    Hrebícek Jirí

    2002-10-01

    Full Text Available Abstract Background The increase in the prevalence of insulin resistance-related metabolic syndrome, a disorder that greatly increases the risk of diabetes, heart attack and stroke, is alarming. One of the most frequent and early symptoms of metabolic syndrome is hypertriglyceridemia. We examined the gender differences between various metabolic factors related to insulin resistance in elderly non-diabetic men and postmenopausal women of comparable age suffering from hypertriglyceridemia, and compared them with healthy subjects of equal age. Results The indexes of insulin resistance HOMA IR and QUICKI were significantly higher in both hyperlipemic men and women than in controls; 95% confidence limits of hyperlipemic subjects did not overlap with controls. In both normolipemic and hyperlipemic men and women serum leptin correlated significantly with insulin resistance, while HDL-cholesterol correlated inversely with HOMA-IR only in women (both normo- and hyperlipemic, and serum tumor necrosis factor α (TNFα only in hyperlipemic women. According to results of multiple regression analysis with HOMA-IR as a dependent variable, leptin played a significant role in determining insulin resistance in both genders, but – aside from leptin – triglycerides, TNFα and decreased HDL-cholesterol were significant determinants in women, while body mass index and decreased HDL-cholesterol were significant determinants in men. The coefficient of determination (R2 of HOMA IR by above mentioned metabolic variables was in women above 60%, in men only about 40%. Conclusion The significant role of serum leptin in determination of insulin resistance in both elderly men and postmenopausal women of equal age was confirmed. However, the study also revealed significant gender differences : in women a strong influence of triglycerides, TNFα and decreased HDL-cholesterol, in men only a mild role of BMI and decreased HDL-cholesterol.

  4. Risk factors associated with fluoroquinolone-resistant enterococcal urinary tract infections in a tertiary care university hospital in north India.

    Science.gov (United States)

    Banerjee, Tuhina; Anupurba, Shampa

    2016-10-01

    Fluoroquinolone resistance in both Gram-positive and Gram-negative bacteria has increased with the widespread use of fluoroquinolones. Fluoroquinolone resistance in Gram-negative bacilli has been widely studied, though staphylococci and enterococci are also notably resistant. Enterococci being the second most common cause of healthcare-associated urinary tract infections (UTIs) fluoroquinolones are often the drug of choice. This study was undertaken to assess the risk factors associated with fluoroquinolone-resistant enterococcal UTI in a tertiary level health facility in north India. A total of 365 patients with UTI caused by enterococci were studied over a period of two years. Patients with ciprofloxacin-resistant and susceptible UTI were considered as cases and controls, respectively. Resistance profile of the isolates against common antibiotics was studied by minimum inhibitory concentration (MIC) determination. Mechanisms for fluoroquinolone resistance was studied by efflux pump inhibitor activity and multiplex PCR targeting the qnr genes. A total of 204 (55.89%) cases and 161 (44.1%) controls were identified. The fluoroquinolone-resistant isolates were significantly resistant to ampicillin, high strength aminoglycosides and vancomycin. The majority (78%) of the resistant isolates showed efflux pump activity. Treatment in indoor locations, presence of urinary catheters and pregnancy along with recent exposure to antibiotics especially fluoroquinolones, third generation cephalosporins and piperacillin-tazobactam were identified as independent risk factors. Our results showed that fluoroquinolone resistance in enterococcal UTI was largely associated with indoor usage of antibiotics and use of indwelling devices. Knowledge of risk factors is important to curb this emergence of resistance.

  5. Phenotypic and molecular characterization of Neisseria gonorrhoeae isolates from Slovenia, 2006-12: rise and fall of the multidrug-resistant NG-MAST genogroup 1407 clone?

    Science.gov (United States)

    Jeverica, Samo; Golparian, Daniel; Matičič, Mojca; Potočnik, Marko; Mlakar, Boštjan; Unemo, Magnus

    2014-06-01

    To determine the phenotypic and molecular characteristics of Neisseria gonorrhoeae isolates obtained between 2006 and 2012 in Slovenia. Gonococcal isolates obtained between 2006 and 2012 in Slovenia (n = 194) were investigated with Etest for susceptibility to cefixime, ceftriaxone, penicillin, ciprofloxacin, azithromycin, tetracycline, gentamicin and spectinomycin. All isolates were examined with N. gonorrhoeae multiantigen sequence typing for molecular epidemiology and sequencing of the major extended-spectrum cephalosporin (ESC) resistance determinants (penA, mtrR and penB) was performed. The overall prevalence of decreased susceptibility or resistance to cefixime and ceftriaxone (MIC ≥0.125 mg/L) was 11% and 5%, respectively. The decreased susceptibility or resistance showed an epidemic peak in 2011 (33% for cefixime and 11% for ceftriaxone), decreasing to 6% and 4%, respectively, in 2012. ST1407 (9% of isolates), ST21 (6%) and ST225 (6%) were the most common sequence types (STs) during 2006-12. Genogroup G1407 (ST1407 most prevalent ST), an internationally spread clone with decreased susceptibility or resistance to ESCs, was most prevalent (48%) in 2009. However, the G1407 prevalence then declined: in 2010, 30%; in 2011, 28%; and in 2012, 8%. Instead, in 2012 the ESC- and ciprofloxacin-susceptible G21 was the predominant genogroup (26%). The prevalence of gonococcal resistance to ESCs in Slovenia has been high, but fluctuating. Fortunately, in 2012 some ESC- and ciprofloxacin-susceptible clones, such as genogroups G21, G1195 and G2992, appeared to have mainly replaced the multidrug-resistant G1407 clone, a replacement also seen in several European countries. © The Author 2014. Published by Oxford University Press on behalf of the British Society for Antimicrobial Chemotherapy. All rights reserved. For Permissions, please e-mail: journals.permissions@oup.com.

  6. Mapping the resistance-associated mobilome of a carbapenem-resistant Klebsiella pneumoniae strain reveals insights into factors shaping these regions and facilitates generation of a 'resistance-disarmed' model organism.

    Science.gov (United States)

    Bi, Dexi; Jiang, Xiaofei; Sheng, Zi-Ke; Ngmenterebo, David; Tai, Cui; Wang, Minggui; Deng, Zixin; Rajakumar, Kumar; Ou, Hong-Yu

    2015-10-01

    This study aims to investigate the landscape of the mobile genome, with a focus on antibiotic resistance-associated factors in carbapenem-resistant Klebsiella pneumoniae. The mobile genome of the completely sequenced K. pneumoniae HS11286 strain (an ST11, carbapenem-resistant, near-pan-resistant, clinical isolate) was annotated in fine detail. The identified mobile genetic elements were mapped to the genetic contexts of resistance genes. The blaKPC-2 gene and a 26 kb region containing 12 clustered antibiotic resistance genes and one biocide resistance gene were deleted, and the MICs were determined again to ensure that antibiotic resistance had been lost. HS11286 contains six plasmids, 49 ISs, nine transposons, two separate In2-related integron remnants, two integrative and conjugative elements (ICEs) and seven prophages. Sixteen plasmid-borne resistance genes were identified, 14 of which were found to be directly associated with Tn1721-, Tn3-, Tn5393-, In2-, ISCR2- and ISCR3-derived elements. IS26 appears to have actively moulded several of these genetic regions. The deletion of blaKPC-2, followed by the deletion of a 26 kb region containing 12 clustered antibiotic resistance genes, progressively decreased the spectrum and level of resistance exhibited by the resultant mutant strains. This study has reiterated the role of plasmids as bearers of the vast majority of resistance genes in this species and has provided valuable insights into the vital role played by ISs, transposons and integrons in shaping the resistance-coding regions in this important strain. The 'resistance-disarmed' K. pneumoniae ST11 strain generated in this study will offer a more benign and readily genetically modifiable model organism for future extensive functional studies. © The Author 2015. Published by Oxford University Press on behalf of the British Society for Antimicrobial Chemotherapy. All rights reserved. For Permissions, please e-mail: journals.permissions@oup.com.

  7. Tcf3 and cell cycle factors contribute to butyrate resistance in colorectal cancer cells

    International Nuclear Information System (INIS)

    Chiaro, Christopher; Lazarova, Darina L.; Bordonaro, Michael

    2012-01-01

    Highlights: ► We investigate mechanisms responsible for butyrate resistance in colon cancer cells. ► Tcf3 modulates butyrate’s effects on Wnt activity and cell growth in resistant cells. ► Tcf3 modulation of butyrate’s effects differ by cell context. ► Cell cycle factors are overexpressed in the resistant cells. ► Reversal of altered gene expression can enhance the anti-cancer effects of butyrate. -- Abstract: Butyrate, a fermentation product of dietary fiber, inhibits clonal growth in colorectal cancer (CRC) cells dependent upon the fold induction of Wnt activity. We have developed a CRC cell line (HCT-R) that, unlike its parental cell line, HCT-116, does not respond to butyrate exposure with hyperactivation of Wnt signaling and suppressed clonal growth. PCR array analyses revealed Wnt pathway-related genes, the expression of which differs between butyrate-sensitive HCT-116 CRC cells and their butyrate-resistant HCT-R cell counterparts. We identified overexpression of Tcf3 as being partially responsible for the butyrate-resistant phenotype, as this DNA-binding protein suppresses the hyperinduction of Wnt activity by butyrate. Consequently, Tcf3 knockdown in HCT-R cells restores their sensitivity to the effects of butyrate on Wnt activity and clonal cell growth. Interestingly, the effects of overexpressed Tcf3 differ between HCT-116 and HCT-R cells; thus, in HCT-116 cells Tcf3 suppresses proliferation without rendering the cells resistant to butyrate. In HCT-R cells, however, the overexpression of Tcf3 inhibits Wnt activity, and the cells are still able to proliferate due to the higher expression levels of cell cycle factors, particularly those driving the G 1 to S transition. Knowledge of the molecular mechanisms determining the variable sensitivity of CRC cells to butyrate may assist in developing approaches that prevent or reverse butyrate resistance.

  8. Risk factors and timing of default from treatment for non-multidrug-resistant tuberculosis in Moldova.

    Science.gov (United States)

    Jenkins, H E; Ciobanu, A; Plesca, V; Crudu, V; Galusca, I; Soltan, V; Cohen, T

    2013-03-01

    The Republic of Moldova, in Eastern Europe, has among the highest reported nationwide proportions of tuberculosis (TB) patients with multidrug-resistant tuberculosis (MDR-TB) worldwide. Default has been associated with increased mortality and amplification of drug resistance, and may contribute to the high MDR-TB rates in Moldova. To assess risk factors and timing of default from treatment for non-MDR-TB from 2007 to 2010. A retrospective analysis of routine surveillance data on all non-MDR-TB patients reported. A total of 14.7% of non-MDR-TB patients defaulted from treatment during the study period. Independent risk factors for default included sociodemographic factors, such as homelessness, living alone, less formal education and spending substantial time outside Moldova in the year prior to diagnosis; and health-related factors such as human immunodeficiency virus co-infection, greater lung pathology and increasing TB drug resistance. Anti-tuberculosis treatment is usually initiated within an institutional setting in Moldova, and the default risk was highest in the month following the phase of hospitalized treatment (among civilians) and after leaving prison (among those diagnosed while incarcerated). Targeted interventions to increase treatment adherence for patients at highest risk of default, and improving the continuity of care for patients transitioning from institutional to community care may substantially reduce risk of default.

  9. Atelocollagen sponge and recombinant basic fibroblast growth factor combination therapy for resistant wounds with deep cavities.

    Science.gov (United States)

    Nakanishi, Asako; Hakamada, Arata; Isoda, Ken-ichi; Mizutani, Hitoshi

    2005-05-01

    Recent advances in bioengineering have introduced materials that enhance wound healing. Even with such new tools, some deep ulcers surrounded by avascular tissues, including bone, tendon, and fascia, are resistant to various therapies and easily form deep cavities with loss of subcutaneous tissue. Atelocollagen sponges have been used as an artificial dermis to cover full-thickness skin defects. Topical recombinant human basic fibroblast growth factor has been introduced as a growth factor to induce fibroblast proliferation in skin ulcers. We applied these materials in combination in two patients with deep resistant wounds: one with a cavity reaching the mediastinum through a divided sternum and one with deep necrotic wounds caused by electric burns. These wounds did not respond to the topical basic fibroblast growth factor alone. In contrast, the combination therapy closed the wounds rapidly without further surgical treatment. This combination therapy is a potent treatment for resistant wounds with deep cavities.

  10. Empiric antibiotic therapy in urinary tract infection in patients with risk factors for antibiotic resistance in a German emergency department.

    Science.gov (United States)

    Bischoff, Sebastian; Walter, Thomas; Gerigk, Marlis; Ebert, Matthias; Vogelmann, Roger

    2018-01-26

    The aim of this study was to identify clinical risk factors for antimicrobial resistances and multidrug resistance (MDR) in urinary tract infections (UTI) in an emergency department in order to improve empirical therapy. UTI cases from an emergency department (ED) during January 2013 and June 2015 were analyzed. Differences between patients with and without resistances towards Ciprofloxacin, Piperacillin with Tazobactam (Pip/taz), Gentamicin, Cefuroxime, Cefpodoxime and Ceftazidime were analyzed with Fisher's exact tests. Results were used to identify risk factors with logistic regression modelling. Susceptibility rates were analyzed in relation to risk factors. One hundred thirty-seven of four hundred sixty-nine patients who met the criteria of UTI had a positive urine culture. An MDR pathogen was found in 36.5% of these. Overall susceptibility was less than 85% for standard antimicrobial agents. Logistic regression identified residence in nursing homes, male gender, hospitalization within the last 30 days, renal transplantation, antibiotic treatment within the last 30 days, indwelling urinary catheter and recurrent UTI as risk factors for MDR or any of these resistances. For patients with no risk factors Ciprofloxacin had 90%, Pip/taz 88%, Gentamicin 95%, Cefuroxime 98%, Cefpodoxime 98% and Ceftazidime 100% susceptibility. For patients with 1 risk factor Ciprofloxacin had 80%, Pip/taz 80%, Gentamicin 88%, Cefuroxime 78%, Cefpodoxime 78% and Ceftazidime 83% susceptibility. For 2 or more risk factors Ciprofloxacin drops its susceptibility to 52%, Cefuroxime to 54% and Cefpodoxime to 61%. Pip/taz, Gentamicin and Ceftazidime remain at 75% and 77%, respectively. We identified several risk factors for resistances and MDR in UTI. Susceptibility towards antimicrobials depends on these risk factors. With no risk factor cephalosporins seem to be the best choice for empiric therapy, but in patients with risk factors the beta-lactam penicillin Piperacillin with Tazobactam

  11. Comparison of Antibiotic Resistance and Virulence Factors among Escherichia coli Isolated from Conventional and Free-Range Poultry

    Directory of Open Access Journals (Sweden)

    Vanessa L. Koga

    2015-01-01

    Full Text Available Microbiological contamination in commercial poultry production has caused concerns for human health because of both the presence of pathogenic microorganisms and the increase in antimicrobial resistance in bacterial strains that can cause treatment failure of human infections. The aim of our study was to analyze the profile of antimicrobial resistance and virulence factors of E. coli isolates from chicken carcasses obtained from different farming systems (conventional and free-range poultry. A total of 156 E. coli strains were isolated and characterized for genes encoding virulence factors described in extraintestinal pathogenic E. coli (ExPEC. Antimicrobial susceptibility testing was performed for 15 antimicrobials, and strains were confirmed as extended spectrum of β-lactamases- (ESBLs- producing E. coli by phenotypic and genotypic tests. The results indicated that strains from free-range poultry have fewer virulence factors than strains from conventional poultry. Strains from conventionally raised chickens had a higher frequency of antimicrobial resistance for all antibiotics tested and also exhibited genes encoding ESBL and AmpC, unlike free-range poultry isolates, which did not. Group 2 CTX-M and CIT were the most prevalent ESBL and AmpC genes, respectively. The farming systems of poultries can be related with the frequency of virulence factors and resistance to antimicrobials in bacteria.

  12. Eukaryotic translation initiation factor 2B-beta (eIF2Bβ), a new class of plant virus resistance gene.

    Science.gov (United States)

    Shopan, Jannat; Mou, Haipeng; Zhang, Lili; Zhang, Changtong; Ma, Weiwei; Walsh, John A; Hu, Zhongyuan; Yang, Jinghua; Zhang, Mingfang

    2017-06-01

    Recessive resistances to plant viruses in the Potyvirus genus have been found to be based on mutations in the plant eukaryotic translation initiation factors, eIF4E and eIF4G or their isoforms. Here we report that natural, monogenic recessive resistance to the Potyvirus Turnip mosaic virus (TuMV) has been found in a number of mustard (Brassica juncea) accessions. Bulked segregant analysis and sequencing of resistant and susceptible plant lines indicated the resistance is controlled by a single recessive gene, recessive TuMV resistance 03 (retr03), an allele of the eukaryotic translation initiation factor 2B-beta (eIF2Bβ). Silencing of eIF2Bβ in a TuMV-susceptible mustard plant line and expression of eIF2Bβ from a TuMV-susceptible line in a TuMV-resistant mustard plant line confirmed the new resistance mechanism. A functional copy of a specific allele of eIF2Bβ is required for efficient TuMV infection. eIF2Bβ represents a new class of virus resistance gene conferring resistance to any pathogen. eIF2B acts as a guanine nucleotide exchange factor (GEF) for its GTP-binding protein partner eIF2 via interaction with eIF2·GTP at an early step in translation initiation. Further genotyping indicated that a single non-synonymous substitution (A120G) in the N-terminal region of eIF2Bβ was responsible for the TuMV resistance. A reproducible marker has been developed, facilitating marker-assisted selection for TuMV resistance in B. juncea. Our findings provide a new target for seeking natural resistance to potyviruses and new opportunities for the control of potyviruses using genome editing techniques targeted on eIF2Bβ. © 2017 The Authors The Plant Journal © 2017 John Wiley & Sons Ltd.

  13. Factors associated with use of slip-resistant shoes in US limited-service restaurant workers.

    Science.gov (United States)

    Verma, Santosh K; Courtney, Theodore K; Corns, Helen L; Huang, Yueng-Hsiang; Lombardi, David A; Chang, Wen-Ruey; Brennan, Melanye J; Perry, Melissa J

    2012-06-01

    Slips and falls are a leading cause of injury at work. Several studies have indicated that slip-resistant shoes can reduce the risk of occupational slips and falls. Few studies, however, have examined the determinants of slip-resistant shoe use. This study examined the individual and workplace factors associated with slip-resistant shoe use. 475 workers from 36 limited-service restaurants in the USA participated in a study of workplace slipping. Demographic and job characteristic information about each participant was collected. Restaurant managers provided information on whether slip-resistant shoes were provided and paid for by the employer and whether any guidance was given regarding slip-resistant shoe use when they were not provided. Kitchen floor coefficient of friction was measured. Slip-resistant status of the shoes was determined by noting the presence of a 'slip-resistant' marking on the sole. Poisson regression with robust SE was used to calculate prevalence ratios. 320 participants wore slip-resistant shoes (67%). In the multivariate analysis, the prevalence of slip-resistant shoe use was lowest in 15-19-year age group. Women were more likely to wear slip-resistant shoes (prevalence ratio 1.18, 95% CI 1.07 to 1.31). The prevalence of slip-resistant shoe use was lower when no guidance regarding slip-resistant shoes was given as compared to when they were provided by the employer (prevalence ratio 0.66, 95% CI 0.55 to 0.79). Education level, job tenure and the mean coefficient of friction had no significant effects on the use of slip-resistant shoes. Provision of slip-resistant shoes was the strongest predictor of their use. Given their effectiveness and low cost, employers should consider providing slip-resistant shoes at work.

  14. Calibration of resistance factors for drilled shafts for the new FHWA design method.

    Science.gov (United States)

    2013-01-01

    The Load and Resistance Factor Design (LRFD) calibration of deep foundation in Louisiana was first completed for driven piles (LTRC Final Report 449) in May 2009 and then for drilled shafts using 1999 FHWA design method (ONeill and Reese method) (...

  15. Carbapenem-resistant Klebsiella pneumoniae colonization in pediatric and neonatal intensive care units: risk factors for progression to infection.

    Science.gov (United States)

    Akturk, Hacer; Sutcu, Murat; Somer, Ayper; Aydın, Derya; Cihan, Rukiye; Ozdemir, Aslı; Coban, Asuman; Ince, Zeynep; Citak, Agop; Salman, Nuran

    2016-01-01

    Little is known about factors associated with carbapenem-resistant Klebsiella pneumoniae infections in pediatric patients, who are initally colonized with carbapenem-resistant Klebsiella pneumoniae. A retrospective case-control study was conducted involving pediatric and neonatal intensive care units throughout a five-year period (January 2010-December 2014). Clinical and microbiological data were extracted from Hospital Infection Control Committee reports and patients' medical records. Risk factors were assessed in carbapenem-resistant Klebsiella pneumoniae colonized patients who developed subsequent systemic infection (cases) and compared to carbapenem-resistant Klebsiella pneumoniae colonized patients who did not develop infection (controls). Throughout the study period, 2.6% of patients admitted to neonatal intensive care units and 3.6% of patients admitted to pediatric intensive care units had become colonized with carbapenem-resistant Klebsiella pneumoniae. After a mean of 10.6±1.9 days (median: 7 days, range: 2-38 days) following detection of colonization, 39.0% of the carbapenem-resistant Klebsiella pneumoniae colonized patients in pediatric intensive care units and 18.1% of carbapenem-resistant Klebsiella pneumoniae colonized patients in neonatal intensive care units developed systemic carbapenem-resistant Klebsiella pneumoniae infection. Types of systemic carbapenem-resistant Klebsiella pneumoniae infections included bacteremia (n=15, 62.5%), ventilator-associated pneumonia (n=4, 16.6%), ventriculitis (n=2, 8.3%), intraabdominal infections (n=2, 8.3%), and urinary tract infection (n=1, 4.1%). A logistic regression model including parameters found significant in univariate analysis of carbapenem resistant Klebsiella pneumoniae colonization and carbapenem resistant Klebsiella pneumoniae infection groups revealed underlying metabolic disease (OR: 10.1; 95% CI: 2.7-37.2), previous carbapenem use (OR: 10.1; 95% CI: 2.2-40.1), neutropenia (OR: 13.8; 95% CI: 3

  16. Comparison of two disc diffusion methods with minimum inhibitory ...

    African Journals Online (AJOL)

    Susceptibility to penicillin, ciprofloxacin, tetracycline, ceftriaxone and spectinomycin and cefixime were determined by CSLI and AGSP method and Kappa statistics used to analyse the data with SPSS software. Results: All isolates were susceptible to ceftriaxone and spectinomycin by three methods. Ninety‑nine (99%) ...

  17. New insights in the bacterial spore resistance to extreme terrestrial and extraterrestrial factors

    Science.gov (United States)

    Moeller, Ralf; Horneck, Gerda; Reitz, Guenther

    Based on their unique resistance to various space parameters, Bacillus endospores are one of the model systems used for astrobiological studies. The extremely high resistance of bacterial endospores to environmental stress factors has intrigued researchers since long time and many characteristic spore features, especially those involved in the protection of spore DNA, have already been uncovered. The disclosure of the complete genomic sequence of Bacillus subtilis 168, one of the often used astrobiological model system, and the rapid development of tran-scriptional microarray techniques have opened new opportunities of gaining further insights in the enigma of spore resistance. Spores of B. subtilis were exposed to various extreme ter-restrial and extraterrestrial stressors to reach a better understanding of the DNA protection and repair strategies, which them to cope with the induced DNA damage. Following physical stress factors of environmental importance -either on Earth or in space -were selected for this thesis: (i) mono-and polychromatic UV radiation, (ii) ionizing radiation, (iii) exposure to ultrahigh vacuum; and (iv) high shock pressures simulating meteorite impacts. To reach a most comprehensive understanding of spore resistance to those harsh terrestrial or simulated extraterrestrial conditions, a standardized experimental protocol of the preparation and ana-lyzing methods was established including the determination of the following spore responses: (i) survival, (ii) induced mutations, (iii) DNA damage, (iv) role of different repair pathways by use of a set of repair deficient mutants, and (v) transcriptional responses during spore germi-nation by use of genome-wide transcriptome analyses and confirmation by RT-PCR. From this comprehensive set of data on spore resistance to a variety of environmental stress parameters a model of a "built-in" transcriptional program of bacterial spores in response to DNA damaging treatments to ensure DNA restoration

  18. Antibiotic resistance and pathogenicity factors in Staphylococcus aureus isolated from mastitic Sahiwal cattle.

    Science.gov (United States)

    Kumar, Ravinder; Yadav, B R; Singh, R S

    2011-03-01

    Methicillin-resistant Staphylococcus aureus (MRSA) poses a serious problem in dairy animals suffering from mastitis. In the present study, the distribution of mastitic MRSA and antibiotic resistance was studied in 107 strains of S. aureus isolated from milk samples from 195 infected udders. The characterizations pathogenic factors (adhesin and toxin genes) and antibiotic susceptibility of isolates were carried out using gene amplification and disc diffusion assays, respectively. A high prevalence of MRSA was observed in the tested isolates (13.1%). The isolates were also highly resistant to antibiotics, i.e. 36.4% were resistant to streptomycin, 33.6% to oxytetracycline, 29.9% to gentamicin and 26.2% each to chloramphenicol, pristinomycin and ciprofloxacin. A significant variation in the expression of pathogenic factors (Ig, coa and clf) was observed in these isolates. The overall distribution of adhesin genes ebp, fib, bbp, fnbB, cap5, cap8, map and cna in the isolates was found to be 69.1, 67.2, 6.5, 20.5, 60.7, 26.1, 81.3 and 8.4%, respectively. The presence of fib, fnbB, bbp and map genes was considerably greater in MRSA than in methicillin-susceptible S. aureus (MSSA) isolates. The proportions of toxin genes, namely, hlb, seb, sec, sed, seg and sei, in the isolates were found to be 94.3, 0.9, 8.4, 0.9, 10.2 and 49.5%, respectively. The proportions of agr genes I, II, III and IV were found to be 39.2, 27.1, 21.5 and 12.1%, respectively. A few isolates showed similar antibiotic-resistance patterns, which could be due to identical strains or the dissemination of the same strains among animals. These findings can be utilized in mastitis treatment programmes and antimicrobials strategies in organized herd.

  19. [Prevalence and predisposing factors of methicillin-resistant Staphylococcus aureus in long-term care facilities. An international view].

    Science.gov (United States)

    Szabó, Rita

    2016-07-03

    Methicillin-resistant Staphylococcus aureus is one of the most important pathogens of healthcare and long-term care-associated infections over the world, resulting high morbidity, mortality and extra costs in these settings. The authors analyze the prevalence and predisposing factors of methicillin-resistant Staphylococcus aureus in long-term care facilities. Systematic review using PubMed, ScienceDirect and Cochrane Library CENTRAL databases between January 1, 2006 and December 31, 2015 was performed. In the past ten years methicillin-resistant Staphylococcus aureus prevalence in European long-term care facilities (12.6%) was lower than in North America (33.9%). The most frequent predisposing factor was previous antimicrobial therapy, hospital admission and infection/colonisation, chronic wounds, and high care need. Based on the results, the prevention and control of methicillin-resistant Staphylococcus aureus is an important public health priority in the European and Hungarian long-term care facilities.

  20. RESISTANCE OF KARST CAVERNS NITROGEN-FIXING BACTERIA TO EXTREME FACTORS

    Directory of Open Access Journals (Sweden)

    Tashyrev O. B.

    2014-10-01

    Full Text Available To determine the studied bacteria resistance quantitative parameters of extreme factors such as toxic metals (Cu2+, organic xenobiotics (p-nitrochlorobenzene and UV-irradiation were the aim of the research. Six strains of nitrogen-fixing bacteria isolated from clays of two caverns Mushkarova Yama (Podolia, Ukraine and Kuybyshevskaya (Western Caucasus, Abkhazia and Azotobacter vinelandii УКМ В-6017 as a reference strain have been tested. For this purpose the maximum permissible concentration of Cu2+ and p-nitrochlorobenzene in the concentration gradient and lethal doses of UV by the survival caverns have been determined. Maximum permissible concentrations for strains were as 10 ppm Cu2+, 70–120 ppm of p-nitrochlorobenzene. The maximum doses of UV-irradiation varied in the range of 55–85 J/m2 (LD99.99. It is shown that three classes of extreme factors resistance parameters of karst caverns strains are similar to the strain of terrestrial soil ecosystems. The most active studied strains reduce the concentration of p-nitrochlorobenzene in the medium in 13 times. The ability of nitrogen-fixing bacteria to degrade p-nitrochlorobenzene could be used in creation new environmental biotechnology for industrial wastewater treatment from nitrochloroaromatic xenobiotics. Isolated strains could be used as destructors for soils bioremediation in agrobiotechnologies and to optimize plants nitrogen nutrition in terrestrial ecosystems.

  1. Introduction of transformed chloroplasts from tobacco into petunia by asymmetric cell fusion.

    Science.gov (United States)

    Sigeno, Asako; Hayashi, Sugane; Terachi, Toru; Yamagishi, Hiroshi

    2009-11-01

    Plastid engineering technique has been established only in Nicotiana tabacum, and the widespread application is severely limited so far. In order to exploit a method to transfer the genetically transformed plastomes already obtained in tobacco into other plant species, somatic cell fusion was conducted between a plastome transformant of tobacco and a cultivar of petunia (Petunia hybrida). A tobacco strain whose plastids had been transformed with aadA (a streptomycin/spectinomycin adenylyltransferase gene) and mdar [a gene for monodehydroascorbate reductase (MDAR)] and a petunia variety, 'Telstar', were used as cell fusion partners. An efficient regeneration system from the protoplasts of both the parents, and effectiveness of selection for the aadA gene with spectinomycin were established before the cell fusion. In addition, the influence of UV irradiation on the callus development from the protoplasts and shoot regeneration of tobacco was investigated. Protoplasts were cultured after cell fusion treatment with polyethylene glycol, and asymmetric somatic cybrids were selected using the aadA gene as a marker. Although many shoots of tobacco that had escaped the UV irradiation regenerated, several shoots possessing the morphology of petunia and the resistance to spectinomycin were obtained. Molecular analyses of the petunia type regenerants demonstrated that they had the nuclear and mitochondrial genomes derived from petunia besides the chloroplasts of tobacco transformed with aadA and mdar. Furthermore, it was ascertained that mdar was transcribed in the somatic cybrids. The results indicate the success in intergeneric transfer of transformed plastids of tobacco into petunia.

  2. Overall decrease in the susceptibility of Mycoplasma bovis to antimicrobials over the past 30 years in France.

    Directory of Open Access Journals (Sweden)

    Anne V Gautier-Bouchardon

    Full Text Available Mycoplasma (M. bovis is frequently implicated in respiratory diseases of young cattle worldwide. Today, to combat M. bovis in Europe, only antimicrobial therapy is available, but often fails, leading to important economical losses. The antimicrobial susceptibility of M. bovis is not covered by antimicrobial resistance surveillance networks. The objectives of this study were to identify resistances that were acquired over the last 30 years in France and to determine their prevalence within contemporary strains. The minimum inhibition concentration (MIC values of 12 antimicrobials, considered active on M. bovis, were compared, using an agar dilution method, between 27 and 46 M. bovis isolates respectively obtained in 1978-1979 and in 2010-2012 from 73 distinct respiratory disease outbreaks in young cattle all over France. For eight antimicrobials, resistances were proven to be acquired over the period and expressed by all contemporary strains. The increase of the MIC value that inhibited 50% of the isolates (MIC50 was: i substantial for tylosin, tilmicosin, tulathromycin and spectinomycin, from 2 to >64, 2 to >128, 16 to 128 and 4 to >64 µg/mL, respectively, ii moderate for enrofloxacin, danofloxacin, marbofloxacin and oxytetracycline, from 0.25 to 0.5, 0.25 to 0.5, 0.5 to 1, 32 to >32 µg/mL, respectively. No differences were observed for gamithromycin, tildipirosin, florfenicol and valnemulin with MIC50 of 128, 128, 8, <0.03 µg/mL, respectively. If referring to breakpoint MIC values published for respiratory bovine pathogens, all contemporary isolates would be intermediate in vivo for fluoroquinolones and resistant to macrolides, oxytetracycline, spectinomycin and florfenicol.

  3. Community-associated urinary infections requiring hospitalization: risk factors, microbiological characteristics and patterns of antibiotic resistance.

    Science.gov (United States)

    Medina-Polo, J; Guerrero-Ramos, F; Pérez-Cadavid, S; Arrébola-Pajares, A; Sopeña-Sutil, R; Benítez-Sala, R; Jiménez-Alcaide, E; García-González, L; Alonso-Isa, M; Lara-Isla, A; Passas-Martínez, J B; Tejido-Sánchez, Á

    2015-03-01

    Although patients with urinary tract infections (UTIs) are usually managed as outpatients, a percentage of them requires hospitalization. To review risk factors and microbiological characteristics of community-associated UTIs (CAUTIs) requiring hospitalization has been our objective. A prospective observational study was carried out from November 2011 to December 2013. Incidence, microbiological characteristics and antibiotic resistance patterns in patients with CAUTIs that required hospitalization were analyzed. Risk factors (including diabetes mellitus, urolithiasis, urinary catheterization) and resistance rates of each pathogen were also analyzed. Four hundred and fifty seven patients were hospitalized in our department with CAUTI. The mean age was 56.2±19.85 years. Of them, 52.1% patients were women, 19.7% had urinary indwelling catheter and 11.4% have had a previous UTI. The most frequently isolated pathogens were Escherichia coli (60.6%), followed by Klebsiella (9.2%), Enterococcus (8.4%) and Pseudomonas (7.2%). Enterobacteriaceae other than E.coli were more prevalent in male and older patients. On the other side the most frequently isolated pathogen in patients with a previous UTI and a urinary catheter was Entercoccus. The resistance rates E. coli against ampicillin/amoxicillin + β lactamase inhibitor was 23.5%, against third-generation cephalosporins 16.6%, against fluoroquinolones 31.3% and 16.7% against aminoglycosides. 11.4% E. coli strains were producers of extended-spectrum Beta-lactamases (ESBL). Finally, the resistance rates of Enterococcus and Pseudomonas against quinolones were of 50.0% and 61.5%, respectively. CAUTIs that require hospitalization are most frequent in older age, male gender, and presence of urinary catheter, with urolithiasis and with previous episodes of UTI. These factors are also related to isolation of pathogens other than E. coli and higher resistance rates. Copyright © 2014 AEU. Publicado por Elsevier España, S.L.U. All

  4. Risk Factor Analysis of Ciprofloxacin-Resistant and Extended Spectrum Beta-Lactamases Pathogen-Induced Acute Bacterial Prostatitis in Korea.

    Science.gov (United States)

    Lee, Young; Lee, Dong Gi; Lee, Sang Hyub; Yoo, Koo Han

    2016-11-01

    The objectives of this study were to investigate risk factors and the incidence of ciprofloxacin resistance and extended-spectrum beta-lactamases (ESBL) in patients with acute bacterial prostatitis (ABP). We reviewed the medical records of 307 patients who were diagnosed with ABP between January 2006 and December 2015. The etiologic pathogens and risk factors for ciprofloxacin-resistant E. coli and ESBL-producing microbes, susceptibility to ciprofloxacin, and the incidence of ESBL in patients with ABP were described. History of prior urologic manipulation was an independent risk factor for ciprofloxacin-resistant (P = 0.005) and ESBL-producing microbes (P = 0.005). Advanced age (over 60 years) was an independent risk factor for ciprofloxacin-resistant microbes (P = 0.022). The ciprofloxacin susceptibility for Escherichia coli in groups without prior manipulation was documented 85.7%. For groups with prior manipulation, the susceptibility was 10.0%. Incidence of ESBL-producing microbes by pathogen was 3.8% for E. coli and 1.0% for Klebsiella pneumonia in the absence of manipulation group, and 20% and 33.3% in the presence of manipulation group, respectively. Initial treatment of ABP must consider patient's age and the possibility of prior manipulation to optimize patient treatment. With the high rate of resistance to fluoroquinolone, cephalosporins with amikacin, or carbapenems, or extended-spectrum penicillin with beta lactamase inhibitor should be considered as the preferred empirical ABP treatment in the patients with history of prior urologic manipulation.

  5. Tcf3 and cell cycle factors contribute to butyrate resistance in colorectal cancer cells

    Energy Technology Data Exchange (ETDEWEB)

    Chiaro, Christopher, E-mail: cchiaro@tcmedc.org [Department of Basic Sciences, The Commonwealth Medical College, 525 Pine Street, Scranton, PA 18509 (United States); Lazarova, Darina L., E-mail: dlazarova@tcmedc.org [Department of Basic Sciences, The Commonwealth Medical College, 525 Pine Street, Scranton, PA 18509 (United States); Bordonaro, Michael, E-mail: mbordonaro@tcmedc.org [Department of Basic Sciences, The Commonwealth Medical College, 525 Pine Street, Scranton, PA 18509 (United States)

    2012-11-09

    Highlights: Black-Right-Pointing-Pointer We investigate mechanisms responsible for butyrate resistance in colon cancer cells. Black-Right-Pointing-Pointer Tcf3 modulates butyrate's effects on Wnt activity and cell growth in resistant cells. Black-Right-Pointing-Pointer Tcf3 modulation of butyrate's effects differ by cell context. Black-Right-Pointing-Pointer Cell cycle factors are overexpressed in the resistant cells. Black-Right-Pointing-Pointer Reversal of altered gene expression can enhance the anti-cancer effects of butyrate. -- Abstract: Butyrate, a fermentation product of dietary fiber, inhibits clonal growth in colorectal cancer (CRC) cells dependent upon the fold induction of Wnt activity. We have developed a CRC cell line (HCT-R) that, unlike its parental cell line, HCT-116, does not respond to butyrate exposure with hyperactivation of Wnt signaling and suppressed clonal growth. PCR array analyses revealed Wnt pathway-related genes, the expression of which differs between butyrate-sensitive HCT-116 CRC cells and their butyrate-resistant HCT-R cell counterparts. We identified overexpression of Tcf3 as being partially responsible for the butyrate-resistant phenotype, as this DNA-binding protein suppresses the hyperinduction of Wnt activity by butyrate. Consequently, Tcf3 knockdown in HCT-R cells restores their sensitivity to the effects of butyrate on Wnt activity and clonal cell growth. Interestingly, the effects of overexpressed Tcf3 differ between HCT-116 and HCT-R cells; thus, in HCT-116 cells Tcf3 suppresses proliferation without rendering the cells resistant to butyrate. In HCT-R cells, however, the overexpression of Tcf3 inhibits Wnt activity, and the cells are still able to proliferate due to the higher expression levels of cell cycle factors, particularly those driving the G{sub 1} to S transition. Knowledge of the molecular mechanisms determining the variable sensitivity of CRC cells to butyrate may assist in developing approaches that

  6. Insecticide resistance in the dengue vector Aedes aegypti from Martinique: distribution, mechanisms and relations with environmental factors.

    Science.gov (United States)

    Marcombe, Sébastien; Mathieu, Romain Blanc; Pocquet, Nicolas; Riaz, Muhammad-Asam; Poupardin, Rodolphe; Sélior, Serge; Darriet, Frédéric; Reynaud, Stéphane; Yébakima, André; Corbel, Vincent; David, Jean-Philippe; Chandre, Fabrice

    2012-01-01

    Dengue is an important mosquito borne viral disease in Martinique Island (French West Indies). The viruses responsible for dengue are transmitted by Aedes aegypti, an indoor day-biting mosquito. The most effective proven method for disease prevention has been by vector control by various chemical or biological means. Unfortunately insecticide resistance has already been observed on the Island and recently showed to significantly reduce the efficacy of vector control interventions. In this study, we investigated the distribution of resistance and the underlying mechanisms in nine Ae. aegypti populations. Statistical multifactorial approach was used to investigate the correlations between insecticide resistance levels, associated mechanisms and environmental factors characterizing the mosquito populations. Bioassays revealed high levels of resistance to temephos and deltamethrin and susceptibility to Bti in the 9 populations tested. Biochemical assays showed elevated detoxification enzyme activities of monooxygenases, carboxylesterases and glutathione S-tranferases in most of the populations. Molecular screening for common insecticide target-site mutations, revealed the presence of the "knock-down resistance" V1016I Kdr mutation at high frequency (>87%). Real time quantitative RT-PCR showed the potential involvement of several candidate detoxification genes in insecticide resistance. Principal Component Analysis (PCA) performed with variables characterizing Ae. aegypti from Martinique permitted to underline potential links existing between resistance distribution and other variables such as agriculture practices, vector control interventions and urbanization. Insecticide resistance is widespread but not homogeneously distributed across Martinique. The influence of environmental and operational factors on the evolution of the resistance and mechanisms are discussed.

  7. Insecticide resistance in the dengue vector Aedes aegypti from Martinique: distribution, mechanisms and relations with environmental factors.

    Directory of Open Access Journals (Sweden)

    Sébastien Marcombe

    Full Text Available Dengue is an important mosquito borne viral disease in Martinique Island (French West Indies. The viruses responsible for dengue are transmitted by Aedes aegypti, an indoor day-biting mosquito. The most effective proven method for disease prevention has been by vector control by various chemical or biological means. Unfortunately insecticide resistance has already been observed on the Island and recently showed to significantly reduce the efficacy of vector control interventions. In this study, we investigated the distribution of resistance and the underlying mechanisms in nine Ae. aegypti populations. Statistical multifactorial approach was used to investigate the correlations between insecticide resistance levels, associated mechanisms and environmental factors characterizing the mosquito populations. Bioassays revealed high levels of resistance to temephos and deltamethrin and susceptibility to Bti in the 9 populations tested. Biochemical assays showed elevated detoxification enzyme activities of monooxygenases, carboxylesterases and glutathione S-tranferases in most of the populations. Molecular screening for common insecticide target-site mutations, revealed the presence of the "knock-down resistance" V1016I Kdr mutation at high frequency (>87%. Real time quantitative RT-PCR showed the potential involvement of several candidate detoxification genes in insecticide resistance. Principal Component Analysis (PCA performed with variables characterizing Ae. aegypti from Martinique permitted to underline potential links existing between resistance distribution and other variables such as agriculture practices, vector control interventions and urbanization. Insecticide resistance is widespread but not homogeneously distributed across Martinique. The influence of environmental and operational factors on the evolution of the resistance and mechanisms are discussed.

  8. The effect of environmental factors and migration dynamics on the prevalence of antibiotic-resistant Escherichia coli in estuary environments

    OpenAIRE

    Na, Guangshui; Lu, Zihao; Gao, Hui; Zhang, Linxiao; Li, Qianwei; Li, Ruijing; Yang, Fan; Huo, Chuanlin; Yao, Ziwei

    2018-01-01

    Understanding the antibiotic resistance transmission mechanisms and migration dynamics of antibiotic-resistant bacteria (ARB) in the natural environment is critical given the increasing prevalence of antibiotic resistance. The aim of this study was to examine the fate of sulfonamide-resistant fecal bacteria (E. coli) in an estuary ecosystem and to explore the role and contribution of environmental factors in this process. The prevalence of sulfonamide-resistance status of E. coli was analyzed...

  9. Moving Aerospace Structural Design Practice to a Load and Resistance Factor Approach

    Science.gov (United States)

    Larsen, Curtis E.; Raju, Ivatury S.

    2016-01-01

    Aerospace structures are traditionally designed using the factor of safety (FOS) approach. The limit load on the structure is determined and the structure is then designed for FOS times the limit load - the ultimate load. Probabilistic approaches utilize distributions for loads and strengths. Failures are predicted to occur in the region of intersection of the two distributions. The load and resistance factor design (LRFD) approach judiciously combines these two approaches by intensive calibration studies on loads and strength to result in structures that are efficient and reliable. This paper discusses these three approaches.

  10. Antimicrobial resistance among Enterobacteriaceae in South America: history, current dissemination status and associated socioeconomic factors.

    Science.gov (United States)

    Bonelli, Raquel Regina; Moreira, Beatriz Meurer; Picão, Renata Cristina

    2014-04-01

    South America exhibits some of the higher rates of antimicrobial resistance in Enterobactericeae worldwide. This continent includes 12 independent countries with huge socioeconomic differences, where the ample access to antimicrobials, including counterfeit ones, coexists with ineffective health systems and sanitation problems, favoring the emergence and dissemination of resistant strains. This work presents a literature review concerning the evolution and current status of antimicrobial resistance threats found among Enterobacteriaceae in South America. Resistance to β-lactams, fluoroquinolones and aminoglycosides was emphasized along with description of key epidemiological studies that highlight the success of specific resistance determinants in different parts of the continent. In addition, a discussion regarding political and socioeconomic factors possibly related to the dissemination of antimicrobial resistant strains in clinical settings and at the community is presented. Finally, in order to assess the possible sources of resistant bacteria, we compile the current knowledge about the occurrence of antimicrobial resistance in isolates in South American' food, food-producing animals and off-hospitals environments. By addressing that intensive intercontinental commerce and tourism neutralizes the protective effect of geographic barriers, we provide arguments reinforcing that globally integrated efforts are needed to decelerate the emergence and dissemination of antimicrobial resistant strains. Copyright © 2014 Elsevier Ltd. All rights reserved.

  11. The Composition and Spatial Patterns of Bacterial Virulence Factors and Antibiotic Resistance Genes in 19 Wastewater Treatment Plants.

    Directory of Open Access Journals (Sweden)

    Bing Zhang

    Full Text Available Bacterial pathogenicity and antibiotic resistance are of concern for environmental safety and public health. Accumulating evidence suggests that wastewater treatment plants (WWTPs are as an important sink and source of pathogens and antibiotic resistance genes (ARGs. Virulence genes (encoding virulence factors are good indicators for bacterial pathogenic potentials. To achieve a comprehensive understanding of bacterial pathogenic potentials and antibiotic resistance in WWTPs, bacterial virulence genes and ARGs in 19 WWTPs covering a majority of latitudinal zones of China were surveyed by using GeoChip 4.2. A total of 1610 genes covering 13 virulence factors and 1903 genes belonging to 11 ARG families were detected respectively. The bacterial virulence genes exhibited significant spatial distribution patterns of a latitudinal biodiversity gradient and a distance-decay relationship across China. Moreover, virulence genes tended to coexist with ARGs as shown by their strongly positive associations. In addition, key environmental factors shaping the overall virulence gene structure were identified. This study profiles the occurrence, composition and distribution of virulence genes and ARGs in current WWTPs in China, and uncovers spatial patterns and important environmental variables shaping their structure, which may provide the basis for further studies of bacterial virulence factors and antibiotic resistance in WWTPs.

  12. Prevalence and risk factors for carriage of multi-drug resistant Staphylococci in healthy cats and dogs

    Science.gov (United States)

    Regula, Gertraud; Petrini, Orlando; Zinsstag, Jakob; Schelling, Esther

    2013-01-01

    We investigated the distribution of commensal staphylococcal species and determined the prevalence of multi-drug resistance in healthy cats and dogs. Risk factors associated with the carriage of multi-drug resistant strains were explored. Isolates from 256 dogs and 277 cats were identified at the species level using matrix-assisted laser desorption ionisation-time of flight mass spectrometry. The diversity of coagulase-negative Staphylococci (CNS) was high, with 22 species in dogs and 24 in cats. Multi-drug resistance was frequent (17%) and not always associated with the presence of the mecA gene. A stay in a veterinary clinic in the last year was associated with an increased risk of colonisation by multi-drug resistant Staphylococci (OR = 2.4, 95% CI: 1.1~5.2, p value LRT = 0.04). When identifying efficient control strategies against antibiotic resistance, the presence of mechanisms other than methicillin resistance and the possible role of CNS in the spread of resistance determinants should be considered. PMID:23820161

  13. ANTIBIOTICS RESISTANCE AND PUTATIVE VIRULENCE FACTORS OF AEROMONAS HYDROPHILA ISOLATED FROM ESTUARY

    Directory of Open Access Journals (Sweden)

    Olumide Adedokun Odeyemi

    2012-06-01

    Full Text Available This study aim to investigate antibiotics resistance profile and putative virulence factors of Aeromonas hydrophila isolated from estuary. Bacteria used for this study were isolated from water and sediment samples obtained from Sungai Melayu, Johor, Malaysia. Serially diluted 100 µL water and 1g sediment were inoculated on modified Rimler - Shott (mRS agar. Colonies with distinct cultural characteristics were picked for further studies. Isolates were tested for biofilm productions, protease enzyme and antibiotics resistance profile using agar well diffusion method against 10 commercial antibiotics. Congo Red Agar (CRA, Microplate and Standard Tube (ST methods were used for assessment of biofilm formation among the isolates while Skim Milk Agar was used for protease production. Sw.KMJ 3 and Sw.KMJ 9 produced black crystalline colonies on CRA. Six of the isolates were biofilm producers in ST method. Result of Microplate method, helped in grouping the isolates into weak (n = 8, moderate (n = 3 and strong producers (n = 4 at 540 nm wavelength. All the isolates were classified as weak ODc  ODi 0.1, moderate ODi = 0.1  0.12 and strong producers ODi  0.12 respectively at 540 nm wavelength. Antibiotics susceptibility test also revealed that all the isolates were resistant to between 6 and 10 antibiotics. Two isolates each were resistant to 6 (60 %, 7 (70 % and 9 (90 % antibiotics respectively. Eight of the isolates showed resistance to 8 (80 % antibiotics while only isolate Sw.KMJ-7 showed resistance to all the tested antibiotics. Sw.KMJ-3, Sw.KMJ-8 and Sw.KMJ-9 produced protease enzyme on SMA. The isolates were also found to be resistant to both antibiotics and heavy metals.

  14. GP88 (PC-Cell Derived Growth Factor, progranulin stimulates proliferation and confers letrozole resistance to aromatase overexpressing breast cancer cells

    Directory of Open Access Journals (Sweden)

    Sabnis Gauri

    2011-06-01

    Full Text Available Abstract Background Aromatase inhibitors (AI that inhibit breast cancer cell growth by blocking estrogen synthesis have become the treatment of choice for post-menopausal women with estrogen receptor positive (ER+ breast cancer. However, some patients display de novo or acquired resistance to AI. Interactions between estrogen and growth factor signaling pathways have been identified in estrogen-responsive cells as one possible reason for acquisition of resistance. Our laboratory has characterized an autocrine growth factor overexpressed in invasive ductal carcinoma named PC-Cell Derived Growth Factor (GP88, also known as progranulin. In the present study, we investigated the role GP88 on the acquisition of resistance to letrozole in ER+ breast cancer cells Methods We used two aromatase overexpressing human breast cancer cell lines MCF-7-CA cells and AC1 cells and their letrozole resistant counterparts as study models. Effect of stimulating or inhibiting GP88 expression on proliferation, anchorage-independent growth, survival and letrozole responsiveness was examined. Results GP88 induced cell proliferation and conferred letrozole resistance in a time- and dose-dependent fashion. Conversely, naturally letrozole resistant breast cancer cells displayed a 10-fold increase in GP88 expression when compared to letrozole sensitive cells. GP88 overexpression, or exogenous addition blocked the inhibitory effect of letrozole on proliferation, and stimulated survival and soft agar colony formation. In letrozole resistant cells, silencing GP88 by siRNA inhibited cell proliferation and restored their sensitivity to letrozole. Conclusion Our findings provide information on the role of an alternate growth and survival factor on the acquisition of aromatase inhibitor resistance in ER+ breast cancer.

  15. Factors Associated with Tuberculosis and Rifampicin-Resistant Tuberculosis amongst Symptomatic Patients in India: A Retrospective Analysis.

    Directory of Open Access Journals (Sweden)

    Sreenivas Achuthan Nair

    Full Text Available Tuberculosis remains a major public health challenge for India. Various studies have documented different levels of TB and multi-drug resistant (MDR TB among diverse groups of the population. In view of renewed targets set under the End TB strategy by 2035, there is an urgent need for TB diagnosis to be strengthened. Drawing on data from a recent, multisite study, we address key questions for TB diagnosis amongst symptomatics presenting for care: are there subgroups of patients that are more likely than others, to be positive for TB? In turn, amongst these positive cases, are there factors-apart from treatment history-that may be predictive for multi-drug resistance?We used data from a multi-centric prospective demonstration study, conducted from March 2012 to December 2013 in 18 sub-district level TB programme units (TUs in India and covering a population of 8.8 million. In place of standard diagnostic tests, upfront Xpert MTB/RIF testing was offered to all presumptive TB symptomatics. Here, using data from this study, we used logistic regression to identify association between risk factors and TB and Rifampicin-Resistant TB among symptomatics enrolled in the study.We find that male gender; history of TB treatment; and adult age compared with either children or the elderly are risk factors associated with high TB detection amongst symptomatics, across the TUs. While treatment history is found be a significant risk factor for rifampicin-resistant TB, elderly (65+ yrs people have significantly lower risk than other age groups. However, pediatric TB cases have no less risk of rifampicin resistance as compared with adults (OR 1.23 (95% C.I. 0.85-1.76. Similarly, risk of rifampicin resistance among both the genders was the same. These patterns applied across the study sites involved. Notably in Mumbai, amongst those patients with microbiological confirmation of TB, female patients showed a higher risk of having MDR-TB than male patients.Our results

  16. Prevalence of Multidrug-Resistant Tuberculosis and Associated Factors in Ethiopia: A Systematic Review

    OpenAIRE

    Asgedom, Solomon Weldegebreal; Teweldemedhin, Mebrahtu; Gebreyesus, Hailay

    2018-01-01

    Background. Multidrug-resistant tuberculosis (MDR-TB) has continued to be a challenge for tuberculosis (TB) control globally. Ethiopia is one of the countries with high MDR-TB burden. Objective. The main purpose of this study was to determine the prevalence of MDR-TB and associated factors in Ethiopia. Methods. A systematic review of the literatures on prevalence of MDR-TB and associated factors was conducted in the country. Results. In our electronic search, 546 citations were depicted. Amon...

  17. Lamivudine resistance in patients with chronic hepatitis B: role of clinical and virological factors.

    Science.gov (United States)

    Thompson, Alexander J V; Ayres, Anna; Yuen, Lilly; Bartholomeusz, Angeline; Bowden, D Scott; Iser, David M; Chen, Robert Y M; Demediuk, Barbara; Shaw, Gideon; Bell, Sally J; Watson, Katrina J R; Locarnini, Stephen A; Desmond, Paul V

    2007-07-01

    Lamivudine resistance is associated with long-term monotherapy for chronic hepatitis B and can lead to potentially serious clinical consequences. Scant information exists regarding the influence of hepatitis B virus variants in the development of resistance. The present study was designed to identify factors predictive of lamivudine resistance, with a particular focus on the role of precore and basal core promoter variants in the setting of hepatitis B e antigen-negative disease. Eighty-five patients, representing four major genotypes, were followed prospectively on lamivudine therapy. Resistance was defined as an increase in viral load, with polymerase gene sequencing confirming a lamivudine resistance mutation. Median follow up was 19 months (6-54 months). The Cox proportional hazards model was used to determine variables independently predicting for the early onset of lamivudine resistance. The rate of lamivudine resistance was 6%, 31% and 51% at 12, 24 and 48 months, respectively. Multivariate analysis identified the precore variant, high baseline alanine aminotransferase (ALT), and persistent viremia (at 6 months) as independent predictors of the early development of lamivudine resistance, with rate ratios of 4.93 (95% confidence interval [CI]: 1.32-18.5), 1.22 (95%CI: 1.08-1.49), and 4.73 (95%CI: 1.49-15.0), respectively (P < 0.05). Female sex predicted early resistance (rate ratio 5.27 [95%CI: 1.23-22.5, P < 0.05]) although numbers were small (n = 12). Genotype did not influence treatment response nor time to onset of resistance. Patients with precore variant hepatitis B virus are likely to develop lamivudine resistance early and should be considered for alternate first-line monotherapy. In the future, combination antiviral therapy may limit the development of resistance.

  18. Factors influencing overweight children's commencement of and continuation in a resistance training program

    Directory of Open Access Journals (Sweden)

    McGuigan Michael R

    2010-11-01

    Full Text Available Abstract Background In light of the child overweight and obesity problem in Australia, resistance training programs have been trialled as an innovative way of assisting children increase lean body mass and reduce body fat. The purpose of this study was to investigate the factors influencing overweight children's participation in a resistance training trial program. Method Parent-child pairs who participated in the trial program were invited to take part in a follow-up individual interview to discuss their program experiences. In total, 22 semi-structured interviews were conducted with 11 parent-child pairs. Results The factors found to be most relevant to program commencement among parents were a desire for their child to lose weight and gain confidence, the proximity of the venue, and no cost for participation. For children, the most relevant factors were the opportunity to build strength and improve fitness and having supportive parents who facilitated program initiation. The factors most relevant to continuation for parents were the quality of the program management, being able to stay for the sessions, the child's improved weight status, coordination, and confidence, and no cost for participation. Weight loss and improved confidence were also motivators for continuation among the children, along with pleasant social interaction with peers and trainers and ongoing parental support. Conclusion Different factors variably influence program commencement and program continuation in both parents and children. This has important implications for future interventions that aim to successfully recruit and retain intervention participants.

  19. Health system factors influencing management of multidrug-resistant tuberculosis in four European Union countries - learning from country experiences

    Directory of Open Access Journals (Sweden)

    Gerard de Vries

    2017-04-01

    Full Text Available Abstract Background In the European Union and European Economic Area only 38% of multidrug-resistant tuberculosis patients notified in 2011 completed treatment successfully at 24 months’ evaluation. Socio-economic factors and patient factors such as demographic characteristics, behaviour and attitudes are associated with treatment outcomes. Characteristics of healthcare systems also affect health outcomes. This study was conducted to identify and better understand the contribution of health system components to successful treatment of multidrug-resistant tuberculosis. Methods We selected four European Union countries to provide for a broad range of geographical locations and levels of treatment success rates of the multidrug-resistant tuberculosis cohort in 2009. We conducted semi-structured interviews following a conceptual framework with representatives from policy and planning authorities, healthcare providers and civil society organisations. Responses were organised according to the six building blocks of the World Health Organization health systems framework. Results In the four included countries, Austria, Bulgaria, Spain, and the United Kingdom, the following healthcare system factors were perceived as key to achieving good treatment results for patients with multidrug-resistant tuberculosis: timely diagnosis of drug-resistant tuberculosis; financial systems that ensure access to a full course of treatment and support for multidrug-resistant tuberculosis patients; patient-centred approaches with strong intersectoral collaboration that address patients’ emotional and social needs; motivated and dedicated healthcare workers with sufficient mandate and means to support patients; and cross-border management of multidrug-resistant tuberculosis to secure continuum of care between countries. Conclusion We suggest that the following actions may improve the success of treatment for multidrug-resistant tuberculosis patients: deployment of

  20. Role of Insulin-Like Growth Factor-1 Signaling Pathway in Cisplatin-Resistant Lung Cancer Cells

    International Nuclear Information System (INIS)

    Sun Yunguang; Zheng Siyuan; Torossian, Artour; Speirs, Christina K.; Schleicher, Stephen; Giacalone, Nicholas J.; Carbone, David P.; Zhao Zhongming; Lu Bo

    2012-01-01

    Purpose: The development of drug-resistant phenotypes has been a major obstacle to cisplatin use in non–small-cell lung cancer. We aimed to identify some of the molecular mechanisms that underlie cisplatin resistance using microarray expression analysis. Methods and Materials: H460 cells were treated with cisplatin. The differences between cisplatin-resistant lung cancer cells and parental H460 cells were studied using Western blot, MTS, and clonogenic assays, in vivo tumor implantation, and microarray analysis. The cisplatin-R cells were treated with human recombinant insulin-like growth factor (IGF) binding protein-3 and siRNA targeting IGF-1 receptor. Results: Cisplatin-R cells illustrated greater expression of the markers CD133 and aldehyde dehydrogenase, more rapid in vivo tumor growth, more resistance to cisplatin- and etoposide-induced apoptosis, and greater survival after treatment with cisplatin or radiation than the parental H460 cells. Also, cisplatin-R demonstrated decreased expression of insulin-like growth factor binding protein-3 and increased activation of IGF-1 receptor signaling compared with parental H460 cells in the presence of IGF-1. Human recombinant IGF binding protein-3 reversed cisplatin resistance in cisplatin-R cells and targeting of IGF-1 receptor using siRNA resulted in sensitization of cisplatin-R-cells to cisplatin and radiation. Conclusions: The IGF-1 signaling pathway contributes to cisplatin-R to cisplatin and radiation. Thus, this pathway represents a potential target for improved lung cancer response to treatment.

  1. Role of Insulin-Like Growth Factor-1 Signaling Pathway in Cisplatin-Resistant Lung Cancer Cells

    Energy Technology Data Exchange (ETDEWEB)

    Sun Yunguang [Department of Radiation Oncology, Vanderbilt University Medical Center, Nashville, TN (United States); Zheng Siyuan [Department of Biomedical Informatics, Vanderbilt University Medical Center, Nashville, TN (United States); Torossian, Artour; Speirs, Christina K.; Schleicher, Stephen; Giacalone, Nicholas J. [Department of Radiation Oncology, Vanderbilt University Medical Center, Nashville, TN (United States); Carbone, David P. [Department of Hematology and Oncology, Vanderbilt University Medical Center, Nashville, TN (United States); Zhao Zhongming, E-mail: zhongming.zhao@vanderbilt.edu [Department of Biomedical Informatics, Vanderbilt University Medical Center, Nashville, TN (United States); Lu Bo, E-mail: bo.lu@vanderbilt.edu [Department of Radiation Oncology, Vanderbilt University Medical Center, Nashville, TN (United States)

    2012-03-01

    Purpose: The development of drug-resistant phenotypes has been a major obstacle to cisplatin use in non-small-cell lung cancer. We aimed to identify some of the molecular mechanisms that underlie cisplatin resistance using microarray expression analysis. Methods and Materials: H460 cells were treated with cisplatin. The differences between cisplatin-resistant lung cancer cells and parental H460 cells were studied using Western blot, MTS, and clonogenic assays, in vivo tumor implantation, and microarray analysis. The cisplatin-R cells were treated with human recombinant insulin-like growth factor (IGF) binding protein-3 and siRNA targeting IGF-1 receptor. Results: Cisplatin-R cells illustrated greater expression of the markers CD133 and aldehyde dehydrogenase, more rapid in vivo tumor growth, more resistance to cisplatin- and etoposide-induced apoptosis, and greater survival after treatment with cisplatin or radiation than the parental H460 cells. Also, cisplatin-R demonstrated decreased expression of insulin-like growth factor binding protein-3 and increased activation of IGF-1 receptor signaling compared with parental H460 cells in the presence of IGF-1. Human recombinant IGF binding protein-3 reversed cisplatin resistance in cisplatin-R cells and targeting of IGF-1 receptor using siRNA resulted in sensitization of cisplatin-R-cells to cisplatin and radiation. Conclusions: The IGF-1 signaling pathway contributes to cisplatin-R to cisplatin and radiation. Thus, this pathway represents a potential target for improved lung cancer response to treatment.

  2. Related Factors of Insulin Resistance in Korean Children: Adiposity and Maternal Insulin Resistance

    Directory of Open Access Journals (Sweden)

    Kang-Sook Lee

    2011-12-01

    Full Text Available Increased adiposity and unhealthy lifestyle augment the risk for type 2 diabetes in children with familial predisposition. Insulin resistance (IR is an excellent clinical marker for identifying children at high risk for type 2 diabetes. This study was conducted to investigate parental, physiological, behavioral and socio-economic factors related to IR in Korean children. This study is a cross-sectional study using data from 111 children aged 7 years and their parents. Homeostasis model assessment of insulin resistance (HOMA-IR was calculated using fasting glucose and insulin level as a marker of IR. All children’s adiposity indices (r = 0.309–0.318, all P-value = 0.001 and maternal levels of fasting insulin (r = 0.285, P-value = 0.003 and HOMA-IR (r = 0.290, P-value = 0.002 were positively correlated with children’s HOMA-IR level. There was no statistical difference of children’s HOMA-IR level according to children’s lifestyle habits and socioeconomic status of families. An increase of 1 percentage point in body fat was related to 2.7% increase in children’s HOMA-IR (P-value < 0.001 and an increase of 1% of maternal level of HOMA-IR was related to 0.2% increase in children’s HOMA-IR (P-value = 0.002. This study shows that children’s adiposity and maternal IR are positively associated with children’s IR.

  3. Multidrug Resistance Acinetobacter Bacteremia Secondary to Ventilator-Associated Pneumonia: Risk Factors and Outcome.

    Science.gov (United States)

    Brotfain, Evgeni; Borer, Abraham; Koyfman, Leonid; Saidel-Odes, Lisa; Frenkel, Amit; Gruenbaum, Shaun E; Rosenzweig, Vsevolod; Zlotnik, Alexander; Klein, Moti

    2017-10-01

    Acinetobacter baumannii is a multidrug resistant (MDR), gram-negative bacterium commonly implicated in ventilator-associated pneumonia (VAP) in critically ill patients. Patients in the intensive care unit (ICU) with VAP often subsequently develop A baumannii bacteremia, which may significantly worsen outcomes. In this study, we retrospectively reviewed the clinical and laboratory records of 129 ICU patients spanning 6 years with MDR A baumannii VAP; 46 (35%) of these patients had concomitant MDR A baumannii bacteremia. The ICU mortality rate was higher in patients with VAP having A baumannii bacteremia compared to nonbacteremic patients (32.4% vs 9.6% respectively, P 65 years, an Acute Physiology and Chronic Health Evaluation II (APACHE-II) score higher than 20, a Sequential Organ Failure Assessment (SOFA) score higher than 7 on the day of bacteremia, and the presence of comorbid disease (chronic obstructive pulmonary disease [COPD] and chronic renal failure) were found to be independent risk factors for in-hospital mortality in this population. Multidrug resistant A baumannii was not an independent risk factor for mortality. Although the presence of comorbid diseases (COPD and chronic renal failure) and severity of disease (APACHE > 20 and SOFA >7) were found to be independent risk factors for ICU mortality, MDR A baumannii bacteremia was not an independent risk factor for mortality in our critically ill population.

  4. Histological transformation after acquired resistance to epidermal growth factor tyrosine kinase inhibitors.

    Science.gov (United States)

    Shao, Yi; Zhong, Dian-Sheng

    2018-04-01

    Non-small-cell lung cancer patients with sensitive epidermal growth factor receptor mutations generally respond well to tyrosine kinase inhibitors (TKIs). However, acquired resistance will eventually develop place after 8-16 months. Several mechanisms contribute to the resistance including T790M mutation, c-Met amplification, epithelial mesenchymal transformation and PIK3CA mutation; however, histological transformation is a rare mechanism. The patterns and mechanisms underlying histological transformation need to be explored. We searched PubMed, EMBASE and search engines Google Scholar, Medical Matrix for literature related to histological transformation. Case reports, cases series, and clinical and basic medical research articles were reviewed. Sixty-one articles were included in this review. Cases of transformation to small-cell lung cancer, squamous cell carcinoma, large-cell neuroendocrine carcinoma and sarcoma after TKI resistance have all been reported. As the clinical course differed dramatically between cases, a new treatment scheme needs to be recruited. The mechanisms underlying histological transformation have not been fully elucidated and probably relate to cancer stem cells, driver genetic alterations under selective pressure or the heterogeneity of the tumor. When TKI resistance develops, we recommend that patients undergo a second biopsy to determine the reason, guide the next treatment and predict the prognosis.

  5. Risk factors associated with default from multi- and extensively drug-resistant tuberculosis treatment, Uzbekistan: a retrospective cohort analysis.

    Science.gov (United States)

    Lalor, Maeve K; Greig, Jane; Allamuratova, Sholpan; Althomsons, Sandy; Tigay, Zinaida; Khaemraev, Atadjan; Braker, Kai; Telnov, Oleksander; du Cros, Philipp

    2013-01-01

    The Médecins Sans Frontières project of Uzbekistan has provided multidrug-resistant tuberculosis treatment in the Karakalpakstan region since 2003. Rates of default from treatment have been high, despite psychosocial support, increasing particularly since programme scale-up in 2007. We aimed to determine factors associated with default in multi- and extensively drug-resistant tuberculosis patients who started treatment between 2003 and 2008 and thus had finished approximately 2 years of treatment by the end of 2010. A retrospective cohort analysis of multi- and extensively drug-resistant tuberculosis patients enrolled in treatment between 2003 and 2008 compared baseline demographic characteristics and possible risk factors for default. Default was defined as missing ≥60 consecutive days of treatment (all drugs). Data were routinely collected during treatment and entered in a database. Potential risk factors for default were assessed in univariate analysis using chi-square test and in multivariate analysis with logistic regression. 20% (142/710) of patients defaulted after a median of 6 months treatment (IQR 2.6-9.9). Factors associated with default included severity of resistance patterns (pre-extensively drug-resistant/extensively drug-resistant tuberculosis adjusted odds ratio 0.52, 95%CI: 0.31-0.86), previous default (2.38, 1.09-5.24) and age >45 years (1.77, 1.10-2.87). The default rate was 14% (42/294) for patients enrolled 2003-2006 and 24% (100/416) for 2007-2008 enrolments (p = 0.001). Default from treatment was high and increased with programme scale-up. It is essential to ensure scale-up of treatment is accompanied with scale-up of staff and patient support. A successful first course of tuberculosis treatment is important; patients who had previously defaulted were at increased risk of default and death. The protective effect of severe resistance profiles suggests that understanding disease severity or fear may motivate against default. Targeted

  6. Gene blaCTX-M Mutation as Risk Factor of Antibiotic Resistance

    Directory of Open Access Journals (Sweden)

    Devinna Kang

    2017-06-01

    Full Text Available Currently there are more than half from all antibiotics used in the world which is belong to β lactam group, but clinical effectiveness of the antibiotics are limited by antibiotic resistance of microorganisms as causative agents from infectious diseases. Several resistance mechanisms for Enterobacteriaceae are mostly caused by enzymatic hydrolysis of antibiotics specific enzymes, called β lactamases. β lactamases represent a large group of enzyme which is genetically and functionally different as extended‑spectrum β-lactamase (ESBL and known as greatest threat of resistence. Plasmid localization from the encoded gene and enzyme distribution among the pathogen increases every year. Most widespread and clinically relevant ESBL are class A ESBL of Temoniera (TEM, Sulphydryl variable (SHV and Cefotaxime (CTX-M types. The purpose of this review was to analyze variant of blaCTX-M gene which cause the most increase incidence of antibiotic resistance. The methods of this review were data-based searching based on Pubmed, Scopus and Google Scholar, without limitation of index factor by using the keyword “blaCTX-M”, “Extended-spectrum β-lactamase”, and “antibiotic resistance”. The conclusion of the review is CTX-M type ESBL have replaced TEM and SHV type as dominant enzyme in last decade. ESBL produced by Klebsiella pneumoniae have emerged as one of major nosocomial pathogens. Nosocomial infection caused by CTX-M-15 in Klebsiella pneumoniae dramatically increased in recent years.

  7. Network analysis of S. aureus response to ramoplanin reveals modules for virulence factors and resistance mechanisms and characteristic novel genes.

    Science.gov (United States)

    Subramanian, Devika; Natarajan, Jeyakumar

    2015-12-10

    Staphylococcus aureus is a major human pathogen and ramoplanin is an antimicrobial attributed for effective treatment. The goal of this study was to examine the transcriptomic profiles of ramoplanin sensitive and resistant S. aureus to identify putative modules responsible for virulence and resistance-mechanisms and its characteristic novel genes. The dysregulated genes were used to reconstruct protein functional association networks for virulence-factors and resistance-mechanisms individually. Strong link between metabolic-pathways and development of virulence/resistance is suggested. We identified 15 putative modules of virulence factors. Six hypothetical genes were annotated with novel virulence activity among which SACOL0281 was discovered to be an essential virulence factor EsaD. The roles of MazEF toxin-antitoxin system, SACOL0202/SACOL0201 two-component system and that of amino-sugar and nucleotide-sugar metabolism in virulence are also suggested. In addition, 14 putative modules of resistance mechanisms including modules of ribosomal protein-coding genes and metabolic pathways such as biotin-synthesis, TCA-cycle, riboflavin-biosynthesis, peptidoglycan-biosynthesis etc. are also indicated. Copyright © 2015 Elsevier B.V. All rights reserved.

  8. Vitamin D deficiency and insulin resistance as risk factors for dyslipidemia in obese children.

    Science.gov (United States)

    Erol, Meltem; Bostan Gayret, Özlem; Hamilçıkan, Şahin; Can, Emrah; Yiğit, Özgu L

    2017-04-01

    Dyslipidemia is one of the major complications of obesity; vitamin D deficiency and insulin resistance are attending metabolic complications in dyslipidemic obese children. Objective. To determine if vitamin D deficiency and insulin resistance are risk factors for dyslipidemia in obese children. This study was conducted in the Department of Pediatrics at Bagcilar Training and Research Hospital in Istanbul, Turkey between 2014 and 2015. Obese patients whose age range was 8-14 were included in the study. The serum triglyceride, total cholesterol, low-density lipoprotein cholesterol, highdensity lipoprotein cholesterol, fasting glucose, insulin, alanine aminotransferase, vitamin D levels were measured; a liver ultrasonography was performed. Homeostatic model assessment (HOMA-IR), was used to calculate insulin resistance. 108 obese children were included; 39 (36.11%) had dyslipidemia. The average fasting blood glucose (88.74 ± 7.58 vs. 95.31 ± 6.82; p= 0.0001), insulin level (14.71 ± 12.44 vs. 24.39 ± 15.02; p= 0.0001) and alanine aminotransferase level (23.45 ± 11.18 vs. 30.4 ± 18.95; p= 0.018) were significantly higher in the children with dyslipidemia. In the dyslipidemic obese children, the average hepatosteatosis rate and HOMA-IR level were higher; 28 (71.9%) had hepatosteatosis, 37 (94.87%) had insulin resistance; the vitamin D levels were dyslipidemia. Obese children in our region exhibit low vitamin D and increased HOMA-IR levels, which are efficient risk factors of dyslipidemia.

  9. Risk Factors for Multidrug-resistant Tuberculosis

    Directory of Open Access Journals (Sweden)

    Cleopas Martin Rumende

    2018-04-01

    Diabetes mellitus has been a well-known risk factor for TB in the past. The global convergence of the accelerating type 2 DM pandemic, high TB prevalence and drug-resistant TB during the past couple of decades has become a serious challenge to clinicians worldwide. Over the past few years, some studies have shown that the treatment failure rate is higher in TB patients with DM as comorbidity. Moreover, there is significant association between DM an MDR-TB. There is higher chance of TB bacilli persistence to be present in sputum of pulmonary TB patient with DM than TB-only patient after 5 months treatment, and this persistence made it necessary for more longer treatment. Presence of DM in TB patients cause a longer period for sputum conversion, therefore it may become a major cause of poor treatment outcome in TB patients. Previous studies showed that a major mechanism for the emergence of drugs resistance in TB bacilli is random mutation in the bacterial genome and the pressure of selection by anti-TB drugs. Pulmonary TB in diabetic patients usually show higher mycobacterial loads at the initiation of treatment, hence they may have higher chance of bacillary mutation and the emergence of MDR-TB with the presenting of higher bacterial loads, longer treatment is needed to clear the bacteria. Therefore, it is not suprising that a higher chance of MDR-TB patients could be find in those patients. A pharmacokinetic study noted that plasma levels of rifampicin were 53% lower in TB patients with diabetes, which might affect treatment outcomes. Inadequate immune respons of the host may also be important in this negative effect of diabetes. Depressed production of IFN-γ in diabetic patients is related to decreasing immune response to TB infection. Reduction of IL-12 response to mycobacterial stimulation in leukocytes from TB with diabetic patients suggest a compromise of innate immune response.

  10. Risk factors and treatment outcomes of bloodstream infection caused by extended-spectrum cephalosporin-resistant Enterobacter species in adults with cancer.

    Science.gov (United States)

    Huh, Kyungmin; Kang, Cheol-In; Kim, Jungok; Cho, Sun Young; Ha, Young Eun; Joo, Eun-Jeong; Chung, Doo Ryeon; Lee, Nam Yong; Peck, Kyong Ran; Song, Jae-Hoon

    2014-02-01

    Treatment of Enterobacter infection is complicated due to its intrinsic resistance to cephalosporins. Medical records of 192 adults with cancer who had Enterobacter bacteremia were analyzed retrospectively to evaluate the risk factors for and the treatment outcomes in extended-spectrum cephalosporin (ESC)-resistant Enterobacter bacteremia in adults with cancer. The main outcome measure was 30-day mortality. Of the 192 patients, 53 (27.6%) had bloodstream infections caused by ESC-resistant Enterobacter species. Recent use of a third-generation cephalosporin, older age, tumor progression at last evaluation, recent surgery, and nosocomial acquisition were associated with ESC-resistant Enterobacter bacteremia. The 30-day mortality rate was significantly higher in the resistant group. Multivariate analysis showed that respiratory tract infection, tumor progression, septic shock at presentation, Enterobacter aerogenes as the culprit pathogen, and diabetes mellitus were independent risk factors for mortality. ESC resistance was significantly associated with mortality in patients with E. aerogenes bacteremia, although not in the overall patient population. Copyright © 2014 Elsevier Inc. All rights reserved.

  11. Risk factors associated with fluoroquinolone-resistant enterococcal urinary tract infections in a tertiary care university hospital in north India

    Directory of Open Access Journals (Sweden)

    Tuhina Banerjee

    2016-01-01

    Interpretation & conclusions: Our results showed that fluoroquinolone resistance in enterococcal UTI was largely associated with indoor usage of antibiotics and use of indwelling devices. Knowledge of risk factors is important to curb this emergence of resistance.

  12. Risk factors associated with multidrug-resistant tuberculosis in Espírito Santo, Brazil.

    Science.gov (United States)

    Fregona, Geisa; Cosme, Lorrayne Belique; Moreira, Cláudia Maria Marques; Bussular, José Luis; Dettoni, Valdério do Valle; Dalcolmo, Margareth Pretti; Zandonade, Eliana; Maciel, Ethel Leonor Noia

    2017-04-27

    To analyze the prevalence and factors associated with multidrug-resistant tuberculosis in Espírito Santo, Brazil. This is a cross-sectional study of cases of tuberculosis tested for first-line drugs (isoniazid, rifampicin, pyrazinamide, ethambutol, and streptomycin) in Espírito Santo between 2002 and 2012. We have used laboratory data and registration of cases of tuberculosis - from the Sistema Nacional de Agravos de Notificação and Sistema para Tratamentos Especiais de Tuberculose. Individuals have been classified as resistant and non-resistant and compared in relation to the sociodemographic, clinical, and epidemiological variables. Some variables have been included in a logistic regression model to establish the factors associated with resistance. In the study period, 1,669 individuals underwent anti-tuberculosis drug susceptibility testing. Of these individuals, 10.6% showed resistance to any anti-tuberculosis drug. The rate of multidrug resistance observed, that is, to rifampicin and isoniazid, has been 5%. After multiple analysis, we have identified as independent factors associated with resistant tuberculosis: history of previous treatment of tuberculosis [recurrence (OR = 7.72; 95%CI 4.24-14.05) and re-entry after abandonment (OR = 3.91; 95%CI 1.81-8.43)], smoking (OR = 3.93; 95%CI 1.98-7.79), and positive culture for Mycobacterium tuberculosis at the time of notification of the case (OR = 3.22; 95%CI 1.15-8.99). The partnership between tuberculosis control programs and health teams working in the network of Primary Health Care needs to be strengthened. This would allow the identification and monitoring of individuals with a history of previous treatment of tuberculosis and smoking. Moreover, the expansion of the offer of the culture of tuberculosis and anti-tuberculosis drug susceptibility testing would provide greater diagnostic capacity for the resistant types in Espírito Santo. Analisar a prevalência e fatores associados à tuberculose resistente

  13. Chemical plant factors affecting resistance in sugarcane in against Scirpophaga Nivella f

    International Nuclear Information System (INIS)

    Ashfaq, M.; Khan, A.; Ali, A.

    2003-01-01

    The study was conducted during 2000 to determine the role of various chemical plant factors viz., total minerals, nitrogen, fat contents, carbohydrate, macro an micro nutrients in the leaves of five genotypes of sugarcane i.e., BF-162, SPSG-26, L-118, CP-43/33 and CP-72/2086 by correlating the infestation of top borer, Scirpophaga Nivella F. at tillering stage. None of the genotype was found completely resistant to the pest. CP-43/33 and BF-162 proved susceptible and resistant varieties, respectively. Total mineral, manganese and copper contents did not show significant correlation with the pest infestation, whereas nitrogen, potassium, calcium, magnesium and ferrous contents played a positive and significant role. Phosphorous, carbohydrates, fats and zinc contents played a significant and negative effect on the pest infestation at tillering stage. (author)

  14. FACTORS AFFECTING VARIABILITY OF RESISTANCE IN GAROLE SHEEP NATURALLY INFECTED WITH HAEMONCHUS CONTORTUS

    Directory of Open Access Journals (Sweden)

    Manoranjan Roy

    2013-06-01

    Full Text Available Resistance status against natural infection to Haemonchus contortus as well as influence of season, sex, body weight, and haemoglobin type on resistance levels were evaluated in 309 numbers of Garole sheep. In adult Garole sheep, egg per gram(EPG of faeces for Haemonchus contortus was varied from 300 to 1600, but overall EPG in Garole have been recorded as 829.96 ± 20.60. The effects of season, sex, and body weight and haemoglobin type on EPG were all found to be highly significant (P < 0.01. EPG count was highest during monsoon (986.27 ± 28.26, followed by summer (832.88 ± 28.26 and lowest during winter (670.74 ± 28.26 which indicated the existence of a seasonal variation of EPG. Rams had higher EPG (954.32 ± 57.93 than ewes (705.60 ± 45.79 which reflected that males appeared to be more susceptible to Haemonchus contortus infection compared to females. Animals with lower body weight (upto 10 kg showed higher EPG (1017.20 ± 54.82, then the infection level decreased as body weight increased (886.79 ± 56.23 for 10 kg to 12 kg and 737.18 ± 50.29 for 12 kg to 14 kg and lowest EPG was recorded in animals with above 14 kg body weight (678.68 ± 54.49. This study reveals Hb-BB type animals had higher EPG count (983.81 ± 18.22 in comparison to Hb-AB type animals (676.12 ± 33.96 indicating that Haemoglobin-A locus has some relation with resistance. From our study it can be concluded that resistant level of Garole sheep against Haemonchus contortus is influenced by some intrinsic factors like sex, body weight, and haemoglobin type of the sheep and also by extrinsic factor like season.

  15. Alterations in tumor necrosis factor signaling pathways are associated with cytotoxicity and resistance to taxanes: a study in isogenic resistant tumor cells

    Science.gov (United States)

    2012-01-01

    Introduction The taxanes paclitaxel and docetaxel are widely used in the treatment of breast, ovarian, and other cancers. Although their cytotoxicity has been attributed to cell-cycle arrest through stabilization of microtubules, the mechanisms by which tumor cells die remains unclear. Paclitaxel has been shown to induce soluble tumor necrosis factor alpha (sTNF-α) production in macrophages, but the involvement of TNF production in taxane cytotoxicity or resistance in tumor cells has not been established. Our study aimed to correlate alterations in the TNF pathway with taxane cytotoxicity and the acquisition of taxane resistance. Methods MCF-7 cells or isogenic drug-resistant variants (developed by selection for surviving cells in increasing concentrations of paclitaxel or docetaxel) were assessed for sTNF-α production in the absence or presence of taxanes by enzyme-linked immunosorbent assay (ELISA) and for sensitivity to docetaxel or sTNF-α by using a clonogenic assay (in the absence or presence of TNFR1 or TNFR2 neutralizing antibodies). Nuclear factor (NF)-κB activity was also measured with ELISA, whereas gene-expression changes associated with docetaxel resistance in MCF-7 and A2780 cells were determined with microarray analysis and quantitative reverse transcription polymerase chain reaction (RTqPCR). Results MCF-7 and A2780 cells increased production of sTNF-α in the presence of taxanes, whereas docetaxel-resistant variants of MCF-7 produced high levels of sTNF-α, although only within a particular drug-concentration threshold (between 3 and 45 nM). Increased production of sTNF-α was NF-κB dependent and correlated with decreased sensitivity to sTNF-α, decreased levels of TNFR1, and increased survival through TNFR2 and NF-κB activation. The NF-κB inhibitor SN-50 reestablished sensitivity to docetaxel in docetaxel-resistant MCF-7 cells. Gene-expression analysis of wild-type and docetaxel-resistant MCF-7, MDA-MB-231, and A2780 cells identified changes

  16. Livestock-associated methicillin resistant Staphylococcus aureus in pigs - prevalence, risk factors and transmission dynamics

    NARCIS (Netherlands)

    Broens, E.M.

    2011-01-01

    In 2004, an association between human carriage of methicillin resistant Staphylococcus aureus (MRSA) and contact with pigs was found. To assess the implications of this finding for veterinary and public health more insight into the prevalence, risk factors and transmission dynamics of

  17. Characterization of Salmonella enterica Serovar Typhimurium DT104 Isolated from Denmark and Comparison with Isolates from Europe and the United States

    DEFF Research Database (Denmark)

    Baggesen, Dorte Lau; Sandvang, D.; Aarestrup, Frank Møller

    2000-01-01

    A total of 136 isolates of Salmonella enterica serovar Typhimurium DT104 from Denmark (n = 93), Germany (n = 10), Italy (n = 4), Spain (n = 5), and the United Kingdom (n = 9) were characterized by antimicrobial resistance analysis, plasmid profiling, pulsed-field gel electrophoresis (PFGE......) with the restriction enzymes XbaI and BlnI, and analysis for the presence of integrons and antibiotic resistance genes. The isolates from Denmark were from nine pig herds, while the isolates from other countries were both of animal and of human origin. All but 10 isolates were resistant to ampicillin, chloramphenicol......, spectinomycin, streptomycin, sulfonamides, and tetracycline. Five isolates from the United Kingdom and Spain were sensitive to all antibiotics examined, whereas four isolates from the United Kingdom and the United States were also resistant to one or more of the antibiotics, namely, gentamicin, neomycin...

  18. Risk factors for hospital-acquired bacteremia due to carbapenem-resistant Pseudomonas aeruginosa in a Colombian hospital.

    Science.gov (United States)

    Valderrama, Sandra Liliana; González, Pedro Felipe; Caro, María Alejandra; Ardila, Natalia; Ariza, Beatriz; Gil, Fabián; Álvarez, Carlos

    2016-02-23

    Bacteremia due to Pseudomonas aeruginosa resistant to carbapenems is a public health problem due to the limitations it places on therapeutic options, as well as the increased time patients must spend in hospital, costs and the risk of mortality.  To evaluate the risk factors for presentation of bacteremia due to carbapenem-resistant P. aeruginosa acquired in the Hospital Universitario San Ignacio between January 2008 and June 2014.  This was a case control study in which the case patients presented bacteremia due to P. aeruginosa resistant to carbapenems and the control group included patients with P. aeruginosa susceptible to this group of antibiotics. Variables such as the previous use of meropenem and ertapenem, immunosuppression and neoplasia were measured. Mortality and duration of hospital were also described.  In all, 168 patients were evaluated, of which 42 were cases and 126 controls. Using a multivariate model, the risk factors related to bacteremia due to carbapenem-resistant P. aeruginosa acquired in hospital were the following: use of parenteral nutrition (OR=8.28; 95% CI: 2.56-26.79; p=0); use of meropenem (OR=1.15; 95% CI: 1.03-1.28; p=0.01); and use of ciprofloxacin (OR=81.99; 95% CI: 1.14-5884; p=0.043).  In order to prevent the emergence of carbapenem-resistant P. aeruginosa, antimicrobial control programs should be strengthened by promoting the prudent administration of carbapenems and quinolones. The correct use of parenteral nutrition should also be monitored.

  19. Antibiotic resistance, virulence factors and biofilm formation ability in Escherichia coli strains isolated from chicken meat and wildlife in the Czech Republic.

    Science.gov (United States)

    Pavlickova, Silvie; Klancnik, Anja; Dolezalova, Magda; Mozina, Sonja Smole; Holko, Ivan

    2017-08-03

    Attachment of pathogenic bacteria to food contact surfaces and the subsequent biofilm formation represent a serious threat for the food industry, since these bacteria are more resistant to antimicrobials or possess more virulence factors. The main aim of this study was to investigate the correlation between antibiotic resistance against 13 antibiotics, distribution of 10 virulence factors and biofilm formation in 105 Escherichia coli strains according to their origin. The high prevalence of antibiotic resistance that we have found in wildlife isolates could be acquired by horizontal transfer of resistance genes from human or domestic or farm animals. Consequently, these commensal bacteria might serve as indicator of antimicrobial usage for human and veterinary purposes in the Czech Republic. Further, 46 out of 66 resistant isolates (70%) were able to form biofilm and we found out statistically significant correlation between prevalence of antibiotic resistance and biofilm formation ability. The highest prevalence of antibiotic resistance was observed in weak biofilm producers. Biofilm formation was not statistically associated with any virulence determinant. However, we confirmed the correlation between prevalence of virulence factors and host origin. Chicken isolates possessed more virulence factors (66%), than isolates from wildlife (37%). We can conclude that the potential spread of antibiotic resistance pattern via the food chain is of high concern for public health. Even more, alarming is that E. coli isolates remain pathogenic potential with ability to form biofilm and these bacteria may persist during food processing and consequently lead to greater risks of food contamination.

  20. Genotypes, Virulence Factors and Antimicrobial Resistance Genes of Staphylococcus aureus Isolated in Bovine Subclinical Mastitis from Eastern China

    Directory of Open Access Journals (Sweden)

    Javed Memon§, Yongchun Yang§, Jam Kashifa, Muhammad Yaqoob, Rehana Buriroa, Jamila Soomroa, Wang Liping and Fan Hongjie*

    2013-11-01

    Full Text Available This study was carried out to determine the genotypes, virulence factors and antimicrobial resistance traits of 34 Staphylococcus aureus isolated from subclinical mastitis in Eastern China. Minimal inhibitory concentration (MIC results showed resistance to erythromycin in all isolates. A high frequency of Methicillin resistant S. aureus (MRSA; 29% was observed and these isolates were also highly resistant to penicillin, oxacillin, oxytetracycline and chloramphenicol than methicillin sensitive S. aureus (MSSA isolates. Thirteen pathogenic factors and seven resistance genes including mecA and blaZ gene were checked through PCR. The spaX gene was found in all isolates, whereas cna, spaIg, nuc, clfA, fnbpB, hlA, hlB and seA were present in 35, 79, 85, 59, 35, 85, 71 and 38% isolates, respectively. Nine isolates carried a group of 8 different virulence genes. Moreover, macrolide resistance genes ermB and ermC were present in all isolates. High resistance rate against methicillin was found but no isolate was positive for mecA gene, whereas blaZ and tetK were detected in 82 and 56% isolates, respectively. Genes; fnbpA, seB, seC, seD, dfrK and tetM were not found in any isolate. The statistical association between phenotypic resistance and virulence genes showed, clfA, fnbpB, hlB and seA, were potentially associated with penicillin G, ciprofloxacin, methicillin, chloramphenicol, trimethoprim and oxytetracycline resistance (P≤0.05. REP-PCR based genotyping showed seven distinct genotypes (A-G prevalent in this region. This study reports the presence of multidrug resistant S. aureus in sub-clinical mastitis which were also highly virulent that could be a major obstacle in the treatment of mastitis in this region of China.

  1. Temporary reversal by topotecan of marked insulin resistance in a patient with myelodysplastic syndrome: case report and possible mechanism for tumor necrosis factor alpha (TNF-alpha)-induced insulin resistance.

    Science.gov (United States)

    Huntington, M O; Krell, K E; Armour , W E; Liljenquist, J E

    2001-06-01

    Tumor necrosis factor-alpha (TNF-alpha) is an important mediator of insulin resistance in obesity and diabetes through its ability to decrease the tyrosine kinase activity of the insulin receptor. We report here a remarkable degree of insulin resistance in a patient with adult respiratory distress syndrome and myelodysplasia.

  2. Use of gamma-rays mutagenesis in obtaining chickpea (Cicer arietinum L.) forms resistant to unfavorable environmental factors

    International Nuclear Information System (INIS)

    Cliciuc, D.

    2013-01-01

    Following experimental use of γ irradiation on chickpea, mutant forms with a series of morpho-physiological treats were obtained. During the study period, these mutants have been subjected to several stress factors like disease, drought, storm wind in which they presented a different resistance. Some of these mutants showed an increased sensitivity in certain environmental conditions and others have presented an increased resistance.

  3. Induction of a stable sigma factor SigR by translation-inhibiting antibiotics confers resistance to antibiotics

    OpenAIRE

    Yoo, Ji-Sun; Oh, Gyeong-Seok; Ryoo, Sungweon; Roe, Jung-Hye

    2016-01-01

    Antibiotic-producing streptomycetes are rich sources of resistance mechanisms against endogenous and exogenous antibiotics. An ECF sigma factor ?R (SigR) is known to govern the thiol-oxidative stress response in Streptomyces coelicolor. Amplification of this response is achieved by producing an unstable isoform of ?R called ?R?. In this work, we present evidence that antibiotics induce the SigR regulon via a redox-independent pathway, leading to antibiotic resistance. The translation-inhibiti...

  4. Risk factors for carbapenem resistant bacteraemia and mortality due to gram negative bacteraemia in a developing country

    International Nuclear Information System (INIS)

    Kalam, K.; Kumar, S.; Ali, S.; Baqi, S.; Qamar, F.

    2014-01-01

    Objective: To identify the risk factors for carbapenem resistant bacteraemia and mortality due to gram negative bacteraemia in a developing country. Methods: A prospective cohort study was conducted at the Sindh Institute of Urology and Transplantation (SIUT) from June to October 2012. Hospitalized patients > 15 years of age with gram negative bacteraemia were included and followed for a period of 2 weeks for in hospital mortality. Data was collected and analyzed for 243 subjects. Multivariate analysis was used to determine the risk factors for carbapenem resistant bacteraemia and mortality due to gram negative bacteraemia. Crude and adjusted odds ratio and 95% CI are reported. Results: A total of 729 out of 1535 (47.5%) cultures were positive for gram negative isolates. Out of 243 subjects, 117 (48%) had an MDR isolate. Having an MDR isolate on culture (AOR, 2.33; 95% CI, 1.35 -4.0), having multiple positive cultures (AOR, 1.8; 95% CI, 0.94 -3.4) and stay in ICU >48 hours (AOR, 2.0 ; 95% CI, 1.12 -3.78) were identified as significant risk factors for mortality due to gram negative organisms. Risk factors for carbapenem resistant bacteraemia were age >50 years (AOR, 1.83; 95% CI, 1.0-3.5), septic shock on presentation (AOR 2.53; 95% CI, 1.03 -6.2) , ICU stay of >72 hours (AOR 2.40; 95% CI, 1.14-5.0) and receiving immunosuppressant medications (AOR 2.23; 95% CI, 0.74 - 6.7). Conclusion: There is a high burden of MDR and carbapenem resistant gram negative bacteraemia, with a high mortality rate. (author)

  5. Bloodstream infections caused by multi-drug resistant Proteus mirabilis: Epidemiology, risk factors and impact of multi-drug resistance.

    Science.gov (United States)

    Korytny, Alexander; Riesenberg, Klaris; Saidel-Odes, Lisa; Schlaeffer, Fransisc; Borer, Abraham

    2016-01-01

    The prevalence of antimicrobial co-resistance among ESBL-producing Enterobactereaceae is extremely high in Israel. Multidrug-resistant Proteus mirabilis strains (MDR-PM), resistant to almost all antibiotic classes have been described. The aim was to determine the risk factors for bloodstream infections caused by MDR-PM and clinical outcomes. A retrospective case-control study. Adult patients with PM bacteremia during 7 years were identified retrospectively and their files reviewed for demographics, underlying diseases, Charlson Comorbidity Index, treatment and outcome. One hundred and eighty patients with PM-bloodstream infection (BSI) were included; 90 cases with MDR-PM and 90 controls with sensitive PM (S-PM). Compared to controls, cases more frequently were from nursing homes, had recurrent hospital admissions in the past year and received antibiotic therapy in the previous 3 months, were bedridden and suffered from peripheral vascular disease and peptic ulcer disease (p < 0.001). Two-thirds of the MDR-PM isolates were ESBL-producers vs 4.4% of S-PM isolates (p < 0.001, OR = 47.6, 95% CI = 15.9-142.6). In-hospital crude mortality rate of patients with MDR-PM BSI was 37.7% vs 23.3% in those with S-PM BSI (p = 0.0359, OR = 2, 95% CI = 1.4-3.81). PM bacteremia in elderly and functionally-dependent patients is likely to be caused by nearly pan-resistant PM strains in the institution; 51.8% of the patients received inappropriate empiric antibiotic treatment. The crude mortality rate of patients with MDR-PM BSI was significantly higher than that of patients with S-PM BSI.

  6. The incidence and risk factors of resistant E. coli infections after prostate biopsy under fluoroquinolone prophylaxis: a single-centre experience with 2215 patients.

    Science.gov (United States)

    Kandemir, Özlem; Bozlu, Murat; Efesoy, Ozan; Güntekin, Onur; Tek, Mesut; Akbay, Erdem

    2016-08-01

    We evaluated the incidence and risk factors of resistant Escherichia coli infections after the prostate biopsy under flouroquinolone prophylaxis. From January 2003 to December 2012, we retrospectively evaluated the records of 2215 patients. The risk factors were described for infective complications and resistant E. coli in positive cultures was calculated. Of 2215 patients, 153 had positive urine cultures, such as 129 (84·3%) E. coli, 8 (5·2%) Enterococcus spp., 6 (3·9%) Enterobacter spp., 5 (3·2%) Pseudomonas spp., 3 (1·9%) MRCNS, and 2 (1·3%) Klebsiella spp. Of the positive urine cultures which yielded E. coli, 99 (76·7%) were evaluated for fluoroquinolone resistance. Of those, 83 (83·8%) were fluoroquinolone-resistant and composed of 51 (61·4%) extended-spectrum beta-lactamase (ESBL)-positive. Fluoroquinolone-resistant E. coli ratios were 73·4 and 95·9% before 2008 and after 2008, respectively (P = 0·002). The most sensitive antibiotics for fluoroquinolone-resistant E. coli strains were imipenem (100%), amikacin (84%) and cefoperazone (83%). The use of quinolones in the last 6 months and a history of hospitalization in the last 30 days were found to be significant risk factors. We found that resistant E. coli strains might be a common microorganism in patients with this kind of complication. The risk factors for development of infection with these resistant strains were history of the use of fluoroquinolones and hospitalization.

  7. A comparison of the motivational factors between CrossFit participants and other resistance exercise modalities: a pilot study.

    Science.gov (United States)

    Fisher, James; Sales, Adele; Carlson, Luke; Steele, James

    2017-09-01

    Understanding resistance exercise motives and participation is essential in increasing exercise adherence and reducing comorbidities. CrossFit is a fitness movement that has seen an explosive growth in popularity worldwide; however, little research has investigated the motivational factors within this "niche" resistance exercise environment. The aim of this study was to explore the motivational factors of CrossFit participants in comparison to other resistance exercise participants. Using an independent-group design, quantitative data was collected using exercise motivations inventory-2 (EMI-2) questionnaire, for a total of 314 male and female participants (CrossFit: N.=68, group resistance exercise: N.=55, alone: N.=125, personal trainer: N.=66). The present study suggest that CrossFit participants were more likely to report higher levels of intrinsic motives, such as enjoyment, challenge and affiliation, whereas personal training clients reported higher values for health related motives such as positive health, ill-health avoidance and weight management. The findings suggest that the motivations for engaging in CrossFit may be similar to those seen in sport participation, and therefore may have an influence on facilitating long-term adherence in comparison with other resistance exercise modalities. This article also discusses health related motives as being extrinsic in nature but reflecting intrinsic characteristics, potentially also facilitating long term adherence. The present research helps develop further understanding of motivational variables within differing resistance exercise modalities.

  8. Virulence Factors and Antibiotic Resistance in Uropathogenic and Commensal Escherichia coli Isolates

    Directory of Open Access Journals (Sweden)

    Iraj Sedighi

    2016-10-01

    Full Text Available Background: Urinary Tract Infections (UTIs, including cystitis and pyelonephritis, are the most common infectious diseases in childhood. Aim and Objectives: Escherichia coli (E. coli account for as much as 90% of the community-acquired and also 50% of nosocomial UTIs. Therefore, the identification of E. coli strains and antibiotic resistance patterns is important for both clinical and epidemiological implications. Material and Methods: To characterize uropathogenic strains E. coli, we studied 100 strains recovered from both urine samples of children aged less than 7 years with community-acquired UTIs and stool samples of healthy children, respectively. Results: We assessed Virulence Factors (VFs and drug sensitivities of E. coli isolates. Drug sensitivities of the isolates were 94% (amikacin, 90% (nitrofurantoin, 66% (gentamicin, 56% (cefixime, 40% (nalidixic acid and 28% (cotrimoxazol. Laboratory tests showed that the prevalence of virulence factors ranged from 18% for hemolysin and P-fimbriae to 2% for type1-fimbriae. Most drug resistance was cotrimoxazole and amikacin was the lowest. P-fimbriae and hemolysin in uropathogenic E. coli were more frequent than non-pathogen type of E. coli. Conclusion: Although amikacin appeared to be the first choice for UTI in children, but nitrofurantoin seems to be practical and could be considered as the selective choice for uncomplicated lower UTIs.

  9. Platelet factor XIII increases the fibrinolytic resistance of platelet-rich clots by accelerating the crosslinking of alpha 2-antiplasmin to fibrin

    Science.gov (United States)

    Reed, G. L.; Matsueda, G. R.; Haber, E.

    1992-01-01

    Platelet clots resist fibrinolysis by plasminogen activators. We hypothesized that platelet factor XIII may enhance the fibrinolytic resistance of platelet-rich clots by catalyzing the crosslinking of alpha 2-antiplasmin (alpha 2AP) to fibrin. Analysis of plasma clot structure by polyacrylamide gel electrophoresis and immunoblotting revealed accelerated alpha 2AP-fibrin crosslinking in platelet-rich compared with platelet-depleted plasma clots. A similar study of clots formed with purified fibrinogen (depleted of factor XIII activity), isolated platelets, and specific factor XIII inhibitors indicated that this accelerated crosslinking was due to the catalytic activity of platelet factor XIII. Moreover, when washed platelets were aggregated by thrombin, there was evidence of platelet factor XIII-mediated crosslinking between platelet alpha 2AP and platelet fibrin(ogen). Specific inhibition (by a monoclonal antibody) of the alpha 2AP associated with washed platelet aggregates accelerated the fibrinolysis of the platelet aggregate. Thus in platelet-rich plasma clots, and in thrombin-induced platelet aggregates, platelet factor XIII actively formed alpha 2AP-fibrin crosslinks, which appeared to enhance the resistance of platelet-rich clots to fibrinolysis.

  10. Distinctive Risk Factors and Phenotype of Younger Patients With Resistant Hypertension: Age Is Relevant.

    Science.gov (United States)

    Ghazi, Lama; Oparil, Suzanne; Calhoun, David A; Lin, Chee Paul; Dudenbostel, Tanja

    2017-05-01

    Resistant hypertension, defined as blood pressure >140/90 mm Hg despite using ≥3 antihypertensive medications, is a well-recognized clinical entity. Patients with resistant hypertension are at an increased risk of cardiovascular disease compared with those with more easily controlled hypertension. Coronary heart disease mortality rates of younger adults are stagnating or on the rise. The purpose of our study was to characterize the phenotype and risk factors of younger patients with resistant hypertension, given the dearth of data on cardiovascular risk profile in this cohort. We conducted a cross-sectional analysis with predefined age groups of a large, ethnically diverse cohort of 2170 patients referred to the Hypertension Clinic at the University of Alabama at Birmingham. Patients (n=2068) met the inclusion criteria and were classified by age groups, that is, ≤40 years (12.7% of total cohort), 41 to 55 years (32.1%), 56 to 70 years (36.1%), and ≥71 years (19.1%). Patients aged ≤40 years compared with those aged ≥71 years had significantly earlier onset of hypertension (24.7±7.4 versus 55.0±14.1 years; P hypertension, younger individuals have a distinct phenotype characterized by overlapping risk factors and comorbidities, including obesity, high aldosterone, and high dietary sodium intake compared with elderly. © 2017 American Heart Association, Inc.

  11. Previous treatment, sputum-smear nonconversion, and suburban living: The risk factors of multidrug-resistant tuberculosis among Malaysians.

    Science.gov (United States)

    Mohd Shariff, Noorsuzana; Shah, Shamsul Azhar; Kamaludin, Fadzilah

    2016-03-01

    The number of multidrug-resistant tuberculosis patients is increasing each year in many countries all around the globe. Malaysia has no exception in facing this burdensome health problem. We aimed to investigate the factors that contribute to the occurrence of multidrug-resistant tuberculosis among Malaysian tuberculosis patients. An unmatched case-control study was conducted among tuberculosis patients who received antituberculosis treatments from April 2013 until April 2014. Cases are those diagnosed as pulmonary tuberculosis patients clinically, radiologically, and/or bacteriologically, and who were confirmed to be resistant to both isoniazid and rifampicin through drug-sensitivity testing. On the other hand, pulmonary tuberculosis patients who were sensitive to all first-line antituberculosis drugs and were treated during the same time period served as controls. A total of 150 tuberculosis patients were studied, of which the susceptible cases were 120. Factors found to be significantly associated with the occurrence of multidrug-resistant tuberculosis are being Indian or Chinese (odds ratio 3.17, 95% confidence interval 1.04-9.68; and odds ratio 6.23, 95% confidence interval 2.24-17.35, respectively), unmarried (odds ratio 2.58, 95% confidence interval 1.09-6.09), living in suburban areas (odds ratio 2.58, 95% confidence interval 1.08-6.19), are noncompliant (odds ratio 4.50, 95% confidence interval 1.71-11.82), were treated previously (odds ratio 8.91, 95% confidence interval 3.66-21.67), and showed positive sputum smears at the 2nd (odds ratio 7.00, 95% confidence interval 2.46-19.89) and 6th months of treatment (odds ratio 17.96, 95% confidence interval 3.51-91.99). Living in suburban areas, positive sputum smears in the 2nd month of treatment, and was treated previously are factors that independently contribute to the occurrence of multidrug-resistant tuberculosis. Those with positive smears in the second month of treatment, have a history of previous

  12. Theoretical Research on Thermal Shock Resistance of Ultra-High Temperature Ceramics Focusing on the Adjustment of Stress Reduction Factor

    Directory of Open Access Journals (Sweden)

    Daining Fang

    2013-02-01

    Full Text Available The thermal shock resistance of ceramics depends on not only the mechanical and thermal properties of materials, but also the external constraint and thermal condition. So, in order to study the actual situation in its service process, a temperature-dependent thermal shock resistance model for ultra-high temperature ceramics considering the effects of the thermal environment and external constraint was established based on the existing theory. The present work mainly focused on the adjustment of the stress reduction factor according to different thermal shock situations. The influences of external constraint on both critical rupture temperature difference and the second thermal shock resistance parameter in either case of rapid heating or cooling conditions had been studied based on this model. The results show the necessity of adjustment of the stress reduction factor in different thermal shock situations and the limitations of the applicable range of the second thermal shock resistance parameter. Furthermore, the model was validated by the finite element method.

  13. Antibiotic-resistant Pseudomonas aeruginosa infection in patients with bronchiectasis: prevalence, risk factors and prognostic implications

    Directory of Open Access Journals (Sweden)

    Gao YH

    2018-01-01

    Full Text Available Yong-hua Gao,1,* Wei-jie Guan,2,* Ya-nan Zhu,3 Rong-chang Chen,2 Guo-jun Zhang1 1Department of Respiratory and Critical Care Medicine, The First Affiliated Hospital of Zhengzhou University, Zhengzhou, Henan, 2State Key Laboratory of Respiratory Disease, National Clinical Research Center for Respiratory Disease, Guangzhou Institute of Respiratory Diseases, The First Affiliated Hospital of Guangzhou Medical University, Guangzhou, Guangdong, 3Department of Emergency Medicine, The First Affiliated Hospital of Zhengzhou University, Zhengzhou, Henan, People’s Republic of China *These authors contributed equally to this work Background and aims: Pseudomonas aeruginosa (PA is the most common pathogen in bronchiectasis and frequently develops resistance to multiple classes of antibiotics, but little is known about the clinical impacts of PA-resistant (PA-R isolates on bronchiectasis. We, therefore, investigated the prevalence, risk factors and prognostic implications of PA-R isolates in hospitalized bronchiectasis patients.Patients and methods: Between June 2011 and July 2016, data from adult bronchiectasis patients isolated with PA at the First Affiliated Hospital of Zhengzhou University were retrospectively analyzed. PA was classified as PA-R in case antibiogram demonstrated resistance on at least one occasion.Results: Seven hundred forty-seven bronchiectasis patients were assessed. Of these, 147 (19.7% had PA isolate in the sputum or bronchoscopic culture. PA-R and PA-sensitive accounted for 88 (59.9% and 59 (31.1% patients, respectively. In multivariate model, factors associated with PA-R isolate in bronchiectasis included prior exposure to antibiotics (odds ratio [OR] =6.18, three or more exacerbations in the previous year (OR =2.81, higher modified Medical Research Council dyspnea scores (OR =1.93 and greater radiologic severity (OR =1.15. During follow-up (median: 26 months; interquartile range: 6–59 months, 36 patients died, of whom 24 (66

  14. Complexity of Complement Resistance Factors Expressed by Acinetobacter baumannii Needed for Survival in Human Serum.

    Science.gov (United States)

    Sanchez-Larrayoz, Amaro F; Elhosseiny, Noha M; Chevrette, Marc G; Fu, Yang; Giunta, Peter; Spallanzani, Raúl G; Ravi, Keerthikka; Pier, Gerald B; Lory, Stephen; Maira-Litrán, Tomás

    2017-10-15

    Acinetobacter baumannii is a bacterial pathogen with increasing impact in healthcare settings, due in part to this organism's resistance to many antimicrobial agents, with pneumonia and bacteremia as the most common manifestations of disease. A significant proportion of clinically relevant A. baumannii strains are resistant to killing by normal human serum (NHS), an observation supported in this study by showing that 12 out of 15 genetically diverse strains of A. baumannii are resistant to NHS killing. To expand our understanding of the genetic basis of A. baumannii serum resistance, a transposon (Tn) sequencing (Tn-seq) approach was used to identify genes contributing to this trait. An ordered Tn library in strain AB5075 with insertions in every nonessential gene was subjected to selection in NHS. We identified 50 genes essential for the survival of A. baumannii in NHS, including already known serum resistance factors, and many novel genes not previously associated with serum resistance. This latter group included the maintenance of lipid asymmetry genetic pathway as a key determinant in protecting A. baumannii from the bactericidal activity of NHS via the alternative complement pathway. Follow-up studies validated the role of eight additional genes identified by Tn-seq in A. baumannii resistance to killing by NHS but not by normal mouse serum, highlighting the human species specificity of A. baumannii serum resistance. The identification of a large number of genes essential for serum resistance in A. baumannii indicates the degree of complexity needed for this phenotype, which might reflect a general pattern that pathogens rely on to cause serious infections. Copyright © 2017 by The American Association of Immunologists, Inc.

  15. Anti - microbial resistance stratified by risk factor among Escherichia coli strains isolated from the urinary tract at a rural clinic in Central India

    Directory of Open Access Journals (Sweden)

    Chatterjee B

    2009-01-01

    Full Text Available Background: The failure of empirical therapy is frequently observed, even in community-acquired urinary tract infections. We, therefore, conducted a prospective, clinic-based study in 2004-2005 to document anti-microbial resistance rates and correlate them with possible risk factors to assist empirical decision-making. Materials and Methods: Symptomatic patients with pyuria underwent urine culture. Isolates were identified using standard methods and anti-microbial resistance was determined by disk-diffusion. Ultrasonography was used to detect complicating factors. Patients were stratified by the presence of complicating factors and history of invasive procedures for comparison of resistance rates. Statistical Method Used: Chi-square or Fisher exact tests, as appropriate. Results: There were 156 E. coli isolates, of which 105 were community-acquired. Twenty-three community-acquired isolates were from patients with complicating factors while 82 were from patients without any. Fifty-one isolates were from patients who had recently undergone invasive procedures on the urinary tract. Thirty-two community-acquired isolates from reproductive-age women without apparent complicating factors had resistance rates of 50% or above against tetracyclines, Co-trimoxazole, aminopenicillins, Nalidixic acid, Ciprofloxacin and 1 st generation cephalosporins. Resistance rates were significantly higher among isolates from patients subjected to invasive procedures, except against Co-trimoxazole, tetracyclines and Amikacin. Conclusion: High rates of anti-microbial resistance in community-acquired uropathogens have made antimicrobial sensitivity testing necessary even in a rural, primary-care setting.

  16. Methicillin-resistant Staphylococcus aureus: Prevalence, incidence, risk factors, and effects on survival of patients in a specialist palliative care unit: A prospective observational study.

    Science.gov (United States)

    Gleeson, Aoife; Larkin, Philip; Walsh, Cathal; O'Sullivan, Niamh

    2016-04-01

    Little is known about the impact of methicillin-resistant Staphylococcus aureus in palliative care settings. To date, the clinical impact of methicillin-resistant Staphylococcus aureus in palliative care is unknown. To determine prevalence and incidence of methicillin-resistant Staphylococcus aureus colonisation in a specialist palliative care setting, to identify risk factors for methicillin-resistant Staphylococcus aureus colonisation, to determine the eradication success rate and to determine the impact of methicillin-resistant Staphylococcus aureus on survival. Prospective cohort study. Data were collected for consecutive admissions to an inpatient palliative care service. Patients were screened for methicillin-resistant Staphylococcus aureus colonisation on admission and 1 week post admission. Methicillin-resistant Staphylococcus aureus eradication was attempted in methicillin-resistant Staphylococcus aureus positive patients. Data were collected from 609 admissions for 466 individual patients. Admission screening data were available in 95.5%. Prevalence of methicillin-resistant Staphylococcus aureus colonisation was 11.59% (54 patients). One week incidence of methicillin-resistant Staphylococcus aureus colonisation was 1.2%. Risk factors for methicillin-resistant Staphylococcus aureus colonisation were determined using Chi-Squared test and included high Waterlow score (p resistant Staphylococcus aureus status prior to admission (p resistant Staphylococcus aureus was eradicated in 8.1% of admissions, while 46 patients commenced on the protocol (62.2%) died before completing it. Methicillin-resistant Staphylococcus aureus did not significantly impact survival but was significantly associated with having infection episodes and longer length of stay. This study identified risk factors for methicillin-resistant Staphylococcus aureus colonisation in palliative care patients. Methicillin-resistant Staphylococcus aureus was eradicated in 8.1% of patients. Hence

  17. Effect of high-intensity interval and resistance training on cardiovascular risk factors in MS patients

    OpenAIRE

    Severijns, Tobias; Wijckmans, Ferdy

    2017-01-01

    In this paper, the effect of high-intensity interval plus resistance training (HIITR) on cardiovascular risk factors was studied through a quasi-experimental study. Outcome measures are: endurance capacity, body composition, physical activity level, isometric muscle strength, oral glucose tolerance, blood lipids and lipoprotein - cholesterol.

  18. Urinary Tract Infections due to Multidrug-Resistant Enterobacteriaceae: Prevalence and Risk Factors in a Chicago Emergency Department

    Directory of Open Access Journals (Sweden)

    Thana Khawcharoenporn

    2013-01-01

    Full Text Available Background. Selection of empiric antibiotics for urinary tract infections (UTIs has become more challenging because of the increasing rates of multidrug-resistant Enterobacteriaceae (MDRE infections. Methods. This retrospective study was conducted to determine antibiotic resistance patterns, risk factors, and appropriate empiric antibiotic selection for MDRE UTIs. Adult patients seen in the Emergency Department (ED with Enterobacteriaceae UTIs during 2008-2009 were identified from review of microbiology records. MDRE were defined as organisms resistant to at least 3 categories of antibiotics. Results. There were 431 eligible patients; 83 (19% had MDRE UTIs. Resistance rates for individual antibiotics among MDRE UTIs were significantly greater than non-MDRE UTIs: levofloxacin, 72% versus 14%; TMP-SMX, 77% versus 12%; amoxicillin-clavulanate, 35% versus 4%; nitrofurantoin, 21% versus 12%, and ceftriaxone, 20% versus 0%. All Enterobacteriaceae isolates were susceptible to ertapenem (MIC ≤ 2 mg/L. Independent risk factors for MDRE UTI were prior fluoroquinolone use within 3 months (adjusted odds ratio (aOR 3.64; , healthcare-associated risks (aOR 2.32; , and obstructive uropathy (aOR 2.22; . Conclusion. Our study suggests that once-daily intravenous or intramuscular ertapenem may be appropriate for outpatient treatment of ED patients with MDRE UTI.

  19. A prospective study of treatment of carbapenem-resistant Enterobacteriaceae infections and risk factors associated with outcome.

    Science.gov (United States)

    de Maio Carrilho, Claudia M D; de Oliveira, Larissa Marques; Gaudereto, Juliana; Perozin, Jamile S; Urbano, Mariana Ragassi; Camargo, Carlos H; Grion, Cintia M C; Levin, Anna Sara S; Costa, Silvia F

    2016-11-03

    To describe the clinical and microbiological data of carbapenem-resistant Enterobacteriaceae (CRE) infections, the treatment used, hospital- and infection-related mortality, and risk factors for death. A prospective cohort conducted from March 2011 to December 2012. Clinical, demographic, and microbiological data such as in vitro sensitivity, clonality, carbapenemase gene mortality related to infection, and overall mortality were evaluated. Data were analyzed using Epi Info version 7.0 (CDC, Atlanta, GA, USA) and SPSS (Chicago, IL, USA). One hundred and twenty-seven patients were evaluated. Pneumonia, 52 (42 %), and urinary tract infections (UTI), 51 (40.2 %), were the most frequent sites of infection. The isolates were polyclonal; the Bla KPC gene was found in 75.6 % of isolates, and 27 % of isolates were resistant to colistin. Mortality related to infection was 34.6 %, and was higher among patients with pneumonia (61.4 %). Combination therapy was used in 98 (77.2 %), and monotherapy in 22.8 %; 96.5 % of them were UTI patients. Shock, age, and dialysis were independent risk factors for death. There was no difference in infection-related death comparing colistin-susceptible and colistin-resistant infections (p = 0.46); neither in survival rate comparing the use of combination therapy with two drugs or more than two drugs (p = 0.32). CRE infection mortality was higher among patients with pneumonia. Infections caused by colistin-resistant isolates did not increase mortality. The use of more than two drugs on combination therapy did not show a protective effect on outcome. The isolates were polyclonal, and the bla KPC gene was the only carbapenemase found. Shock, dialysis, and age over 60 years were independent risk factors for death.

  20. Risk Factors for Multidrug-resistant Pseudomonas aeruginosa Among Hospitalized Patients at a Malaysian Hospital

    International Nuclear Information System (INIS)

    Mohd, N.M.D.; Nurnajwa, M.H.; Lay, J.; Teoh, J.C.; Syafinaz, A.N.; Niazlin, M.T.

    2015-01-01

    A case-control study was conducted based on medical cases of 100 hospitalized patients with Pseudomonas aeruginosa-isolation at a Malaysian hospital. Cases with 50 multidrug-resistant P. aeruginosa MDRPA and 50 non-multidrug-resistant P. aeruginosa (NMDRPA) were randomly included and compared with socio-demographic and clinical data of the patients, using Chi-square and Fisher's exact tests as the statistical tool. Analysis found no significant association between MDRPA with ages, gender and ethnicity of patients (p>0.050). Other risk factors being investigated were invasive procedure, immunosuppression, bedridden and clinical diagnosis such as central nervous- and respiratory-system disorder, as well as antibiotic exposure during hospitalization and duration of hospital stay with only the last two were found to have significant association (p=0.035 and 0.019, respectively). Some other studies also reported a similar association indicating that the two factors could serve as an important predictive tool for isolation of MDRPA. More studies involving a larger sampling size are warranted to establish the association. (author)

  1. The effects of incomplete annealing on the temperature dependence of sheet resistance and gage factor in aluminum and phosphorus implanted silicon on sapphire

    Science.gov (United States)

    Pisciotta, B. P.; Gross, C.

    1976-01-01

    Partial annealing of damage to the crystal lattice during ion implantation reduces the temperature coefficient of resistivity of ion-implanted silicon, while facilitating controlled doping. Reliance on this method for temperature compensation of the resistivity and strain-gage factor is discussed. Implantation conditions and annealing conditions are detailed. The gage factor and its temperature variation are not drastically affected by crystal damage for some crystal orientations. A model is proposed to account for the effects of electron damage on the temperature dependence of resistivity and on silicon piezoresistance. The results are applicable to the design of silicon-on-sapphire strain gages with high gage factors.

  2. Presence of virulence factors in Enterococcus faecalis and Enterococcus faecium susceptible and resistant to vancomycin

    Directory of Open Access Journals (Sweden)

    Carolina Baldisserotto Comerlato

    2013-08-01

    Full Text Available Despite the increasing importance of Enterococcus as opportunistic pathogens, their virulence factors are still poorly understood. This study determines the frequency of virulence factors in clinical and commensal Enterococcus isolates from inpatients in Porto Alegre, Brazil. Fifty Enterococcus isolates were analysed and the presence of the gelE, asa1 and esp genes was determined. Gelatinase activity and biofilm formation were also tested. The clonal relationships among the isolates were evaluated using pulsed-field gel electrophoresis. The asa1, gelE and esp genes were identified in 38%, 60% and 76% of all isolates, respectively. The first two genes were more prevalent in Enterococcus faecalis than in Enterococcus faecium, as was biofilm formation, which was associated with gelE and asa1 genes, but not with the esp gene. The presence of gelE and the activity of gelatinase were not fully concordant. No relationship was observed among any virulence factors and specific subclones of E. faecalis or E. faecium resistant to vancomycin. In conclusion, E. faecalis and E. faecium isolates showed significantly different patterns of virulence determinants. Neither the source of isolation nor the clonal relationship or vancomycin resistance influenced their distribution.

  3. Neoplastic progression of rat tracheal epithelial cells involves resistance to transforming growth factor beta

    International Nuclear Information System (INIS)

    Hubbs, A.F.; Hahn, F.F.; Thomassen, D.G.

    1988-01-01

    Primary, transformed, and tumor-derived rat tracheal epithelial (RTE) cells were grown in serum-free medium containing 0 to 300 pg/mL transforming growth factor beta (TGFβ) markedly inhibited the growth of primary RTE cells with a 50% drop in the efficiency of colony formation seen at TGFβ concentrations between 10 and 30 pg/ mL. The effect of TGFβ on preneoplastic RTE cells was similar to the effect on normal primary RTE cells. Cell lines established from tumors produced by inoculation of transformed RTE cells into nude mice were relatively resistant to -TGFβ-induced growth inhibition. Resistance to TGFβ-induced growth inhibition, therefore, appears to be a late event in the development of neoplasia. (author)

  4. Changes of plasma angiogenic factors during chronic resistance exercise in type 1 diabetic rats

    International Nuclear Information System (INIS)

    Esfahani, S.P.; Gharakhanlou, R.

    2012-01-01

    Objective: Exercise has several beneficial effects on cardiovascular system. However, the exact mechanism is unclear. The purpose of this study was to evaluate the effects of chronic resistance exercise on some plasma angiogenic factors in type 1 diabetic rats. Methodology: Thirty male Wistar rats were divided into three groups of control, diabetic and diabetic trained (n = 10 each). Diabetes was induced by a single intraperitoneal injection of streptozotocin (55 mg/kg). The rats in the trained group undertook one training session per day, 3 days/week, for 4 weeks. Blood samples were taken and the concentrations of plasma glucose, lipid profile, nitric oxide (NO), vascular endothelial growth factor (VEGF) and soluble form of VEGF receptor-1 (sFlt-1) were determined. Results: We found a significant reduction in plasma NO concentrations in diabetic rats compared to the controls (p 0.05). There were no significant differences in plasma VEGF and sFlt-1 concentrations between diabetic sedentary and trained groups (p > 0.05). Moreover, VEGF/sFlt-1 ratios in diabetic animals were lower than the control group and resistance exercise could not increase this ratio in diabetic animals (p > 0.05) Conclusion: Resistance exercise could not change plasma VEGF, sFlt-1 and VEGF/sFlt-1 ratio. However, it increased plasma NO concentrations in diabetic animals. More studies are needed to determine the effects of this type of exercise on the angiogenesis process. (author)

  5. Role of tumor necrosis factor in macrophage leishmanicidal activity in vitro and resistance to cutaneous leishmaniasis in vivo.

    Science.gov (United States)

    Theodos, C M; Povinelli, L; Molina, R; Sherry, B; Titus, R G

    1991-01-01

    Recombinant human tumor necrosis factor (TNF) and purified murine TNF were both able to activate macrophages to destroy intracellular Leishmania major in vitro. In addition, parasitizing macrophages with L. major markedly increased the ability of the cells to produce TNF. Finally, when mice were vaccinated with an avirulent form of L. major, the animals produced large amounts of TNF but no gamma interferon in response to infection with virulent L. major. Treating these mice with a neutralizing anti-TNF antibody led to partial but not complete inhibition of the resistant state, which suggests that factors other than TNF and gamma interferon contribute to resistance to L. major. PMID:1906844

  6. The Path to High Q-Factors in Superconducting Accelerating Cavities: Flux Expulsion and Surface Resistance Optimization

    Energy Technology Data Exchange (ETDEWEB)

    Martinello, Martina [Illinois Inst. of Technology, Chicago, IL (United States)

    2016-12-01

    Accelerating cavities are devices resonating in the radio-frequency (RF) range used to accelerate charged particles in accelerators. Superconducting accelerating cavities are made out of niobium and operate at the liquid helium temperature. Even if superconducting, these resonating structures have some RF driven surface resistance that causes power dissipation. In order to decrease as much as possible the power losses, the cavity quality factor must be increased by decreasing the surface resistance. In this dissertation, the RF surface resistance is analyzed for a large variety of cavities made with different state-of-the-art surface treatments, with the goal of finding the surface treatment capable to return the highest Q-factor values in a cryomodule-like environment. This study analyzes not only the superconducting properties described by the BCS surface resistance, which is the contribution that takes into account dissipation due to quasi-particle excitations, but also the increasing of the surface resistance due to trapped flux. When cavities are cooled down below their critical temperature inside a cryomodule, there is always some remnant magnetic field that may be trapped increasing the global RF surface resistance. This thesis also analyzes how the fraction of external magnetic field, which is actually trapped in the cavity during the cooldown, can be minimized. This study is performed on an elliptical single-cell horizontally cooled cavity, resembling the geometry of cavities cooled in accelerator cryomodules. The horizontal cooldown study reveals that, as in case of the vertical cooldown, when the cooling is performed fast, large thermal gradients are created along the cavity helping magnetic flux expulsion. However, for this geometry the complete magnetic flux expulsion from the cavity equator is more difficult to achieve. This becomes even more challenging in presence of orthogonal magnetic field, that is easily trapped on top of the cavity equator

  7. The path to high Q-factors in superconducting accelerating cavities: Flux expulsion and surface resistance optimization

    Science.gov (United States)

    Martinello, Martina

    Accelerating cavities are devices resonating in the radio-frequency (RF) range used to accelerate charged particles in accelerators. Superconducting accelerating cavities are made out of niobium and operate at the liquid helium temperature. Even if superconducting, these resonating structures have some RF driven surface resistance that causes power dissipation. In order to decrease as much as possible the power losses, the cavity quality factor must be increased by decreasing the surface resistance. In this dissertation, the RF surface resistance is analyzed for a large variety of cavities made with different state-of-the-art surface treatments, with the goal of finding the surface treatment capable to return the highest Q-factor values in a cryomodule-like environment. This study analyzes not only the superconducting properties described by the BCS surface resistance, which is the contribution that takes into account dissipation due to quasi-particle excitations, but also the increasing of the surface resistance due to trapped flux. When cavities are cooled down below their critical temperature inside a cryomodule, there is always some remnant magnetic field that may be trapped increasing the global RF surface resistance. This thesis also analyzes how the fraction of external magnetic field, which is actually trapped in the cavity during the cooldown, can be minimized. This study is performed on an elliptical single-cell horizontally cooled cavity, resembling the geometry of cavities cooled in accelerator cryomodules. The horizontal cooldown study reveals that, as in case of the vertical cooldown, when the cooling is performed fast, large thermal gradients are created along the cavity helping magnetic flux expulsion. However, for this geometry the complete magnetic flux expulsion from the cavity equator is more difficult to achieve. This becomes even more challenging in presence of orthogonal magnetic field, that is easily trapped on top of the cavity equator

  8. Censoring Data for Resistance Factor Calculations in Load and Resistance Factor Design: A Preliminary Study

    Science.gov (United States)

    James W. Evans; David W. Green

    2007-01-01

    Reliability estimates for the resistance distribution of wood product properties may be made from test data where all specimens are broken (full data sets) or by using data sets where information is obtained only from the weaker pieces in the distribution (censored data). Whereas considerable information exists on property estimation from full data sets, much less...

  9. Risk Factors for the Development of Gastrointestinal Colonization With Fluoroquinolone-Resistant Escherichia coli in Residents of Long-Term Care Facilities

    Science.gov (United States)

    Han, Jennifer H.; Maslow, Joel; Han, Xiaoyan; Xie, Sharon X.; Tolomeo, Pam; Santana, Evelyn; Carson, Lesley; Lautenbach, Ebbing

    2014-01-01

    Background. The objective of this study was to assess risk factors for the development of fluoroquinolone (FQ)–resistant Escherichia coli gastrointestinal tract colonization in long-term care facility (LTCF) residents. Methods. A prospective cohort study was conducted from 2006 to 2008 at 3 LTCFs. Residents initially colonized with FQ-susceptible E. coli were followed by means of serial fecal sampling for new FQ-resistant E. coli colonization for up to 12 months or until discharge or death. A Cox proportional hazards regression model was developed to identify risk factors for new FQ-resistant E. coli colonization, with antibiotic and device exposures modeled as time-varying covariates. Results. Fifty-seven (47.5%) of 120 residents became newly colonized with FQ-resistant E. coli, with a median time to colonization of 57 days. Fecal incontinence (hazard ratio [HR], 1.78; 95% confidence interval [CI], 1.04–3.06; P = .04) was significantly associated with FQ-resistant E. coli acquisition. Receipt of amoxicillin-clavulanate (HR, 6.48; 95% CI, 1.43–29.4; P = .02) and the presence of a urinary catheter (HR, 3.81; 95% CI, 1.06–13.8; P = .04) during LTCF stay increased the risk of new FQ-resistant E. coli colonization. Conclusions. Acquisition of FQ-resistant E. coli was common, with nearly half of LTCF residents developing new FQ-resistant E. coli colonization. Further studies are needed on interventions to limit the emergence of FQ-resistant E. coli in LTCFs. PMID:23986544

  10. Resistance in gram-negative bacilli in a cardiac intensive care unit in India: Risk factors and outcome

    Directory of Open Access Journals (Sweden)

    Pawar Mandakini

    2008-01-01

    Full Text Available The objective of this study was to compare the risk factors and outcome of patients with preexisting resistant gram-negative bacilli (GNB with those who develop sensitive GNB in the cardiac intensive care unit (ICU. Of the 3161 patients ( n = 3,161 admitted to the ICU during the study period, 130 (4.11% developed health care-associated infections (HAIs with GNB and were included in the cohort study. Pseudomonas aeruginosa (37.8% was the most common organism isolated followed by Klebsiella species (24.2%, E. coli (22.0%, Enterobacter species (6.1%, Stenotrophomonas maltophilia (5.7%, Acinetobacter species (1.3%, Serratia marcescens (0.8%, Weeksella virosa (0.4% and Burkholderia cepacia (0.4%. Univariate analysis revealed that the following variables were significantly associated with the antibiotic-resistant GNB: females ( P = 0.018, re-exploration ( P = 0.004, valve surgery ( P = 0.003, duration of central venous catheter ( P < 0.001, duration of mechanical ventilation ( P < 0.001, duration of intra-aortic balloon counter-pulsation ( P = 0.018, duration of urinary catheter ( P < 0.001, total number of antibiotic exposures prior to the development of resistance ( P < 0.001, duration of antibiotic use prior to the development of resistance ( P = 0.014, acute physiology and age chronic health evaluation score (APACHE II, receipt of anti-pseudomonal penicillins (piperacillin-tazobactam ( P = 0.002 and carbapenems ( P < 0.001. On multivariate analysis, valve surgery (adjusted OR = 2.033; 95% CI = 1.052-3.928; P = 0.035, duration of mechanical ventilation (adjusted OR = 1.265; 95% CI = 1.055-1.517; P = 0.011 and total number of antibiotic exposure prior to the development of resistance (adjusted OR = 1.381; 95% CI = 1.030-1.853; P = 0.031 were identified as independent risk factors for HAIs in resistant GNB. The mortality rate in patients with resistant GNB was significantly higher than those with sensitive GNB (13.9% vs. 1.8%; P = 0.03. HAI with

  11. Dual Targeting of the Insulin-Like Growth Factor and Collateral Pathways in Cancer: Combating Drug Resistance

    Energy Technology Data Exchange (ETDEWEB)

    Ludwig, Joseph A., E-mail: jaludwig@mdanderson.org; Lamhamedi-Cherradi, Salah-Eddine [Departments of Sarcoma Medical Oncology, The University of Texas MD Anderson Cancer Center, Houston, Texas 77030 (United States); Lee, Ho-Young [Departments of Thoracic Head & Neck Medical Oncology, The University of Texas MD Anderson Cancer Center, Houston, Texas 77030 (United States); Naing, Aung [Investigational Cancer Therapeutics, The University of Texas MD Anderson Cancer Center, Houston, Texas 77030 (United States); Benjamin, Robert [Departments of Sarcoma Medical Oncology, The University of Texas MD Anderson Cancer Center, Houston, Texas 77030 (United States)

    2011-07-26

    The insulin-like growth factor pathway, regulated by a complex interplay of growth factors, cognate receptors, and binding proteins, is critically important for many of the hallmarks of cancer such as oncogenesis, cell division, growth, and antineoplastic resistance. Naturally, a number of clinical trials have sought to directly abrogate insulin-like growth factor receptor 1 (IGF-1R) function and/or indirectly mitigate its downstream mediators such as mTOR, PI3K, MAPK, and others under the assumption that such therapeutic interventions would provide clinical benefit, demonstrable by impaired tumor growth as well as prolonged progression-free and overall survival for patients. Though a small subset of patients enrolled within phase I or II clinical trials revealed dramatic clinical response to IGF-1R targeted therapies (most using monoclonal antibodies to IGF-1R), in toto, the anticancer effect has been underwhelming and unsustained, as even those with marked clinical responses seem to rapidly acquire resistance to IGF-1R targeted agents when used alone through yet to be identified mechanisms. As the IGF-1R receptor is just one of many that converge upon common intracellular signaling cascades, it is likely that effective IGF-1R targeting must occur in parallel with blockade of redundant signaling paths. Herein, we present the rationale for dual targeting of IGF-1R and other signaling molecules as an effective strategy to combat acquired drug resistance by carcinomas and sarcomas.

  12. Dual Targeting of the Insulin-Like Growth Factor and Collateral Pathways in Cancer: Combating Drug Resistance

    International Nuclear Information System (INIS)

    Ludwig, Joseph A.; Lamhamedi-Cherradi, Salah-Eddine; Lee, Ho-Young; Naing, Aung; Benjamin, Robert

    2011-01-01

    The insulin-like growth factor pathway, regulated by a complex interplay of growth factors, cognate receptors, and binding proteins, is critically important for many of the hallmarks of cancer such as oncogenesis, cell division, growth, and antineoplastic resistance. Naturally, a number of clinical trials have sought to directly abrogate insulin-like growth factor receptor 1 (IGF-1R) function and/or indirectly mitigate its downstream mediators such as mTOR, PI3K, MAPK, and others under the assumption that such therapeutic interventions would provide clinical benefit, demonstrable by impaired tumor growth as well as prolonged progression-free and overall survival for patients. Though a small subset of patients enrolled within phase I or II clinical trials revealed dramatic clinical response to IGF-1R targeted therapies (most using monoclonal antibodies to IGF-1R), in toto, the anticancer effect has been underwhelming and unsustained, as even those with marked clinical responses seem to rapidly acquire resistance to IGF-1R targeted agents when used alone through yet to be identified mechanisms. As the IGF-1R receptor is just one of many that converge upon common intracellular signaling cascades, it is likely that effective IGF-1R targeting must occur in parallel with blockade of redundant signaling paths. Herein, we present the rationale for dual targeting of IGF-1R and other signaling molecules as an effective strategy to combat acquired drug resistance by carcinomas and sarcomas

  13. Dual Targeting of the Insulin-Like Growth Factor and Collateral Pathways in Cancer: Combating Drug Resistance

    Directory of Open Access Journals (Sweden)

    Aung Naing

    2011-07-01

    Full Text Available The insulin-like growth factor pathway, regulated by a complex interplay of growth factors, cognate receptors, and binding proteins, is critically important for many of the hallmarks of cancer such as oncogenesis, cell division, growth, and antineoplastic resistance. Naturally, a number of clinical trials have sought to directly abrogate insulin-like growth factor receptor 1 (IGF-1R function and/or indirectly mitigate its downstream mediators such as mTOR, PI3K, MAPK, and others under the assumption that such therapeutic interventions would provide clinical benefit, demonstrable by impaired tumor growth as well as prolonged progression-free and overall survival for patients. Though a small subset of patients enrolled within phase I or II clinical trials revealed dramatic clinical response to IGF-1R targeted therapies (most using monoclonal antibodies to IGF-1R, in toto, the anticancer effect has been underwhelming and unsustained, as even those with marked clinical responses seem to rapidly acquire resistance to IGF-1R targeted agents when used alone through yet to be identified mechanisms. As the IGF-1R receptor is just one of many that converge upon common intracellular signaling cascades, it is likely that effective IGF-1R targeting must occur in parallel with blockade of redundant signaling paths. Herein, we present the rationale for dual targeting of IGF-1R and other signaling molecules as an effective strategy to combat acquired drug resistance by carcinomas and sarcomas.

  14. Evidence for a race-specific resistance factor in some lettuce (Lactuca sativa L.) cultivars previously considered to be universally susceptible to Bremia lactucae regel.

    Science.gov (United States)

    Crute, I R; Lebeda, A

    1981-05-01

    Previously undetected race-specific resistance to Bremia lactucae (downy mildew) was located in many lettuce cultivars hitherto considered to be universally susceptible to this disease. This resistance factor(s) may also be widely distributed in other cultivars known to carry combinations of already recognised factors R1 to R11. Specific virulence to match this resistance is almost invariably present in pathogen collections. This situation may be either a relic of the evolutionary history of the B. lactucae - L. sativa asssociation or may reflect a rare mutation in B. lactucae for avirulence on all but a few specialised L. sativa genotypes.

  15. Effect of Crack Tip Stress Concentration Factor on Fracture Resistance in Vacuum Environment

    Science.gov (United States)

    2015-01-20

    indicate: (1) in all alloys, the fracture resistance is highest for blunt-notches (smaller Kt), and is lowest for fatigue -sharpened precracked...paths are transgranular and the fracture mode is ductile void coalescence in all cases, irrespective of the stress concentration factor. 20-01-2015...because of corrosion and/or various loading conditions such as fatigue , fretting, abrasion, etc. Also, the geometry of the structure may cause an

  16. PFA-100-measured aspirin resistance is the predominant risk factor for hospitalized cardiovascular events in aspirin-treated patients: A 5-year cohort study.

    Science.gov (United States)

    Chen, H Y; Chou, P

    2018-04-01

    Aspirin therapy is the clinical gold standard for the prevention of cardiovascular events. However, cardiovascular events still develop in some patients undergoing aspirin therapy. Many laboratory methods exist for measuring aspirin resistance. Using the platelet Function Analyzer (PFA)-100 system, we aimed to determine the effect of aspirin resistance on hospitalized cardiovascular events (hCVE) in a 5-year follow-up cohort. We also sought to determine the impact of aspirin resistance on the relationship between common cardiovascular risk factors and cardiovascular hospitalization. Aspirin resistance was evaluated in aspirin-treated patients from the outpatient department. A total of 465 patients during a 5-year follow-up period were included in this study. The primary endpoint of the study was hospitalization for any acute cardiovascular event. The prevalence and associated risk factors of acute cardiovascular events were evaluated. Aspirin resistance was prevalent in 91 (20.0%) of 465 patients. Prior hospitalization history of cardiovascular events was highly associated with aspirin resistance (P = .001). At the 5-year follow-up, cardiovascular events were found to have developed in 11 patients (8 stroke and 3 myocardial infarction) who exhibited aspirin resistance (12.1%) and in 9 (4 stroke and 5 myocardial infarction) patients who did not exhibit aspirin resistance (2.4%) (P resistance and cardiovascular events (adjusted odds ratio 4.28; 95% CI: 1.64-11.20; P = .03). PFA-100 measurements of aspirin resistance correlate with hCVE, as evidenced by both the past medical history and the 5-year follow-up. The logistic regression analysis results showed that aspirin resistance plays a larger role in hospitalized cardiovascular disease than do other cardiovascular risk factors. © 2017 John Wiley & Sons Ltd.

  17. Endogenous growth factor stimulation of hemocyte proliferation induces resistance to Schistosoma mansoni challenge in the snail host.

    Science.gov (United States)

    Pila, Emmanuel A; Gordy, Michelle A; Phillips, Valerie K; Kabore, Alethe L; Rudko, Sydney P; Hanington, Patrick C

    2016-05-10

    Digenean trematodes are a large, complex group of parasitic flatworms that infect an incredible diversity of organisms, including humans. Larval development of most digeneans takes place within a snail (Gastropoda). Compatibility between snails and digeneans is often very specific, such that suitable snail hosts define the geographical ranges of diseases caused by these worms. The immune cells (hemocytes) of a snail are sentinels that act as a crucial barrier to infection by larval digeneans. Hemocytes coordinate a robust and specific immunological response, participating directly in parasite killing by encapsulating and clearing the infection. Hemocyte proliferation and differentiation are influenced by unknown digenean-specific exogenous factors. However, we know nothing about the endogenous control of hemocyte development in any gastropod model. Here, we identify and functionally characterize a progranulin [Biomphalaria glabrata granulin (BgGRN)] from the snail B. glabrata, a natural host for the human blood fluke Schistosoma mansoni Granulins are growth factors that drive proliferation of immune cells in organisms, spanning the animal kingdom. We demonstrate that BgGRN induces proliferation of B. glabrata hemocytes, and specifically drives the production of an adherent hemocyte subset that participates centrally in the anti-digenean defense response. Additionally, we demonstrate that susceptible B. glabrata snails can be made resistant to infection with S. mansoni by first inducing hemocyte proliferation with BgGRN. This marks the functional characterization of an endogenous growth factor of a gastropod mollusc, and provides direct evidence of gain of resistance in a snail-digenean infection model using a defined factor to induce snail resistance to infection.

  18. DETERMINATION THE PERMISSIBLE FORCES IN ASSESSING THE LIFT RESISTANT FACTOR OF FREIGHT CARS IN TRAINS

    Directory of Open Access Journals (Sweden)

    A. O. Shvets

    2016-02-01

    Full Text Available Purpose. In the analytical research are considered: 1 relationships between the longitudinal force acting on the car in the train; 2 lateral and vertical forces of interaction in the contact zone «wheel – rail»; 3 dynamic indicators of cars with the magnitude of the car lift resistance factor; 4 obtaining of the dependencies between them. Methodology. The study was conducted by an analytical method assessing the sustainability of the freight car when driving at different speeds on the straight and curved track sections. Findings. In the process of studying the motion of the train, in the investigation of transport events, as well as during the training on the simulator operator, to assess the actions of the driver, the values of the longitudinal forces in the inter car connections are used. To calculate the longitudinal compressive forces, acting on the car, in which car lift resistance factor will be equal to the allowable value (critical force. To assess the impact on the value of the longitudinal force speed, coefficients of the vertical and horizontal dynamics, as well as the wind load on the side surface of the car body are the results of calculations of motion of the empty gondola car, model № 12-532 curve radius of 250 m with a rise of 150 mm and a transverse run of body of car frame relative to the track axis of the guide section 50 mm. Originality. In this study, the technique of determining the longitudinal compressive force was shown, that is somewhat different from the standard. So, as well as assessing the impact on it the speed of rolling coefficients of vertical and horizontal dynamics and wind load on the side surface of the car body. Practical value. The authors developed proposals on the enhancement of existing methods for determining the value of the longitudinal compressive forces acting on the car in which the safety value of the car lift resistance factor will be equal to the allowable value. It will evaluate the

  19. Effects of biotic and abiotic factors on resistance versus resilience of Douglas fir to drought.

    Directory of Open Access Journals (Sweden)

    Gunnar Carnwath

    Full Text Available Significant increases in tree mortality due to drought-induced physiological stress have been documented worldwide. This trend is likely to continue with increased frequency and severity of extreme drought events in the future. Therefore, understanding the factors that influence variability in drought responses among trees will be critical to predicting ecosystem responses to climate change and developing effective management actions. In this study, we used hierarchical mixed-effects models to analyze drought responses of Pseudotsuga menziesii in 20 unmanaged forests stands across a broad range of environmental conditions in northeastern Washington, USA. We aimed to 1 identify the biotic and abiotic attributes most closely associated with the responses of individual trees to drought and 2 quantify the variability in drought responses at different spatial scales. We found that growth rates and competition for resources significantly affected resistance to a severe drought event in 2001: slow-growing trees and trees growing in subordinate canopy positions and/or with more neighbors suffered greater declines in radial growth during the drought event. In contrast, the ability of a tree to return to normal growth when climatic conditions improved (resilience was unaffected by competition or relative growth rates. Drought responses were significantly influenced by tree age: older trees were more resistant but less resilient than younger trees. Finally, we found differences between resistance and resilience in spatial scale: a significant proportion (approximately 50% of the variability in drought resistance across the study area was at broad spatial scales (i.e. among different forest types, most likely due to differences in the total amount of precipitation received at different elevations; in contrast, variation in resilience was overwhelmingly (82% at the level of individual trees within stands and there was no difference in drought resilience

  20. Rapid screening of pyogenic Staphylococcus aureus for confirmation of genus and species, methicillin resistance and virulence factors by using two novel multiplex PCR.

    Science.gov (United States)

    Haque, Abdul; Haque, Asma; Saeed, Muhammad; Azhar, Aysha; Rasool, Samreen; Shan, Sidra; Ehsan, Beenish; Nisar, Zohaib

    2017-01-01

    Emergence of methicillin resistant Staphylococcus aureus (MRSA) is a major medical problem of current era. These bacteria are resistant to most drugs and rapid diagnosis can provide a clear guideline to clinicians. They possess specific virulence factors and relevant information can be very useful. We designed this study to develop multiplex PCRs to provide rapid information. We studied 60 Staphylococcus aureus isolates and detected methicillin resistance by cefoxitin sensitivity and targeting of mecA gene. After initial studies with uniplex PCRs we optimized two multiplex PCRs with highly reproducible results. The first multiplex PCR was developed to confirm genus, species and methicillin resistance simultaneously, and the second multiplex PCR was for screening of virulence factors. We found 38.33% isolates as methicillin resistant. α -toxin, the major cytotoxic factor, was detected in 40% whereas β-hemolysin was found in 25% cases. Panton Valentine leucocidin was detected in 8.33% and toxic shock syndrome toxin in5% cases. The results of uniplex and multiplex PCRs were highly compatible. These two multiplex PCRs when run simultaneously can provide vital information about methicillin resistance and virulence status of the isolate within a few hours as compared to several days needed by routine procedures.

  1. Risk factors and outcomes of carbapenem-resistant Klebsiella pneumoniae infections

    Directory of Open Access Journals (Sweden)

    Eleonora Pistella

    2016-12-01

    Full Text Available In the nosocomial setting, antimicrobial-resistant Enterobacteriaceae are a growing challenge, and alarming trends in resistance are currently reported all over the world. Isolates of Enterobacteriaceae producing ampC β-lactamases and extended spectrum β-lactamases are endemic in many hospitals, and are frequently resistant also to other classes of antibiotics, such as fluoroquinolones and aminoglycosides. The risk of infections due to multi-drug resistant strains should be considered also for outpatients who have had recent contact with the health system. Both nosocomial and health-care associated infections should be treated with a combination of antibiotics active against multi-drug resistant Gram negative and methicillin-resistant Staphylococcus aureus. In the absence of effective antimicrobial stewardship programs, this aggressive therapeutic approach might lead to abuse of broad-spectrum antibiotics, with consequent increase in resistances. To contain the possible antibiotic overuse, several decisional strategies, often based on risk-score systems supporting the clinical decisions, have been proposed. In this context of high antibiotic selection pressure, carbapenem-resistant pathogens recently began to spread in many hospitals. Carbapenem-resistant Klebsiella pneumoniae, as well as carbapenem-resistant Acinetobacter baumannii and P. aeruginosa, represent the new major challenges to patient safety. Against these organisms the initial empiric treatment is generally ineffective. The poor clinical outcome associated with carbapenem- resistant K. pneumoniae infections is probably due to the delete in the beginning of an appropriate antibiotic treatment, rather than to the increased virulence of pathogens. Only few therapeutic options are available, including colistin, tigecycline, aminoglycosides and carbapenems in selected cases. Several combinations of these antibiotics have been used, but no ideal regimen has been currently established.

  2. Risk factors associated with the antimicrobial resistance of Staphylococcus aureus isolated from bovine mastitis

    Directory of Open Access Journals (Sweden)

    Daniele C. Beuron

    2014-10-01

    Full Text Available The objective of this study was to evaluate herd management practices and mastitis treatment procedures as risk factors associated with Staphylococcus aureus antimicrobial resistance. For this study, 13 herds were selected to participate in the study to evaluate the association between their management practices and mastitis treatment procedures and in vitro antimicrobial susceptibility. A total of 1069 composite milk samples were collected aseptically from the selected cows in four different periods over two years. The samples were used for microbiological culturing of S. aureus isolates and evaluation of their antimicrobial susceptibility. A total of 756 samples (70.7% were culture-positive, and S. aureus comprised 27.77% (n=210 of the isolates. The S. aureus isolates were tested using the disk-diffusion susceptibility assay with the following antimicrobials: ampicillin 10mg; clindamycin 2μg; penicillin 1mg; ceftiofur 30μg; gentamicin 10mg; sulfa-trimethoprim 25μg; enrofloxacin 5μg; sulfonamide 300μg; tetracycline 30μg; oxacillin 1mg; cephalothin 30μg and erythromycin 5μg. The variables that were significantly associated with S. aureus resistance were as follows: the treatment of clinical mastitis for ampicillin (OR=2.18, dry cow treatment for enrofloxacin (OR=2.11 and not sending milk samples for microbiological culture and susceptibility tests, for ampicillin (OR=2.57 and penicillin (OR=4.69. In conclusion, the identification of risk factors for S. aureus resistance against various mastitis antimicrobials is an important information that may help in practical recommendations for prudent use of antimicrobial in milk production.

  3. Variation of over strength factor for mid-rise R.C. moment resisting frames

    International Nuclear Information System (INIS)

    Tasnimi, A. A.; Mahmoodi Sahebi, M.

    2001-01-01

    The over strength of a multi-storey structure (as a multi-degree of freedom system) is one of the parameters playing the evaluation of the behaviour factor. This is an important property when the structure exhibits inelastic behaviour and is described by over strength factor. The over strength factor and strength reduction factor due to ductility are considered in most seismic design codes of practice to reduce the elastic strength demand of the structure. In spite the importance of the over strength, its influence has not yet been quantified. This paper is a part of an investigation from which the first part was published in Amirkabir Journal No.36/Winter 1998, entitled as E stimation of over strength of low-ri sed flexural R.C. frames using nonlinear analysis . This paper investigates the variation of over strength factor for reinforced concrete moment resisting frames, having several stories (5 to 15) with various spans (3 to 5) and located in high seismicity regions using non-linear inelastic analysis. The results indicate that the over strength factor of these systems efforts 25 to 40 percent reduction in the elastic strength demand of such frames

  4. ICESag37, a Novel Integrative and Conjugative Element Carrying Antimicrobial Resistance Genes and Potential Virulence Factors in Streptococcus agalactiae.

    Science.gov (United States)

    Zhou, Kaixin; Xie, Lianyan; Han, Lizhong; Guo, Xiaokui; Wang, Yong; Sun, Jingyong

    2017-01-01

    ICE Sag37 , a novel integrative and conjugative element carrying multidrug resistance and potential virulence factors, was characterized in a clinical isolate of Streptococcus agalactiae . Two clinical strains of S. agalactiae , Sag37 and Sag158, were isolated from blood samples of new-borns with bacteremia. Sag37 was highly resistant to erythromycin and tetracycline, and susceptible to levofloxacin and penicillin, while Sag158 was resistant to tetracycline and levofloxacin, and susceptible to erythromycin. Transfer experiments were performed and selection was carried out with suitable antibiotic concentrations. Through mating experiments, the erythromycin resistance gene was found to be transferable from Sag37 to Sag158. Sma I-PFGE revealed a new Sma I fragment, confirming the transfer of the fragment containing the erythromycin resistance gene. Whole genome sequencing and sequence analysis revealed a mobile element, ICE Sag37 , which was characterized using several molecular methods and in silico analyses. ICE Sag37 was excised to generate a covalent circular intermediate, which was transferable to S. agalactiae . Inverse PCR was performed to detect the circular form. A serine family integrase mediated its chromosomal integration into rumA , which is a known hotspot for the integration of streptococcal ICEs. The integration site was confirmed using PCR. ICE Sag37 carried genes for resistance to multiple antibiotics, including erythromycin [ erm(B) ], tetracycline [ tet(O) ], and aminoglycosides [ aadE, aphA , and ant(6) ]. Potential virulence factors, including a two-component signal transduction system ( nisK/nisR ), were also observed in ICE Sag37 . S1-PFGE analysis ruled out the existence of plasmids. ICE Sag37 is the first ICE Sa2603 family-like element identified in S. agalactiae carrying both resistance and potential virulence determinants. It might act as a vehicle for the dissemination of multidrug resistance and pathogenicity among S. agalactiae .

  5. Detection of Methicillin Resistance and Various Virulence Factors in Staphylococcus aureus Strains Isolated from Nasal Carriers

    Directory of Open Access Journals (Sweden)

    Hatice Türk Dağı

    2015-06-01

    Full Text Available Background: Staphylococus aureus can be found as a commensal on skin and nasal flora or it may cause local and invasive infections. S. aureus has a large number of virulence factors. Aims: To investigate the methicillin resistance and frequency of various virulence factors in S. aureus nasal isolates. Study Design: Descriptive study. Methods: Nasal samples collected from university students were cultured in media. S. aureus was identified by conventional methods and the Staphyloslide latex test (Becton Dickinson, Sparks, USA. Antibiotic susceptibility tests were conducted, and the methicillin resistance was determined. The mecA, nuc, pvl and staphylococcal toxin genes were examined by polymerase chain reaction (PCR. Results: S. aureus was isolated in 104 of 600 (17.3% nasal samples. In total, 101 (97.1% S. aureus isolates were methicillin-sensitive and the remaining 3 (2.9% were methicillin-resistant. Furthermore, all but five isolates carried at least one staphylococcal enterotoxin gene, with seg being predominant. The tst and eta genes were determined in 29 (27.9%, and 3 (2.9% isolates, respectively. None of the S. aureus isolates harbored see, etb, and pvl genes. Conclusion: A moderate rate of S. aureus carriage and low frequency of MRSA were detected in healthy students. S. aureus isolates had a high prevalence of staphylococcal enterotoxin genes and the tst gene. In this study, a large number of virulence factors were examined in S. aureus nasal isolates, and the data obtained from this study can be used for monitoring the prevalence of virulence genes in S. aureus strains isolated from nasal carriers.

  6. Species distribution, virulence factors, and antimicrobial resistance of Acinetobacter spp. isolates from dogs and cats: a preliminary study.

    Science.gov (United States)

    Kimura, Yui; Harada, Kazuki; Shimizu, Takae; Sato, Tomomi; Kajino, Akari; Usui, Masaru; Tamura, Yutaka; Tsuyuki, Yuzo; Miyamoto, Tadashi; Ohki, Asami; Watarai, Masahisa

    2018-05-12

    We investigated the prevalence of virulence factors and antimicrobial resistance among 67 Acinetobacter spp. isolates, consisting of 21 Acinetobacter baumannii and 46 non-baumannii Acinetobacter from companion animals. The PCR analysis showed that the most prevalent virulence gene was afa/draBC (29.9%), followed by papC (22.4%) and cvaC (20.9%). Antimicrobial susceptibility testing revealed that resistance to gentamicin (14.9%) and ciprofloxacin (11.9%) was relatively prevalent. Five gentamicin- and/or ciprofloxacin-resistant A. baumannii strains were assigned to ST25, ST149, ST164, ST203, and ST1198. All ciprofloxacin-resistant isolates harbored point mutations in gyrA and/or parC. This is the first preliminary monitoring of animal-origin Acinetobacter spp. in Japan. © 2018 The Societies and John Wiley & Sons Australia, Ltd.

  7. Carbapenem-Resistant E. cloacae in Southwest China: Molecular Analysis of Resistance and Risk Factors for Infections Caused by NDM-1-Producers

    Directory of Open Access Journals (Sweden)

    Xiaojiong Jia

    2018-04-01

    Full Text Available Carbapenem-resistant Enterobacteriaceae (CRE has been considered a serious global threat, but carbapenem resistance remains relatively uncommon in E. cloacae, especially in China. The aim of this study was to characterize carbapenem-resistant E. cloacae (CR-ECL isolates from 2012 to 2016 in Southwest China. Our study revealed that 20 (15.2% of the 132 CR-ECL isolates obtained from patients were identified as NDM-1, with most isolates carrying the IncFIIA plasmids. Notably, we initially observed that the E. cloacae strain co-harbored NDM-1 and IMP-8 carbapenemases simultaneously. Analysis of the genetic environment of these two genes has revealed that the highly conserved regions (blaNDM-1-bleMBL-trpF-tat are associated with the dissemination of NDM-1, while IS26, intI1, and tniC could be involved in the spread of IMP-8. Molecular epidemiology studies showed the nosocomial outbreak caused by NDM-1-producing E. cloacae ST88. Transferring from another hospital and previous carbapenem exposure were identified as independent risk factors for the acquisition of NDM-1-producing E. cloacae. These findings emphasize the need for intensive surveillance and precautions to monitor the further spread of NDM-1 in China.

  8. Identification of transcription factors potential related to brown planthopper resistance in rice via microarray expression profiling

    Directory of Open Access Journals (Sweden)

    Wang Yubing

    2012-12-01

    Full Text Available Abstract Background Brown planthopper (BPH, Nilaparvata lugens Stål, is one of the most destructive insect pests of rice. The molecular responses of plants to sucking insects resemble responses to pathogen infection. However, the molecular mechanism of BPH-resistance in rice remains unclear. Transcription factors (TF are up-stream regulators of various genes that bind to specific DNA sequences, thereby controlling the transcription from DNA to mRNA. They are key regulators for transcriptional expression in biological processes, and are probably involved in the BPH-induced pathways in resistant rice varieties. Results We conducted a microarray experiment to analyze TF genes related to BPH resistance in a Sri Lankan rice cultivar, Rathu Heenati (RHT. We compared the expression profiles of TF genes in RHT with those of the susceptible rice cultivar Taichun Native 1 (TN1. We detected 2038 TF genes showing differential expression signals between the two rice varieties. Of these, 442 TF genes were probably related to BPH-induced resistance in RHT and TN1, and 229 may be related to constitutive resistance only in RHT. These genes showed a fold change (FC of more than 2.0 (P10, there were 37 induced TF genes and 26 constitutive resistance TF genes. Of these, 13 were probably involved in BPH-induced resistance, and 8 in constitutive resistance to BPH in RHT. Conclusions We explored the molecular mechanism of resistance to BPH in rice by comparing expressions of TF genes between RHT and TN1. We speculate that the level of gene repression, especially for early TF genes, plays an important role in the defense response. The fundamental point of the resistance strategy is that plants protect themselves by reducing their metabolic level to inhibit feeding by BPH and prevent damage from water and nutrient loss. We have selected 21 TF genes related to BPH resistance for further analyses to understand the molecular responses to BPH feeding in rice.

  9. Relationship between increased serum tumor necrosis factor levels and insulin resistance in patients with essential hypertension

    International Nuclear Information System (INIS)

    Wang Weimin; Li Jinliang; Huang Yongqiang

    2010-01-01

    Objective: To investigate the relationship between serum tumor necrosis factor-α (TNF-α) levels and insulin resistance (IR) in patients with essential by pertension. Methods: Serum TNF-α and free insulin (fINS)levels were measured with RIA in 41 patients with essential hypertension and 38 controls. Insulin resistance was calculated with insulin resistance index (HOMA-IR). Results: The serum TNF-α levels were significantly higher in patients with essential hypertension than those in the controls (P<0.001). The HOMA-IR was also significantly higher in hypertension group than that in controls (P<0.001). Serum TNF-α levels was positively correlated with BMI, HOMA-IR and SBP both in hypertension group and control group (P<0.05). Conclusion: Serum TNF-α level was increased in hypertensive patients and positively correlated with obesity and IR. (authors)

  10. Genetic, environmental and cultural factors influencing the resistance to septoria tritici blotch (Mycosphaerella graminicola) in wheat

    NARCIS (Netherlands)

    Simón, M.R.

    2003-01-01

    KeyWord:Genetic, environmental and cultural factors influencing the resistance to septoria tritici blotch (Mycosphaerella

  11. Pneumonia caused by extensive drug-resistant Acinetobacter baumannii among hospitalized patients: genetic relationships, risk factors and mortality.

    Science.gov (United States)

    Li, Yu Jun; Pan, Chu Zhi; Fang, Chang Quan; Zhao, Zhu Xiang; Chen, Hui Ling; Guo, Peng Hao; Zhao, Zi Wen

    2017-05-30

    The clonal spread of multiple drug-resistant Acinetobacter baumannii is an emerging problem in China. We analysed the molecular epidemiology of Acinetobacter baumanni isolates at three teaching hospitals and investigated the risk factors, clinical features, and outcomes of hospital-acquired pneumonia caused by extensive drug-resistant Acinetobacter baumannii (XDRAB) infection in Guangzhou, China. Fifty-two A. baumannii isolates were collected. Multilocus sequence typing (MLST) was used to assess the genetic relationships among the isolates. The bla OXA-51-like gene was amplified using polymerase chain reaction (PCR) and sequencing. The resistance phenotypes were determined using the disc diffusion method. A retrospective case-control study was performed to determine factors associated with XDRAB pneumonia. Most of the 52 A. baumannii isolates (N = 37, 71.2%) were collected from intensive care units (ICUs). The respiratory system was the most common bodily site from which A. baumannii was recovered (N = 45, 86.5%). Disc diffusion classified the isolates into 17 multidrug-resistant (MDR) and 35 extensively drug-resistant (XDR) strains. MLST grouped the A. baumannii isolates into 5 existing sequence types (STs) and 7 new STs. ST195 and ST208 accounted for 69.2% (36/52) of the isolates. The clonal relationship analysis showed that ST195 and ST208 belonged to clonal complex (CC) 92. According to the sequence-based typing (SBT) of the bla OXA-51-like gene, 51 A. baumannii isolates carried OXA-66 and the rest carried OXA-199. There were no significant differences with respect to the resistance phenotype between the CC92 and non-CC92 strains (P = 0.767). The multivariate analysis showed that the APACHE II score, chronic obstructive pulmonary disease (COPD) and cardiac disease were independent risk factors for XDRAB pneumonia (P < 0.05). The mortality rate of XDRAB pneumonia was high (up to 42.8%), but pneumonia caused by XDRAB was not associated with in

  12. Relaxation resistance of heat resisting alloys with cobalt

    International Nuclear Information System (INIS)

    Borzdyka, A.M.

    1977-01-01

    Relaxation resistance of refractory nickel-chromium alloys containing 5 to 14 % cobalt is under study. The tests involve the use of circular samples at 800 deg to 850 deg C. It is shown that an alloy containing 14% cobalt possesses the best relaxation resistance exceeding that of nickel-chromium alloys without any cobalt by a factor of 1.5 to 2. The relaxation resistance of an alloy with 5% cobalt can be increased by hardening at repeated loading

  13. Mutation of a Nicotiana tabacum L. eukaryotic translation-initiation factor gene reduces susceptibility to a resistance-breaking strain of Potato Virus Y.

    Science.gov (United States)

    Takakura, Yoshimitsu; Udagawa, Hisashi; Shinjo, Akira; Koga, Kazuharu

    2018-04-06

    Eukaryotic translation-initiation factors eIF4E and eIF(iso)4E in plants play key roles in infection by potyviruses and other plant RNA viruses. Mutations in the genes encoding these factors reduce susceptibility to the viruses, and are the basis of several recessive virus-resistance genes widely used in plant breeding. Because virus variants occasionally break such resistance, the molecular basis for this process must be elucidated. Although deletion mutants of eIF4E1-S of tobacco (Nicotiana tabacum L.) resist Potato virus Y (PVY; the type member of the genus Potyvirus), resistance-breaking strains of PVY threaten tobacco production worldwide. Here, we used RNA interference technology to knock down tobacco eIF4E2-S and eIF4E2-T genes or eIF(iso)4E-S and eIF(iso)4E-T genes. Transgenic plants with reduced transcript levels of both eIF(iso)4E-S and eIF(iso)4E-T showed reduced susceptibility to a resistance-breaking PVY strain with a K105E mutation in the viral genome-associated protein (VPg). By screening a population of chemically-induced mutants of eIF(iso)4E-S and eIF(iso)4E-T, we showed that plants with a nonsense mutation in eIF(iso)4E-T, but not eIF(iso)4E-S, showed reduced susceptibility to the resistance-breaking PVY strain. In a yeast two-hybrid assay, VPg of the resistance-breaking strain, but not wild-type PVY, physically interacted with the eIF(iso)4E-T protein. Thus, eIF4E1-S is required for infection by PVY, but eIF(iso)4E-T is required for infection by the resistance-breaking strain. Our study provides the first evidence for the involvement of a host eukaryotic translation-initiation factor in the infection cycle of a resistance-breaking virus strain. The eIF(iso)4E-T mutants will be useful in tobacco breeding to introduce resistance against resistance-breaking PVY strains. This article is protected by copyright. All rights reserved. © 2018 BSPP and John Wiley & Sons Ltd.

  14. Adipokines and Hepatic Insulin Resistance

    Science.gov (United States)

    Hassan, Waseem

    2013-01-01

    Obesity is a major risk factor for insulin resistance and type 2 diabetes. Adipose tissue is now considered to be an active endocrine organ that secretes various adipokines such as adiponectin, leptin, resistin, tumour necrosis factor-α, and interleukin-6. Recent studies have shown that these factors might provide a molecular link between increased adiposity and impaired insulin sensitivity. Since hepatic insulin resistance plays the key role in the whole body insulin resistance, clarification of the regulatory processes about hepatic insulin resistance by adipokines in rodents and human would seem essential in order to understand the mechanism of type 2 diabetes and for developing novel therapeutic strategies to treat it. PMID:23762871

  15. Historical Risk Factors Associated with Seizure Outcome After Surgery for Drug-Resistant Mesial Temporal Lobe Epilepsy.

    Science.gov (United States)

    Asadi-Pooya, Ali A; Nei, Maromi; Sharan, Ashwini; Sperling, Michael R

    2016-05-01

    To investigate the possible influence of risk factors on seizure outcome after surgery for drug-resistant temporal lobe epilepsy (TLE) and mesial temporal sclerosis (MTS). This retrospective study recruited patients with drug-resistant MTS-TLE who underwent epilepsy surgery at Jefferson Comprehensive Epilepsy Center and were followed for a minimum of 1 year. Patients had been prospectively registered in a database from 1986 through 2014. After surgery outcome was classified into 2 groups: seizure-free or relapsed. The possible risk factors influencing long-term outcome after surgery were investigated. A total of 275 patients with MTS-TLE were studied. Two thirds of the patients had Engel's class 1 outcome and 48.4% of the patients had sustained seizure freedom, with no seizures since surgery. Patients with a history of tonic-clonic seizures in the year preceding surgery were more likely to experience seizure recurrence (odds ratio, 2.4; 95% confidence interval 1.19-4.80; P = 0.01). Gender, race, family history of epilepsy, history of febrile seizure, history of status epilepticus, duration of disease before surgery, intelligence quotient, and seizure frequency were not predictors of outcome. Many patients with drug-resistant MTS-TLE respond favorably to surgery. It is critical to distinguish among different types and etiologies of TLE when predicting outcome after surgery. Copyright © 2016 Elsevier Inc. All rights reserved.

  16. AZD9291 in epidermal growth factor receptor inhibitor-resistant non-small-cell lung cancer.

    Science.gov (United States)

    Stinchcombe, Thomas E

    2016-02-01

    Epidermal growth factor receptor (EGFR) tyrosine kinase inhibitors (TKIs) in advanced EGFR mutant non-small cell lung cancer have an objective response rate (ORR) of approximately 60-70% and a median progression free-survival (PFS) of approximately 10-13 months. Studies of tumor biopsies performed after progression on EGFR TKI revealed that 50-60% of EGFR mutant NSCLC developed an EGFR exon 20 T790M mutation as a mechanism of acquired resistance. AZD9291 is a third generation irreversible EGFR TKI with activity against the activating EGFR mutation, the T790M acquired resistance mutation, and relative sparing of the wild-type EGFR. AZD9291 was investigated in a phase I trial with expansion cohorts in patients with disease progression after EGFR TKI. Patients with and without detectable T790M mutations were enrolled in the trial. The ORR in patients with centrally confirmed and without detectable T790M mutations was 61% (95% CI, 52-70%) and 21% (95% CI, 12-34%), respectively. The PFS observed in patients with centrally confirmed and without detectable T790M mutations was 9.6 months (95% CI, 8.3 to not reached) and 2.8 months (95% CI, 2.1-4.3 months), respectively. At the dose for further investigation, 80 mg daily, the rate of all grade 3-5 drug related adverse events was 11%, and the rates of grade 3 diarrhea and rash were 1% and 0%, respectively. The identification of the T790M resistance mutation and the subsequent development of an agent against the mechanism of resistance provide a template for future drug development for acquired resistance to targeted therapy.

  17. Potential roles of WRKY transcription factors in resistance to Aspergillus flavus colonization of immature maize kernels

    Science.gov (United States)

    Resistance to Aspergillus flavus by maize (Zea mays L.) is mediated by several defense proteins; however the mechanism regulating the expression of these defenses is poorly understood. This study examined the potential roles of six maize WRKY transcription factors, ZmWRKY19, ZmWRKY21, ZmWRKY53, ZmW...

  18. Histone deacetylase inhibitor trichostatin A resensitizes gemcitabine resistant urothelial carcinoma cells via suppression of TG-interacting factor

    Energy Technology Data Exchange (ETDEWEB)

    Yeh, Bi-Wen [Department of Medical Laboratory Science and Biotechnology, College of Medicine, National Cheng Kung University, Tainan 701, Taiwan (China); Department of Urology, Kaohsiung Medical University Hospital, Kaohsiung Medical University, Kaohsiung, Taiwan (China); Department of Urology, School of Medicine, College of Medicine, Kaohsiung Medical University, Kaohsiung, Taiwan (China); Li, Wei-Ming [Graduate Institute of Medicine, College of Medicine, Kaohsiung Medical University, Kaohsiung, Taiwan (China); Department of Urology, Kaohsiung Medical University Hospital, Kaohsiung Medical University, Kaohsiung, Taiwan (China); Department of Urology, School of Medicine, College of Medicine, Kaohsiung Medical University, Kaohsiung, Taiwan (China); Li, Ching-Chia [Graduate Institute of Medicine, College of Medicine, Kaohsiung Medical University, Kaohsiung, Taiwan (China); Department of Urology, Kaohsiung Medical University Hospital, Kaohsiung Medical University, Kaohsiung, Taiwan (China); Department of Urology, School of Medicine, College of Medicine, Kaohsiung Medical University, Kaohsiung, Taiwan (China); Department of Urology, Kaohsiung Municipal Ta-Tung Hospital, Kaohsiung Medical University, Kaohsiung, Taiwan (China); Kang, Wan-Yi [Department of Pathology, Kuo General Hospital, Tainan 701, Taiwan (China); Huang, Chun-Nung [Department of Urology, Kaohsiung Medical University Hospital, Kaohsiung Medical University, Kaohsiung, Taiwan (China); Department of Urology, School of Medicine, College of Medicine, Kaohsiung Medical University, Kaohsiung, Taiwan (China); Hour, Tzyh-Chyuan [Institute of Biochemistry, Kaohsiung Medical University, Kaohsiung, Taiwan (China); Liu, Zi-Miao [Department of Medical Laboratory Science and Biotechnology, College of Medicine, National Cheng Kung University, Tainan 701, Taiwan (China); and others

    2016-01-01

    Gemcitabine and cisplatin (GC) has been widely used for advanced and metastatic urothelial carcinoma (UC). However, resistance to this remedy has been noticed. We have demonstrated that increase of TG-interacting factor (TGIF) in specimens is associated with worse prognosis of upper tract UC (UTUC) patients. The roles of TGIF in the gemcitabine resistance of UC were explored. Specimens of 23 locally advanced/advanced stage UTUC patients who received GC systemic chemotherapy after radical nephroureterectomy were collected to evaluate the alterations of TGIF in the resistance to the remedy by using immunohistochemistry. In vitro characterizations of mechanisms mediating TGIF in gemcitabine resistance were conducted by analyzing NTUB1 cells and their gemcitabine-resistant subline, NGR cells. Our results show that increased TGIF is significantly associated with chemo-resistance, poor progression-free survival, and higher cancer-related deaths of UTUC patients. Higher increases of TGIF, p-AKT{sup Ser473} and invasive ability were demonstrated in NGR cells. Overexpression of TGIF in NTUB1 cells upregulated p-AKT{sup Ser473} activation, enhanced migration ability, and attenuated cellular sensitivity to gemcitabine. Knockdown of TGIF in NGR cells downregulated p-AKT{sup Ser473} activation, declined migration ability, and enhanced cellular sensitivity to gemcitabine. In addition, histone deacetylases inhibitor trichostatin A (TSA) inhibited TGIF, p-AKT{sup Ser473} expression and migration ability. Synergistic effects of gemcitabine and TSA on NGR cells were also demonstrated. Collectively, TGIF contributes to the gemcitabine resistance of UC via AKT activation. Combined treatment with gemcitabine and TSA might be a promising therapeutic remedy to improve the gemcitabine resistance of UC. - Highlights: • TGIF expression in UC cells is associated with chemoresistance to gemcitabine. • TGIF-regulated AKT activation contributes to the gemcitabine resistance. • Increased

  19. Prevalence, Risk Factors, and Treatment Outcomes of Isoniazid- and Rifampicin-Mono-Resistant Pulmonary Tuberculosis in Lima, Peru.

    Directory of Open Access Journals (Sweden)

    Leonela Villegas

    Full Text Available Isoniazid and rifampicin are the two most efficacious first-line agents for tuberculosis (TB treatment. We assessed the prevalence of isoniazid and rifampicin mono-resistance, associated risk factors, and the association of mono-resistance on treatment outcomes.A prospective, observational cohort study enrolled adults with a first episode of smear-positive pulmonary TB from 34 health facilities in a northern district of Lima, Peru, from March 2010 through December 2011. Participants were interviewed and a sputum sample was cultured on Löwenstein-Jensen (LJ media. Drug susceptibility testing was performed using the proportion method. Medication regimens were documented for each patient. Our primary outcomes were treatment outcome at the end of treatment. The secondary outcome included recurrent episodes among cured patients within two years after completion of the treatment.Of 1292 patients enrolled, 1039 (80% were culture-positive. From this subpopulation, isoniazid mono-resistance was present in 85 (8% patients and rifampicin mono-resistance was present in 24 (2% patients. In the multivariate logistic regression model, isoniazid mono-resistance was associated with illicit drug use (adjusted odds ratio (aOR = 2.10; 95% confidence interval (CI: 1.1-4.1, and rifampicin mono-resistance was associated with HIV infection (aOR = 9.43; 95%CI: 1.9-47.8. Isoniazid mono-resistant patients had a higher risk of poor treatment outcomes including treatment failure (2/85, 2%, p-value<0.01 and death (4/85, 5%, p<0.02. Rifampicin mono-resistant patients had a higher risk of death (2/24, 8%, p<0.01.A high prevalence of isoniazid and rifampicin mono-resistance was found among TB patients in our low HIV burden setting which were similar to regions with high HIV burden. Patients with isoniazid and rifampicin mono-resistance had an increased risk of poor treatment outcomes.

  20. Histone deacetylase inhibitor trichostatin A resensitizes gemcitabine resistant urothelial carcinoma cells via suppression of TG-interacting factor

    International Nuclear Information System (INIS)

    Yeh, Bi-Wen; Li, Wei-Ming; Li, Ching-Chia; Kang, Wan-Yi; Huang, Chun-Nung; Hour, Tzyh-Chyuan; Liu, Zi-Miao

    2016-01-01

    Gemcitabine and cisplatin (GC) has been widely used for advanced and metastatic urothelial carcinoma (UC). However, resistance to this remedy has been noticed. We have demonstrated that increase of TG-interacting factor (TGIF) in specimens is associated with worse prognosis of upper tract UC (UTUC) patients. The roles of TGIF in the gemcitabine resistance of UC were explored. Specimens of 23 locally advanced/advanced stage UTUC patients who received GC systemic chemotherapy after radical nephroureterectomy were collected to evaluate the alterations of TGIF in the resistance to the remedy by using immunohistochemistry. In vitro characterizations of mechanisms mediating TGIF in gemcitabine resistance were conducted by analyzing NTUB1 cells and their gemcitabine-resistant subline, NGR cells. Our results show that increased TGIF is significantly associated with chemo-resistance, poor progression-free survival, and higher cancer-related deaths of UTUC patients. Higher increases of TGIF, p-AKT Ser473 and invasive ability were demonstrated in NGR cells. Overexpression of TGIF in NTUB1 cells upregulated p-AKT Ser473 activation, enhanced migration ability, and attenuated cellular sensitivity to gemcitabine. Knockdown of TGIF in NGR cells downregulated p-AKT Ser473 activation, declined migration ability, and enhanced cellular sensitivity to gemcitabine. In addition, histone deacetylases inhibitor trichostatin A (TSA) inhibited TGIF, p-AKT Ser473 expression and migration ability. Synergistic effects of gemcitabine and TSA on NGR cells were also demonstrated. Collectively, TGIF contributes to the gemcitabine resistance of UC via AKT activation. Combined treatment with gemcitabine and TSA might be a promising therapeutic remedy to improve the gemcitabine resistance of UC. - Highlights: • TGIF expression in UC cells is associated with chemoresistance to gemcitabine. • TGIF-regulated AKT activation contributes to the gemcitabine resistance. • Increased TGIF is significantly

  1. Challenges to Resistance Welding

    DEFF Research Database (Denmark)

    Song, Quanfeng

    This report originates from the compulsory defense during my Ph.D. study at the Technical University of Denmark. Resistance welding is an old and well-proven technology. Yet the emergence of more and more new materials, new designs, invention off new joining techniques, and more stringent...... requirement in quality have imposed challenges to the resistance welding. More some research and development have to be done to adapt the old technology to the manufacturing industry of the 21st century. In the 1st part of the report, the challenging factors to the resistance welding are reviewed. Numerical...... simulation of resistance welding has been under development for many years. Yet it is no easy to make simulation results reliable and accurate because of the complexity of resistance welding process. In the 2nd part of the report numerical modeling of resistance welding is reviewed, some critical factors...

  2. Increased anaerobic metabolism is a distinctive signature in a colorectal cancer cellular model of resistance to antiepidermal growth factor receptor antibody.

    Science.gov (United States)

    Monteleone, Francesca; Rosa, Roberta; Vitale, Monica; D'Ambrosio, Chiara; Succoio, Mariangela; Formisano, Luigi; Nappi, Lucia; Romano, Maria Fiammetta; Scaloni, Andrea; Tortora, Giampaolo; Bianco, Roberto; Zambrano, Nicola

    2013-03-01

    Cetuximab is a chimeric antibody approved for the treatment of metastatic colorectal cancer that selectively targets epidermal growth factor receptor (EGFR) signaling. Treatment efficacy with this drug is often impaired by acquired resistance and poor information has been accumulated on the mechanisms underlying such a phenomenon. By taking advantage of a syngenic cellular system of sensitivity and acquired resistance to anti-EGFR therapy in the colorectal carcinoma GEO cell line, we profiled protein expression differences between Cetuximab-sensitive and -resistant cells. Combined 2D DIGE and MS analyses revealed a main proteomic signature resulting from selective deregulation of various metabolic enzymes, including glucose-6-phosphate dehydrogenase, transketolase, lactate dehydrogenase B, and pyruvate dehydrogenase E1, which was also confirmed by Western blotting experiments. Lactate dehydrogenase B downregulation has been already related to an increased anaerobic utilization of glucose by tumor cells; accordingly, we verified that Cetuximab-resistant cells have a significantly higher production of lactate. Resistant cells also showed decreased nicotinamide adenine dinucleotide phosphate (NADPH) levels. Observed protein deregulations were not related to functional alterations of the hypoxia-inducible factor 1-associated pathways. Our data demonstrate that increased anaerobic metabolism is a prominent feature observed in the GEO syngenic model of acquired resistance to anti-EGFR therapy in colorectal cancer. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Additional risk factors for infection by multidrug-resistant pathogens in healthcare-associated infection: a large cohort study

    Directory of Open Access Journals (Sweden)

    Cardoso Teresa

    2012-12-01

    Full Text Available Abstract Background There is a lack of consensus regarding the definition of risk factors for healthcare-associated infection (HCAI. The purpose of this study was to identify additional risk factors for HCAI, which are not included in the current definition of HCAI, associated with infection by multidrug-resistant (MDR pathogens, in all hospitalized infected patients from the community. Methods This 1-year prospective cohort study included all patients with infection admitted to a large, tertiary care, university hospital. Risk factors not included in the HCAI definition, and independently associated with MDR pathogen infection, namely MDR Gram-negative (MDR-GN and ESKAPE microorganisms (vancomycin-resistant Enterococcus faecium, methicillin-resistant Staphylococcus aureus, extended-spectrum beta-lactamase-producing Escherichia coli and Klebsiella species, carbapenem-hydrolyzing Klebsiella pneumonia and MDR Acinetobacter baumannii, Pseudomonas aeruginosa, Enterobacter species, were identified by logistic regression among patients admitted from the community (either with community-acquired or HCAI. Results There were 1035 patients with infection, 718 from the community. Of these, 439 (61% had microbiologic documentation; 123 were MDR (28%. Among MDR: 104 (85% had MDR-GN and 41 (33% had an ESKAPE infection. Independent risk factors associated with MDR and MDR-GN infection were: age (adjusted odds ratio (OR = 1.7 and 1.5, p = 0.001 and p = 0.009, respectively, and hospitalization in the previous year (between 4 and 12 months previously (adjusted OR = 2.0 and 1,7, p = 0.008 and p = 0.048, respectively. Infection by pathogens from the ESKAPE group was independently associated with previous antibiotic therapy (adjusted OR = 7.2, p p = 0.003. Patients with infection by MDR, MDR-GN and pathogens from the ESKAPE group had significantly higher rates of inadequate antibiotic therapy than those without (46% vs 7%, 44% vs 10%, 61% vs 15%, respectively, p

  4. Risk factors associated with methicillin-resistant Staphylococcus aureus infection in patients admitted to the ED.

    Science.gov (United States)

    Viallon, Alain; Marjollet, Olivier; Berthelot, Philippe; Carricajo, Anne; Guyomarc'h, Stéphane; Robert, Florianne; Zeni, Fabrice; Bertrand, Jean Claude

    2007-10-01

    The objective of our study was to define the characteristics of patients admitted to the emergency department (ED) presenting with a methicillin-resistant Staphylococcus aureus (MRSA) infection. The study included all patients admitted to the ED between January 2003 and December 2004 in whom a staphylococcal infection was documented. The risk factors associated with carriage of MRSA, the diagnosis made in the ED, and the treatment administered were established from the patients' medical files. The sites from which the bacteria were isolated, the spectrum of resistance of the staphylococci to different antibiotics, and the presence or absence of the gene coding for Panton-Valentin leukocidin for certain S aureus isolates were determined from the reports issued by the bacteriologic department. Two groups of patients were compared: those with an infection caused by MRSA and those with an infection due to methicillin-susceptible S aureus (MSSA). A total of 238 patients were included, 93 presenting with an infection caused by MRSA and 145 an infection due to MSSA. The patients harboring MRSA had a higher median age than those carrying MSSA (74 vs 61 years, P = .0001), experienced a greater loss of autonomy (according to the Knauss index), and had more comorbidity factors. Nine patients, younger than 40 years, presented with an infection due to MRSA in the absence of any comorbidity factor or any factor associated with carriage of these bacteria. Seven patients in the MRSA group were tested for Panton-Valentine leukocidin genes, and a positive result was obtained in 2 of them. Regardless of whether the infection was caused by MRSA or by MSSA, the bacteria were most frequently isolated from a cutaneous site, in 40% and 65% of the patients, respectively. Irrespective of the group, 28% of the patients presented with bacteremia. The spectrum of resistance of these MRSA strains suggested a hospital rather than community origin. The initial antibiotic therapy was rarely

  5. Virulence factors and mechanisms of antimicrobial resistance in Shigella strains from periurban areas of Lima (Peru).

    Science.gov (United States)

    Lluque, Angela; Mosquito, Susan; Gomes, Cláudia; Riveros, Maribel; Durand, David; Tilley, Drake H; Bernal, María; Prada, Ana; Ochoa, Theresa J; Ruiz, Joaquim

    2015-01-01

    The study was aimed to describe the serotype, mechanisms of antimicrobial resistance, and virulence determinants in Shigella spp. isolated from Peruvian children. Eighty three Shigella spp. were serogrouped and serotyped being established the antibiotic susceptibility. The presence of 12 virulence factors (VF) and integrase 1 and 2, along with commonly found antibiotic resistance genes was established by PCR. S. flexneri was the most relevant serogroup (55 isolates, 66%), with serotype 2a most frequently detected (27 of 55, 49%), followed by S. boydii and S. sonnei at 12 isolates each (14%) and S. dysenteriae (four isolates, 5%). Fifty isolates (60%) were multi-drug resistant (MDR) including 100% of S. sonnei and 64% of S. flexneri. Resistance levels were high to trimethoprim-sulfamethoxazole (86%), tetracycline (74%), ampicillin (67%), and chloramphenicol (65%). Six isolates showed decreased azithromycin susceptibility. No isolate was resistant to nalidixic acid, ciprofloxacin, nitrofurantoin, or ceftriaxone. The most frequent resistance genes were sul2 (95%), tet(B) (92%), cat (80%), dfrA1 (47%), blaOXA-1like (40%), with intl1 and intl2 detected in 51 and 52% of the isolates, respectively. Thirty-one different VF profiles were observed, being the ipaH (100%), sen (77%), virA and icsA (75%) genes the most frequently found. Differences in the prevalence of VF were observed between species with S. flexneri isolates, particularly serotype 2a, possessing high numbers of VF. In conclusion, this study highlights the high heterogeneity of Shigella VF and resistance genes, and prevalence of MDR organisms within this geographic region. Copyright © 2015 Elsevier GmbH. All rights reserved.

  6. Staphylococcus aureus nasal carriage in Ukraine: antibacterial resistance and virulence factor encoding genes.

    Science.gov (United States)

    Netsvyetayeva, Irina; Fraczek, Mariusz; Piskorska, Katarzyna; Golas, Marlena; Sikora, Magdalena; Mlynarczyk, Andrzej; Swoboda-Kopec, Ewa; Marusza, Wojciech; Palmieri, Beniamino; Iannitti, Tommaso

    2014-03-05

    The number of studies regarding the incidence of multidrug resistant strains and distribution of genes encoding virulence factors, which have colonized the post-Soviet states, is considerably limited. The aim of the study was (1) to assess the Staphylococcus (S.) aureus nasal carriage rate, including Methicillin Resistant S. aureus (MRSA) strains in adult Ukrainian population, (2) to determine antibiotic resistant pattern and (3) the occurrence of Panton Valentine Leukocidine (PVL)-, Fibronectin-Binding Protein A (FnBPA)- and Exfoliative Toxin (ET)-encoding genes. Nasal samples for S. aureus culture were obtained from 245 adults. The susceptibility pattern for several classes of antibiotics was determined by disk diffusion method according to the European Committee on Antimicrobial Susceptibility Testing (EUCAST) guidelines. The virulence factor encoding genes, mecA, lukS-lukF, eta, etb, etd, fnbA, were detected by Polymerase Chain Reaction (PCR). The S. aureus nasal carriage rate was 40%. The prevalence of nasal MRSA carriage in adults was 3.7%. LukS-lukF genes were detected in over 58% of the strains. ET-encoding genes were detected in over 39% of the strains and the most prevalent was etd. The fnbA gene was detected in over 59% of the strains. All MRSA isolates tested were positive for the mecA gene. LukS-lukF genes and the etd gene were commonly co-present in MRSA, while lukS-lukF genes and the fnbA gene were commonly co-present in Methicillin Sensitive S. aureus (MSSA) isolates. No significant difference was detected between the occurrence of lukS-lukF genes (P > 0.05) and the etd gene (P > 0.05) when comparing MRSA and MSSA. The occurrence of the fnbA gene was significantly more frequent in MSSA strains (P aureus is a common cause of infection. The prevalence of S. aureus nasal carriage in our cohort of patients from Ukraine was 40.4%. We found that 9.1% of the strains were classified as MRSA and all MRSA isolates tested positive for the mecA gene

  7. Profile of Virulence Factors in the Multi-Drug Resistant Pseudomonas aeruginosa Strains of Human Urinary Tract Infections (UTI).

    Science.gov (United States)

    Habibi, Asghar; Honarmand, Ramin

    2015-12-01

    Putative virulence factors are responsible for the pathogenicity of UTIs caused by Pseudomonas aeruginosa (P. aeruginosa). Resistance of P. aeruginosa to commonly used antibiotics is caused by the extreme overprescription of those antibiotics. The goal of the present study was to investigate the prevalence of virulence factors and the antibiotic resistance patterns of P. aeruginosa isolates in UTI cases in Iran. Two hundred and fifty urine samples were collected from patients who suffered from UTIs. Samples were cultured immediately, and those that were P. aeruginosa-positive were analyzed for the presence of virulence genes using polymerase chain reaction (PCR) testing. Antimicrobial susceptibility testing (AST) was performed using the disk diffusion method. Of the 250 urine samples analyzed, 8 samples (3.2%) were positive for P. aeruginosa. The prevalence of P. aeruginosa in male and female patients was 2.7% and 3.5%, respectively, (P = 0.035). In patients less than 10 years old, it was 4.2%, and in patients more than 55 years old, it was 4.2%. These were the most commonly infected groups. The highest levels of resistance were seen against ampicillin (87.5%), norfloxacin (62.5%), gentamycin (62.5%), amikacin (62.5%), and aztreonam (62.5%), while the lowest were seen for meropenem (0%), imipenem (12.5%), and polymyxin B (12.5%). LasB (87.5%), pclH (75%), pilB (75%), and exoS (75%) were the most commonly detected virulence factors in the P. aeruginosa isolates. It is logical to first prescribe meropenem, imipenem, and polymyxin B in cases of UTIs caused by P. aeruginosa. Medical practitioners should be aware of the presence of levels of antibiotic resistance in hospitalized UTI patients in Iran.

  8. Contribution of SecDF to Staphylococcus aureus resistance and expression of virulence factors

    Directory of Open Access Journals (Sweden)

    Berger-Bächi Brigitte

    2011-04-01

    Full Text Available Abstract Background SecDF is an accessory factor of the conserved Sec protein translocation machinery and belongs to the resistance-nodulation-cell division (RND family of multidrug exporters. SecDF has been shown in Escherichia coli and Bacillus subtilis to be involved in the export of proteins. RND proteins can mediate resistance against various substances and might be of relevance in antimicrobial therapy. The role of RND proteins in Staphylococcus aureus has not yet been determined. Results Markerless deletion mutants were constructed to analyze the impact of the so far uncharacterized RND proteins in S. aureus. While the lack of Sa2056 and Sa2339 caused no phenotype regarding growth and resistance, the secDF mutant resulted in a pleiotropic phenotype. The secDF mutant was cold sensitive, but grew normally in rich medium at 37°C. Resistance to beta-lactams, glycopeptides and the RND substrates acriflavine, ethidium bromide and sodium dodecyl sulfate was reduced. The secDF mutant showed an aberrant cell separation and increased spontaneous and Triton X-100 induced autolysis, although the amounts of penicillin-binding proteins in the membrane were unchanged. The impact of secDF deletion on transcription and expression of specific virulence determinants varied: While coagulase transcription and activity were reduced, the opposite was observed for the autolysin Atl. A reduction of the transcription of the cell wall anchored protein A (spa was also found. The accumulation of SpA in the membrane and lowered amounts in the cell wall pointed to an impaired translocation. Conclusions The combination of different effects of secDF deletion on transcription, regulation and translocation lead to impaired cell division, reduced resistance and altered expression of virulence determinants suggesting SecDF to be of major relevance in S. aureus. Thus SecDF could be a potential target for the control and eradication of S. aureus in the future.

  9. Anti-Epidermal Growth Factor Receptor Therapy in Head and Neck Squamous Cell Carcinoma: Focus on Potential Molecular Mechanisms of Drug Resistance

    OpenAIRE

    Boeckx, Carolien; Baay, Marc; Wouters, An; Specenier, Pol; Vermorken, Jan B.; Peeters, Marc; Lardon, Filip

    2013-01-01

    Targeted therapy against epidermal growth factor receptor (EGFR) is one of the most promising therapeutics for head and neck squamous cell carcinoma, and EGFR is overexpressed in a wide range of malignancies. An improved understanding of the resistance to EGFR inhibitors may provide new treatment options. This review summarizes some mechanisms and decribes strategies to overcome this resistance.

  10. Complete genome sequence of hypervirulent and outbreak-associated Acinetobacter baumannii strain LAC-4: epidemiology, resistance genetic determinants and potential virulence factors

    Science.gov (United States)

    Ou, Hong-Yu; Kuang, Shan N.; He, Xinyi; Molgora, Brenda M.; Ewing, Peter J.; Deng, Zixin; Osby, Melanie; Chen, Wangxue; Xu, H. Howard

    2015-01-01

    Acinetobacter baumannii is an important human pathogen due to its multi-drug resistance. In this study, the genome of an ST10 outbreak A. baumannii isolate LAC-4 was completely sequenced to better understand its epidemiology, antibiotic resistance genetic determinants and potential virulence factors. Compared with 20 other complete genomes of A. baumannii, LAC-4 genome harbors at least 12 copies of five distinct insertion sequences. It contains 12 and 14 copies of two novel IS elements, ISAba25 and ISAba26, respectively. Additionally, three novel composite transposons were identified: Tn6250, Tn6251 and Tn6252, two of which contain resistance genes. The antibiotic resistance genetic determinants on the LAC-4 genome correlate well with observed antimicrobial susceptibility patterns. Moreover, twelve genomic islands (GI) were identified in LAC-4 genome. Among them, the 33.4-kb GI12 contains a large number of genes which constitute the K (capsule) locus. LAC-4 harbors several unique putative virulence factor loci. Furthermore, LAC-4 and all 19 other outbreak isolates were found to harbor a heme oxygenase gene (hemO)-containing gene cluster. The sequencing of the first complete genome of an ST10 A. baumannii clinical strain should accelerate our understanding of the epidemiology, mechanisms of resistance and virulence of A. baumannii. PMID:25728466

  11. Metabolic syndrome, insulin resistance and other cardiovascular risk factors in university students

    Directory of Open Access Journals (Sweden)

    José Bonifácio Barbosa

    2016-04-01

    Full Text Available Abstract A cross-sectional population-based study using questionnaire and anthropometric data was conducted on 968 university students of São Luís, Brazil, from which 590 showed up for blood collection. In the statistical analysis the Student t-test, Mann-Whitney and chi-square tests were used. The prevalence of metabolic syndrome by the Joint Interim Statement (JIS criteria was 20.5%, almost three times more prevalent in men (32.2% than in women (13.5% (P < 0.001. The prevalence of insulin resistance was 7.3% and the prevalence of low HDL-cholesterol was high (61.2%, both with no statistically significant differences by sex. Men showed a higher percentage of smoking, overweight, high blood pressure, high blood glucose and increased fasting hypertriglyceridemia. Women were more sedentary. University students of private institutions had higher prevalences of sedentary lifestyle, obesity, abdominal obesity, elevated triglycerides and metabolic syndrome than students from public institutions. High prevalences of metabolic syndrome, insulin resistance and other cardiovascular risk factors were found in this young population. This suggests that the burden of these diseases in the future will be increased.

  12. EMT transcription factors snail and slug directly contribute to cisplatin resistance in ovarian cancer

    International Nuclear Information System (INIS)

    Haslehurst, Alexandria M; Weberpals, Johanne; Davey, Scott; Squire, Jeremy; Park, Paul C; Feilotter, Harriet; Koti, Madhuri; Dharsee, Moyez; Nuin, Paulo; Evans, Ken; Geraci, Joseph; Childs, Timothy; Chen, Jian; Li, Jieran

    2012-01-01

    The epithelial to mesenchymal transition (EMT) is a molecular process through which an epithelial cell undergoes transdifferentiation into a mesenchymal phenotype. The role of EMT in embryogenesis is well-characterized and increasing evidence suggests that elements of the transition may be important in other processes, including metastasis and drug resistance in various different cancers. Agilent 4 × 44 K whole human genome arrays and selected reaction monitoring mass spectrometry were used to investigate mRNA and protein expression in A2780 cisplatin sensitive and resistant cell lines. Invasion and migration were assessed using Boyden chamber assays. Gene knockdown of snail and slug was done using targeted siRNA. Clinical relevance of the EMT pathway was assessed in a cohort of primary ovarian tumours using data from Affymetrix GeneChip Human Genome U133 plus 2.0 arrays. Morphological and phenotypic hallmarks of EMT were identified in the chemoresistant cells. Subsequent gene expression profiling revealed upregulation of EMT-related transcription factors including snail, slug, twist2 and zeb2. Proteomic analysis demonstrated up regulation of Snail and Slug as well as the mesenchymal marker Vimentin, and down regulation of E-cadherin, an epithelial marker. By reducing expression of snail and slug, the mesenchymal phenotype was largely reversed and cells were resensitized to cisplatin. Finally, gene expression data from primary tumours mirrored the finding that an EMT-like pathway is activated in resistant tumours relative to sensitive tumours, suggesting that the involvement of this transition may not be limited to in vitro drug effects. This work strongly suggests that genes associated with EMT may play a significant role in cisplatin resistance in ovarian cancer, therefore potentially leading to the development of predictive biomarkers of drug response or novel therapeutic strategies for overcoming drug resistance

  13. EMT transcription factors snail and slug directly contribute to cisplatin resistance in ovarian cancer

    Directory of Open Access Journals (Sweden)

    Haslehurst Alexandria M

    2012-03-01

    Full Text Available Abstract Background The epithelial to mesenchymal transition (EMT is a molecular process through which an epithelial cell undergoes transdifferentiation into a mesenchymal phenotype. The role of EMT in embryogenesis is well-characterized and increasing evidence suggests that elements of the transition may be important in other processes, including metastasis and drug resistance in various different cancers. Methods Agilent 4 × 44 K whole human genome arrays and selected reaction monitoring mass spectrometry were used to investigate mRNA and protein expression in A2780 cisplatin sensitive and resistant cell lines. Invasion and migration were assessed using Boyden chamber assays. Gene knockdown of snail and slug was done using targeted siRNA. Clinical relevance of the EMT pathway was assessed in a cohort of primary ovarian tumours using data from Affymetrix GeneChip Human Genome U133 plus 2.0 arrays. Results Morphological and phenotypic hallmarks of EMT were identified in the chemoresistant cells. Subsequent gene expression profiling revealed upregulation of EMT-related transcription factors including snail, slug, twist2 and zeb2. Proteomic analysis demonstrated up regulation of Snail and Slug as well as the mesenchymal marker Vimentin, and down regulation of E-cadherin, an epithelial marker. By reducing expression of snail and slug, the mesenchymal phenotype was largely reversed and cells were resensitized to cisplatin. Finally, gene expression data from primary tumours mirrored the finding that an EMT-like pathway is activated in resistant tumours relative to sensitive tumours, suggesting that the involvement of this transition may not be limited to in vitro drug effects. Conclusions This work strongly suggests that genes associated with EMT may play a significant role in cisplatin resistance in ovarian cancer, therefore potentially leading to the development of predictive biomarkers of drug response or novel therapeutic strategies for

  14. Alterations of plasma nitric oxide, vascular endothelial growth factor, and soluble form of its receptor (sFlt-1 after resistance exercise: An experimental study

    Directory of Open Access Journals (Sweden)

    Parivash Shekarchizadeh Esfahanni

    2014-01-01

    Conclusion: Resistance training does not alter plasma angiogenic factors (NO, VEGF, and sFlt-1, at least in normal rats. More studies are needed to show the effect of resistance training on angiogenesis process.

  15. Identification of transcription factors potential related to brown planthopper resistance in rice via microarray expression profiling.

    Science.gov (United States)

    Wang, Yubing; Guo, Huimin; Li, Haichao; Zhang, Hao; Miao, Xuexia

    2012-12-10

    Brown planthopper (BPH), Nilaparvata lugens Stål, is one of the most destructive insect pests of rice. The molecular responses of plants to sucking insects resemble responses to pathogen infection. However, the molecular mechanism of BPH-resistance in rice remains unclear. Transcription factors (TF) are up-stream regulators of various genes that bind to specific DNA sequences, thereby controlling the transcription from DNA to mRNA. They are key regulators for transcriptional expression in biological processes, and are probably involved in the BPH-induced pathways in resistant rice varieties. We conducted a microarray experiment to analyze TF genes related to BPH resistance in a Sri Lankan rice cultivar, Rathu Heenati (RHT). We compared the expression profiles of TF genes in RHT with those of the susceptible rice cultivar Taichun Native 1 (TN1). We detected 2038 TF genes showing differential expression signals between the two rice varieties. Of these, 442 TF genes were probably related to BPH-induced resistance in RHT and TN1, and 229 may be related to constitutive resistance only in RHT. These genes showed a fold change (FC) of more than 2.0 (Pgenes related to BPH-induced resistance, most of them were readily induced in TN1 than in RHT by BPH feeding, for instance, 154 TF genes were up-regulated in TN1, but only 31 TF genes were up-regulated in RHT at 24 hours after BPH infestation; 2-4 times more TF genes were induced in TN1 than in RHT by BPH. At an FC threshold of >10, there were 37 induced TF genes and 26 constitutive resistance TF genes. Of these, 13 were probably involved in BPH-induced resistance, and 8 in constitutive resistance to BPH in RHT. We explored the molecular mechanism of resistance to BPH in rice by comparing expressions of TF genes between RHT and TN1. We speculate that the level of gene repression, especially for early TF genes, plays an important role in the defense response. The fundamental point of the resistance strategy is that plants

  16. Pentapeptide-repeat proteins that act as topoisomerase poison resistance factors have a common dimer interface

    International Nuclear Information System (INIS)

    Vetting, Matthew W.; Hegde, Subray S.; Zhang, Yong; Blanchard, John S.

    2011-01-01

    The pentapeptide repeat protein AlbG, provides self-resistance to the nonribosomally encoded hybrid polyketide-peptide termed albicidin. Analysis of the AlbG three-dimensional structure and the sequences of other pentapeptide repeat proteins that confer resistance to topiosomerase poisons suggests they have a similar dimer interface which may be critical to their interaction with topoisomerases. The protein AlbG is a self-resistance factor against albicidin, a nonribosomally encoded hybrid polyketide-peptide with antibiotic and phytotoxic properties produced by Xanthomonas albilineans. Primary-sequence analysis indicates that AlbG is a member of the pentapeptide-repeat family of proteins (PRP). The structure of AlbG from X. albilineans was determined at 2.0 Å resolution by SAD phasing using data collected from a single trimethyllead acetate derivative on a home source. AlbG folds into a right-handed quadrilateral β-helix composed of approximately eight semi-regular coils. The regularity of the β-helix is blemished by a large loop/deviation in the β-helix between coils 4 and 5. The C-terminus of the β-helix is capped by a dimerization module, yielding a dimer with a 110 Å semi-collinear β-helical axis. This method of dimer formation appears to be common to all PRP proteins that confer resistance to topoisomerase poisons and contrasts with most PRP proteins, which are typically monomeric

  17. Antibiotic resistance and pathogenicity factors in Staphylococcus ...

    Indian Academy of Sciences (India)

    know which endemic strains of S. aureus in dairy cattle ... Antibiotic resistance; cattle; mastitis; MRSA; pathogenic genes ... recommended by Clinical and Laboratory Standards Institute ...... fnbA, eno, hla and nuc, did not show any relation to.

  18. Prevalence, antimicrobial resistance and risk factors for Campylobacter colonising dogs and cats in Greece

    Directory of Open Access Journals (Sweden)

    T. Lazou

    2017-09-01

    Full Text Available The study was conducted to determine the prevalence, antimicrobial resistance and risk factors for Campylobacter colonising dogs and cats in Greece. Faecal specimens were collected from 181 dogs and 132 cats. Culture methods were applied to detect Campylobacter spp. and a multiplex PCR assay to identify the isolates. The prevalence of Campylobacter spp. was 3.8% in dogs and 12.1% in cats. The most frequently identified Campylobacter species in dogs was C. jejuni (57.1% followed by C. coli (42.9%. All feline isolates were identified as C. jejuni apart from one isolate that was characterised as Campylobacter-like organism. Gender, age, breed, life style, diarrhoea and type of diet of dogs and cats did not significantly correlate (P>0.05 with Campylobacter isolation. Possible predictors regarding Campylobacter presence in dogs and cats were assessed by binary logistic regression. A tendency towards higher risk for Campylobacter contamination was observed in dogs consuming a homemade diet and in outdoor cats. Disk diffusion method revealed that all Campylobacter isolates exhibited susceptibility to erythromycin, gentamicin and streptomycin. Contrariwise, 66.7% of canine isolates were resistant concurrently to tetracycline and quinolones and 59.0%, 13.6% and 4.5% of feline isolates were resistant to quinolones, quinolones along with tetracycline and tetracycline alone, respectively

  19. Interaction of insulin-like growth factor-I and insulin resistance-related genetic variants with lifestyle factors on postmenopausal breast cancer risk.

    Science.gov (United States)

    Jung, Su Yon; Ho, Gloria; Rohan, Thomas; Strickler, Howard; Bea, Jennifer; Papp, Jeanette; Sobel, Eric; Zhang, Zuo-Feng; Crandall, Carolyn

    2017-07-01

    Genetic variants and traits in metabolic signaling pathways may interact with obesity, physical activity, and exogenous estrogen (E), influencing postmenopausal breast cancer risk, but these inter-related pathways are incompletely understood. We used 75 single-nucleotide polymorphisms (SNPs) in genes related to insulin-like growth factor-I (IGF-I)/insulin resistance (IR) traits and signaling pathways, and data from 1003 postmenopausal women in Women's Health Initiative Observation ancillary studies. Stratifying via obesity and lifestyle modifiers, we assessed the role of IGF-I/IR traits (fasting IGF-I, IGF-binding protein 3, insulin, glucose, and homeostatic model assessment-insulin resistance) in breast cancer risk as a mediator or influencing factor. Seven SNPs in IGF-I and INS genes were associated with breast cancer risk. These associations differed between non-obese/active and obese/inactive women and between exogenous E non-users and users. The mediation effects of IGF-I/IR traits on the relationship between these SNPs and cancer differed between strata, but only roughly 35% of the cancer risk due to the SNPs was mediated by traits. Similarly, carriers of 20 SNPs in PIK3R1, AKT1/2, and MAPK1 genes (signaling pathways-genetic variants) had different associations with breast cancer between strata, and the proportion of the SNP-cancer relationship explained by traits varied 45-50% between the strata. Our findings suggest that IGF-I/IR genetic variants interact with obesity and lifestyle factors, altering cancer risk partially through pathways other than IGF-I/IR traits. Unraveling gene-phenotype-lifestyle interactions will provide data on potential genetic targets in clinical trials for cancer prevention and intervention strategies to reduce breast cancer risk.

  20. Insulin resistance possible risk factor for cognitive impairment in fibromialgic patients.

    Science.gov (United States)

    Fava, Antonietta; Plastino, Massimiliano; Cristiano, Dario; Spanò, Antonio; Cristofaro, Stefano; Opipari, Carlo; Chillà, Antonio; Casalinuovo, Fatima; Colica, Carmen; De Bartolo, Matteo; Pirritano, Domenico; Bosco, Domenico

    2013-12-01

    To evaluate glucose metabolism and/or insulin resistance (IR) in 96 patients with Fibromyalgia (FM), associated or not to cognitive impairment. We investigated glucose metabolism in 96 FM patients. Enrolled patients were divided into two groups: 48 patients with memory deficit (group A) and 48 without memory deficit (control group). We evaluated glucose and insulin levels after a 2 h-Oral-Glucose-Tolerance-Test (2 h-OGTT) and insulin resistance (IR) by the homeostasis model assessment formula (HOMA). Body Mass Index (BMI), waist-to-hip-ratio (WHR), anxiety level, fasting plasma insulin and Non-Steroidal Anti-Inflammatory agents use were higher in patients with FM with memory impairment; while age, sex, waist circumference, education level, fasting plasma glucose, glycate hemoglobin, triglycerides, blood lipid profile, C- Reactivity-Protein (CRP), blood pressure and smoking habits were similar in both groups. Following OGTT the prevalence of glucose metabolism abnormalities was significantly higher in group A. IR was present in 79% patients, of whom 23% had also impaired glucose tolerance, 4% newly diagnosed diabetes mellitus and 52% IR only. Obesity and overweight prevailed in group A. IR, but not BMI or WHR was associated to an increased risk of memory impairment (OR = 2,6; 95% CI: 1,22-3,7). The results of this study suggest that IR may represent a risk factor for memory impairment in fibromialgic patients.

  1. Risk factors related to resistance to Rhipicephalus (Boophilus microplus and weight gain of heifers

    Directory of Open Access Journals (Sweden)

    Jenevaldo Barbosa da Silva

    2015-08-01

    Full Text Available The aim of the present study was to evaluate the influence of age and genetics in dairy heifers on resistance to the cattle tick Rhipicephalus (Boophilus microplus and correlate these parameters with weight gain. Twenty-two heifers were evaluated from birth up to two years of age. Resistance to the cattle tick was evaluated by counting the number of engorged female ticks and subjective qualification of the larvae and nymph infestation. The animals were weighted in the first 24 hours after birth and at six, 12, 18 and 24 months of age. The average tick count and weight gain were compared by Tukey’s test at 5% significance. Subsequently, linear regression was performed to verify the strength of the association between the risk factors age and genetics and infestation by R. (B. microplus. Age and genetics were both significant risk factors for R. (B. microplus infestation in heifers. Between the third and sixth months of age, the animals showed a window of susceptibility to R. (B. microplus. Regardless of age, Bos taurus heifers had higher infestations than Bos indicus, crossbred F1 (½ B. taurus x ½ B. indicus and crossbred Gir-Holstein (Girolando (? B. taurus x ? B. indicus heifers. B. taurus heifers were heavier than B. indicus heifers at birth and had significantly greater weight gain (p < 0.01.

  2. A cross-sectional study examining the prevalence and risk factors for anti-microbial-resistant generic Escherichia coli in domestic dogs that frequent dog parks in three cities in south-western Ontario, Canada.

    Science.gov (United States)

    Procter, T D; Pearl, D L; Finley, R L; Leonard, E K; Janecko, N; Reid-Smith, R J; Weese, J S; Peregrine, A S; Sargeant, J M

    2014-06-01

    Anti-microbial resistance can threaten health by limiting treatment options and increasing the risk of hospitalization and severity of infection. Companion animals can shed anti-microbial-resistant bacteria that may result in the exposure of other dogs and humans to anti-microbial-resistant genes. The prevalence of anti-microbial-resistant generic Escherichia coli in the faeces of dogs that visited dog parks in south-western Ontario was examined and risk factors for shedding anti-microbial-resistant generic E. coli identified. From May to August 2009, canine faecal samples were collected at ten dog parks in three cities in south-western Ontario, Canada. Owners completed a questionnaire related to pet characteristics and management factors including recent treatment with antibiotics. Faecal samples were collected from 251 dogs, and 189 surveys were completed. Generic E. coli was isolated from 237 of the faecal samples, and up to three isolates per sample were tested for anti-microbial susceptibility. Eighty-nine percent of isolates were pan-susceptible; 82.3% of dogs shed isolates that were pan-susceptible. Multiclass resistance was detected in 7.2% of the isolates from 10.1% of the dogs. Based on multilevel multivariable logistic regression, a risk factor for the shedding of generic E. coli resistant to ampicillin was attending dog day care. Risk factors for the shedding of E. coli resistant to at least one anti-microbial included attending dog day care and being a large mixed breed dog, whereas consumption of commercial dry and home cooked diets was protective factor. In a multilevel multivariable model for the shedding of multiclass-resistant E. coli, exposure to compost and being a large mixed breed dog were risk factors, while consumption of a commercial dry diet was a sparing factor. Pet dogs are a potential reservoir of anti-microbial-resistant generic E. coli; some dog characteristics and management factors are associated with the prevalence of anti-microbial-resistant

  3. Amphiregulin triggered epidermal growth factor receptor activation confers in vivo crizotinib-resistance of EML4-ALK lung cancer and circumvention by epidermal growth factor receptor inhibitors.

    Science.gov (United States)

    Taniguchi, Hirokazu; Takeuchi, Shinji; Fukuda, Koji; Nakagawa, Takayuki; Arai, Sachiko; Nanjo, Shigeki; Yamada, Tadaaki; Yamaguchi, Hiroyuki; Mukae, Hiroshi; Yano, Seiji

    2017-01-01

    Crizotinib, a first-generation anaplastic lymphoma kinase (ALK) tyrosine-kinase inhibitor, is known to be effective against echinoderm microtubule-associated protein-like 4 (EML4)-ALK-positive non-small cell lung cancers. Nonetheless, the tumors subsequently become resistant to crizotinib and recur in almost every case. The mechanism of the acquired resistance needs to be deciphered. In this study, we established crizotinib-resistant cells (A925LPE3-CR) via long-term administration of crizotinib to a mouse model of pleural carcinomatous effusions; this model involved implantation of the A925LPE3 cell line, which harbors the EML4-ALK gene rearrangement. The resistant cells did not have the secondary ALK mutations frequently occurring in crizotinib-resistant cells, and these cells were cross-resistant to alectinib and ceritinib as well. In cell clone #2, which is one of the clones of A925LPE3-CR, crizotinib sensitivity was restored via the inhibition of epidermal growth factor receptor (EGFR) by means of an EGFR tyrosine-kinase inhibitor (erlotinib) or an anti-EGFR antibody (cetuximab) in vitro and in the murine xenograft model. Cell clone #2 did not have an EGFR mutation, but the expression of amphiregulin (AREG), one of EGFR ligands, was significantly increased. A knockdown of AREG with small interfering RNAs restored the sensitivity to crizotinib. These data suggest that overexpression of EGFR ligands such as AREG can cause resistance to crizotinib, and that inhibition of EGFR signaling may be a promising strategy to overcome crizotinib resistance in EML4-ALK lung cancer. © 2016 The Authors. Cancer Science published by John Wiley & Sons Australia, Ltd on behalf of Japanese Cancer Association.

  4. Insulin-like growth factor 1, liver enzymes, and insulin resistance in patients with PCOS and hirsutism.

    Science.gov (United States)

    Çakir, Evrim; Topaloğlu, Oya; Çolak Bozkurt, Nujen; Karbek Bayraktar, Başak; Güngüneş, Aşkın; Sayki Arslan, Müyesser; Öztürk Ünsal, İlknur; Tutal, Esra; Uçan, Bekir; Delıbaşi, Tuncay

    2014-01-01

    Hyperinsulinemia and insulin resistance are commonly seen in patients with hirsutism and polycystic ovary syndrome (PCOS), and are associated with cardiovascular disease risk. However, it is not yet known whether insulin-like growth factor I (IGF-I) and alanine transaminase (ALT) produced by the liver play roles in hyperinsulinemia and subclinical atherosclerotic process in patients with PCOS and idiopathic hirsutism (IH). This was a prospective case-controlled study. The study population consisted of 25 reproductive-age PCOS women, 33 women with IH, and 25 control subjects. Mean IGF-I levels and median ALT levels were higher in patients with IH and PCOS than controls, but these differences were not statistically significant. The participants who had a homeostasis model assessment insulin resistance index (HOMA-IR) greater than 2.7 had significantly higher IGF-1 and ALT levels. ALT levels were positively correlated with body mass index, FG, insulin and HOMA-IR. The study illustrated that IGF-1 and ALT levels were significantly higher in patients with increased insulin resistance. Due to short disease duration in younger participants, we did not observe any correlation between IGF-1 and hyperinsulinemia. These findings suggest that increased hepatic production of IGF-I and ALT might be an early indicator of insulin resistance in hirsutism.

  5. Healthy lifestyle factors and risk of cardiovascular events and mortality in treatment-resistant hypertension: the Reasons for Geographic and Racial Differences in Stroke study.

    Science.gov (United States)

    Diaz, Keith M; Booth, John N; Calhoun, David A; Irvin, Marguerite R; Howard, George; Safford, Monika M; Muntner, Paul; Shimbo, Daichi

    2014-09-01

    Few data exist on whether healthy lifestyle factors are associated with better prognosis among individuals with apparent treatment-resistant hypertension, a high-risk phenotype of hypertension. The purpose of this study was to assess the association of healthy lifestyle factors with cardiovascular events, all-cause mortality, and cardiovascular mortality among individuals with apparent treatment-resistant hypertension. We studied participants (n=2043) from the population-based Reasons for Geographic and Racial Differences in Stroke (REGARDS) study with apparent treatment-resistant hypertension (blood pressure ≥140/90 mm Hg despite the use of 3 antihypertensive medication classes or the use of ≥4 classes of antihypertensive medication regardless of blood pressure control). Six healthy lifestyle factors adapted from guidelines for the management of hypertension (normal waist circumference, physical activity ≥4 times/week, nonsmoking, moderate alcohol consumption, high Dietary Approaches to Stop Hypertension diet score, and low sodium-to-potassium intake ratio) were examined. A greater number of healthy lifestyle factors were associated with lower risk for cardiovascular events (n=360) during a mean follow-up of 4.5 years. Multivariable-adjusted hazard ratios [HR (95% confidence interval)] for cardiovascular events comparing individuals with 2, 3, and 4 to 6 versus 0 to 1 healthy lifestyle factors were 0.91 (0.68-1.21), 0.80 (0.57-1.14), and 0.63 (0.41-0.95), respectively (P-trend=0.020). Physical activity and nonsmoking were individual healthy lifestyle factors significantly associated with lower risk for cardiovascular events. Similar associations were observed between healthy lifestyle factors and risk for all-cause and cardiovascular mortality. In conclusion, healthy lifestyle factors, particularly physical activity and nonsmoking, are associated with a lower risk for cardiovascular events and mortality among individuals with apparent treatment-resistant

  6. Phenotypic and molecular characterization of antimicrobial resistance and virulence factors in Pseudomonas aeruginosa clinical isolates from Recife, State of Pernambuco, Brazil

    Directory of Open Access Journals (Sweden)

    Paula Regina Luna de Araújo Jácome

    2012-12-01

    Full Text Available INTRODUCTION: The emergence of carbapenem resistance mechanisms in Pseudomonas aeruginosa has been outstanding due to the wide spectrum of antimicrobial degradation of these bacteria, reducing of therapeutic options. METHODS: Sixty-one clinical strains of P. aeruginosa isolated from five public hospitals in Recife, Pernambuco, Brazil, were examined between 2006 and 2010, aiming of evaluating the profiles of virulence, resistance to antimicrobials, presence of metallo-β-lactamase (MBL genes, and clonal relationship among isolates. RESULTS: A high percentage of virulence factors (34.4% mucoid colonies; 70.5% pyocyanin; 93.4% gelatinase positives; and 72.1% hemolysin positive and a high percentage of antimicrobial resistance rates (4.9% pan-resistant and 54.1% multi-drug resistant isolates were observed. Among the 29 isolates resistant to imipenem and/or ceftazidime, 44.8% (13/29 were MBL producers by phenotypic evaluation, and of these, 46.2% (6/13 were positive for the blaSPM-1 gene. The blaIMP and blaVIM genes were not detected. The molecular typing revealed 21 molecular profiles of which seven were detected in distinct hospitals and periods. Among the six positive blaSPM-1 isolates, three presented the same clonal profile and were from the same hospital, whereas the other three presented different clonal profiles. CONCLUSIONS: These results revealed that P. aeruginosa is able to accumulate different resistance and virulence factors, making the treatment of infections difficult. The identification of blaSPM-1 genes and the dissemination of clones in different hospitals, indicate the need for stricter application of infection control measures in hospitals in Recife, Brazil, aiming at reducing costs and damages caused by P. aeruginosa infections.

  7. Factors associated with methicillin-resistant coagulase-negative staphylococci as causing organisms in deep sternal wound infections after cardiac surgery

    Directory of Open Access Journals (Sweden)

    R. Sommerstein

    2015-07-01

    Full Text Available Established preoperative antibiotic prophylaxis in cardiac surgery is ineffective against methicillin-resistant coagulase-negative staphylococci (CoNS. This case–control study aimed to determine factors predicting deep sternal wound infections due to methicillin-resistant CoNS. All cardiac surgery patients undergoing sternotomy between June 2009 and March 2013 prospectively documented in a Swiss tertiary care center were included. Among 1999 patients, 82 (4.1% developed deep sternal wound infection. CoNS were causal in 36 (44% patients, with 25/36 (69% being methicillin resistant. Early reintervention for noninfectious causes (odds ratio (OR 4.3; 95% confidence interval (CI 1.9–9.5 was associated with methicillin-resistant CoNS deep sternal wound infection. Among CoNS deep sternal wound infection, perioperative antimicrobial therapy (p 0.002, early reintervention for noninfectious causes (OR 7.9; 95% CI 0.9–71.1 and time between surgery and diagnosis of infection over 21 days (OR 10.8; 95% CI 1.2–97.8 were associated with methicillin resistance. These findings may help to better tailor preoperative antimicrobial prophylaxis.

  8. Third generation cephalosporin resistant Enterobacteriaceae and multidrug resistant gram-negative bacteria causing bacteremia in febrile neutropenia adult cancer patients in Lebanon, broad spectrum antibiotics use as a major risk factor, and correlation with poor prognosis

    Directory of Open Access Journals (Sweden)

    Rima eMoghnieh

    2015-02-01

    Full Text Available Bacteremia remains a major cause of life-threatening complications in patients receiving anticancer chemotherapy. The spectrum and susceptibility profiles of causative microorganisms differ with time and place. Data from Lebanon are scarce. We aim at evaluating the epidemiology of bacteremia in cancer patients in a university hospital in Lebanon, emphasizing antibiotic resistance and risk factors of multi-drug resistant organism (MDRO-associated bacteremia.This is a retrospective study of 75 episodes of bacteremia occurring in febrile neutropenic patients admitted to the hematology-oncology unit at Makassed General Hospital, Lebanon, from October 2009-January 2012.It corresponds to epidemiological data on bacteremia episodes in febrile neutropenic cancer patients including antimicrobial resistance and identification of risk factors associated with third generation cephalosporin resistance (3GCR and MDRO-associated bacteremia. Out of 75 bacteremias, 42.7% were gram-positive (GP, and 57.3% were gram-negative (GN. GP bacteremias were mostly due to methicillin-resistant coagulase negative staphylococci (28% of total bacteremias and 66% of GP bacteremias. Among the GN bacteremias, Escherichia coli (22.7% of total, 39.5% of GN organisms and Klebsiellapneumoniae(13.3% of total, 23.3% of GN organisms were the most important causative agents. GN bacteremia due to 3GC sensitive (3GCS bacteria represented 28% of total bacteremias, while 29% were due to 3GCR bacteria and 9% were due to carbapenem-resistant organisms. There was a significant correlation between bacteremia with MDRO and subsequent intubation, sepsis and mortality. Among potential risk factors, only broad spectrum antibiotic intake >4 days before bacteremia was found to be statistically significant for acquisition of 3GCR bacteria. Using carbapenems or piperacillin/ tazobactam>4 days before bacteremia was significantly associated with the emergence of MDRO (p value<0.05.

  9. Macrophage Migration Inhibitory Factor: Critical Role in Obesity, Insulin Resistance, and Associated Comorbidities

    Directory of Open Access Journals (Sweden)

    Robert Kleemann

    2010-01-01

    Full Text Available Obesity is associated with insulin resistance, disturbed glucose homeostasis, low grade inflammation, and comorbidities such as type 2 diabetes and cardiovascular disease. The cytokine macrophage migration inhibitory factor (MIF is an ubiquitously expressed protein that plays a crucial role in many inflammatory and autoimmune disorders. Increasing evidence suggests that MIF also controls metabolic and inflammatory processes underlying the development of metabolic pathologies associated with obesity. This is a comprehensive summary of our current knowledge on the role of MIF in obesity and obesity-associated comorbidities, based on human clinical data as well as animal models of disease.

  10. The Hv NAC6 transcription factor: a positive regulator of penetration resistance in barley and Arabidopsis

    DEFF Research Database (Denmark)

    Jensen, Michael Krogh; Rung, Jesper Henrik; Gregersen, Per Langkjaer

    2007-01-01

    Pathogens induce the expression of many genes encoding plant transcription factors, though specific knowledge of the biological function of individual transcription factors remains scarce. NAC transcription factors are encoded in plants by a gene family with proposed functions in both abiotic...... and biotic stress adaptation, as well as in developmental processes. In this paper, we provide convincing evidence that a barley NAC transcription factor has a direct role in regulating basal defence. The gene transcript was isolated by differential display from barley leaves infected with the biotrophic...... powdery mildew fungus, Blumeria graminis f.sp. hordei (Bgh). The full-length cDNA clone was obtained using 5'-RACE and termed HvNAC6, due to its high similarity to the rice homologue, OsNAC6. Gene silencing of HvNAC6 during Bgh inoculation compromises penetration resistance in barley epidermal cells...

  11. Effects of Resistance Training on Muscle Strength, Endurance, and Motor Unit According to Ciliary Neurotrophic Factor Polymorphism in Male College Students

    Directory of Open Access Journals (Sweden)

    Ae-Rim Hong, Sang-Min Hong, Yun-A Shin

    2014-09-01

    Full Text Available Changes in muscle mass and strength across the adult age span are variable and related to the ciliary neurotrophic factor (CNTF genotype. In particular, a single CNTF haplotype (1357 G→A is important for neuronal and muscular developments and may be associated with muscle strength response to resistance training. We examined whether CNTF genotype differentially influences the effect of resistance training on neuromuscular improvement in male college students. Resistance training of the upper extremities comprised 3 sets at 75%–85% intensity per 1 repetition maximum, 3 times a week, for a total of 8 weeks. We measured isokinetic muscle function of the elbow joint with regard to strength (60°/s and endurance (180°/s by using an isokinetic dynamometer. The biceps brachii (BB and brachioradialis muscles were studied using surface electromyography with spike-triggered averaging to assess surface-detected motor unit potential (SMUP area. After resistance training, the SMUP of the BB increased significantly at 60°/s (p < 0.05, but no difference in the CNTF genotype was observed. The SMUP of the BB at 180°/s increased significantly in the GG/AA genotype group compared with that in the GA genotype group (p < 0.05. The average power of the elbow flexor at 180°/s increased significantly after resistance training (p < 0.05, but again, no difference in the CNTF genotype was observed. Thus, improvements in muscle strength and endurance may have resulted directly from resistance training rather than from genetic factors related to nerves in muscle tissue.

  12. Effect of rosiglitazone on insulin resistance, growth factors, and reproductive disturbances in women with polycystic ovary syndrome.

    Science.gov (United States)

    Belli, Susana H; Graffigna, Mabel N; Oneto, Adriana; Otero, Patricia; Schurman, Leon; Levalle, Oscar A

    2004-03-01

    To evaluate the effects of rosiglitazone on insulin resistance, growth factors, and reproductive disturbances in women with polycystic ovary syndrome (PCOS). Prospective study. Women with PCOS attending as outpatients of the Endocrine Division, Hospital Durand, Buenos Aires. Twenty-four insulin-resistant women with PCOS. Hormonal evaluations and a standardized oral glucose tolerance test before and after a 3-month trial of 4 mg of rosiglitazone daily. Serum LH, FSH, T, IGF-1, IGFBP-1, IGFBP-3, leptin, 17alpha-hydroxyprogesterone, insulin, and glucose concentrations. The area under insulin curve (AUC-insulin), the HOMA index (insulin resistance), the QUICKI index (insulin sensitivity), and the beta-cell function were calculated. Body mass index (BMI) and the waist/hip ratio were evaluated. A significant decrease was observed in serum fasting insulin, AUC insulin, HOMA index, beta-cell function, IGF-1, LH, and waist/hip ratio. The QUICKI index and IGFBP-1 increased significantly. Serum sex hormone-binding globulin, androgens, leptin, IGFBP-3, and BMI remained unchanged. Twenty-two of 23 females had their menses restored, and three patients became pregnant. One patient was excluded because she became pregnant at the second month. Associated with the decrease in LH, rosiglitazone improved insulin-resistance parameters and normalized the menstrual cycle, which suggests that this drug could improve the endocrine-reproductive condition in insulin-resistant women with PCOS.

  13. Diagnostic Evasion of Highly-Resistant Microorganisms: A Critical Factor in Nosocomial Outbreaks.

    Science.gov (United States)

    Zhou, Xuewei; Friedrich, Alexander W; Bathoorn, Erik

    2017-01-01

    Highly resistant microorganisms (HRMOs) may evade screening strategies used in routine diagnostics. Bacteria that have evolved to evade diagnostic tests may have a selective advantage in the nosocomial environment. Evasion of resistance detection can result from the following mechanisms: low-level expression of resistance genes not resulting in detectable resistance, slow growing variants, mimicry of wild-type-resistance, and resistance mechanisms that are only detected if induced by antibiotic pressure. We reviewed reports on hospital outbreaks in the Netherlands over the past 5 years. Remarkably, many outbreaks including major nation-wide outbreaks were caused by microorganisms able to evade resistance detection by diagnostic screening tests. We describe various examples of diagnostic evasion by several HRMOs and discuss this in a broad and international perspective. The epidemiology of hospital-associated bacteria may strongly be affected by diagnostic screening strategies. This may result in an increasing reservoir of resistance genes in hospital populations that is unnoticed. The resistance elements may horizontally transfer to hosts with systems for high-level expression, resulting in a clinically significant resistance problem. We advise to communicate the identification of HRMOs that evade diagnostics within national and regional networks. Such signaling networks may prevent inter-hospital outbreaks, and allow collaborative development of adapted diagnostic tests.

  14. Comparative mapping of Phytophthora resistance loci in pepper germplasm: evidence for conserved resistance loci across Solanaceae and for a large genetic diversity.

    Science.gov (United States)

    Thabuis, A; Palloix, A; Pflieger, S; Daubèze, A-M; Caranta, C; Lefebvre, V

    2003-05-01

    Phytophthora capsici Leonian, known as the causal agent of the stem, collar and root rot, is one of the most serious problems limiting the pepper crop in many areas in the world. Genetic resistance to the parasite displays complex inheritance. Quantitative trait locus (QTL) analysis was performed in three intraspecific pepper populations, each involving an unrelated resistant accession. Resistance was evaluated by artificial inoculations of roots and stems, allowing the measurement of four components involved in different steps of the plant-pathogen interaction. The three genetic maps were aligned using common markers, which enabled the detection of QTLs involved in each resistance component and the comparison of resistance factors existing among the three resistant accessions. The major resistance factor was found to be common to the three populations. Another resistance factor was found conserved between two populations, the others being specific to a single cross. This comparison across intraspecific germplasm revealed a large variability for quantitative resistance loci to P. capsici. It also provided insights both into the allelic relationships between QTLs across pepper germplasm and for the comparative mapping of resistance factors across the Solanaceae.

  15. Cross-resistance to radiation in human squamous cell carcinoma cells with induced cisplatin resistance

    International Nuclear Information System (INIS)

    Komori, Keiichi

    1998-01-01

    Accumulated evidence indicates that drug resistance is induced in tumor cells treated with a variety of anti-cancer drugs and that there is a possibility of cross-resistance to ionizing radiation associated with induced drug resistance. Most in vitro studies have shown inconsistent results on cross-resistance probably because of different cell lines used and protocols for drug induction. In this study, TE3 human squamous cell carcinoma cell line was treated with a 4-day cycle of cisplatin (cis-diamminedichloroplatinum (II); CDDP) at a concentration yielding 10% cell survival. The treatment was repeated up to 3 cycles. After treatment, cells were tested for CDDP and X-ray sensitivity. One cycle of CDDP treatment induced CDDP resistance with a factor of 1.41 and 2 cycles of the treatment with a factor of 1.86. The resistance factor reached a plateau at 3 cycles of treatment. For analyzing the correlation of CDDP and X-ray resistance, 30 clones from both untreated and 3-cycle treated cells were isolated and analyzed for CDDP and X-ray sensitivity. The sensitivity was expressed as the concentration of drug or dose of X-ray required to reduce the cell survival to x% (Dx). The correlation coefficient of clones with 3-cycle treatment between CDDP and X-ray sensitivity increased gradually by increasing the end point of Dx from D 10 to D 90 , resulting in significant correlation at D 90 . The result suggested that there is a certain common repair-related mechanism affecting both CDDP and X-ray resistance in CDDP-treated cells. (author)

  16. Sensitization of recombinant human tumor necrosis factor-related apoptosis-inducing ligand-resistant malignant melanomas by quercetin.

    Science.gov (United States)

    Turner, Katherine A; Manouchehri, Jasmine M; Kalafatis, Michael

    2018-03-28

    Malignant melanoma is the most commonly diagnosed skin cancer associated with a high rate of metastasis. Low-stage melanoma is easily treated, but metastatic malignant melanoma is an extremely treatment-resistant malignancy with low survival rates. The application of recombinant human tumor necrosis factor-related apoptosis-inducing ligand (rhTRAIL) for the treatment of metastatic malignant melanoma holds considerable promise because of its selective proapoptotic activity towards cancer cells and not nontransformed cells. Unfortunately, the clinical utilization of rhTRAIL has been terminated due to the resistance of many cancer cells to undergo apoptosis in response to rhTRAIL. However, rhTRAIL-resistance can be abrogated through the cotreatment with compounds derived from 'Mother Nature' such as quercetin that can modulate cellular components responsible for rhTRAIL-resistance. Here, we show that rhTRAIL-resistant malignant melanomas are sensitized by quercetin. Quercetin action is manifested by the upregulation of rhTRAIL-binding receptors DR4 and DR5 on the surface of cancer cells and by increased rate of the proteasome-mediated degradation of the antiapoptotic protein FLIP. Our data provide for a new efficient and nontoxic treatment of malignant melanoma.This is an open-access article distributed under the terms of the Creative Commons Attribution-Non Commercial-No Derivatives License 4.0 (CCBY-NC-ND), where it is permissible to download and share the work provided it is properly cited. The work cannot be changed in any way or used commercially without permission from the journal. http://creativecommons.org/licenses/by-nc-nd/4.0/.

  17. AIB1 is required for the acquisition of epithelial growth factor receptor-mediated tamoxifen resistance in breast cancer cells

    International Nuclear Information System (INIS)

    Zhao Wenhui; Zhang Qingyuan; Kang Xinmei; Jin Shi; Lou Changjie

    2009-01-01

    Acquired resistance to tamoxifen has become a serious obstacle in breast cancer treatment. The underlying mechanism responsible for this condition has not been completely elucidated. In this study, a tamoxifen-resistant (Tam-R) MCF-7 breast cancer cell line was developed to mimic the occurrence of acquired tamoxifen resistance as seen in clinical practice. Increased expression levels of HER1, HER2 and the estrogen receptor (ER)-AIB1 complex were found in tamoxifen-resistant cells. EGF stimulation and gefitinib inhibition experiments further demonstrated that HER1/HER2 signaling and AIB1 were involved in the proliferation of cells that had acquired Tam resistance. However, when AIB1 was silenced with AIB1-siRNA in Tam-R cells, the cell growth stimulated by the HER1/HER2 signaling pathway was significantly reduced, and the cells were again found to be inhibited by tamoxifen. These results suggest that the AIB1 protein could be a limiting factor in the HER1/HER2-mediated hormone-independent growth of Tam-R cells. Thus, AIB1 may be a new therapeutic target, and the removal of AIB1 may decrease the crosstalk between ER and the HER1/HER2 pathway, resulting in the restoration of tamoxifen sensitivity in tamoxifen-resistant cells.

  18. Development of genetic tools for in vivo virulence analysis of Streptococcus sanguinis.

    Science.gov (United States)

    Turner, Lauren Senty; Das, Sankar; Kanamoto, Taisei; Munro, Cindy L; Kitten, Todd

    2009-08-01

    Completion of the genome sequence of Streptococcus sanguinis SK36 necessitates tools for further characterization of this species. It is often desirable to insert antibiotic resistance markers and other exogenous genes into the chromosome; therefore, we sought to identify a chromosomal site for ectopic expression of foreign genes, and to verify that insertion into this site did not affect important cellular phenotypes. We designed three plasmid constructs for insertion of erm, aad9 or tetM resistance determinants into a genomic region encoding only a small (65 aa) hypothetical protein. To determine whether this insertion affected important cellular properties, SK36 and its erythromycin-resistant derivative, JFP36, were compared for: (i) growth in vitro, (ii) genetic competence, (iii) biofilm formation and (iv) virulence for endocarditis in the rabbit model of infective endocarditis (IE). The spectinomycin-resistant strain, JFP56, and tetracycline-resistant strain, JFP76, were also tested for virulence in vivo. Insertion of erm did not affect growth, competence or biofilm development of JFP36. Recovery of bacteria from heart valves of co-inoculated rabbits was similar to wild-type for JFP36, JFP56 and JFP76, indicating that IE virulence was not significantly affected. The capacity for mutant complementation in vivo was explored in an avirulent ssaB mutant background. Expression of ssaB from its predicted promoter in the target region restored IE virulence. Thus, the chromosomal site utilized is a good candidate for further manipulations of S. sanguinis. In addition, the resistant strains developed may be further applied as controls to facilitate screening for virulence factors in vivo.

  19. Failure mode transition in AHSS resistance spot welds. Part I. Controlling factors

    International Nuclear Information System (INIS)

    Pouranvari, M.; Marashi, S.P.H.

    2011-01-01

    Highlights: → Interfacial to pullout failure mode transition for AHSS RSWs is studied. → An analytical mode is proposed to predict failure mode of AHSS RSWs. → Hardness characteristics of RSWs plays key role in the failure mode transition. - Abstract: Failure mode of resistance spot welds is a qualitative indicator of weld performance. Two major types of spot weld failure are pull-out and interfacial fracture. Interfacial failure, which typically results in reduced energy absorption capability, is considered unsatisfactory and industry standards are often designed to avoid this occurrence. Advanced High Strength Steel (AHSS) spot welds exhibit high tendency to fail in interfacial failure mode. Sizing of spot welds based on the conventional recommendation of 4t 0.5 (t is sheet thickness) does not guarantee the pullout failure mode in many cases of AHSS spot welds. Therefore, a new weld quality criterion should be found for AHSS resistance spot welds to guarantee pull-out failure. The aim of this paper is to investigate and analyze the transition between interfacial and pull-out failure modes in AHSS resistance spot welds during the tensile-shear test by the use of analytical approach. In this work, in the light of failure mechanism, a simple analytical model is presented for estimating the critical fusion zone size to prevent interfacial fracture. According to this model, the hardness ratio of fusion zone to pull-out failure location and the volume fraction of voids in fusion zone are the key metallurgical factors governing type of failure mode of AHSS spot welds during the tensile-shear test. Low hardness ratio and high susceptibility to form shrinkage voids in the case of AHSS spot welds appear to be the two primary causes for their high tendency to fail in interfacial mode.

  20. Cardiac Development and Transcription Factors: Insulin Signalling, Insulin Resistance, and Intrauterine Nutritional Programming of Cardiovascular Disease

    Science.gov (United States)

    Govindsamy, Annelene; Naidoo, Strinivasen

    2018-01-01

    Programming with an insult or stimulus during critical developmental life stages shapes metabolic disease through divergent mechanisms. Cardiovascular disease increasingly contributes to global morbidity and mortality, and the heart as an insulin-sensitive organ may become insulin resistant, which manifests as micro- and/or macrovascular complications due to diabetic complications. Cardiogenesis is a sequential process during which the heart develops into a mature organ and is regulated by several cardiac-specific transcription factors. Disrupted cardiac insulin signalling contributes to cardiac insulin resistance. Intrauterine under- or overnutrition alters offspring cardiac structure and function, notably cardiac hypertrophy, systolic and diastolic dysfunction, and hypertension that precede the onset of cardiovascular disease. Optimal intrauterine nutrition and oxygen saturation are required for normal cardiac development in offspring and the maintenance of their cardiovascular physiology. PMID:29484207

  1. IncA/C Conjugative Plasmids Mobilize a New Family of Multidrug Resistance Islands in Clinical Vibrio cholerae Non-O1/Non-O139 Isolates from Haiti.

    Science.gov (United States)

    Carraro, Nicolas; Rivard, Nicolas; Ceccarelli, Daniela; Colwell, Rita R; Burrus, Vincent

    2016-07-19

    Mobile genetic elements play a pivotal role in the adaptation of bacterial populations, allowing them to rapidly cope with hostile conditions, including the presence of antimicrobial compounds. IncA/C conjugative plasmids (ACPs) are efficient vehicles for dissemination of multidrug resistance genes in a broad range of pathogenic species of Enterobacteriaceae ACPs have sporadically been reported in Vibrio cholerae, the infectious agent of the diarrheal disease cholera. The regulatory network that controls ACP mobility ultimately depends on the transcriptional activation of multiple ACP-borne operons by the master activator AcaCD. Beyond ACP conjugation, AcaCD has also recently been shown to activate the expression of genes located in the Salmonella genomic island 1 (SGI1). Here, we describe MGIVchHai6, a novel and unrelated mobilizable genomic island (MGI) integrated into the 3' end of trmE in chromosome I of V. cholerae HC-36A1, a non-O1/non-O139 multidrug-resistant clinical isolate recovered from Haiti in 2010. MGIVchHai6 contains a mercury resistance transposon and an integron In104-like multidrug resistance element similar to the one of SGI1. We show that MGIVchHai6 excises from the chromosome in an AcaCD-dependent manner and is mobilized by ACPs. Acquisition of MGIVchHai6 confers resistance to β-lactams, sulfamethoxazole, tetracycline, chloramphenicol, trimethoprim, and streptomycin/spectinomycin. In silico analyses revealed that MGIVchHai6-like elements are carried by several environmental and clinical V. cholerae strains recovered from the Indian subcontinent, as well as from North and South America, including all non-O1/non-O139 clinical isolates from Haiti. Vibrio cholerae, the causative agent of cholera, remains a global public health threat. Seventh-pandemic V. cholerae acquired multidrug resistance genes primarily through circulation of SXT/R391 integrative and conjugative elements. IncA/C conjugative plasmids have sporadically been reported to

  2. Adaptation in Response of Excitation and Inhibition Factors of Angiogenesis after 4 Weeks of Progressive Resistant Training in Sedentary Men

    Directory of Open Access Journals (Sweden)

    s. Karami

    2016-09-01

    Full Text Available Aims: The sport activity is an important factor affecting the capillary density and angiogenesis. Nitric oxide (NO and vascular endothelial growth factor (VEGF are the most important stimulative regulators in the angiogenesis. In addition, endostatin is one of the inhibitors of angiogenesis. The aim of this study was to investigate the adaptation in the responses of the angiogenesis inhibition and stimulating factors after 4-week increasing resistive exercises in the sedentary men. Materials & Methods: In the semi-experimental study, 20 healthy and inactive male students, aged between 20 and 25 years, who were residents of Tehran University Dormitory, were studied in the first semester of the academic year 2015-16. The subjects, selected via available sampling method, were divided into two groups including experimental and control groups (n=10 per group. 4-week resistive exercises were done three sessions per week. Blood-sampling was done before and 48 hours after the last exercise session. VEGF, NO, and endostatin were then measured. Data was analyzed by SPSS 18 software using independent and dependent T tests, as well as Pearson correlation coefficient test. Findings: In experimental group, VEGF and No significantly increased at the posttest stage than the pretest (p=0.001. Nevertheless, no significant difference was observed in control group (p>0.05. In both experimental and control groups, endostatin level did not significantly increase at the posttest stage than the pretest (p>0.05. In addition, VEGF and NO were the only variables that were significantly correlated (p=0.016; r=0.82. Conclusion: 4-week increasing resistive exercises in the sedentary men significantly affect the angiogenes stimulating factors, i. e. VEGF and NO, while such exercises do not significantly affect the angiogenesis inhibition factor, i. e. endostatin.

  3. Therapy of spinal wound infections using vacuum-assisted wound closure: risk factors leading to resistance to treatment.

    Science.gov (United States)

    Ploumis, Avraam; Mehbod, Amir A; Dressel, Thomas D; Dykes, Daryll C; Transfeldt, Ensor E; Lonstein, John E

    2008-07-01

    This study retrospectively reviewed spine surgical procedures complicated by wound infection and managed by a protocol including the use of vacuum-assisted wound closure (VAC). To define factors influencing the number of debridements needed before the final wound closure by applying VAC for patients with postoperative spinal wound infections. VAC has been suggested as a safe and probably effective method for the treatment of spinal wound infections. The risk factors for infection resistance and need for debridement revisions after VAC placement are unknown. Seventy-three consecutive patients with 79 wound infections after undergoing spine surgery were studied (6 of them had recurrence of infection). All patients were taken to the operating room for irrigation and debridement under general anesthesia followed by placement of the VAC with subsequent delayed closure of the wound. Linear regression and t test were used to identify if the following variables were risk factors for the resistance of infection to VAC treatment: timing of clinical appearance of infection, depth of infection (deep or superficial), presence of instrumentation, positive culture for methicillin-resistant Staphylococcus aureus (MRSA) or more than 1 microorganism, age of the patient, and presence of other comorbidities. There were 34 males and 39 females with an average age of 58.4 years (21 to 82). Once the VAC was initiated, there was an average of 1.4 procedures until and including closure of the wound. The wound was closed an average of 7 days (range 5 to 14) after the placement of the initial VAC on the wound. The average follow-up was 14 months (range 12 to 28). All of the patients but 2 achieved a clean, closed wound without removal of instrumentation at a minimum follow-up of 1 year. Sixty patients had implants (instrumentation or allograft) within the site of wound infection. Thirteen patients had a decompression with exposed dura. Sixty-four infections (81%) presented with a draining

  4. Comparrisson of MICs of ceftioufur and other antimicrobial agents against bacterial pathogens of swine from the United States, Canada and Denmark

    DEFF Research Database (Denmark)

    Salmon, S.A.; Watts, J.L.; Case, C.A.

    1995-01-01

    , sulfamethazine, trimethoprim-sulfadiazine (1:19), erythromycin, lincomycin, spectinomycin, lincomycin-spectinomycin (1:8), tilmicosin, and tetracycline. Tilmicosin was only tested against the U.S. isolates. Overall, ceftiofur and enrofloxacin were the most active antimicrobial agents tested against all isolates.......0 and 8.0 mu g/ml, respectively). However, this compound was not active against the remaining U.S. isolates (MIC(90)s, >64.0 mu g/ml), Differences in the MICs from one country to another were not detected with enrofloxacin, ceftiofur, or lincomycin for the strains tested, but variations in the MICs...

  5. Regulatory Lymphocytes Are Key Factors in MHC-Independent Resistance to EAE

    Science.gov (United States)

    Marín, Nieves; Mecha, Miriam; Espejo, Carmen; Mestre, Leyre; Eixarch, Herena; Montalban, Xavier; Álvarez-Cermeño, José C.; Guaza, Carmen; Villar, Luisa M.

    2014-01-01

    Background and Objectives. Resistant and susceptible mouse strains to experimental autoimmune encephalomyelitis (EAE), an inducible demyelinating experimental disease serving as animal model for multiple sclerosis, have been described. We aimed to explore MHC-independent mechanisms inducing resistance to EAE. Methods. For EAE induction, female C57BL/6 (susceptible strain) and CD1 (resistant outbred strain showing heterogeneous MHC antigens) mice were immunized with the 35–55 peptide of myelin oligodendrocyte glycoprotein (MOG35−55). We studied T cell proliferation, regulatory and effector cell subpopulations, intracellular and serum cytokine patterns, and titers of anti-MOG serum antibodies. Results. Upon immunization with MOG35−55, T lymphocytes from susceptible mice but not that of resistant strain were capable of proliferating when stimulated with MOG35−55. Accordingly, resistant mice experienced a rise in regulatory B cells (P = 0.001) and, to a lower extent, in regulatory T cells (P = 0.02) compared with C57BL/6 susceptible mice. As a consequence, MOG35−55-immunized C57BL/6 mice showed higher percentages of CD4+ T cells producing both IFN-gamma (P = 0.02) and IL-17 (P = 0.009) and higher serum levels of IL-17 (P = 0.04) than resistant mice. Conclusions. Expansion of regulatory B and T cells contributes to the induction of resistance to EAE by an MHC-independent mechanism. PMID:24868560

  6. Regulatory Lymphocytes Are Key Factors in MHC-Independent Resistance to EAE

    Directory of Open Access Journals (Sweden)

    Nieves Marín

    2014-01-01

    Full Text Available Background and Objectives. Resistant and susceptible mouse strains to experimental autoimmune encephalomyelitis (EAE, an inducible demyelinating experimental disease serving as animal model for multiple sclerosis, have been described. We aimed to explore MHC-independent mechanisms inducing resistance to EAE. Methods. For EAE induction, female C57BL/6 (susceptible strain and CD1 (resistant outbred strain showing heterogeneous MHC antigens mice were immunized with the 35–55 peptide of myelin oligodendrocyte glycoprotein (MOG35−55. We studied T cell proliferation, regulatory and effector cell subpopulations, intracellular and serum cytokine patterns, and titers of anti-MOG serum antibodies. Results. Upon immunization with MOG35−55, T lymphocytes from susceptible mice but not that of resistant strain were capable of proliferating when stimulated with MOG35−55. Accordingly, resistant mice experienced a rise in regulatory B cells (P=0.001 and, to a lower extent, in regulatory T cells (P=0.02 compared with C57BL/6 susceptible mice. As a consequence, MOG35−55-immunized C57BL/6 mice showed higher percentages of CD4+ T cells producing both IFN-gamma (P=0.02 and IL-17 (P=0.009 and higher serum levels of IL-17 (P=0.04 than resistant mice. Conclusions. Expansion of regulatory B and T cells contributes to the induction of resistance to EAE by an MHC-independent mechanism.

  7. Association of Tumor Growth Factor-β and Interferon-γ Serum Levels With Insulin Resistance in Normal Pregnancy.

    Science.gov (United States)

    Sotoodeh Jahromi, Abdolreza; Sanie, Mohammad Sadegh; Yusefi, Alireza; Zabetian, Hassan; Zareian, Parvin; Hakimelahi, Hossein; Madani, Abdolhossien; Hojjat-Farsangi, Mohammad

    2015-09-28

    Pregnancy is related to change in glucose metabolism and insulin production. The aim of our study was to determine the association of serum IFN-γ and TGF- β levels with insulin resistance during normal pregnancy. This cross sectional study was carried out on 97 healthy pregnant (in different trimesters) and 28 healthy non-pregnant women. Serum TGF-β and IFN- γ level were measured by ELISA method. Pregnant women had high level TGF-β and low level IFN-γ as compared non-pregnant women. Maternal serum TGF-β concentration significantly increased in third trimester as compared first and second trimester of pregnancy. Maternal serum IFN-γ concentration significantly decreased in third trimester as compared first and second trimester of pregnancy. Pregnant women exhibited higher score of HOMA IR as compared non-pregnant women. There were association between gestational age with body mass index (r=0.28, P=0.005), TGF-β (r=0.45, PInsulin resistance and TGF-β (r=0.17, p=0.05). Our findings suggest that changes in maternal cytokine level in healthy pregnant women were anti-inflammatory. Furthermore, Tumor Growth Factor-β appears has a role in induction insulin resistance in healthy pregnant women. However, further studies needed to evaluate role of different cytokines on insulin resistance in normal pregnancy.

  8. Insulin-like growth factor 1, liver enzymes, and insulin resistance in patients with PCOS and hirsutism

    OpenAIRE

    ÇAKIR, Evrim; TOPALOĞLU, Oya; BOZKURT, Nujen ÇOLAK; BAYRAKTAR, Başak KARBEK

    2015-01-01

    Hyperinsulinemia and insulin resistance are commonly seen in patients with hirsutism and polycystic ovary syndrome (PCOS), and are associated with cardiovascular disease risk. However, it is not yet known whether insulin-like growth factor I (IGF-I) and alanine transaminase (ALT) produced by the liver play roles in hyperinsulinemia and subclinical atherosclerotic process in patients with PCOS and idiopathic hirsutism (IH). Materials and methods: This was a prospective case-controlled study....

  9. Shiga toxin-producing Escherichia coli isolated from chicken meat in Iran: serogroups, virulence factors, and antimicrobial resistance properties.

    Science.gov (United States)

    Momtaz, Hassan; Jamshidi, Alireza

    2013-05-01

    The aim of the current study was to determine the virulence factors, serogroups, and antibiotic resistance properties of Shiga toxin-producing Escherichia coli isolated from chicken meat samples. A total of 422 chicken meat samples were collected from 5 townships of Iran. Specimens were immediately transferred to the laboratory in a cooler with an ice pack. Samples were cultured, and the positive culture samples were analyzed by PCR assays. Finally, the antimicrobial susceptibility test was performed using the disk diffusion method in Mueller-Hinton agar. According to the results, out of 422 samples, 146 (34.59%) were confirmed to be E. coli positive and among E. coli-positive samples, 51 (34.93%) and 31 (21.23%) were from attaching and effacing E. coli (AEEC) and enterohemorrhagic E. coli (EHEC) subgroups, respectively. All of the EHEC-positive samples had all stx1, eaeA, and ehly virulence genes, whereas only 5 (9.80%) of AEEC subgroup had all stx1, stx2, and eaeA genes. As the data revealed, O157 was the most prevalent and O111 was the least prevalent strains in the Shiga toxin-producing E. coli (STEC) population. Among STEC strains, sulI and blaSHV had the highest and lowest incidence rate, respectively. There was a high resistance to tetracycline (76.82%), followed by chloramphenicol (73.17%) and nitrofurantoin (63.41%), but there was low resistance to cephalotine (7.31%) antibiotics in isolated strains. Results shows that the PCR technique has a high performance for detection of serogroups, virulence genes, and antibiotic resistance genes in STEC strains. This study is the first prevalence report of detection of virulence genes, serogroups, and antibiotic resistance properties of STEC strains isolated from chicken meat samples in Iran. Based on the results, chicken meat is one of the main sources of STEC strains and its virulence factors in Iran, so an accurate meat inspection would reduce disease outbreaks.

  10. Converging risk factors but no association between HIV infection and multidrug-resistant tuberculosis in Kazakhstan.

    Science.gov (United States)

    van den Hof, S; Tursynbayeva, A; Abildaev, T; Adenov, M; Pak, S; Bekembayeva, G; Ismailov, S

    2013-04-01

    Kazakhstan is a country with a low HIV/AIDS (human immunodeficiency virus/acquired immune-deficiency syndrome) burden, but a high prevalence of multidrug-resistant tuberculosis (MDR-TB). We describe the epidemiology of multidrug resistance and HIV among TB patients, using the 2007-2011 national electronic TB register. HIV test results were available for 97.2% of TB patients. HIV prevalence among TB patients increased from 0.6% in 2007 to 1.5% in 2011. Overall, 41.6% of patients had a positive smear at diagnosis, 38.6% a positive culture and 51.7% either a positive smear or culture. Drug susceptibility testing (DST) results were available for 92.7% of culture-positive cases. Socio-economic factors independently associated with both HIV and MDR-TB were urban residency, drug use, homelessness and a history of incarceration. In adjusted analysis, HIV positivity was not associated with MDR-TB (OR 1.0, 95%CI 0.86-1.2). Overall, among TB patients with DST and HIV test results available, 65.0% were positive for neither HIV nor MDR-TB, 33.5% only for MDR-TB, 0.9% only for HIV and 0.6% for both HIV and MDR-TB. Among injection drug users, 12.5% were positive for HIV and MDR-TB. We showed increasing HIV prevalence among TB patients in Kazakhstan. HIV was not an independent risk factor for MDR-TB, but risk factors were largely overlapping and we did identify subgroups at particular risk of HIV-MDR-TB co-infection, notably drug users. Enhanced efforts are necessary to provide care to these socially vulnerable populations.

  11. Risk factors for infections due to carbapenem-resistant Klebsiella pneumoniae after open heart surgery.

    Science.gov (United States)

    Salsano, Antonio; Giacobbe, Daniele Roberto; Sportelli, Elena; Olivieri, Guido Maria; Brega, Carlotta; Di Biase, Carlo; Coppo, Erika; Marchese, Anna; Del Bono, Valerio; Viscoli, Claudio; Santini, Francesco

    2016-11-01

    Patients undergoing major surgery are at increased risk of developing infections due to resistant organisms, including carbapenem-resistant Klebsiella pneumoniae (CR-Kp). In this study, we assessed risk factors for CR-Kp infections after open heart surgery in a teaching hospital in northern Italy. A retrospective study was conducted from January to December 2014. The primary outcome measure was postoperative CR-Kp infection, defined as a time-to-event end-point. The effect of potentially related variables was assessed by univariable and multivariable analyses. Secondary end-points were in-hospital mortality and 180-day postoperative mortality. Among 553 patients undergoing open heart surgery, 32 developed CR-Kp infections (6%). In the final multivariable model, CR-Kp colonization [hazard ratio (HR) 227.45, 95% confidence intervals (CI) 67.13-1225.20, P open heart surgery. CR-Kp infection after surgery significantly affected survival. Preventing colonization is conceivably the most effective current strategy to reduce the impact of CR-Kp. © The Author 2016. Published by Oxford University Press on behalf of the European Association for Cardio-Thoracic Surgery. All rights reserved.

  12. Anti-Epidermal Growth Factor Receptor Therapy in Head and Neck Squamous Cell Carcinoma: Focus on Potential Molecular Mechanisms of Drug Resistance

    Science.gov (United States)

    Baay, Marc; Wouters, An; Specenier, Pol; Vermorken, Jan B.; Peeters, Marc; Lardon, Filip

    2013-01-01

    Targeted therapy against the epidermal growth factor receptor (EGFR) is one of the most promising molecular therapeutics for head and neck squamous cell carcinoma (HNSCC). EGFR is overexpressed in a wide range of malignancies, including HNSCC, and initiates important signal transduction pathways in HNSCC carcinogenesis. However, primary and acquired resistance are serious problems and are responsible for low single-agent response rate and tumor recurrence. Therefore, an improved understanding of the molecular mechanisms of resistance to EGFR inhibitors may provide valuable indications to identify biomarkers that can be used clinically to predict response to EGFR blockade and to establish new treatment options to overcome resistance. To date, no predictive biomarker for HNSCC is available in the clinic. Therapeutic resistance to anti-EGFR therapy may arise from mechanisms that can compensate for reduced EGFR signaling and/or mechanisms that can modulate EGFR-dependent signaling. In this review, we will summarize some of these molecular mechanisms and describe strategies to overcome that resistance. PMID:23821327

  13. The Effect of a Resistance Training Course on Some Cardiovascular Risk Factors in Females with Metabolic Syndrome

    Directory of Open Access Journals (Sweden)

    M Salesi

    2016-07-01

    Full Text Available Introduction: Metabolic syndrome is considered as a risk factor for many chronic diseases such as type 2 diabetes and cardiovascular diseases. The syndrome is caused by such factors as poor nutrition, sedentary lifestyle, and genetic predisposition, while higher muscle strength levels are associated with a lower metabolic syndrome. Therefore, the present study aimed to evaluate the response of some cardiovascular risk factors in females with metabolic syndrome after 10 weeks of resistance training (RT. Methods: In this study, 26 postmenopausal sedentary women without any diseases participated, who were selected via voluntary purposive sampling and randomly divided into two experimental and control groups. The subjects participated in anthropometric tests, including height, waist and hip ratios, weight, subcutaneous fat and blood sampling. The experimental group performed the RT for 3sessions in 10weeks with 40 to 50 percent of maximum repetition. Results: The study results suggested that after 10 weeks of RT in the experimental group, weight (p<0.001, total cholesterol (p<0.03 and triglyceride (p<0.001 indices were significantly decreased in comparison with those of the control group. BMI, waist ratio, fat percentage, systolic blood pressure and HDL significantly changed between pre and post-test of the experimental group, though these changes were not reported to be significant between the experimental and control groups. Conclusion: The findings of the present study revealed that a regular resistance training program could improve the cardiovascular risk factor in females with metabolic syndrome. However, the effective mechanisms in improving metabolic syndrome symptoms subsequent to exercise are not clearly recognized yet.

  14. Tumor necrosis factor: specific binding and internalization in sensitive and resistant cells

    International Nuclear Information System (INIS)

    Tsujimoto, M.; Yip, Y.K.; Vilcek, J.

    1985-01-01

    Highly purified, Escherichia coli-derived recombinant human tumor necrosis factor (TNF) was labeled with 125 I and employed to determine receptor binding, internalization, and intracellular degradation in murine L929 cells (highly sensitive to the cytotoxic action of TNF) and in diploid human FS-4 cells (resistant to TNF cytotoxicity). 125 I-labeled TNF bound specifically to high-affinity receptors on both L929 and FS-4 cells. Scatchard analysis of the binding data indicated the presence of 2200 binding sites per L929 cell and 7500 binding sites per FS-4 cell. The calculated dissociation constants are 6.1 x 10 -10 M and 3.2 x 10 -10 M for L929 and FS-4 cells, respectively. In both L929 and FS-4 cells, incubation at 37 0 C resulted in a rapid internalization of the bulk of the cell-bound TNF, followed by the appearance of trichloroacetic acid-soluble 125 I radioactivity in the tissue culture medium, due to degradation of TNF. Degradation but not cellular uptake of TNF was inhibited in the presence of chloroquine (an inhibitor of lysosomal proteases) in both L929 and FS-4 cells, suggesting that degradation occurs intracellularly, probably within lysosomes. These results show that resistance of FS-4 cells to TNF cytotoxicity is not due to a lack of receptors or their inability to internalize and degrade TNF

  15. A retrospective study of risk factors for carbapenem-resistant Klebsiella pneumoniae acquisition among ICU patients.

    Science.gov (United States)

    Hu, Yangmin; Ping, Yanting; Li, Leiqing; Xu, Huimin; Yan, Xiaofeng; Dai, Haibin

    2016-03-31

    Carbapenem-resistant Klebsiella pneumoniae (CRKP) is rapidly emerging as a life-threatening nosocomial infection. In this study, we aim to identify risk factors, especially antibiotic use, for CRKP infection among intensive care unit (ICU) patients. This was a matched case-control study of a 67-bed ICU in a tertiary care teaching hospital from 1 January 2011 through 30 June 2013. The control cases were selected among the patients with carbapenem-susceptible Klebsiella pneumoniae (CSKP) and were matched with CRKP cases for year of ICU admission and site of infection. The clinical outcomes and antibiotic treatments were analyzed. One hundred and thirty patients were included in the study (65 cases and 65 controls). Bivariable analysis showed that age of patients (p = 0.044), number of antibiotic groups (p = 0.001), and exposure to carbapenems (p carbapenems, previous carbapenem exposure (p carbapenems is an independent risk factor for CRKP infection. Patients with this clinical factor should be targeted for interventions to reduce the subsequent risk of infection.

  16. Novel Inhibitors of Staphyloxanthin Virulence Factor in Comparison with Linezolid and Vancomycin versus Methicillin-Resistant, Linezolid-Resistant, and Vancomycin-Intermediate Staphylococcus aureus Infections in Vivo.

    Science.gov (United States)

    Ni, Shuaishuai; Wei, Hanwen; Li, Baoli; Chen, Feifei; Liu, Yifu; Chen, Wenhua; Xu, Yixiang; Qiu, Xiaoxia; Li, Xiaokang; Lu, Yanli; Liu, Wenwen; Hu, Linhao; Lin, Dazheng; Wang, Manjiong; Zheng, Xinyu; Mao, Fei; Zhu, Jin; Lan, Lefu; Li, Jian

    2017-10-12

    Our previous work ( Wang et al. J. Med. Chem. 2016 , 59 , 4831 - 4848 ) revealed that effective benzocycloalkane-derived staphyloxanthin inhibitors against methicillin-resistant Staphylococcus aureus (S. aureus) infections were accompanied by poor water solubility and high hERG inhibition and dosages (preadministration). In this study, 92 chroman and coumaran derivatives as novel inhibitors have been addressed for overcoming deficiencies above. Derivatives 69 and 105 displayed excellent pigment inhibitory activities and low hERG inhibition, along with improvement of solubility by salt type selection. The broad and significantly potent antibacterial spectra of 69 and 105 were displayed first with normal administration in the livers and hearts in mice against pigmented S. aureus Newman, Mu50 (vancomycin-intermediate S. aureus), and NRS271 (linezolid-resistant S. aureus), compared with linezolid and vancomycin. In summary, both 69 and 105 have the potential to be developed as good antibacterial candidates targeting virulence factors.

  17. Resistance to amoxicillin-clavulanate and its relation to virulence-related factors in Yersinia enterocolitica biovar 1A

    Directory of Open Access Journals (Sweden)

    N Singhal

    2016-01-01

    Full Text Available Recent studies have reported that the virulence factors (VFs were detected more frequently in amoxicillin-clavulanate (AMC susceptible clinical isolates of Escherichia coli. Here, we have evaluated the relationship between VFs and AMC-resistance phenotype in clinical isolates of Y. enterocolitica biovar 1A. The presence/absence of VFs was compared with their minimum inhibitory concentrations for AMC in strains of two serovars. We observed that the strains of the serovar O: 6, 30-6, 31 showed a similar relationship between the number of VFs and resistance to clavulanic acid as in E. coli but not of serovar O: 6, 30. Variations in the promoters/complete coding sequences (CCDSs of β-lactamase gene (bla A or the serological characteristics could not account for unusual susceptibility to AMC displayed by the strains of the serovar O: 6, 30. Therefore, we speculate that since the clinical strains of serovar O: 6, 30-6, 31 originated from the environment they were less exposed to antibiotics compared to clinical strains of serovar O: 6, 30. Thus, AMC susceptibility seems to be influenced by factors other than serotypes or promoters/CCDS of β-lactamase genes.

  18. Higher HOMA-IR index and correlated factors of insulin resistance in patients with IgA nephropathy.

    Science.gov (United States)

    Yang, Yue; Wei, Ri-Bao; Wang, Yuan-da; Zhang, Xue-Guang; Rong, Na; Tang, Li; Chen, Xiang-Mei

    2012-11-01

    To investigate the index of homeostasis model of insulin resistance (HOMA-IR) in IgA nephropathy (IgAN) patients, and to explore the possible correlated factors contributing to insulin resistance (IR) within these patients. There were 255 IgAN patients and 45 membranous nephropathy (MN) patients in our database. We identified 89 IgAN subjects and 21 MN subjects without diabetes and undergoing glucocorticoid therapy for at least 6 months. Data regarding physical examination, blood chemistry and renal pathology were collected from 89 IgAN subjects and 21 MN subjects. Then 62 IgAN patients and 19 MN patients with chronic kidney disease (CKD) Stage 1 - 2 were selected for the comparison of HOMA-IR index, 89 IgAN patients were selected for multiple regression analysis to test for correlated factors of HOMA-IR index with IgAN patients. Comparison between IgAN and MN show that HOMA-IR index was significantly higher in IgAN patients with CKD Stage 1 - 2. After logarithmic transformation with urine protein (UPr), Ln(UPr) (b = 0.186, p = 0.008), eGFR (b = -0.005, p = 0.014), > 50% of glomeruli with mesangial hypercellularity (b = 0.285, p = 0.027) and body mass index (BMI) (b = 0.039, p = 0.008) were correlated factors of HOMA-IR index in the multiple regression analysis. IgAN patients had higher HOMA-IR index compared with MN in the stages of CKD 1 - 2. For IgAN patients, more UPr, lower eGFR, > 50% of glomeruli with mesangial hypercellularity and higher BMI were correlated with IR.

  19. Muscle fibre capillarization is a critical factor in muscle fibre hypertrophy during resistance exercise training in older men.

    Science.gov (United States)

    Snijders, Tim; Nederveen, Joshua P; Joanisse, Sophie; Leenders, Marika; Verdijk, Lex B; van Loon, Luc J C; Parise, Gianni

    2017-04-01

    Adequate muscle fibre perfusion is critical for the maintenance of muscle mass; it is essential in the rapid delivery of oxygen, nutrients and growth factors to the muscle, stimulating muscle fibre growth. Muscle fibre capillarization is known to decrease substantially with advancing age. However, whether (relative) low muscle fibre capillarization negatively impacts the muscle hypertrophic response following resistance exercise training in older adults is unknown. Twenty-two healthy older men (71 ± 1 years) performed 24 weeks of progressive resistance type exercise training. To assess the change in muscle fibre characteristics, percutaneous biopsies from the vastus lateralis muscle were taken before and following 12 and 24 weeks of the intervention programme. A comparison was made between participants who had a relatively low type II muscle fibre capillary-to-fibre perimeter exchange index (CFPE; LOW group) and high type II muscle fibre CFPE (HIGH group) at baseline. Type I and type II muscle fibre size, satellite cell, capillary content and distance between satellite cells to the nearest capillary were determined by immunohistochemistry. Overall, type II muscle fibre size (from 5150 ± 234 to 6719 ± 446 µm 2 , P muscle fibre, P muscle fibre capillarization, whereas muscle fibre size (from 5170 ± 390 to 7133 ± 314 µm 2 , P muscle fibre, P muscle fibre capillarization were observed in response to 12 and 24 weeks of resistance exercise training in both the LOW and HIGH group. Type II muscle fibre capillarization at baseline may be a critical factor for allowing muscle fibre hypertrophy to occur during prolonged resistance exercise training in older men. © 2016 The Authors. Journal of Cachexia, Sarcopenia and Muscle published by John Wiley & Sons Ltd on behalf of the Society on Sarcopenia, Cachexia and Wasting Disorders.

  20. Evaluation of tumor necrosis factor alpha serum level in obese and lean women with clomiphene citrate resistant polycystic ovary disease

    OpenAIRE

    Seyam, Emaduldin; Hasan, Momen; Khalifa, Eissa M.; Ramadan, Ahmad; Hefzy, Enas

    2017-01-01

    Objective: The aim of this work was to investigate the level of the serum level of tumor necrosis factor alpha (TNF-α) as an inflammatory biomarker in lean and obese women with polycystic ovary disease (PCOD), who are resistant to clomiphene citrate (CCR-PCOD). Patients and design: It is a case controlled study, where one hundred and fifty (n = 150) PCOD women (study group), who are resistant to clomiphene citrate (CCR-PCOD) had been recruited, in addition to one hundred (n = 100) women wi...

  1. Adipose Expression of Tumor Necrosis Factor-α: Direct Role in Obesity-Linked Insulin Resistance

    Science.gov (United States)

    Hotamisligil, Gokhan S.; Shargill, Narinder S.; Spiegelman, Bruce M.

    1993-01-01

    Tumor necrosis factor-α (TNF-α) has been shown to have certain catabolic effects on fat cells and whole animals. An induction of TNF-α messenger RNA expression was observed in adipose tissue from four different rodent models of obesity and diabetes. TNF-α protein was also elevated locally and systemically. Neutralization of TNF-α in obese fa/fa rats caused a significant increase in the peripheral uptake of glucose in response to insulin. These results indicate a role for TNF-α in obesity and particularly in the insulin resistance and diabetes that often accompany obesity.

  2. Effects of vitamin D supplementation on insulin resistance and cardiometabolic risk factors in children with metabolic syndrome: a triple-masked controlled trial

    Directory of Open Access Journals (Sweden)

    Roya Kelishadi

    2014-01-01

    Full Text Available OBJECTIVE: this triple-masked controlled trial aimed to assess the effects of vitamin D supplementation on insulin resistance and cardiometabolic risk factors in obese children and adolescents. METHODS: the study comprised 50 participants, aged 10 to16 years, who were randomly assigned into two groups of equal number. In this 12-week trial, one group received oral vitamin D (300,000 IU and the other group received placebo. Cardiometabolic risk factors, insulin resistance, and a continuous value of metabolic syndrome (cMetS were determined. Statistical analysis was conducted after adjustment for covariate interactions. RESULTS: overall, 21 patients in the vitamin D group and 22 in the placebo group completed the trial. No significant difference was observed in the baseline characteristics of the two groups. After the trial, in the vitamin D group, serum insulin and triglyceride concentrations, as well as HOM -IR and C-MetS decreased significantly, both when compared with the baseline and with the placebo group. No significant difference was observed when comparing total cholesterol, LDL-C, HDL-C, fasting blood glucose, and blood pressure. CONCLUSION: the present findings support the favorable effects of vitamin D supplementation on reducing insulin resistance and cardiometabolic risk factors in obese children.

  3. Effects of vitamin D supplementation on insulin resistance and cardiometabolic risk factors in children with metabolic syndrome: a triple-masked controlled trial.

    Science.gov (United States)

    Kelishadi, Roya; Salek, Shadi; Salek, Mehdi; Hashemipour, Mahin; Movahedian, Mahsa

    2014-01-01

    This triple-masked controlled trial aimed to assess the effects of vitamin D supplementation on insulin resistance and cardiometabolic risk factors in obese children and adolescents. The study comprised 50 participants, aged 10 to 16 years, who were randomly assigned into two groups of equal number. In this 12-week trial, one group received oral vitamin D (300,000 IU) and the other group received placebo. Cardiometabolic risk factors, insulin resistance, and a continuous value of metabolic syndrome (cMetS) were determined. Statistical analysis was conducted after adjustment for covariate interactions. Overall, 21 patients in the vitamin D group and 22 in the placebo group completed the trial. No significant difference was observed in the baseline characteristics of the two groups. After the trial, in the vitamin D group, serum insulin and triglyceride concentrations, as well as HOM -IR and C-MetS decreased significantly, both when compared with the baseline and with the placebo group. No significant difference was observed when comparing total cholesterol, LDL-C, HDL-C, fasting blood glucose, and blood pressure. The present findings support the favorable effects of vitamin D supplementation on reducing insulin resistance and cardiometabolic risk factors in obese children. Copyright © 2013 Sociedade Brasileira de Pediatria. Published by Elsevier Editora Ltda. All rights reserved.

  4. Derivation of a JC virus-resistant human glial cell line: implications for the identification of host cell factors that determine viral tropism

    International Nuclear Information System (INIS)

    Gee, Gretchen V.; Manley, Kate; Atwood, Walter J.

    2003-01-01

    JC virus (JCV) is a common human polyomavirus that infects 70-80% of the population worldwide. In immunosuppressed individuals, JCV infects oligodendrocytes and causes a fatal demyelinating disease known as progressive multifocal leukoencephalopathy (PML). The tropism of JCV is restricted to oligodendrocytes, astrocytes, and B lymphocytes. Several mechanisms may contribute to the restricted tropism of JCV, including the presence or absence of cell-type-specific transcription and replication factors and the presence or absence of cell-type-specific receptors. We have established a system to investigate cellular factors that influence viral tropism by selecting JCV-resistant cells from a susceptible glial cell line (SVG-A). SVG-A cells were subjected to several rounds of viral infection using JC virus (M1/SVEΔ). A population of resistant cells emerged (SVGR2) that were refractory to infection with the Mad-4 strain of JCV, the hybrid virus M1/SVEΔ, as well as to the related polyomavirus SV40. SVGR2 cells were as susceptible as the SVG-A cells to infection with an unrelated amphotropic retrovirus. The stage at which these cells are resistant to infection was investigated and the block appears to be at early viral gene transcription. This system should ultimately allow us to identify glial specific factors that influence the tropism of JCV

  5. Clinical and epidemiological factors associated with methicillin resistance in community-onset invasive Staphylococcus aureus infections: prospective multicenter cross-sectional study in Korea.

    Directory of Open Access Journals (Sweden)

    Eu Suk Kim

    Full Text Available Successful empirical therapy of Staphylococcus aureus infections requires the ability to predict methicillin resistance. Our aim was to identify predictors of methicillin resistance in community-onset (CO invasive S. aureus infections. Sixteen hospitals across Korea participated in this study from May to December 2012. We prospectively included cases of S. aureus infection in which S. aureus was isolated from sterile clinical specimens ≤ 72 hours after hospitalization. Clinical and epidemiological data were gathered and compared in methicillin-resistant S. aureus (MRSA and methicillin-susceptible S. aureus (MSSA cases. Community-associated (CA infections were defined as in previous studies. In total, there were 786 cases of community-onset S. aureus infection, 102 (13.0% of which were CA-MRSA. In addition to known risk factors, exposure to 3rd generation cephalosporins in the past 6 months [odds ratio (OR, 1.922; 95% confidence interval (CI, 1.176-3.142] and close contact with chronically ill patients in the past month (OR, 2.647; 95% CI, 1.189-5.891 were independent risk factors for MRSA infection. However, no clinical predictors of CA-MRSA were identified. Methicillin resistance, CO infection, and appropriateness of empirical antibiotics were not significantly related to 30-day mortality. MRSA infection should be suspected in patients recently exposed to 3rd generation cephalosporins or chronically-ill patients. There were no reliable predictors of CA-MRSA infection, and mortality was not affected by methicillin resistance.

  6. Structural and process factors affecting the implementation of antimicrobial resistance prevention and control strategies in U.S. hospitals.

    Science.gov (United States)

    Chou, Ann F; Yano, Elizabeth M; McCoy, Kimberly D; Willis, Deanna R; Doebbeling, Bradley N

    2008-01-01

    To address increases in the incidence of infection with antimicrobial-resistant pathogens, the National Foundation for Infectious Diseases and Centers for Disease Control and Prevention proposed two sets of strategies to (a) optimize antibiotic use and (b) prevent the spread of antimicrobial resistance and control transmission. However, little is known about the implementation of these strategies. Our objective is to explore organizational structural and process factors that facilitate the implementation of National Foundation for Infectious Diseases/Centers for Disease Control and Prevention strategies in U.S. hospitals. We surveyed 448 infection control professionals from a national sample of hospitals. Clinically anchored in the Donabedian model that defines quality in terms of structural and process factors, with the structural domain further informed by a contingency approach, we modeled the degree to which National Foundation for Infectious Diseases and Centers for Disease Control and Prevention strategies were implemented as a function of formalization and standardization of protocols, centralization of decision-making hierarchy, information technology capabilities, culture, communication mechanisms, and interdepartmental coordination, controlling for hospital characteristics. Formalization, standardization, centralization, institutional culture, provider-management communication, and information technology use were associated with optimal antibiotic use and enhanced implementation of strategies that prevent and control antimicrobial resistance spread (all p prevention and control (p support these organizational processes. These findings suggest concrete strategies for evaluating current capabilities to implement effective practices and foster and sustain a culture of patient safety.

  7. Antibiotic resistance increases with local temperature

    Science.gov (United States)

    MacFadden, Derek R.; McGough, Sarah F.; Fisman, David; Santillana, Mauricio; Brownstein, John S.

    2018-06-01

    Bacteria that cause infections in humans can develop or acquire resistance to antibiotics commonly used against them1,2. Antimicrobial resistance (in bacteria and other microbes) causes significant morbidity worldwide, and some estimates indicate the attributable mortality could reach up to 10 million by 20502-4. Antibiotic resistance in bacteria is believed to develop largely under the selective pressure of antibiotic use; however, other factors may contribute to population level increases in antibiotic resistance1,2. We explored the role of climate (temperature) and additional factors on the distribution of antibiotic resistance across the United States, and here we show that increasing local temperature as well as population density are associated with increasing antibiotic resistance (percent resistant) in common pathogens. We found that an increase in temperature of 10 °C across regions was associated with an increases in antibiotic resistance of 4.2%, 2.2%, and 2.7% for the common pathogens Escherichia coli, Klebsiella pneumoniae and Staphylococcus aureus. The associations between temperature and antibiotic resistance in this ecological study are consistent across most classes of antibiotics and pathogens and may be strengthening over time. These findings suggest that current forecasts of the burden of antibiotic resistance could be significant underestimates in the face of a growing population and climate change4.

  8. Metabolic syndrome, insulin resistance and other cardiovascular risk factors in university students.

    Science.gov (United States)

    Barbosa, José Bonifácio; dos Santos, Alcione Miranda; Barbosa, Marcelo Mesquita; Barbosa, Márcio Mesquita; de Carvalho, Carolina Abreu; Fonseca, Poliana Cristina de Almeida; Fonseca, Jessica Magalhães; Barbosa, Maria do Carmo Lacerda; Bogea, Eduarda Gomes; da Silva, Antônio Augusto Moura

    2016-04-01

    A cross-sectional population-based study using questionnaire and anthropometric data was conducted on 968 university students of São Luís, Brazil, from which 590 showed up for blood collection. In the statistical analysis the Student t-test, Mann-Whitney and chi-square tests were used. The prevalence of metabolic syndrome by the Joint Interim Statement (JIS) criteria was 20.5%, almost three times more prevalent in men (32.2%) than in women (13.5%) (P University students of private institutions had higher prevalences of sedentary lifestyle, obesity, abdominal obesity, elevated triglycerides and metabolic syndrome than students from public institutions. High prevalences of metabolic syndrome, insulin resistance and other cardiovascular risk factors were found in this young population. This suggests that the burden of these diseases in the future will be increased.

  9. Mob/oriT, a mobilizable site-specific recombination system for unmarked genetic manipulation in Bacillus thuringiensis and Bacillus cereus.

    Science.gov (United States)

    Wang, Pengxia; Zhu, Yiguang; Zhang, Yuyang; Zhang, Chunyi; Xu, Jianyi; Deng, Yun; Peng, Donghai; Ruan, Lifang; Sun, Ming

    2016-06-10

    Bacillus thuringiensis and Bacillus cereus are two important species in B. cereus group. The intensive study of these strains at the molecular level and construction of genetically modified bacteria requires the development of efficient genetic tools. To insert genes into or delete genes from bacterial chromosomes, marker-less manipulation methods were employed. We present a novel genetic manipulation method for B. thuringiensis and B. cereus strains that does not leave selection markers. Our approach takes advantage of the relaxase Mob02281 encoded by plasmid pBMB0228 from Bacillus thuringiensis. In addition to its mobilization function, this Mob protein can mediate recombination between oriT sites. The Mob02281 mobilization module was associated with a spectinomycin-resistance gene to form a Mob-Spc cassette, which was flanked by the core 24-bp oriT sequences from pBMB0228. A strain in which the wild-type chromosome was replaced with the modified copy containing the Mob-Spc cassette at the target locus was obtained via homologous recombination. Thus, the spectinomycin-resistance gene can be used to screen for Mob-Spc cassette integration mutants. Recombination between the two oriT sequences mediated by Mob02281, encoded by the Mob-Spc cassette, resulted in the excision of the Mob-Spc cassette, producing the desired chromosomal alteration without introducing unwanted selection markers. We used this system to generate an in-frame deletion of a target gene in B. thuringiensis as well as a gene located in an operon of B. cereus. Moreover, we demonstrated that this system can be used to introduce a single gene or an expression cassette of interest in B. thuringiensis. The Mob/oriT recombination system provides an efficient method for unmarked genetic manipulation and for constructing genetically modified bacteria of B. thuringiensis and B. cereus. Our method extends the available genetic tools for B. thuringiensis and B. cereus strains.

  10. High frequency of multiresistant coagulase-positive Staphylococcus aureus found in slaughter pigs in Uruguay.

    Science.gov (United States)

    Meyer, Cornelia; Fredriksson-Ahomaa, Maria; Stüber, Elisabeth; Thiel, Susanne; Märtlbauer, Erwin

    2012-01-01

    Staphylococcus aureus are a hazard to human health since they can cause infections and food poisoning. Antimicrobial resistant strains render the treatment of infections problematic and contribute to the spread of antimicrobial resistance. They are therefore of great public concern. This study determined the resistance pattern of coagulase-positive S. aureus (CPSA) isolated from nasal swabs of 100 slaughter pigs from one farm in Uruguay. Out of 69 animals, 71 CPSA were collected. Minimum inhibitory concentrations of 20 antimicrobials were determined using the broth microdilution method in accordance with CLSI recommendations. No methicillin-resistant S. aureus were detected. All CPSA were resistant to three or more classes of antimicrobials (i.e., multiresistant), whereby all CPSA were resistant to spectinomycin. Most of the isolates (46%) were resistant to six classes of antimicrobials. Almost all isolates were resistant to penicillin (99%), ampicillin (99%), gentamicin (96%), tetracycline (90%), and tilmicosin (87%). Very high resistance rates were observed against erythromycin (77%) and clindamycin (70%). High resistance was observed against tiamulin (40%), enrofloxacin (31%), and florfenicol (23%) and low resistance was observed against amoxicillin/clavulanic acid (4%). All CPSA isolates were mecA negative. The results of the present study could be related to an overuse of antimicrobials in pig production and should encourage veterinarians and pig holders to practice a controlled administration of chemotherapeutics in pig husbandry.

  11. Radiation resistance of microorganisms on unsterilized infusion sets

    DEFF Research Database (Denmark)

    Christensen, E. Ahrensburg; Kristensen, H.; Hoborn, J.

    1991-01-01

    Three different methods were used for detecting and isolating microorganisms with high radiation resistance from the microbial contamination on infusion sets prior to sterilization. By all three methods, microorganisms with a radiation resistance high enough to be a critical factor in a steriliza......Three different methods were used for detecting and isolating microorganisms with high radiation resistance from the microbial contamination on infusion sets prior to sterilization. By all three methods, microorganisms with a radiation resistance high enough to be a critical factor...

  12. Antimicrobial resistance in Danish pigs: A cross sectional study of the association between antimicrobial resistance and geography, exposure to antimicrobials, and trade

    DEFF Research Database (Denmark)

    Birkegård, Anna Camilla

    Antimicrobial resistance is a worldwide problem of paramount importance for both humans and animals. To combat the emergence of antimicrobial resistance, the problem must be targeted in all major reservoirs as it is assumed that a high level of AMR genes in environmental reservoirs can increase...... the risk of human pathogens becoming resistant. Pigs might constitute an important reservoir. Therefore, it is important to manage antimicrobial resistance in pigs. Before effectiveactions can be initiated, it is crucial to know which factors are associated with the levels of antimicrobial resistance...... the collection of information on relevant factors. The aim of this PhD project was to study the relationship between the levels of antimicrobial resistance genes and three factors in Danish pig farms: the geographical location of the farm, the exposure to antimicrobials, and the trade patterns. Data collection...

  13. The same allele of translation initiation factor 4E mediates resistance against two Potyvirus spp. in Pisum sativum

    DEFF Research Database (Denmark)

    Bruun-Rasmussen, M.; Møller, I.S.; Tulinius, G.

    2007-01-01

    to linkage group VI together with other Potyvirus resistances. One of these, sbm1, confers resistance to strains of Pea seedborne mosaic virus and previously has been identified as a mutant allele of the eukaryotic translation initiation factor 4E gene (eIF4E). Sequence comparison of eIF4E from BYMV...... was overcome, and virus from these plants had a codon change causing an Arg to His change at position 116 of the predicted viral genome-linked protein (VPg). Accordingly, plants carrying the wlv resistance gene were infected upon inoculation with BYMV-W derived from cDNA with a His codon at position 116...

  14. Risk factors for multidrug-resistant Gram-negative infection in burn patients.

    Science.gov (United States)

    Vickers, Mark L; Dulhunty, Joel M; Ballard, Emma; Chapman, Paul; Muller, Michael; Roberts, Jason A; Cotta, Menino O

    2018-05-01

    Infection with multidrug-resistant (MDR) Gram-negative organisms leads to poorer outcomes in the critically ill burn patient. The aim of this study was to identify the risk factors for MDR Gram-negative pathogen infection in critically ill burn patients admitted to a major tertiary referral intensive care unit (ICU) in Australia. A retrospective case-control study of all adult burn patients admitted over a 7-year period was conducted. Twenty-one cases that cultured an MDR Gram-negative organism were matched with 21 controls of similar age, gender, burn size and ICU stay. Multivariable conditional logistic regression was used to individually assess risk factors after adjusting for Acute Burn Severity Index. Adjusted odds ratios (ORs) were reported. P-values negative infection included superficial partial thickness burn size (OR: 1.08; 95% confidence interval (CI): 1.01-1.16; P-value: 0.034), prior meropenem exposure (OR: 10.39; 95% CI: 0.96-112.00; P-value: 0.054), Gram-negative colonization on admission (OR: 9.23; 95% CI: 0.65-130.15; P-value: 0.10) and escharotomy (OR: 2.66; 95% CI: 0.52-13.65; P-value: 0.24). For cases, mean age was 41 (SD: 13) years, mean total body surface area burned was 47% (SD: 18) and mean days in ICU until MDR specimen collection was 17 (SD: 10) days. Prior meropenem exposure, Gram-negative colonization on admission, escharotomy and superficial partial thickness burn size may be potentially important factors for increasing the risk of MDR Gram-negative infection in the critically ill burn patient. © 2017 Royal Australasian College of Surgeons.

  15. Evolution of Resistance to Sulfadoxine-Pyrimethamine in Plasmodium falciparum

    OpenAIRE

    Gatton, Michelle L.; Martin, Laura B; Cheng, Qin

    2004-01-01

    The development of resistance to sulfadoxine-pyrimethamine by Plasmodium parasites is a major problem for the effective treatment of malaria, especially P. falciparum malaria. Although the molecular basis for parasite resistance is known, the factors promoting the development and transmission of these resistant parasites are less clear. This paper reports the results of a quantitative comparison of factors previously hypothesized as important for the development of drug resistance, drug dosag...

  16. Screening of nursing home residents for colonization with carbapenem-resistant Enterobacteriaceae admitted to acute care hospitals: Incidence and risk factors.

    Science.gov (United States)

    Cunha, Cheston B; Kassakian, Steven Z; Chan, Ryan; Tenover, Fred C; Ziakas, Panos; Chapin, Kimberle C; Mermel, Leonard A

    2016-02-01

    There are increasing reports of multidrug-resistant gram-negative bacilli in nursing homes and acute care hospitals. We performed a point prevalence survey to detect fecal carriage of gram-negative bacteria carrying carbapenem resistance genes or which were otherwise resistant to carbapenem antibiotics among 500 consecutive admissions from local nursing homes to 2 hospitals in Providence, Rhode Island. We performed a case-control study to identify risk factors associated with carriage of carbapenem-resistant Enterobacteriaceae (CRE). There were 404 patients with 500 hospital admissions during which they had rectal swab samples cultured. Fecal carriage of any carbapenem-resistant or carbapenemase- producing gram-negative bacteria was found in 23 (4.6%) of the 500 hospital admissions, including 7 CRE (1.4%), 2 (0.4%) of which were Klebsiella pneumoniae carbapenemase (ie, blaKPC) producing (CPE) Citrobacter freundii, 1 of which was carbapenem susceptible by standard testing methods. Use of a gastrostomy tube was associated with CRE carriage (P = .04). We demonstrated fecal carriage of carbapenem-resistant or carbapenemase-producing gram-negative bacteria in 4.6% of nursing home patients admitted to 2 acute care hospitals, but only 0.4% of such admissions were patients with fecal carriage of CPE. Use of gastrostomy tubes was associated with fecal carriage of gram-negative bacteria with detectable carbapenem resistance. CRE fecal carriage is uncommon in our hospital admissions from nursing homes. Copyright © 2016 Association for Professionals in Infection Control and Epidemiology, Inc. Published by Elsevier Inc. All rights reserved.

  17. Decisive factors affecting plasma resistance and roughness formation in ArF photoresist

    Energy Technology Data Exchange (ETDEWEB)

    Jinnai, Butsurin; Uesugi, Takuji; Koyama, Koji; Samukawa, Seiji [Institute of Fluid Science, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan); Kato, Keisuke; Yasuda, Atsushi; Maeda, Shinichi [Yokohama Research Laboratories, Mitsubishi Rayon Co., Ltd, 10-1 Daikoku-cho, Tsurumi-ku, Yokohama 230-0053 (Japan); Momose, Hikaru, E-mail: samukawa@ifs.tohoku.ac.j [Corporate Research Laboratories, Mitsubishi Rayon Co., Ltd, 2-1 Miyuki-cho, Otake, Hiroshima 739-0693 (Japan)

    2010-10-06

    Low plasma resistance and roughness formation in an ArF photoresist are serious issues in plasma processes. To resolve these issues, we investigated several factors that affect the roughness formation and plasma resistance in an ArF photoresist. We used our neutral beam process to categorize the effects of species from the plasma on the ArF photoresist into physical bombardment, chemical reactions and ultraviolet/vacuum ultraviolet (UV/VUV) radiation. The UV/VUV radiation drastically increased the etching rates of the ArF photoresist films, and, in contrast, chemical reactions increased the formation of surface roughness. FTIR analysis indicated that the UV/VUV radiation preferentially dissociates C-H bonds in the ArF photoresist, rather than C=O bonds, because of the dissociation energies of the bonds. This indicated that the etching rates of the ArF photoresist are determined by the UV/VUV radiation because this radiation can break C-H bonds, which account for the majority of structures in the ArF photoresist. In contrast, FTIR analysis showed that chemical species such as radicals and ions were likely to react with C=O bonds, in particular C=O bonds in the lactone groups of the ArF photoresist, due to the structural and electronic effects of the lactone groups. As a result, the etching rates of the ArF photoresist can vary in different bond structures, leading to increased surface roughness in the ArF photoresist.

  18. Fecal carriage of carbapenem-resistant Enterobacteriaceae and risk factor analysis in hospitalised patients: A single centre study from India

    Directory of Open Access Journals (Sweden)

    Balvinder Mohan

    2017-01-01

    Full Text Available Purpose: Carbapenem-resistant Enterobacteriaceae (CRE have emerged and disseminated widely causing a variety of infections. In India, the carriage of CRE in hospitalised patients has not been well-studied. Therefore, we conducted the present study to observe gut carriage rate of CRE in patients admitted to our tertiary care hospital. Methods: A total of 232 faecal swabs collected from consecutive stool samples from admitted patients were inoculated on ChromID extended spectrum β-lactamase plates and members of Enterobacteriaceae family were subjected to antibiotic susceptibility as per the Clinical Laboratory Standards Institute guidelines. Polymerase chain reaction for blaVIM, blaKPC, blaIMPand blaNDM-1 genes was performed. CRE was identified if the isolates showed resistance to either imipenem or meropenem or showed the presence of resistant genes. Risk factors of patients with or without CRE colonisation were also analysed. Results: A total of 232 faecal swabs yielded 252 Enterobacteriaceae isolates, of which 49 isolates from 42 patients showed the presence of CRE (occurrence 42/232; 18.1%; 27 isolates from 22 patients carried blaNDM-1, whereas 20 isolates from 17 patients possessed blaVIMgene. No isolate was positive for blaKPCand blaIMPgenes. The CRE was common in both intensive care units (38.4% and wards (46% which may reflect the excessive use of broad-spectrum antibiotics in both these settings. The CRE was also found to have a significantly higher antimicrobial resistance as compared to non-CRE isolates. The logistic regression analysis of significance showed the presence of any indwelling device (P = 0.049 and nasogastric tube (P = 0.043 as independent risk factors for acquiring gut colonisation. Conclusions: The study is the first from India to show high CRE carriage in patients admitted to a tertiary care centre and emphasises the need of strict antimicrobial stewardship implementation in hospitals to prevent dissemination of

  19. Misidentification of Vibrio cholerae O155 isolated from imported shrimp as O serogroup O139 due to cross-agglutination with commercial O139 antisera

    DEFF Research Database (Denmark)

    Dalsgaard, A.; Mazur, J.; Dalsgaard, Inger

    2002-01-01

    . The strain contained two plasmids, in contrast to other O139 strains, which normally do not contain plasmids. The characteristics of the strain led to further agglutination testing with other antisera that are not commercially available, and the strain was found to agglutinate O155 antiserum in repeated...... was isolated from imported raw frozen shrimp. The toxigenicity of the strain was analyzed, and the results of a polymerase chain reaction showed that the V. cholerae strain did not contain the virulence genes ctx, tcp9, and zot, which are normally found in V. cholerae O1 and O139. The strain was resistant...... to colistin and spectinomycin. The high susceptibility of the strain to antimicrobial agents was confirmed by the lack of an SXT element, a self-transmissible, chromosomal genetic element that is normally present in O139 strains and encodes resistance to sulfonamides, trimethoprim, and streptomycin...

  20. Paediatrics, insulin resistance and the kidney.

    Science.gov (United States)

    Marlais, Matko; Coward, Richard J

    2015-08-01

    Systemic insulin resistance is becoming more prevalent in the young due to modern lifestyles predisposing to the metabolic syndrome and obesity. There is also evidence that there are critical insulin-resistant phases for the developing child, including puberty, and that renal disease per se causes systemic insulin resistance. This review considers the factors that render children insulin resistant, as well as the accumulating evidence that the kidney is an insulin-responsive organ and could be affected by insulin resistance.

  1. Prevalence and factors associated with darunavir resistance mutations in multi-experienced HIV-1-infected patients failing other protease inhibitors in a referral teaching center in Brazil

    Directory of Open Access Journals (Sweden)

    Jose E Vidal

    Full Text Available Information about resistance profile of darunavir (DRV is scarce in Brazil. Our objectives were to estimate the prevalence of DRV resistance mutations in patients failing protease inhibitors (PI and to identify factors associated with having more DRV resistance mutations. All HIV-infected patients failing PI-based regimens with genotyping performed between 2007 and 2008 in a referral teaching center in São Paulo, Brazil, were included. DRV-specific resistance mutations listed by December 2008 IAS-USA panel update were considered. Two Poisson regression models were constructed to assess factors related to the presence of more DRV resistance mutations. A total of 171 HIV-infected patients with available genotyping were included. The number of patients with lopinavir, saquinavir, and amprenavir used in previous regimen were 130 (76%, 83 (49%, and 35 (20%, respectively. The prevalence of major DRV resistance mutations was 50V: 5%; 54M: 1%; 76V: 4%; 84V: 15%. For minor mutations, the rates were 11I: 3%; 32I: 7%; 33F: 23%; 47V: 6%; 54L: 6%; 74P: 3%; 89V: 6%. Only 11 (6% of the genotypes had > 3 DRV resistance mutations. In the clinical model, time of HIV infection of > 10 years and use of amprenavir were independently associated with having more DRV resistance mutations. In the genotyping-based model, only total number of PI resistance mutations was associated with our outcome. In conclusion, the prevalence of DRV mutations was low. Time of HIV infection, use of amprenavir and total number of PI resistance mutations were associated with having more DRV mutations.

  2. Insulin resistance: an additional risk factor in the pathogenesis of cardiovascular disease in type 2 diabetes.

    Science.gov (United States)

    Patel, Tushar P; Rawal, Komal; Bagchi, Ashim K; Akolkar, Gauri; Bernardes, Nathalia; Dias, Danielle da Silva; Gupta, Sarita; Singal, Pawan K

    2016-01-01

    Sedentary life style and high calorie dietary habits are prominent leading cause of metabolic syndrome in modern world. Obesity plays a central role in occurrence of various diseases like hyperinsulinemia, hyperglycemia and hyperlipidemia, which lead to insulin resistance and metabolic derangements like cardiovascular diseases (CVDs) mediated by oxidative stress. The mortality rate due to CVDs is on the rise in developing countries. Insulin resistance (IR) leads to micro or macro angiopathy, peripheral arterial dysfunction, hampered blood flow, hypertension, as well as the cardiomyocyte and the endothelial cell dysfunctions, thus increasing risk factors for coronary artery blockage, stroke and heart failure suggesting that there is a strong association between IR and CVDs. The plausible linkages between these two pathophysiological conditions are altered levels of insulin signaling proteins such as IR-β, IRS-1, PI3K, Akt, Glut4 and PGC-1α that hamper insulin-mediated glucose uptake as well as other functions of insulin in the cardiomyocytes and the endothelial cells of the heart. Reduced AMPK, PFK-2 and elevated levels of NADP(H)-dependent oxidases produced by activated M1 macrophages of the adipose tissue and elevated levels of circulating angiotensin are also cause of CVD in diabetes mellitus condition. Insulin sensitizers, angiotensin blockers, superoxide scavengers are used as therapeutics in the amelioration of CVD. It evidently becomes important to unravel the mechanisms of the association between IR and CVDs in order to formulate novel efficient drugs to treat patients suffering from insulin resistance-mediated cardiovascular diseases. The possible associations between insulin resistance and cardiovascular diseases are reviewed here.

  3. Changes in circulating angiogenic factors after an acute training bout before and after resistance training with or without whole-body-vibration training

    Science.gov (United States)

    Beijer, Åsa; Degens, Hans; May, Francisca; Bloch, Wilhelm; Rittweger, Joern; Rosenberger, Andre

    2012-07-01

    Both Resistance Exercise and Whole-Body-Vibration training are currently considered as countermeasures against microgravity-induced physiological deconditioning. Here we investigated the effects of whole-body vibration superimposed upon resistance exercise. Within this context, the present study focuses on changes in circulating angiogenic factors as indicators of skeletal muscle adaption. Methods: Twenty-six healthy male subjects (25.2 ± 4.2 yr) were included in this two-group parallel-designed study and randomly assigned to one of the training interventions: either resistance exercise (RE) or resistance vibration exercise (RVE). Participants trained 2-3 times per week for 6 weeks (completing 16 training sessions), where one session took 9 ± 1 min. Participants trained with weights on a guided barbell. The individual training load was set at 80% of their 1-Repetition-Maximum. Each training session consisted of three sets with 8 squats and 12 heel raises, following an incremental training design with regards to weight (RE and RVE) and vibration frequency (RVE only). The vibration frequency was increased from 20 Hz in the first week till 40 Hz during the last two weeks with 5-Hz weekly increments. At the first and 16 ^{th} training session, six blood samples (pre training and 2 min, 5 min, 15 min, 35 min and 75 min post training) were taken. Circulating levels of vascular endothelial growth factor (VEGF), Endostatin and Matrix Metalloproteinases -2 and -9 (MMPs) were determined in serum using Enzyme-linked Immunosorbent Assays. Results: MMP-2 levels increased by 7.0% (SE = 2.7%, P < 0.001) within two minutes after the exercise bout and then decreased to 5.7% below baseline (SE = 2.4%, P < 0.001) between 15 and 75 minutes post exercise. This response was comparable before and after the training programs (P = 0.70) and also between the two intervention groups (P = 0.42). Preliminary analyses indicate that a similar pattern applies to circulating MMP-9, VEGF and

  4. Establishment and characterization of cetuximab resistant head and neck squamous cell carcinoma cell lines: focus on the contribution of the AP-1 transcription factor

    Science.gov (United States)

    Boeckx, Carolien; Blockx, Lina; de Beeck, Ken Op; Limame, Ridha; Camp, Guy Van; Peeters, Marc; Vermorken, Jan B; Specenier, Pol; Wouters, An; Baay, Marc; Lardon, Filip

    2015-01-01

    Background: After an initial response to EGFR targeted therapy, secondary resistance almost invariably ensues, thereby limiting the clinical benefit of the drug. Hence, it has been recognized that the successful implementation of targeted therapy in the treatment of HNSCC cancer is very much dependent on predictive biomarkers for patient selection. Methods: We generated an in vitro model of acquired cetuximab resistance by chronically exposing three HNSCC cell lines to increasing cetuximab doses. Gene expression profiles of sensitive parental cells and resistant daughter cells were compared using microarray analysis. Growth inhibitory experiments were performed with an HB-EGF antibody and the MMP inhibitor, both in combination with cetuximab. Characteristics of EMT were analyzed using migration and invasion assays, immunofluorescent vimentin staining and qRT-PCR for several genes involved in this process. The function of the transcription factor AP-1 was investigated using qRT-PCR for several genes upregulated or downregulated in cetuximab resistant cells. Furthermore, anchorage-independent growth was investigated using the soft agar assay. Results: Gene expression profiling shows that cetuximab resistant cells upregulate several genes, including interleukin 8, the EGFR ligand HB-EGF and the metalloproteinase ADAM19. Cytotoxicity experiments with neutralizing HB-EGF antibody could not induce any growth inhibition, whereas an MMP inhibitor inhibited cell growth in cetuximab resistant cells. However, no synergetic effects combined with cetuximab could be observed. Cetuximab resistant cells showed traits of EMT, as witnessed by increased migratory potential, increased invasive potential, increased vimentine expression and increased expression of several genes involved in EMT. Furthermore, expression of upregulated genes could be repressed by the treatment with apigenin. The cetuximab resistant LICR-HN2 R10.3 cells tend to behave differently in cell culture, forming

  5. Mapping resistance to powdery mildew in barley reveals a large-effect nonhost resistance QTL.

    Science.gov (United States)

    Romero, Cynara C T; Vermeulen, Jasper P; Vels, Anton; Himmelbach, Axel; Mascher, Martin; Niks, Rients E

    2018-05-01

    Resistance factors against non-adapted powdery mildews were mapped in barley. Some QTLs seem effective only to non-adapted mildews, while others also play a role in defense against the adapted form. The durability and effectiveness of nonhost resistance suggests promising practical applications for crop breeding, relying upon elucidation of key aspects of this type of resistance. We investigated which genetic factors determine the nonhost status of barley (Hordeum vulgare L.) to powdery mildews (Blumeria graminis). We set out to verify whether genes involved in nonhost resistance have a wide effectiveness spectrum, and whether nonhost resistance genes confer resistance to the barley adapted powdery mildew. Two barley lines, SusBgt SC and SusBgt DC , with some susceptibility to the wheat powdery mildew B. graminis f.sp. tritici (Bgt) were crossed with cv Vada to generate two mapping populations. Each population was assessed for level of infection against four B. graminis ff.spp, and QTL mapping analyses were performed. Our results demonstrate polygenic inheritance for nonhost resistance, with some QTLs effective only to non-adapted mildews, while others play a role against adapted and non-adapted forms. Histology analyses of nonhost interaction show that most penetration attempts are stopped in association with papillae, and also suggest independent layers of defence at haustorium establishment and conidiophore formation. Nonhost resistance of barley to powdery mildew relies mostly on non-hypersensitive mechanisms. A large-effect nonhost resistance QTL mapped to a 1.4 cM interval is suitable for map-based cloning.

  6. Combined cytotoxic effects of tumor necrosis factor-alpha with various cytotoxic agents in tumor cell lines that are drug resistant due to mutated p53

    NARCIS (Netherlands)

    Sleijfer, S; Le, T. K. P.; de Jong, S.; Timmer-Bosscha, H; Withoff, S; Mulder, NH

    Several studies suggest that tumor necrosis factor-alpha (TNF) is able to overcome drug resistance in tumors. Whether TNF is able to do so in tumor cell lines that are drug resistant due to a mutation in the tumor suppressor gene p53 is unclear. Therefore, we studied the in vitro cytotoxic effects

  7. Extensively Drug-Resistant Tuberculosis: Principles of Resistance, Diagnosis, and Management.

    Science.gov (United States)

    Wilson, John W; Tsukayama, Dean T

    2016-04-01

    Extensively drug-resistant (XDR) tuberculosis (TB) is an unfortunate by-product of mankind's medical and pharmaceutical ingenuity during the past 60 years. Although new drug developments have enabled TB to be more readily curable, inappropriate TB management has led to the emergence of drug-resistant disease. Extensively drug-resistant TB describes Mycobacterium tuberculosis that is collectively resistant to isoniazid, rifampin, a fluoroquinolone, and an injectable agent. It proliferates when established case management and infection control procedures are not followed. Optimized treatment outcomes necessitate time-sensitive diagnoses, along with expanded combinations and prolonged durations of antimicrobial drug therapy. The challenges to public health institutions are immense and most noteworthy in underresourced communities and in patients coinfected with human immunodeficiency virus. A comprehensive and multidisciplinary case management approach is required to optimize outcomes. We review the principles of TB drug resistance and the risk factors, diagnosis, and managerial approaches for extensively drug-resistant TB. Treatment outcomes, cost, and unresolved medical issues are also discussed. Copyright © 2016 Mayo Foundation for Medical Education and Research. Published by Elsevier Inc. All rights reserved.

  8. Association between Multidrug-Resistant Tuberculosis and Risk Factors in China: Applying Partial Least Squares Path Modeling.

    Directory of Open Access Journals (Sweden)

    Yun-Xia Liu

    Full Text Available Multidrug-resistant tuberculosis (MDR-TB resulting from various factors has raised serious public health concerns worldwide. Identifying the ecological risk factors associated with MDR-TB is critical to its prevention and control. This study aimed to explore the association between the development of MDR-TB and the risk factors at the group-level (ecological risk factors in China.Data on MDR-TB in 120 counties were obtained from the National Tuberculosis Information Management System, and data on risk-factor variables were extracted from the Health Statistical Yearbook, provincial databases, and the meteorological bureau of each province (municipality. Partial Least Square Path Modeling was used to detect the associations.The median proportion of MDR-TB in new TB cases was 3.96% (range, 0-39.39%. Six latent factors were extracted from the ecological risk factors, which explained 27.60% of the total variance overall in the prevalence of MDR-TB. Based on the results of PLS-PM, TB prevention, health resources, health services, TB treatment, TB detection, geography and climate factors were all associated with the risk of MDR-TB, but socioeconomic factors were not significant.The development of MDR-TB was influenced by TB prevention, health resources, health services, TB treatment, TB detection, geography and climate factors. Such information may help us to establish appropriate public health intervention strategies to prevent and control MDR-TB and yield benefits to the entire public health system in China.

  9. NFκBP65 transcription factor modulates resistance to doxorubicin through ABC transporters in breast cancer.

    Science.gov (United States)

    Velaei, Kobra; Samadi, Nasser; Soltani, Sina; Barazvan, Balal; Soleimani Rad, Jafar

    2017-07-01

    Shedding light on chemoresistance biology of breast cancer could contribute to enhance the clinical outcome. Intrinsic or acquired resistance to chemotherapy is a major problem in breast cancer treatment. The NFκB pathway by siRNAP65 and JSH-23 as a translocational inhibitor of NFκBP65 in the doxorubicin-resistant MCF-7 (MCF-7/Dox) and MCF-7 cells was blocked. Then, the ABC transporter expression and function were assessed by real-time qRT-PCR and flow cytometry, respectively. Induction of apoptosis was evaluated after inhibition of the NFΚB pathway as well. Our study underlined the upregulation of NFκBP65 and anti-apoptotic Bcl-2 and downregulation of pro-apoptotic Bax in the MCF-7/Dox cells compared with control MCF-7 cells. Here, we showed that interplay between nuclear factor kappa B P65 (NFkBP65) as a transcriptional regulator and ABC transporters in the MCF-7/Dox cancer cells. We found that inhibition of the elevated expression of NFκBP65 in the resistant breast cancer, whether translocational inhibition or silencing by siRNA, decreased the expression and function of MDR1 and MRP1 efflux pumps. Furthermore, the blockade of NFκBP65 promoted apoptosis via modulating Bcl-2 and BAX expression. After inhibition of the NFκBP65 signaling pathway, elevated baseline expression of survival Bcl-2 gene in the resistant breast cells significantly decreased. Suppression of the NFκB pathway has a profound dual impact on promoting the intrinsic apoptotic pathway and reducing ABC transporter function and expression, which are some of the chemoresistance features. It was speculated that the NFκB pathway directly acts on doxorubicin-induced MDR1 and MRP1 expression in MCF-7/Dox cells.

  10. Virulence factors, serogroups and antimicrobial resistance properties of Escherichia coli strains in fermented dairy products.

    Science.gov (United States)

    Dehkordi, Farhad Safarpoor; Yazdani, Farshad; Mozafari, Jalal; Valizadeh, Yousef

    2014-04-07

    From a clinical perspective, it is essential to know the microbial safety of fermented dairy products. Doogh and kashk are fermented dairies. These products are used by millions of people but their microbial qualities are unknown. Shiga toxin producing Escherichia coli (STEC) is one of the most commonly detected pathogens in the cases of food poisoning and food-borne illnesses. The present investigation was carried out in order to study the molecular characterization and antimicrobial resistance properties of STEC strains isolated from fermented dairy products. Six hundred fermented dairy samples were collected and immediately transferred to the laboratory. All samples were cultured immediately and those that were E. coli-positive were analyzed for the presence of O157 , O26, O103, O111, O145, O45, O91, O113, O121 and O128 STEC serogroups, tetA, tetB, blaSHV, CITM, cmlA, cat1, aadA1, dfrA1, qnr, aac (3)-IV, sul1 and ereA antibiotic resistance genes and stx1, stx2, eaeA, ehly, cnf1, cnf2, iutA, cdtB, papA, traT, sfaS and fyuA virulence factors using PCR. Antimicrobial susceptibility testing was performed also using disk diffusion methodology with Mueller-Hinton agar. Fifty out of 600 (8.33%) dairy samples harbored E. coli. In addition, yoghurt was the most commonly contaminated dairy. O157 (26%) and O26 (12%) were the most commonly detected serogroups. A significant difference was found between the frequency of Attaching and Effacing E. coli and Enterohaemorrhagic E. coli (P Fermented dairy products can easily become contaminated by antibiotic resistant STEC strains. Our findings should raise awareness about antibiotic resistance in Iran. Clinicians should exercise caution when prescribing antibiotics, especially in veterinary treatments.

  11. Metabolic Resistance in Bed Bugs

    Directory of Open Access Journals (Sweden)

    Omprakash Mittapalli

    2011-03-01

    Full Text Available Blood-feeding insects have evolved resistance to various insecticides (organochlorines, pyrethroids, carbamates, etc. through gene mutations and increased metabolism. Bed bugs (Cimex lectularius are hematophagous ectoparasites that are poised to become one of the major pests in households throughout the United States. Currently, C. lectularius has attained a high global impact status due to its sudden and rampant resurgence. Resistance to pesticides is one factor implicated in this phenomenon. Although much emphasis has been placed on target sensitivity, little to no knowledge is available on the role of key metabolic players (e.g., cytochrome P450s and glutathione S-transferases towards pesticide resistance in C. lectularius. In this review, we discuss different modes of resistance (target sensitivity, penetration resistance, behavioral resistance, and metabolic resistance with more emphasis on metabolic resistance.

  12. Acquired resistance mechanisms to tyrosine kinase inhibitors in lung cancer with activating epidermal growth factor receptor mutation--diversity, ductility, and destiny.

    Science.gov (United States)

    Suda, Kenichi; Mizuuchi, Hiroshi; Maehara, Yoshihiko; Mitsudomi, Tetsuya

    2012-12-01

    Lung cancers that harbor somatic activating mutations in the gene for the epidermal growth factor receptor (EGFR) depend on mutant EGFR for their proliferation and survival; therefore, lung cancer patients with EGFR mutations often dramatically respond to orally available EGFR tyrosine kinase inhibitors (TKIs). However, emergence of acquired resistance is virtually inevitable, thus limiting improvement in patient outcomes. To elucidate and overcome this acquired resistance, multidisciplinary basic and clinical investigational approaches have been applied, using in vitro cell line models or samples obtained from lung cancer patients treated with EGFR-TKIs. These efforts have revealed several acquired resistance mechanisms and candidates, including EGFR secondary mutations (T790M and other rare mutations), MET amplification, PTEN downregulation, CRKL amplification, high-level HGF expression, FAS-NFκB pathway activation, epithelial-mesenchymal transition, and conversion to small cell lung cancer. Interestingly, cancer cells harbor potential destiny and ductility together in acquiring resistance to EGFR-TKIs, as shown in in vitro acquired resistance models. Molecular mechanisms of "reversible EGFR-TKI tolerance" that occur in early phase EGFR-TKI exposure have been identified in cell line models. Furthermore, others have reported molecular markers that can predict response to EGFR-TKIs in clinical settings. Deeper understanding of acquired resistance mechanisms to EGFR-TKIs, followed by the development of molecular target drugs that can overcome the resistance, might turn this fatal disease into a chronic disorder.

  13. Isoxanthohumol, a constituent of hop (Humulus lupulus L.), increases stress resistance in Caenorhabditis elegans dependent on the transcription factor DAF-16.

    Science.gov (United States)

    Büchter, Christian; Havermann, Susannah; Koch, Karoline; Wätjen, Wim

    2016-02-01

    The flavanone isoxanthohumol (IX) has gained attention as antioxidative and chemopreventive agent, but the molecular mechanism of action remains unclear. We investigated effects of this secondary plant compound in vivo using the model organism Caenorhabditis elegans. Adult C. elegans nematodes were incubated with IX, and then, the stress resistance was analysed in the SYTOX assay; lifespan was monitored by touch-provoked movement method, the amount of reactive oxygen species (ROS) was measured in the DCF assay, and the nuclear localisation of the transcription factor DAF-16 was analysed by using a transgenic strain. By the use of a DAF-16 loss-of-function strain, we analysed whether the effects are dependent on DAF-16. IX increases the resistance of the nematode against thermal stress. Additionally, a reduction in ROS in vivo was caused by IX. Since the flavanone only has a marginal radical-scavenging capacity (TEAC assay), we suggest that IX mediates its antioxidative effects indirectly via activation of DAF-16 (homologue to mammalian FOXO proteins). The nuclear translocation of this transcription factor is increased by IX. In the DAF-16-mutated strain, the IX-mediated increase in stress resistance was completely abolished; furthermore, an increased formation of ROS and a reduced lifespan was mediated by IX. IX or a bacterial metabolite of IX causes antioxidative effects as well as an increased stress resistance in C. elegans via activation of DAF-16. The homologous pathway may have implications in the molecular mechanism of IX in mammals.

  14. The MYST family histone acetyltransferase complex regulates stress resistance and longevity through transcriptional control of DAF-16/FOXO transcription factors.

    Science.gov (United States)

    Ikeda, Takako; Uno, Masaharu; Honjoh, Sakiko; Nishida, Eisuke

    2017-08-09

    The well-known link between longevity and the Sir2 histone deacetylase family suggests that histone deacetylation, a modification associated with repressed chromatin, is beneficial to longevity. However, the molecular links between histone acetylation and longevity remain unclear. Here, we report an unexpected finding that the MYST family histone acetyltransferase complex (MYS-1/TRR-1 complex) promotes rather than inhibits stress resistance and longevity in Caenorhabditis elegans Our results show that these beneficial effects are largely mediated through transcriptional up-regulation of the FOXO transcription factor DAF-16. MYS-1 and TRR-1 are recruited to the promoter regions of the daf-16 gene, where they play a role in histone acetylation, including H4K16 acetylation. Remarkably, we also find that the human MYST family Tip60/TRRAP complex promotes oxidative stress resistance by up-regulating the expression of FOXO transcription factors in human cells. Tip60 is recruited to the promoter regions of the foxo1 gene, where it increases H4K16 acetylation levels. Our results thus identify the evolutionarily conserved role of the MYST family acetyltransferase as a key epigenetic regulator of DAF-16/FOXO transcription factors. © 2017 The Authors.

  15. Development of reliability-based load and resistance factor design methods for piping

    International Nuclear Information System (INIS)

    Ayyub, Bilal M.; Hill, Ralph S. III; Balkey, Kenneth R.

    2003-01-01

    Current American Society of Mechanical Engineers (ASME) nuclear codes and standards rely primarily on deterministic and mechanistic approaches to design. The American Institute of Steel Construction and the American Concrete Institute, among other organizations, have incorporated probabilistic methodologies into their design codes. ASME nuclear codes and standards could benefit from developing a probabilistic, reliability-based, design methodology. This paper provides a plan to develop the technical basis for reliability-based, load and resistance factor design of ASME Section III, Class 2/3 piping for primary loading, i.e., pressure, deadweight and seismic. The plan provides a proof of concept in that LRFD can be used in the design of piping, and could achieve consistent reliability levels. Also, the results from future projects in this area could form the basis for code cases, and additional research for piping secondary loads. (author)

  16. Krüppel-like factor 4 promotes c-Met amplification-mediated gefitinib resistance in non-small-cell lung cancer.

    Science.gov (United States)

    Feng, Wei; Xie, Qianyi; Liu, Suo; Ji, Ying; Li, Chunyun; Wang, Chunle; Jin, Longyu

    2018-06-01

    Gefitinib has been widely used in the first-line treatment of advanced EGFR-mutated non-small-cell lung cancer (NSCLC). However, many NSCLC patients will acquire resistance to gefitinib after 9-14 months of treatment. This study revealed that Krüppel-like factor 4 (KLF4) contributes to the formation of gefitinib resistance in c-Met-overexpressing NSCLC cells. We observed that KLF4 was overexpressed in c-Met-overexpressing NSCLC cells and tissues. Knockdown of KLF4 increased tumorigenic properties in gefitinib-resistant NSCLC cell lines without c-Met overexpression, but it reduced tumorigenic properties and increased gefitinib sensitivity in gefitinib-resistant NSCLC cells with c-Met overexpression, whereas overexpression of KLF4 reduced gefitinib sensitivity in gefitinib-sensitive NSCLC cells. Furthermore, Western blot analysis revealed that KLF4 contributed to the formation of gefitinib resistance in c-Met-overexpressing NSCLC cells by inhibiting the expression of apoptosis-related proteins under gefitinib treatment and activating the c-Met/Akt signaling pathway by decreasing the inhibition of β-catenin on phosphorylation of c-Met to prevent blockade by gefitinib. In summary, this study's results suggest that KLF4 is a promising candidate molecular target for both prevention and therapy of NSCLC with c-Met overexpression. © 2018 The Authors. Cancer Science published by John Wiley & Sons Australia, Ltd on behalf of Japanese Cancer Association.

  17. Risk factors assessment for nasal colonization of Staphylococcus aureus and its methicillin resistant strains among pre-clinical medical students of Nepal.

    Science.gov (United States)

    Ansari, Shamshul; Gautam, Rajendra; Shrestha, Sony; Ansari, Safiur Rahman; Subedi, Shankar Nanda; Chhetri, Muni Raj

    2016-04-12

    Staphylococcus aureus (S. aureus), a normal flora of nasal cavity, can cause minor to life threatening invasive diseases and nosocomial infections. Methicillin resistant strains of S. aureus are causing a great challenge for treatment options. Therefore, the purpose of this study was to assess the nasal carriage rate of S. aureus, its methicillin resistant strains and risk factors in medical students prior to clinical exposure. The bacterial growth of S. aureus from nasal swab culture was identified by using standard microbiological methods recommended by American Society for Microbiology. Modified Kirby-Bauer disk diffusion method was used for antibiotic susceptibility testing and methicillin resistance was confirmed using cefoxitin and oxacillin disks. D-zone test method was used to determine the inducible clindamycin resistance. Among 200 participants, nasal carriage of S. aureus was detected from 30 (15%) subjects. Upper respiratory tract infections significantly (P aureus and their methicillin resistant strains. All of the isolates were reported to be susceptible to vancomycin and teicoplanin. S. aureus strains detected from 8 (4%) students were confirmed to be methicillin resistant. The result of our study demands for strict policy to screen all the students for nasal carriage of S. aureus and its MRSA strains to minimize the transmission of this organism from community to hospital settings.

  18. A Three Factor Remote User Authentication Scheme Using Collision Resist Fuzzy Extractor in Single Server Environment

    Directory of Open Access Journals (Sweden)

    Giri Debasis

    2017-01-01

    Full Text Available Due to rapid growth of online applications, it is needed to provide such a facility by which communicators can get the services by applying the applications in a secure way. As communications are done through an insecure channel like Internet, any adversary can trap and modify the communication messages. Only authentication procedure can overcome the aforementioned problem. Many researchers have proposed so many authentication schemes in this literature. But, this paper has shown that many of them are not usable in real world application scenarios because, the existing schemes cannot resist all the possible attacks. Therefore, this paper has proposed a three factor authentication scheme using hash function and fuzzy extractor. This paper has further analyzed the security of the proposed scheme using random oracle model. The analysis shows that the proposed scheme can resist all the possible attacks. Furthermore, comparison between proposed scheme and related existing schemes shows that the proposed scheme has better trade-off among storage, computational and communication costs.

  19. Resistant Hypertension.

    Science.gov (United States)

    Doroszko, Adrian; Janus, Agnieszka; Szahidewicz-Krupska, Ewa; Mazur, Grzegorz; Derkacz, Arkadiusz

    2016-01-01

    Resistant hypertension is a severe medical condition which is estimated to appear in 9-18% of hypertensive patients. Due to higher cardiovascular risk, this disorder requires special diagnosis and treatment. The heterogeneous etiology, risk factors and comorbidities of resistant hypertension stand in need of sophisticated evaluation to confirm the diagnosis and select the best therapeutic options, which should consider lifestyle modifications as well as pharmacological and interventional treatment. After having excluded pseudohypertension, inappropriate blood pressure measurement and control as well as the white coat effect, suspicion of resistant hypertension requires an analysis of drugs which the hypertensive patient is treated with. According to one definition - ineffective treatment with 3 or more antihypertensive drugs including diuretics makes it possible to diagnose resistant hypertension. A multidrug therapy including angiotensin - converting enzyme inhibitors, angiotensin II receptor blockers, beta blockers, diuretics, long-acting calcium channel blockers and mineralocorticoid receptor antagonists has been demonstrated to be effective in resistant hypertension treatment. Nevertheless, optional, innovative therapies, e.g. a renal denervation or baroreflex activation, may create a novel pathway of blood pressure lowering procedures. The right diagnosis of this disease needs to eliminate the secondary causes of resistant hypertension e.g. obstructive sleep apnea, atherosclerosis and renal or hormonal disorders. This paper briefly summarizes the identification of the causes of resistant hypertension and therapeutic strategies, which may contribute to the proper diagnosis and an improvement of the long term management of resistant hypertension.

  20. Risk Factors for Carbapenemase-Producing Carbapenem-Resistant Enterobacteriaceae (CP-CRE) Acquisition Among Contacts of Newly Diagnosed CP-CRE Patients.

    Science.gov (United States)

    Schwartz-Neiderman, Anat; Braun, Tali; Fallach, Noga; Schwartz, David; Carmeli, Yehuda; Schechner, Vered

    2016-10-01

    OBJECTIVE Carbapenemase-producing carbapenem-resistant Enterobacteriaceae (CP-CRE) are extremely drug-resistant pathogens. Screening of contacts of newly identified CP-CRE patients is an important step to limit further transmission. We aimed to determine the risk factors for CP-CRE acquisition among patients exposed to a CP-CRE index patient. METHODS A matched case-control study was performed in a tertiary care hospital in Israel. The study population was comprised of patients who underwent rectal screening for CP-CRE following close contact with a newly identified CP-CRE index patient. Cases were defined as positive tests for CP-CRE. For each case patient, 2 matched controls were randomly selected from the pool of contacts who tested negative for CP-CRE following exposure to the same index case. Bivariate and multivariate analyses were conducted using conditional logistic regression. RESULTS In total, 53 positive contacts were identified in 40 unique investigations (896 tests performed on 735 contacts) between October 6, 2008, and June 7, 2012. bla KPC was the only carbapenemase identified. In multivariate analysis, risk factors for CP-CRE acquisition among contacts were (1) contact with an index patient for ≥3 days (odds ratio [OR], 9.8; 95% confidence interval [CI], 2.0-48.9), (2) mechanical ventilation (OR, 4.1; 95% CI, 1.4-11.9), and (3) carriage or infection with another multidrug-resistant organism (MDRO; OR, 2.6; 95% CI, 1.0-7.1). Among patients who received antibiotics, cephalosporins were associated with a lower risk of acquisition. CONCLUSIONS Patient characteristics (ventilation and carriage of another MDRO) as well as duration of contact are risk factors for CP-CRE acquisition among contacts. The role of cephalosporins requires further study. Infect Control Hosp Epidemiol 2016;1-7.

  1. Prevalence and Associated Factors of Insulin Resistance in Adults from Maracaibo City, Venezuela

    Directory of Open Access Journals (Sweden)

    Valmore Bermudez

    2016-01-01

    Full Text Available Background and Aim. Insulin resistance (IR is a prominent pathophysiologic component in a myriad of metabolic disorders, including obesity, prediabetes, and type 2 diabetes mellitus, which are common in our locality. The objective of this study was to determine the prevalence of IR and factors associated with this condition in an adult population from Maracaibo city, Venezuela. Methodology. A cross-sectional, descriptive study with multistaged randomized sampling was carried out in 2026 adults. IR was defined as HOMA2-IR ≥ 2. A multiple logistic regression model was constructed in order to evaluate factors associated with IR. Results. The prevalence of IR was 46.5% (n=943, with 46.7% (n=450 in the general population, 46.4% (n=493 in females, and 47.90% (n=970 in males (p=0.895. IR prevalence tended to increase with age and was significantly greater in subjects aged ≥30 years (χ2=16.726; p=2.33×10-4. Employment, alcohol consumption, obesity, high triacylglycerides, low HDL-C, and dysglycemia were associated with greater odds of IR, whereas a high level of physical activity appeared to be weak protective factor against IR. Conclusions. The prevalence of IR is elevated in our locality. The main determinants of this condition appear to be the presence of obesity, high triacylglycerides, low HDL-C, dysglycemia, and alcohol intake.

  2. Clinical implications of adipocytokines and newly emerging metabolic factors with relation to insulin resistance and cardiovascular health

    Directory of Open Access Journals (Sweden)

    Sung Hee eChoi

    2013-08-01

    Full Text Available Adipose tissue is known to secrete hormones actively and produces many biologically active proteins called adipocytokines. Typically, obesity is followed by low-grade inflammation, which is characterized by increased circulating levels of pro-inflammatory cytokines. Macrophages play a role in the inflammatory process by secreting many cytokines such as tumour necrosis factor-alpha, interleukin-6, resistin and retinol binding protein-4. These cytokines and chemokines participate in low grade pro-inflammatory processes leading to insulin resistance, metabolic impairment and cardiovascular diseases. More metabolic regulators, such as fibroblast growth factor (FGF21, FGF19, FGF1, vaspin and visfatin have now been discovered but their exact roles in human diseases are still unclear. This review focuses on recent research regarding the role of adipokines and new metabolic factors in metabolic derangement or cardiovascular disease.

  3. High Levels of Bioplastic Are Produced in Fertile Transplastomic Tobacco Plants Engineered with a Synthetic Operon for the Production of Polyhydroxybutyrate1[C][OA

    Science.gov (United States)

    Bohmert-Tatarev, Karen; McAvoy, Susan; Daughtry, Sean; Peoples, Oliver P.; Snell, Kristi D.

    2011-01-01

    An optimized genetic construct for plastid transformation of tobacco (Nicotiana tabacum) for the production of the renewable, biodegradable plastic polyhydroxybutyrate (PHB) was designed using an operon extension strategy. Bacterial genes encoding the PHB pathway enzymes were selected for use in this construct based on their similarity to the codon usage and GC content of the tobacco plastome. Regulatory elements with limited homology to the host plastome yet known to yield high levels of plastidial recombinant protein production were used to enhance the expression of the transgenes. A partial transcriptional unit, containing genes of the PHB pathway and a selectable marker gene encoding spectinomycin resistance, was flanked at the 5′ end by the host plant’s psbA coding sequence and at the 3′ end by the host plant’s 3′ psbA untranslated region. This design allowed insertion of the transgenes into the plastome as an extension of the psbA operon, rendering the addition of a promoter to drive the expression of the transgenes unnecessary. Transformation of the optimized construct into tobacco and subsequent spectinomycin selection of transgenic plants yielded T0 plants that were capable of producing up to 18.8% dry weight PHB in samples of leaf tissue. These plants were fertile and produced viable seed. T1 plants producing up to 17.3% dry weight PHB in samples of leaf tissue and 8.8% dry weight PHB in the total biomass of the plant were also isolated. PMID:21325565

  4. High levels of bioplastic are produced in fertile transplastomic tobacco plants engineered with a synthetic operon for the production of polyhydroxybutyrate.

    Science.gov (United States)

    Bohmert-Tatarev, Karen; McAvoy, Susan; Daughtry, Sean; Peoples, Oliver P; Snell, Kristi D

    2011-04-01

    An optimized genetic construct for plastid transformation of tobacco (Nicotiana tabacum) for the production of the renewable, biodegradable plastic polyhydroxybutyrate (PHB) was designed using an operon extension strategy. Bacterial genes encoding the PHB pathway enzymes were selected for use in this construct based on their similarity to the codon usage and GC content of the tobacco plastome. Regulatory elements with limited homology to the host plastome yet known to yield high levels of plastidial recombinant protein production were used to enhance the expression of the transgenes. A partial transcriptional unit, containing genes of the PHB pathway and a selectable marker gene encoding spectinomycin resistance, was flanked at the 5' end by the host plant's psbA coding sequence and at the 3' end by the host plant's 3' psbA untranslated region. This design allowed insertion of the transgenes into the plastome as an extension of the psbA operon, rendering the addition of a promoter to drive the expression of the transgenes unnecessary. Transformation of the optimized construct into tobacco and subsequent spectinomycin selection of transgenic plants yielded T0 plants that were capable of producing up to 18.8% dry weight PHB in samples of leaf tissue. These plants were fertile and produced viable seed. T1 plants producing up to 17.3% dry weight PHB in samples of leaf tissue and 8.8% dry weight PHB in the total biomass of the plant were also isolated.

  5. Factors underlying the natural resistance of animals against snake venoms

    Directory of Open Access Journals (Sweden)

    H. Moussatché

    1989-01-01

    Full Text Available The existence of mammals and reptilia with a natural resistance to snake venoms is known since a long time. This fact has been subjected to the study by several research workers. Our experiments showed us that in the marsupial Didelphis marsupialis, a mammal highly resistant to the venom of Bothrops jararaca, and other Bothrops venoms, has a genetically origin protein, a alpha-1, acid glycoprotein, now highly purified, with protective action in mice against the jararaca snake venom.

  6. [Concept Analysis for Psychological Insulin Resistance in Korean People with Diabetes].

    Science.gov (United States)

    Song, Youngshin

    2016-06-01

    The purpose of this study was to define the concept for psychological insulin resistance in the Korean population with diabetes. The Hybrid model was used to perform the concept analysis of psychological insulin resistance. Results from both the theoretical review with 26 studies and a field study including 19 participants with diabetes were included in final process. The preceding factors of psychological insulin resistance were uncontrolled blood glucose and change in daily life. The concept of psychological insulin resistance was found to have three categories with 8 attributes such as emotional factors (negative feeling), cognitive factors (low awareness and knowledge, low confidence for self-injection) and supportive factors (economic burden, dependency life, embarrassing, feeling about supporters, feeling of trust in, vs mistrust of health care providers). The 8 attributes included 30 indicators. The psychological insulin resistance of population with diabetes in Korea was defined as a complex phenomenon associated with insulin therapy that can be affected by emotional factors, cognitive factors, and supportive relational factors. Based on the results, a tool for measuring psychological insulin resistance of Koreans with diabetes and effective programs for enhancing insulin adherence should be developed in future studies.

  7. Travel to Asia and traveller's diarrhoea with antibiotic treatment are independent risk factors for acquiring ciprofloxacin-resistant and extended spectrum β-lactamase-producing Enterobacteriaceae-a prospective cohort study.

    Science.gov (United States)

    Reuland, E A; Sonder, G J B; Stolte, I; Al Naiemi, N; Koek, A; Linde, G B; van de Laar, T J W; Vandenbroucke-Grauls, C M J E; van Dam, A P

    2016-08-01

    Travel to (sub)tropical countries is a well-known risk factor for acquiring resistant bacterial strains, which is especially of significance for travellers from countries with low resistance rates. In this study we investigated the rate of and risk factors for travel-related acquisition of extended spectrum β-lactamase-producing Enterobacteriaceae (ESBL-E), ciprofloxacin-resistant Enterobacteriaceae (CIPR-E) and carbapenem-resistant Enterobacteriaceae. Data before and after travel were collected from 445 participants. Swabs were cultured with an enrichment broth and sub-cultured on selective agar plates for ESBL detection, and on plates with a ciprofloxacin disc. ESBL production was confirmed with the double-disc synergy test. Species identification and susceptibility testing were performed with the Vitek-2 system. All isolates were subjected to ertapenem Etest. ESBL and carbapenemase genes were characterized by PCR and sequencing. Twenty-seven out of 445 travellers (6.1%) already had ESBL-producing strains and 45 of 445 (10.1%) travellers had strains resistant to ciprofloxacin before travel. Ninety-eight out of 418 (23.4%) travellers acquired ESBL-E and 130 of 400 (32.5%) travellers acquired a ciprofloxacin-resistant strain. Of the 98 ESBL-E, predominantly Escherichia coli and predominantly blaCTX-M-15, 56% (55/98) were resistant to gentamicin, ciprofloxacin and co-trimoxazole. Multivariate analysis showed that Asia was a high-risk area for ESBL-E as well as CIPR-E acquisition. Travellers with diarrhoea combined with antimicrobial use were significantly at higher risk for acquisition of resistant strains. Only one carbapenemase-producing isolate was acquired, isolated from a participant after visiting Egypt. In conclusion, travelling to Asia and diarrhoea combined with antimicrobial use are important risk factors for acquiring ESBL-E and CIPR-E. Copyright © 2016 European Society of Clinical Microbiology and Infectious Diseases. Published by Elsevier Ltd. All

  8. Influence of HMB supplementation and resistance training on cytokine responses to resistance exercise.

    Science.gov (United States)

    Kraemer, William J; Hatfield, Disa L; Comstock, Brett A; Fragala, Maren S; Davitt, Patrick M; Cortis, Cristina; Wilson, Jacob M; Lee, Elaine C; Newton, Robert U; Dunn-Lewis, Courtenay; Häkkinen, Keijo; Szivak, Tunde K; Hooper, David R; Flanagan, Shawn D; Looney, David P; White, Mark T; Volek, Jeff S; Maresh, Carl M

    2014-01-01

    The purpose of this study was to determine the effects of a multinutritional supplement including amino acids, β-hydroxy-β-methylbutyrate (HMB), and carbohydrates on cytokine responses to resistance exercise and training. Seventeen healthy, college-aged men were randomly assigned to a Muscle Armor™ (MA; Abbott Nutrition, Columbus, OH) or placebo supplement group and 12 weeks of resistance training. An acute resistance exercise protocol was administered at 0, 6, and 12 weeks of training. Venous blood samples at pre-, immediately post-, and 30-minutes postexercise were analyzed via bead multiplex immunoassay for 17 cytokines. After 12 weeks of training, the MA group exhibited decreased interferon-gamma (IFN-γ) and interleukin (IL)-10. IL-1β differed by group at various times. Granulocyte colony-stimulating factor (G-CSF), granulocyte-macrophage colony-stimulating factor (GM-CSF), IL-6, IL-7, IL-8, IL-12p70, IL-13, IL-17, monocyte chemoattractant protein-1 (MCP-1), and macrophage inflammatory protein-1 beta (MIP-1β) changed over the 12-week training period but did not differ by group. Twelve weeks of resistance training alters the cytokine response to acute resistance exercise, and supplementation with HMB and amino acids appears to further augment this result.

  9. Autosomal male determination in a spinosad-resistant housefly strain from Denmark

    DEFF Research Database (Denmark)

    Højland, Dorte H; Scott, Jeffrey G; Vagn Jensen, Karl-Martin

    2014-01-01

    males in this strain. The factor responsible for spinosad resistance in the strain is unknown, but previous studies suggest a role of cytochrome P450s for detoxification of spinosad. Sex determination in the housefly is controlled by a male-determining factor (M), either located on the Y chromosome......BACKGROUND The housefly, Musca domestica L., is a global pest and has developed resistance to most insecticides applied for its control. The insecticide spinosad plays an important role in housefly control. Females of the Danish housefly strain 791spin are threefold more resistant to spinosad than...... of resistance to spinosad. Sex determination in 791spin is due to a male factor on autosome 3. CONCLUSIONS The most likely explanation for the differentiation of spinosad resistance between males and females is a recessive spinosad resistance factor on autosome III. © 2013 Society of Chemical Industry...

  10. Simultaneous analysis of residual stress and stress intensity factor in a resist after UV-nanoimprint lithography based on electron moiré fringes

    International Nuclear Information System (INIS)

    Wang, Qinghua; Kishimoto, Satoshi

    2012-01-01

    In this study, the residual stress in a resist (PAK01) film and the stress intensity factor (SIF) of an induced crack are simultaneously estimated during ultraviolet nanoimprint lithography (UV-NIL) based on electron moiré fringes. A micro grid in a triangular arrangement on the resist film fabricated by UV-NIL is directly used as the model grid. Electron moiré fringes formed by the interference between the fabricated grid and the electron scan beam are used to measure the displacement distribution around the tip of a crack induced by the residual stress in the resist. The SIF of the crack is estimated using a displacement extrapolation method. The residual strain fields and the corresponding residual stress in the resist film far from the crack are determined and analyzed. This method is effective for evaluating the grid quality fabricated by the UV-NIL technique. (paper)

  11. Contribution of the drought tolerance-related Stress-responsive NAC1 transcription factor to resistance of barley to Ramularia leaf spot

    OpenAIRE

    MCGRANN, GRAHAM R D; STEED, ANDREW; BURT, CHRISTOPHER; GODDARD, RACHEL; LACHAUX, CLEA; BANSAL, ANURADHA; CORBITT, MARGARET; GORNIAK, KALINA; NICHOLSON, PAUL; BROWN, JAMES K M

    2014-01-01

    NAC proteins are plant transcription factors that are involved in tolerance to abiotic and biotic stresses, as well as in many developmental processes. Stress-responsive NAC1 (SNAC1) transcription factor is involved in drought tolerance in barley and rice, but has not been shown previously to have a role in disease resistance. Transgenic over-expression of HvSNAC1 in barley cv. Golden Promise reduced the severity of Ramularia leaf spot (RLS), caused by the fungus Ramularia collo-cygni, but ha...

  12. Staphylococcus aureus: methicillin-susceptible S. aureus to methicillin-resistant S. aureus and vancomycin-resistant S. aureus.

    Science.gov (United States)

    Rehm, Susan J; Tice, Alan

    2010-09-15

    The evolution of methicillin-resistant and vancomycin-resistant Staphylococcus aureus has demanded serious review of antimicrobial use and development of new agents and revised approaches to prevent and overcome drug resistance. Depending on local conditions and patient risk factors, empirical therapy of suspected S. aureus infection may require coverage of drug-resistant organisms with newer agents and novel antibiotic combinations. The question of treatment with inappropriate antibiotics raises grave concerns with regard to methicillin-resistant S. aureus selection, overgrowth, and increased virulence. Several strategies to reduce the nosocomial burden of resistance are suggested, including shortened hospital stays and outpatient parenteral antimicrobial therapy of the most serious infections.

  13. Antibiotic Resistance Factors and Alternatives to Antimicrobial Growth Factors within Animal Husbandry

    OpenAIRE

    Reyes, Emory

    2014-01-01

    The repercussions of antibiotic resistance in humans give scientists a vivid picture of the effectsof microbial evolution. These repercussions can be felt economically and scientifically as thedemand for stronger antibiotics grows stronger, yet the availability for such an effect remainslow. Citizens must pay more money in order to access antibiotics from their healthcareproviders; however, if treatment is not completed, bacteria become increasingly immune toantibiotics, closing off pathways ...

  14. Are hypertriglyceridemia and low HDL causal factors in the development of insulin resistance?

    NARCIS (Netherlands)

    Li, Naishi; Fu, Jingyuan; Koonen, Debby P.; Kuivenhoven, Jan Albert; Snieder, Harold; Hofker, Marten H.

    Insulin resistance often occurs with dyslipidemia as part of the metabolic syndrome and the current dominant paradigm is that insulin resistance leads to dyslipidemia. However, dyslipidemia may also cause insulin resistance; this was postulated 30 years ago, but has never been substantiated.

  15. Resistant Hypertension and Obstructive Sleep Apnea

    Directory of Open Access Journals (Sweden)

    Akram Khan

    2013-01-01

    Full Text Available Hypertension (HTN is a modifiable, highly prevalent risk factor for cardiovascular morbidity and renal dysfunction worldwide. In the United States, HTN affects one in three adults, contributes to one out of every seven deaths and to nearly half of all cardiovascular disease-related deaths. HTN is considered resistant when the blood pressure remains above goal despite lifestyle modification and administration of three antihypertensive agents of different classes including a diuretic. Large population-based studies have suggested that obstructive sleep apnea (OSA is a risk factor for resistant HTN. The mechanism proposed is a pattern of intermittent hypoxia associated with hyperaldosteronism, increased sympathetic tone, endothelial dysfunction, and inflammation. In this review we discuss the association between OSA and resistant HTN, the physiologic mechanisms linking OSA with resistant HTN, and the effect of continuous positive airway pressure therapy (CPAP on blood pressure in patients with resistant HTN. While the reduction in blood pressure with CPAP is usually modest in patients with OSA, a decrease of only a few mmHg in blood pressure can significantly reduce cardiovascular risk. Patients presenting to a center specializing in management of hypertension should be screened and treated for OSA as a potentially modifiable risk factor.

  16. Daily chocolate consumption is inversely associated with insulin resistance and liver enzymes in the Observation of Cardiovascular Risk Factors in Luxembourg study.

    Science.gov (United States)

    Alkerwi, Ala'a; Sauvageot, Nicolas; Crichton, Georgina E; Elias, Merrill F; Stranges, Saverio

    2016-05-01

    This study examined the association of chocolate consumption with insulin resistance and serum liver enzymes in a national sample of adults in Luxembourg. A random sample of 1153 individuals, aged 18-69 years, was recruited to participate in the cross-sectional Observation of Cardiovascular Risk Factors in Luxembourg study. Chocolate consumption (g/d) was obtained from a semi-quantitative FFQ. Blood glucose and insulin levels were used for the homoeostasis model assessment of insulin resistance (HOMA-IR). Hepatic biomarkers such as serum γ-glutamyl-transpeptidase (γ-GT), serum aspartate transaminase and serum alanine transaminase (ALT) (mg/l) were assessed using standard laboratory assays. Chocolate consumers (81·8 %) were more likely to be younger, physically active, affluent people with higher education levels and fewer chronic co-morbidities. After excluding subjects taking antidiabetic medications, higher chocolate consumption was associated with lower HOMA-IR (β=-0·16, P=0·004), serum insulin levels (β=-0·16, P=0·003) and γ-GT (β=-0·12, P=0·009) and ALT (β=-0·09, P=0·004), after adjustment for age, sex, education, lifestyle and dietary confounding factors, including intakes of fruits and vegetables, alcohol, polyphenol-rich coffee and tea. This study reports an independent inverse relationship between daily chocolate consumption and levels of insulin, HOMA-IR and liver enzymes in adults, suggesting that chocolate consumption may improve liver enzymes and protect against insulin resistance, a well-established risk factor for cardiometabolic disorders. Further observational prospective research and well-designed randomised-controlled studies are needed to confirm this cross-sectional relationship and to comprehend the role and mechanisms that different types of chocolate may play in insulin resistance and cardiometabolic disorders.

  17. Nephrogenic factors of resistance to antihypertensive treatment in patients with essential hypertension

    Directory of Open Access Journals (Sweden)

    N. V. Teplova

    2015-01-01

    Full Text Available Aim. To study the prevalence of renal and renovascular disorders in the development and progression of primary arterial hypertension (HT resistant to combination antihypertensive therapy (AHT in cardiac and therapeutic patients of general hospital.Material and methods. 286 patients with essential HT stages 1-3, including 105 patients with stages 2-3 with the signs of treatment resistance were included into the study. All patients were treated with personalized AHT in accordance with current guidelines for the management of HT. Laboratory and instrumental assessment of a functional status of kidneys was performed.Results. A group of patients with resistant HT made 36.7% of the total number of examined patients. The most significant distinction in patients with resistant HT was a high incidence of changes in renal vessels and infrarenal aorta. Deformation of the abdominal aorta (9.7%, renal arteries tortuosity (7.3%, vasourethral conflict (4.8% were detected in this group significantly more often.Conclusion. Congenital and acquired lesions of renal arteries are detected several times more often in patients with resistant essential HT.

  18. Nephrogenic factors of resistance to antihypertensive treatment in patients with essential hypertension

    Directory of Open Access Journals (Sweden)

    N. V. Teplova

    2015-12-01

    Full Text Available Aim. To study the prevalence of renal and renovascular disorders in the development and progression of primary arterial hypertension (HT resistant to combination antihypertensive therapy (AHT in cardiac and therapeutic patients of general hospital.Material and methods. 286 patients with essential HT stages 1-3, including 105 patients with stages 2-3 with the signs of treatment resistance were included into the study. All patients were treated with personalized AHT in accordance with current guidelines for the management of HT. Laboratory and instrumental assessment of a functional status of kidneys was performed.Results. A group of patients with resistant HT made 36.7% of the total number of examined patients. The most significant distinction in patients with resistant HT was a high incidence of changes in renal vessels and infrarenal aorta. Deformation of the abdominal aorta (9.7%, renal arteries tortuosity (7.3%, vasourethral conflict (4.8% were detected in this group significantly more often.Conclusion. Congenital and acquired lesions of renal arteries are detected several times more often in patients with resistant essential HT.

  19. Searching for Factors that Distinguish Disease-Prone and Disease-Resistant Prions via Sequence Analysis

    Directory of Open Access Journals (Sweden)

    Lukasz Kurgan

    2008-01-01

    Full Text Available The exact mechanisms of prion misfolding and factors that predispose an individual to prion diseases are largely unknown. Our approach to identifying candidate factors in-silico relies on contrasting the C-terminal domain of PrPC sequences from two groups of vertebrate species: those that have been found to suffer from prion diseases, and those that have not. We propose that any significant differences between the two groups are candidate factors that may predispose individuals to develop prion disease, which should be further analyzed by wet-lab investigations. Using an array of computational methods we identified possible point mutations that could predispose PrPC to misfold into PrPSc. Our results include confirmatory findings such as the V210I mutation, and new findings including P137M, G142D, G142N, D144P, K185T, V189I, H187Y and T191P mutations, which could impact structural stability. We also propose new hypotheses that give insights into the stability of helix-2 and -3. These include destabilizing effects of Histidine and T188-T193 segment in helix-2 in the disease-prone prions, and a stabilizing effect of Leucine on helix-3 in the disease-resistant prions.

  20. An EAR-motif-containing ERF transcription factor affects herbivore-induced signaling, defense and resistance in rice.

    Science.gov (United States)

    Lu, Jing; Ju, Hongping; Zhou, Guoxin; Zhu, Chuanshu; Erb, Matthias; Wang, Xiaopeng; Wang, Peng; Lou, Yonggen

    2011-11-01

    Ethylene responsive factors (ERFs) are a large family of plant-specific transcription factors that are involved in the regulation of plant development and stress responses. However, little to nothing is known about their role in herbivore-induced defense. We discovered a nucleus-localized ERF gene in rice (Oryza sativa), OsERF3, that was rapidly up-regulated in response to feeding by the rice striped stem borer (SSB) Chilo suppressalis. Antisense and over-expression of OsERF3 revealed that it positively affects transcript levels of two mitogen-activated protein kinases (MAPKs) and two WRKY genes as well as concentrations of jasmonate (JA), salicylate (SA) and the activity of trypsin protease inhibitors (TrypPIs). OsERF3 was also found to mediate the resistance of rice to SSB. On the other hand, OsERF3 was slightly suppressed by the rice brown planthopper (BPH) Nilaparvata lugens (Stål) and increased susceptibility to this piercing sucking insect, possibly by suppressing H(2)O(2) biosynthesis. We propose that OsERF3 affects early components of herbivore-induced defense responses by suppressing MAPK repressors and modulating JA, SA, ethylene and H(2)O(2) pathways as well as plant resistance. Our results also illustrate that OsERF3 acts as a central switch that gears the plant's metabolism towards an appropriate response to chewing or piercing/sucking insects. © 2011 The Authors. The Plant Journal © 2011 Blackwell Publishing Ltd.

  1. Risk factors for the acquisition of carbapenem-resistant Escherichia coli at a tertiary care center in South Korea: a matched case-control study.

    Science.gov (United States)

    Ahn, Jin Young; Song, Je Eun; Kim, Min Hyung; Choi, Heun; Kim, Jae Kyung; Ann, Hea Won; Kim, Jung Ho; Jeon, Yongduk; Jeong, Su Jin; Kim, Sun Bean; Ku, Nam Su; Han, Sang Hoon; Song, Young Goo; Yong, Dongeun; Lee, Kyungwon; Kim, June Myung; Choi, Jun Yong

    2014-06-01

    Carbapenem resistance among gram-negative bacilli is an emerging threat worldwide. The objective of this study was to identify risk factors for the acquisition of carbapenem-resistant Escherichia coli (CRE). We conducted a matched case-control study comprising 57 cases of acquisition of CRE and 114 controls (1:2 matched) selected from patients with a culture of carbapenem-susceptible E coli between January 2006 and December 2010 at a 2000-bed tertiary care center in South Korea. On univariate analysis, previous use of carbapenem (P carbapenem (odds ratio [OR], 4.56; 95% confidence interval [CI] 1.44-14.46; P = .01) and previous use of fluoroquinolone (OR, 2.81; 95% CI, 1.14-6.99; P = .03) were independent risk factors. At this institute, the antibiotic selective pressure of carbapenems and fluoroquinolones was shown to be an important risk factor for the acquisition of CRE. Copyright © 2014 Association for Professionals in Infection Control and Epidemiology, Inc. Published by Mosby, Inc. All rights reserved.

  2. Drug resistance

    NARCIS (Netherlands)

    Gorter, J.A.; Potschka, H.; Noebels, J.L.; Avoli, M.; Rogawski, M.A.; Olsen, R.W.; Delgado-Escueta, A.V.

    2012-01-01

    Drug resistance remains to be one of the major challenges in epilepsy therapy. Identification of factors that contribute to therapeutic failure is crucial for future development of novel therapeutic strategies for difficult-to-treat epilepsies. Several clinical studies have shown that high seizure

  3. Ginger Phytochemicals Inhibit Cell Growth and Modulate Drug Resistance Factors in Docetaxel Resistant Prostate Cancer Cell.

    Science.gov (United States)

    Liu, Chi-Ming; Kao, Chiu-Li; Tseng, Yu-Ting; Lo, Yi-Ching; Chen, Chung-Yi

    2017-09-05

    Ginger has many bioactive compounds with pharmacological activities. However, few studies are known about these bioactive compounds activity in chemoresistant cells. The aim of the present study was to investigate the anticancer properties of ginger phytochemicals in docetaxel-resistant human prostate cancer cells in vitro. In this study, we isolated 6-gingerol, 10-gingerol, 4-shogaol, 6-shogaol, 10-shogaol, and 6-dehydrogingerdione from ginger. Further, the antiproliferation activity of these compounds was examined in docetaxel-resistant (PC3R) and sensitive (PC3) human prostate cancer cell lines. 6-gingerol, 10-gingerol, 6-shogaol, and 10-shogaol at the concentration of 100 μM significantly inhibited the proliferation in PC3R but 6-gingerol, 6-shogaol, and 10-shogaol displayed similar activity in PC3. The protein expression of multidrug resistance associated protein 1 (MRP1) and glutathione-S-transferase (GSTπ) is higher in PC3R than in PC3. In summary, we isolated the bioactive compounds from ginger. Our results showed that 6-gingerol, 10-gingerol, 6-shogaol, and 10-shogaol inhibit the proliferation of PC3R cells through the downregulation of MRP1 and GSTπ protein expression.

  4. Wheat transcription factor TaWRKY70 is positively involved in high-temperature seedling-plant resistance to Puccinia striiformis f. sp. tritici

    Science.gov (United States)

    Wheat stripe rust, caused by Puccinia striiformis f. sp. tritici (Pst), is a devastating disease of wheat (Triticum aestivum) worldwide. Wheat high-temperature seedling-plant (HTSP) resistance to Pst is non-race-specific and durable. WRKY transcription factors have proven to play important roles in ...

  5. Risk factors for acute and overuse sport injuries in Swedish children 11 to 15 years old: What about resistance training with weights?

    Science.gov (United States)

    Boström, A; Thulin, K; Fredriksson, M; Reese, D; Rockborn, P; Hammar, M L

    2016-03-01

    To determine the 1-year self-reported incidence of overuse and traumatic sport injuries and risk factors for injuries in children participating in a summer sports camp representing seven different sports. 4363 children, 11 to 15 years old participating in a summer camp in seven different sports answered a questionnaire. Injury in this cross-sectional study was defined as a sport-related trauma or overload leading to pain and dysfunction preventing the person from participation in training or competition for at least 1 week. A number of risk factors for injury were investigated such as sex, age, number of hours spent on training in general, and on resistance training with weights. Nearly half [49%, 95% confidence interval (CI) 48-51%] of the participants had been injured as a result of participation in a sport during the preceding year, significantly more boys than girls (53%, 95% CI 50-55% vs 46%, 95% CI 43-48%; P sport injuries: age, sex, and resistance training with weights. Time spent on resistance training with weights was significantly associated with sport injuries in a logistic regression analysis. In children age 11 to 15 years, the risk of having a sport-related injury increased with age and occurred more often in boys than in girls. Weight training was the only modifiable risk factor that contributed to a significant increase in the incidence of sport injuries. © 2015 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  6. Exploring the mechanism of non-small-cell lung cancer cell lines resistant to epidermal growth factor receptor tyrosine kinase inhibitor

    Directory of Open Access Journals (Sweden)

    Yongkang Yu

    2016-01-01

    Conclusions: The regulatory edges with remarkable changes between HCC827 and ER3, HCC827 and T15.2 included some transcription factors and genes. (e. g., STAT3 and SOX9. STAT3, SOX9, STAT5B, EGR1, and STAT6 might affect the resistance of NSCLC to erlotinib.

  7. Antibiotic Resistance in Intensive Care Units: Dynamics of Colonization

    NARCIS (Netherlands)

    Nijssen, S.

    2006-01-01

    The dynamics of colonization of antibiotic-resistant bacteria in hospital settings are complex and depend on bacteria and healthcare worker related characteristics. Many factors influence colonization and in addition these factors interact with each other as well. Knowledge of local resistance

  8. Collateral Resistance and Sensitivity Modulate Evolution of High-Level Resistance to Drug Combination Treatment in Staphylococcus aureus

    DEFF Research Database (Denmark)

    de Evgrafov, Mari Cristina Rodriguez; Gumpert, Heidi; Munck, Christian

    2015-01-01

    As drug-resistant pathogens continue to emerge, combination therapy will increasingly be relied upon to treat infections and to help combat further development of multidrug resistance. At present a dichotomy exists between clinical practice, which favors therapeutically synergistic combinations......, to reflect drug concentrations more likely to be encountered during treatment. We performed a series of adaptive evolution experiments using Staphylococcus aureus. Interestingly, no relationship between drug interaction type and resistance evolution was found as resistance increased significantly beyond wild......-type levels. All drug combinations, irrespective of interaction types, effectively limited resistance evolution compared with monotreatment. Cross-resistance and collateral sensitivity were found to be important factors in the extent of resistance evolution toward a combination. Comparative genomic analyses...

  9. Production of low-affinity penicillin-binding protein by low- and high-resistance groups of methicillin-resistant Staphylococcus aureus.

    Science.gov (United States)

    Murakami, K; Nomura, K; Doi, M; Yoshida, T

    1987-01-01

    Methicillin- and cephem-resistant Staphylococcus aureus (137 strains) for which the cefazolin MICs are at least 25 micrograms/ml could be classified into low-resistance (83% of strains) and high-resistance (the remaining 17%) groups by the MIC of flomoxef (6315-S), a 1-oxacephalosporin. The MICs were less than 6.3 micrograms/ml and more than 12.5 micrograms/ml in the low- and high-resistance groups, respectively. All strains produced penicillin-binding protein 2' (PBP 2'), which has been associated with methicillin resistance and which has very low affinity for beta-lactam antibiotics. Production of PBP 2' was regulated differently in low- and high-resistance strains. With penicillinase-producing strains of the low-resistance group, cefazolin, cefamandole, and cefmetazole induced PBP 2' production about 5-fold, while flomoxef induced production 2.4-fold or less. In contrast, penicillinase-negative variants of low-resistance strains produced PBP 2' constitutively in large amounts and induction did not occur. With high-resistance strains, flomoxef induced PBP 2' to an extent similar to that of cefazolin in both penicillinase-producing and -negative strains, except for one strain in which the induction did not occur. The amount of PBP 2' induced by beta-lactam antibiotics in penicillinase-producing strains of the low-resistance group correlated well with resistance to each antibiotic. Large amounts of PBP 2' in penicillinase-negative variants of the low-resistance group did not raise the MICs of beta-lactam compounds, although these strains were more resistant when challenged with flomoxef for 2 h. Different regulation of PBP 2' production was demonstrated in the high- and low-resistance groups, and factor(s) other than PBP 2' were suggested to be involved in the methicillin resistance of high-resistance strains. Images PMID:3499861

  10. Comparative analysis of multidrug-resistant tuberculosis and extensively drug-resistant tuberculosis – Epidemiology and predictive factors

    Directory of Open Access Journals (Sweden)

    Ana Sofia Vilariça

    2008-11-01

    Full Text Available Introduction: Extensively drug-resistant tuberculosis (XDR-TB is defined as a form of multidrug-resistant tuberculosis (MDR-TB with additional resistance to fluoroquinolones and at least one of the injectable drugs used in tuberculosis treatment: amikacin, kanamycin and capreomycin. It was classified by WHO as a serious threat to tuberculosis (TB control, with world-wide consequences, taking on the proportions of a real pandemic in some regions. Aim: To compare patients with XDR-TB versus other MDR-TB profiles with regard to epidemiological and demographic characteristics, aetiopathogenic factors and inhospital outcomes. Methods: Patients admitted to Pulido Valente Hospital (Pulmonology Service III in the period ranging from April 1999 to June 2007 with MDR-TB diagnosis microbiologically confirmed. The following variables were evaluated: gender, age, race, forms of TB presentation, treatment groups, resistance profile, immigrant status, number and duration of previous treatments, WHO classification, HIV co-infection, alcoholism and/or drug addiction, average length of hospital stay and inhospital mortality.Statistical analysis was performed using the SPSS (Statistical Package for the Social Sciences, version 15.0. In categorical variables, the statistical differences between groups were evaluated by the Chisquare test and numeric variables using the T-test. Logistical regression analysis was used to build the predictive model of XDR-TB existence (dependent variable, which included the following independent variables: WHO classification, HIV co-infection, immigrant status, alcoholism and/or drug addiction and number and duration of previous treatments. Results: We recorded 132 patients with MDR-TB, of which 69 (52.3% were XDR-TB. Statistically significant differences were observed in the following variables: race (black race was associated with XDRTB in 74% of cases versus 46% of the Caucasian race; WHO classification (patients with retreatment

  11. The increase of methicillin-resistant Staphylococcus aureus (MRSA) and the presence of an unusual sequence type ST49 in slaughter pigs in Switzerland

    Science.gov (United States)

    2011-01-01

    Background In years past, methicillin-resistant S. aureus (MRSA) has been frequently detected in pigs in Europe, North America and Asia. Recent, yet sporadic studies have revealed a low occurrence of MRSA in Switzerland. In 2009, a monitoring survey of the prevalence and genetic diversity of methicillin-resistant S. aureus (MRSA) in slaughter pigs in Switzerland was conducted using methods recommended by the EU guidelines, and using a sampling strategy evenly distributed throughout the year and representative of the Swiss slaughter pig population. Monitoring should determine if the overall prevalence of MRSA in the entire country is increasing over the years and if specific multi-resistant MRSA clones are spreading over the country. Results In 2009, the nasal cavities of eight out of 405 randomly selected pigs were positive for MRSA, representing a prevalence of 2.0% (95% CI 0.9-3.9). The following year, 23 out of 392 pigs were positive for MRSA [5.9% prevalence (95% CI 3.8-8.7)]. Three multilocus sequence types (ST), four spa types and two types of staphylococcal cassette chromosome mec (SCCmec) elements were detected. The most frequent genotypes were ST398 (MLST)-(spa)t034-V(SCCmec) (n = 18) and ST49-t208-V (n = 7), followed by ST398-t011-V (n = 4), ST398-t1451-V (n = 1), and ST1-t2279-IVc (n = 1). The isolates displayed resistance to ß-lactams [mecA, (31/31); blaZ, (19/31)]; tetracycline [tet(M), (31/31); tet(K), (30/31)] (n = 31); macrolides and lincosamides [erm(C) (4/31) or erm(A) (18/31)] (n = 22); tiamulin [vga(A)v (9/31) or unknown mechanism (18/31)] (n = 27); trimethoprim [dfr(G) (18/31); spectinomycin [ant(9)-Ia (19/31) or unknown mechanism (3/31)] (n = 22); streptomycin [str (19/31)]; sulphamethoxazole (7/31) and ciprofloxacin (n = 1) (mechanisms not determined). Conclusions This study is the first to describe the presence of MRSA ST49 in slaughter pigs, and to demonstrate a significant and nearly three-fold increase of MRSA prevalence in pigs within

  12. The increase of methicillin-resistant Staphylococcus aureus (MRSA and the presence of an unusual sequence type ST49 in slaughter pigs in Switzerland

    Directory of Open Access Journals (Sweden)

    Büttner Sabina

    2011-06-01

    Full Text Available Abstract Background In years past, methicillin-resistant S. aureus (MRSA has been frequently detected in pigs in Europe, North America and Asia. Recent, yet sporadic studies have revealed a low occurrence of MRSA in Switzerland. In 2009, a monitoring survey of the prevalence and genetic diversity of methicillin-resistant S. aureus (MRSA in slaughter pigs in Switzerland was conducted using methods recommended by the EU guidelines, and using a sampling strategy evenly distributed throughout the year and representative of the Swiss slaughter pig population. Monitoring should determine if the overall prevalence of MRSA in the entire country is increasing over the years and if specific multi-resistant MRSA clones are spreading over the country. Results In 2009, the nasal cavities of eight out of 405 randomly selected pigs were positive for MRSA, representing a prevalence of 2.0% (95% CI 0.9-3.9. The following year, 23 out of 392 pigs were positive for MRSA [5.9% prevalence (95% CI 3.8-8.7]. Three multilocus sequence types (ST, four spa types and two types of staphylococcal cassette chromosome mec (SCCmec elements were detected. The most frequent genotypes were ST398 (MLST-(spat034-V(SCCmec (n = 18 and ST49-t208-V (n = 7, followed by ST398-t011-V (n = 4, ST398-t1451-V (n = 1, and ST1-t2279-IVc (n = 1. The isolates displayed resistance to ß-lactams [mecA, (31/31; blaZ, (19/31]; tetracycline [tet(M, (31/31; tet(K, (30/31] (n = 31; macrolides and lincosamides [erm(C (4/31 or erm(A (18/31] (n = 22; tiamulin [vga(Av (9/31 or unknown mechanism (18/31] (n = 27; trimethoprim [dfr(G (18/31; spectinomycin [ant(9-Ia (19/31 or unknown mechanism (3/31] (n = 22; streptomycin [str (19/31]; sulphamethoxazole (7/31 and ciprofloxacin (n = 1 (mechanisms not determined. Conclusions This study is the first to describe the presence of MRSA ST49 in slaughter pigs, and to demonstrate a significant and nearly three-fold increase of MRSA prevalence in pigs within two years

  13. Development of a bioassay system for investigating insulin resistance factors of pregnancy

    International Nuclear Information System (INIS)

    Hausman, D.B.; Singh, R.; Martin, R.J.

    1986-01-01

    To determine if late-term pregnant serum and/or placenta could induce insulin resistance in normal adipose cells, the authors have developed an insulin sensitive bioassay system. Cells isolated from epididymal fat pads of 250-275 g Sprague Dawley rats are preincubated for 3 hours at 37 0 in media 199 and serum or placental extract. The cells are washed free of serum and tested for metabolic activity in a 2 hour incubation which measures the conversion of U- 14 C-glucose to 14 CO 2 and to 14 C-triglyceride fatty acids under basal and insulin stimulated conditions. Maximal insulin responsiveness (350-450% basal for CO 2 and 1400-1700% basal for fatty acids) is achieved using Worthington Type II collagenase and a 45-60 minute digestion period for cell isolations and Krebs-Ringer bicarbonate buffer containing 0.5 mM glucose, 2% Armour bovine serum albumin (CRG-7), 1000 μU/ml insulin and 110,000 to 120,000 cells in the 2 hour incubations. Using this bioasssay system the authors have found that insulin responsiveness, in terms of glucose conversion to fatty acids, is unchanged when cells are preincubated with 5% control pig serum but reduced following preincubation with late pregnant (110 day) pig serum. In future experiments the authors hope to further characterize the factor(s) in pregnant serum responsible for inducing this metabolic effect

  14. Development of LRFD procedures for bridge pile foundations in Iowa - volume III : recommended resistance factors with consideration of construction control and setup.

    Science.gov (United States)

    2012-02-01

    The Federal Highway Administration (FHWA) mandated utilizing the Load and Resistance Factor Design (LRFD) approach for all new bridges initiated in the United States after October 1, 2007. As a result, there has been a progressive move among state De...

  15. In vitro susceptibility of Helicobacter pullorum strains to different antimicrobial agents.

    Science.gov (United States)

    Ceelen, Liesbeth; Decostere, Annemie; Devriese, Luc A; Ducatelle, Richard; Haesebrouck, Freddy

    2005-01-01

    The in vitro activity of 13 antimicrobial agents against 23 Helicobacter pullorum strains from poultry (21) and human (two) origin, and one human H. canadensis strain was tested by the agar dilution method. With the H. pullorum strains, monomodal distributions of Minimum Inhibitory Concentrations (MICs) were seen with lincomycin, doxycycline, gentamicin, tobramycin, erythromycin, tylosin, metronidazole, and enrofloxacin in concentration ranges considered as indicating susceptibility in other bacteria. The normal susceptibility level for nalidixic acid was situated at or slightly above the MIC breakpoints proposed for Campylobacteriaceae. Ampicillin, ceftriaxone, and sulphamethoxazole-trimethoprim showed poor activity against H. pullorum. For the H. canadensis strain, a similar susceptibility pattern was seen, except for nalidixic acid and enrofloxacin, whose MIC of >512 and 8 microg/ml, respectively, indicated resistance of this agent. With spectinomycin, a bimodal distribution of the MICs was noted for the tested strains; eight H. pullorum isolates originating from one flock showed acquired resistance (MIC>512 microg/ml).

  16. Effects of diet composition on weight loss, metabolic factors and biomarkers in a 1-year weight loss intervention in obese women examined by baseline insulin resistance status.

    Science.gov (United States)

    Rock, Cheryl L; Flatt, Shirley W; Pakiz, Bilge; Quintana, Elizabeth L; Heath, Dennis D; Rana, Brinda K; Natarajan, Loki

    2016-11-01

    Obesity is a risk factor for postmenopausal breast cancer incidence and premenopausal and postmenopausal breast cancer mortality, which may be explained by several metabolic and hormonal factors (sex hormones, insulin resistance, and inflammation) that are biologically related. Differential effects of dietary composition on weight loss and these metabolic factors may occur in insulin-sensitive vs. insulin-resistant obese women. To examine the effect of diet composition on weight loss and metabolic, hormonal and inflammatory factors in overweight/obese women stratified by insulin resistance status in a 1-year weight loss intervention. Nondiabetic women who were overweight/obese (n=245) were randomly assigned to a lower fat (20% energy), higher carbohydrate (65% energy) diet; a lower carbohydrate (45% energy), higher fat (35% energy) diet; or a walnut-rich (18% energy), higher fat (35% energy), lower carbohydrate (45% energy) diet. All groups lost weight at follow-up (Ploss of 9.2(1.1)% in lower fat, 6.5(0.9)% in lower carbohydrate, and 8.2(1.0)% in walnut-rich groups at 12months. The diet×time×insulin resistance status interaction was not statistically significant in the model for overall weight loss, although insulin sensitive women at 12months lost more weight in the lower fat vs. lower carbohydrate group (7.5kg vs. 4.3kg, P=0.06), and in the walnut-rich vs. lower carbohydrate group (8.1kg vs. 4.3kg, P=0.04). Sex hormone binding globulin increased within each group except in the lower carbohydrate group at 12months (Ploss depending on insulin resistance status. Prescribing walnuts is associated with weight loss comparable to a standard lower fat diet in a behavioral weight loss intervention. Weight loss itself may be the most critical factor for reducing the chronic inflammation associated with increased breast cancer risk and progression. Copyright © 2016. Published by Elsevier Inc.

  17. The Transcription Factor OsWRKY45 Negatively Modulates the Resistance of Rice to the Brown Planthopper Nilaparvata lugens.

    Science.gov (United States)

    Huangfu, Jiayi; Li, Jiancai; Li, Ran; Ye, Meng; Kuai, Peng; Zhang, Tongfang; Lou, Yonggen

    2016-05-31

    WRKY transcription factors play a central role not only in plant growth and development but also in plant stress responses. However, the role of WRKY transcription factors in herbivore-induced plant defenses and their underlying mechanisms, especially in rice, remains largely unclear. Here, we cloned a rice WRKY gene OsWRKY45, whose expression was induced by mechanical wounding, by infestation of the brown planthopper (BPH, Nilaparvata lugens) and by treatment with jasmonic acid (JA) or salicylic acid (SA). The antisense expression of OsWRKY45 (as-wrky) enhanced BPH-induced levels of H₂O₂ and ethylene, reduced feeding and oviposition preference as well as the survival rate of BPH, and delayed the development of BPH nymphs. Consistently, lower population densities of BPH on as-wrky lines, compared to those on wild-type (WT) plants, were observed in field experiments. On the other hand, as-wrky lines in the field had lower susceptibility to sheath blight (caused by Rhizoctonia solani) but higher susceptibility to rice blast (caused by Magnaporthe oryzae) than did WT plants. These findings suggest that OsWRKY45 plays important but contrasting roles in regulating the resistance of rice to pathogens and herbivores, and attention should be paid if OsWRKY45 is used to develop disease or herbivore-resistant rice.

  18. Suspicion of respiratory tract infection with multidrug-resistant Enterobacteriaceae: epidemiology and risk factors from a Paediatric Intensive Care Unit.

    Science.gov (United States)

    Renk, Hanna; Stoll, Lenja; Neunhoeffer, Felix; Hölzl, Florian; Kumpf, Matthias; Hofbeck, Michael; Hartl, Dominik

    2017-02-21

    Multidrug-resistant (MDR) infections are a serious concern for children admitted to the Paediatric Intensive Care Unit (PICU). Tracheal colonization with MDR Enterobacteriaceae predisposes to respiratory infection, but underlying risk factors are poorly understood. This study aims to determine the incidence of children with suspected infection during mechanical ventilation and analyses risk factors for the finding of MDR Enterobacteriaceae in tracheal aspirates. A retrospective single-centre analysis of Enterobacteriaceae isolates from the lower respiratory tract of ventilated PICU patients from 2005 to 2014 was performed. Resistance status was determined and clinical records were reviewed for potential risk factors. A classification and regression tree (CRT) to predict risk factors for infection with MDR Enterobacteriaceae was employed. The model was validated by simple and multivariable logistic regression. One hundred sixty-seven Enterobacteriaceae isolates in 123 children were identified. The most frequent isolates were Enterobacter spp., Klebsiella spp. and E.coli. Among these, 116 (69%) isolates were susceptible and 51 (31%) were MDR. In the CRT analysis, antibiotic exposure for ≥ 7 days and presence of gastrointestinal comorbidity were the most relevant predictors for an MDR isolate. Antibiotic exposure for ≥ 7 days was confirmed as a significant risk factor for infection with MDR Enterobacteriaceae by a multivariable logistic regression model. This study shows that critically-ill children with tracheal Enterobacteriaceae infection are at risk of carrying MDR isolates. Prior use of antibiotics for ≥ 7 days significantly increased the risk of finding MDR organisms in ventilated PICU patients with suspected infection. Our results imply that early identification of patients at risk, rapid microbiological diagnostics and tailored antibiotic therapy are essential to improve management of critically ill children infected with

  19. Effects of environmental factors and management practices on microclimate, winter physiology, and frost resistance in trees.

    Science.gov (United States)

    Charrier, Guillaume; Ngao, Jérôme; Saudreau, Marc; Améglio, Thierry

    2015-01-01

    Freezing stress is one of the most important limiting factors determining the ecological distribution and production of tree species. Assessment of frost risk is, therefore, critical for forestry, fruit production, and horticulture. Frost risk is substantial when hazard (i.e., exposure to damaging freezing temperatures) intersects with vulnerability (i.e., frost sensitivity). Based on a large number of studies on frost resistance and frost occurrence, we highlight the complex interactive roles of environmental conditions, carbohydrates, and water status in frost risk development. To supersede the classical empirical relations used to model frost hardiness, we propose an integrated ecophysiologically-based framework of frost risk assessment. This framework details the individual or interactive roles of these factors, and how they are distributed in time and space at the individual-tree level (within-crown and across organs). Based on this general framework, we are able to highlight factors by which different environmental conditions (e.g., temperature, light, flood, and drought), and management practices (pruning, thinning, girdling, sheltering, water aspersion, irrigation, and fertilization) influence frost sensitivity and frost exposure of trees.

  20. DEWAX Transcription Factor Is Involved in Resistance to Botrytis cinerea in Arabidopsis thaliana and Camelina sativa

    Directory of Open Access Journals (Sweden)

    Seulgi Ju

    2017-07-01

    Full Text Available The cuticle of land plants is the first physical barrier to protect their aerial parts from biotic and abiotic stresses. DEWAX, an AP2/ERF-type transcription factor, negatively regulates cuticular wax biosynthesis. In this study, we investigated the resistance to Botrytis cinerea in Arabidopsis thaliana and Camelina sativa overexpressing DEWAX and in Arabidopsis dewax mutant. Compared to wild type (WT leaves, Arabidopsis DEWAX OX and dewax leaves were more and less permeable to toluidine blue dye, respectively. The ROS levels increased in DEWAX OX leaves, but decreased in dewax relative to WT leaves. Compared to WT, DEWAX OX was more resistant, while dewax was more sensitive to B. cinerea; however, defense responses to Pseudomonas syringae pv. tomato DC3000:GFP were inversely modulated. Microarray and RT-PCR analyses indicated that the expression of defense-related genes was upregulated in DEWAX OX, but downregulated in dewax relative to WT. Transactivation assay showed that DEWAX upregulated the expression of PDF1.2a, IGMT1, and PRX37. Chromatin immunoprecipitation assay revealed that DEWAX directly interacts with the GCC-box motifs of PDF1.2a promoter. In addition, ectopic expression of DEWAX increased the tolerance to B. cinerea in C. sativa. Taken together, we suggest that increased ROS accumulation and DEWAX-mediated upregulation of defense-related genes are closely associated with enhanced resistance to B. cinerea in Arabidopsis and C. sativa.

  1. PtrWRKY73, a salicylic acid-inducible poplar WRKY transcription factor, is involved in disease resistance in Arabidopsis thaliana.

    Science.gov (United States)

    Duan, Yanjiao; Jiang, Yuanzhong; Ye, Shenglong; Karim, Abdul; Ling, Zhengyi; He, Yunqiu; Yang, Siqi; Luo, Keming

    2015-05-01

    A salicylic acid-inducible WRKY gene, PtrWRKY73, from Populus trichocarpa , was isolated and characterized. Overexpression of PtrWRKY73 in Arabidopsis thaliana increased resistance to biotrophic pathogens but reduced resistance against necrotrophic pathogens. WRKY transcription factors are commonly involved in plant defense responses. However, limited information is available about the roles of the WRKY genes in poplar defense. In this study, we isolated a salicylic acid (SA)-inducible WRKY gene, PtrWRKY73, from Populus trichocarpa, belonging to group I family and containing two WRKY domains, a D domain and an SP cluster. PtrWRKY73 was expressed predominantly in roots, old leaves, sprouts and stems, especially in phloem and its expression was induced in response to treatment with exogenous SA. PtrWRKY73 was localized to the nucleus of plant cells and exhibited transcriptional activation. Overexpression of PtrWRKY73 in Arabidopsis thaliana resulted in increased resistance to a virulent strain of the bacterial pathogen Pseudomonas syringae (PstDC3000), but more sensitivity to the necrotrophic fungal pathogen Botrytis cinerea. The SA-mediated defense-associated genes, such as PR1, PR2 and PAD4, were markedly up-regulated in transgenic plants overexpressing PtrWRKY73. Arabidopsis non-expressor of PR1 (NPR1) was not affected, whereas a defense-related gene PAL4 had reduced in PtrWRKY73 overexpressor plants. Together, these results indicated that PtrWRKY73 plays a positive role in plant resistance to biotrophic pathogens but a negative effect on resistance against necrotrophic pathogens.

  2. The DAF-16 FOXO transcription factor regulates natc-1 to modulate stress resistance in Caenorhabditis elegans, linking insulin/IGF-1 signaling to protein N-terminal acetylation.

    Science.gov (United States)

    Warnhoff, Kurt; Murphy, John T; Kumar, Sandeep; Schneider, Daniel L; Peterson, Michelle; Hsu, Simon; Guthrie, James; Robertson, J David; Kornfeld, Kerry

    2014-10-01

    The insulin/IGF-1 signaling pathway plays a critical role in stress resistance and longevity, but the mechanisms are not fully characterized. To identify genes that mediate stress resistance, we screened for C. elegans mutants that can tolerate high levels of dietary zinc. We identified natc-1, which encodes an evolutionarily conserved subunit of the N-terminal acetyltransferase C (NAT) complex. N-terminal acetylation is a widespread modification of eukaryotic proteins; however, relatively little is known about the biological functions of NATs. We demonstrated that loss-of-function mutations in natc-1 cause resistance to a broad-spectrum of physiologic stressors, including multiple metals, heat, and oxidation. The C. elegans FOXO transcription factor DAF-16 is a critical target of the insulin/IGF-1 signaling pathway that mediates stress resistance, and DAF-16 is predicted to directly bind the natc-1 promoter. To characterize the regulation of natc-1 by DAF-16 and the function of natc-1 in insulin/IGF-1 signaling, we analyzed molecular and genetic interactions with key components of the insulin/IGF-1 pathway. natc-1 mRNA levels were repressed by DAF-16 activity, indicating natc-1 is a physiological target of DAF-16. Genetic studies suggested that natc-1 functions downstream of daf-16 to mediate stress resistance and dauer formation. Based on these findings, we hypothesize that natc-1 is directly regulated by the DAF-16 transcription factor, and natc-1 is a physiologically significant effector of the insulin/IGF-1 signaling pathway that mediates stress resistance and dauer formation. These studies identify a novel biological function for natc-1 as a modulator of stress resistance and dauer formation and define a functionally significant downstream effector of the insulin/IGF-1 signaling pathway. Protein N-terminal acetylation mediated by the NatC complex may play an evolutionarily conserved role in regulating stress resistance.

  3. Glyphosate-Resistant Parthenium hysterophorus in the Caribbean Islands: Non Target Site Resistance and Target Site Resistance in Relation to Resistance Levels.

    Directory of Open Access Journals (Sweden)

    Enzo Bracamonte

    2016-12-01

    Full Text Available Glyphosate has been the most intensely herbicide used worldwide for decades, and continues to be a single tool for controlling weeds in woody crops. However, the adoption of this herbicide in a wide range of culture systems has led to the emergence of resistant weeds. Glyphosate has been widely used primarily on citrus in the Caribbean area, but a study of resistance in the Caribbean islands of Cuba and the Dominican Republic has never been carried out. Unfortunately, Parthenium hysterophorus has developed glyphosate-resistance in both islands, independently. The resistance level and mechanisms of different P. hysterophorus accessions (three collected in Cuba (Cu-R and four collected in the Dominican Republic (Do-R have been studied under greenhouse and laboratory conditions. In in vivo assays (glyphosate dose causing 50% reduction in above-ground vegetative biomass and survival, the resistance factor levels showed susceptible accessions (Cu-S≥Do-S, low-resistance accessions (Cu-R3Do-R2>Cu-R2>Do-R3>Do-R4>Cu-R3>>Cu-S≥Do-S. Glyphosate was degraded to aminomethylphosphonic acid, glyoxylate and sarcosine by >88% in resistant accessions except in Cu-R3 and Do-R4 resistant accessions (51.12 and 44.21, respectively, whereas a little glyphosate (<9.32% was degraded in both susceptible accessions at 96 h after treatment. There were significant differences between P. hysterophorus accessions in the 5-enolpyruvylshikimate-3-phosphate synthase (EPSPS activity enzyme with and without different glyphosate rates. The R accessions showed values of between 0.026 and 0.21 µmol µg-1 TSP protein min-1 basal EPSPS activity values with respect to the S (0.024 and 0.025 accessions. The same trend was found in the EPSPS enzyme activity treated with glyphosate, where a higher enzyme activity inhibition (glyphosate µM corresponded to greater resistance levels in P. hysterophorus accessions. One amino acid substitution was found at position 106 in EPSPS, consisting

  4. Optimization of Agrobacterium tumefaciens-Mediated Transformation Systems in Tea Plant (Camellia sinensis

    Directory of Open Access Journals (Sweden)

    Qianru LV

    2017-05-01

    Full Text Available In this study, an efficient plant regeneration protocol in vitro and transformation by Agrobacterium-mediated method of Camellia sinensis was achieved, which would lay the foundation for genetic improvement of tea plant by genetic engineering technology. The cotyledon callus of C. sinensis were used as the receptors for transformation by Agrobacterium tumefaciens EHA105 containing PS1aG-3. Some factors which affected the result of Agrobacterium-mediated transformation of C. sinensis were studied on the basis of GUS transient expression system. The optimum system of Agrobacterium-mediated transformation was that the cotyledon callus were pre-cultured for 3 d, and then infected by EHA105 for 15 min followed by 3 d co-culture in the dark on the YEB medium containing 150 µmol⋅L−1 acetosyringone (AS. The transient expression rate of GUS gene was 62.6%. After being delayed selective culture for 3 d, infected callus were transferred into the differentiation medium and the root induction medium both of which were supplemented with 100 mg⋅L−1 spectinomycin, and then resistant seedlings of C. sinensis were obtained. The conversion rate was 3.6%.

  5. Biofilm Formation Potential of Heat-Resistant Escherichia coli Dairy Isolates and the Complete Genome of Multidrug-Resistant, Heat-Resistant Strain FAM21845.

    Science.gov (United States)

    Marti, Roger; Schmid, Michael; Kulli, Sandra; Schneeberger, Kerstin; Naskova, Javorka; Knøchel, Susanne; Ahrens, Christian H; Hummerjohann, Jörg

    2017-08-01

    We tested the biofilm formation potential of 30 heat-resistant and 6 heat-sensitive Escherichia coli dairy isolates. Production of curli and cellulose, static biofilm formation on polystyrene (PS) and stainless steel surfaces, biofilm formation under dynamic conditions (Bioflux), and initial adhesion rates (IAR) were evaluated. Biofilm formation varied greatly between strains, media, and assays. Our results highlight the importance of the experimental setup in determining biofilm formation under conditions of interest, as correlation between different assays was often not a given. The heat-resistant, multidrug-resistant (MDR) strain FAM21845 showed the strongest biofilm formation on PS and the highest IAR and was the only strain that formed significant biofilms on stainless steel under conditions relevant to the dairy industry, and it was therefore fully sequenced. Its chromosome is 4.9 Mb long, and it harbors a total of five plasmids (147.2, 54.2, 5.8, 2.5, and 1.9 kb). The strain carries a broad range of genes relevant to antimicrobial resistance and biofilm formation, including some on its two large conjugative plasmids, as demonstrated in plate mating assays. IMPORTANCE In biofilms, cells are embedded in an extracellular matrix that protects them from stresses, such as UV radiation, osmotic shock, desiccation, antibiotics, and predation. Biofilm formation is a major bacterial persistence factor of great concern in the clinic and the food industry. Many tested strains formed strong biofilms, and especially strains such as the heat-resistant, MDR strain FAM21845 may pose a serious issue for food production. Strong biofilm formation combined with diverse resistances (some encoded on conjugative plasmids) may allow for increased persistence, coselection, and possible transfer of these resistance factors. Horizontal gene transfer may conceivably occur in the food production setting or the gastrointestinal tract after consumption. Copyright © 2017 Marti et al.

  6. Screening fusarium resistant rootstocks for plant parasitic nematode resistance

    Science.gov (United States)

    The phase out of methyl bromide has directed research toward alternative methods of managing soil-borne pathogens. A limiting factor in many watermelon producing regions is Fusarium wilt caused by the soil-borne fungi Fusarium oxysporum f.sp. niveum (FON). There is no varietal resistance to FON depl...

  7. 21 CFR 522.2121 - Spectinomycin sulfate.

    Science.gov (United States)

    2010-04-01

    ...) Indications for use. For the treatment of bovine respiratory disease (pneumonia) associated with Mannheimia... cattle may cause residues in milk. A withdrawal period has not been established for this product in...

  8. Elevated insulin-like growth factor 1 receptor signaling induces antiestrogen resistance through the MAPK/ERK and PI3K/Akt signaling routes

    NARCIS (Netherlands)

    Zhang, Y.; Moerkens, M.; Ramaiahgari, S.; Bont, de H.J.G.M.; Price, L.; Meerman, J.H.N.; Water, van de B.

    2011-01-01

    INTRODUCTION: Insulin-like growth factor 1 (IGF-1) receptor (IGF-1R) is phosphorylated in all breast cancer subtypes. Past findings have shown that IGF-1R mediates antiestrogen resistance through cross-talk with estrogen receptor (ER) signaling and via its action upstream of the epidermal growth

  9. Discussion on the origin of sedimentary rock resistivity

    International Nuclear Information System (INIS)

    Dong Gangjian

    2012-01-01

    Conduction current way of sedimentary rock sedimentary rock is caused by the internal structure of sedimentary rock sedimentary rock pore resistance depends on the salinity of pore water and clay content and distribution. Resistivity of sedimentary rock sedimentary rock major factor in mineral composition, water resistance, oil resistance. and sedimentary structures. In practice, we should give full attention to the difference between lithology and physical properties. (author)

  10. Competitive Fitness of Fluconazole-Resistant Clinical Candida albicans Strains.

    Science.gov (United States)

    Popp, Christina; Hampe, Irene A I; Hertlein, Tobias; Ohlsen, Knut; Rogers, P David; Morschhäuser, Joachim

    2017-07-01

    The pathogenic yeast Candida albicans can develop resistance to the widely used antifungal agent fluconazole, which inhibits ergosterol biosynthesis. Resistance is often caused by gain-of-function mutations in the transcription factors Mrr1 and Tac1, which result in constitutive overexpression of multidrug efflux pumps, and Upc2, which result in constitutive overexpression of ergosterol biosynthesis genes. However, the deregulated gene expression that is caused by hyperactive forms of these transcription factors also reduces the fitness of the cells in the absence of the drug. To investigate whether fluconazole-resistant clinical C. albicans isolates have overcome the fitness costs of drug resistance, we assessed the relative fitness of C. albicans isolates containing resistance mutations in these transcription factors in competition with matched drug-susceptible isolates from the same patients. Most of the fluconazole-resistant isolates were outcompeted by the corresponding drug-susceptible isolates when grown in rich medium without fluconazole. On the other hand, some resistant isolates with gain-of-function mutations in MRR1 did not exhibit reduced fitness under these conditions. In a mouse model of disseminated candidiasis, three out of four tested fluconazole-resistant clinical isolates did not exhibit a significant fitness defect. However, all four fluconazole-resistant isolates were outcompeted by the matched susceptible isolates in a mouse model of gastrointestinal colonization, demonstrating that the effects of drug resistance on in vivo fitness depend on the host niche. Collectively, our results indicate that the fitness costs of drug resistance in C. albicans are not easily remediated, especially when proper control of gene expression is required for successful adaptation to life within a mammalian host. Copyright © 2017 American Society for Microbiology.

  11. Risk Factors for Antimicrobial Resistance in Escherichia coli in Pigs Receiving Oral Antimicrobial Treatment: A Systematic Review.

    Science.gov (United States)

    Burow, Elke; Käsbohrer, Annemarie

    2017-03-01

    The aim of this literature review was to identify risk factors in addition to antimicrobial treatment for antimicrobial resistance (AMR) occurrence in commensal Escherichia coli in pigs. A variety of studies were searched in 2014 and 2015. Studies identified as potentially relevant were assessed against eligibility criteria such as observation or experiment (no review), presentation of risk factors in addition to (single dosage) antimicrobial use, risk factors for but not resulting from AMR, and the same antimicrobial used and tested. Thirteen articles (nine on observational, four on experimental studies) were finally selected as relevant. It was reported that space allowance, production size/stage, cleanliness, entry of animals and humans into herds, dosage/frequency/route of administration, time span between treatment and sampling date, herd size, distance to another farm, coldness, and season had an impact on AMR occurrence. Associations were shown by one to four studies per factor and differed in magnitude, direction, and level of significance. The risk of bias was unclear in nearly half of the information of observational studies and in most of the information from experimental studies. Further research on the effects of specific management practices is needed to develop well-founded management advice.

  12. Prevalence of Resistence to Activated Protein C (Apc-Resistance in Blood Donors in Kosovo

    Directory of Open Access Journals (Sweden)

    Ymer Mekaj

    2009-11-01

    Full Text Available AbstractOne of the most frequent hereditary causes of thrombophilia is, without a doubt, resistance to Activated Protein C (APC-resistance, which is a consequence of point mutation in gene coding for coagulation Factor V (Factor V Leiden in 90-95% of cases.The aim of this paper was to determine prevalence of APC-resistance in a group of healthy blood donors. The size of the group is quite representative of Kosovo Albanians.A total of 944 blood donors were examined (537 males and 407 females, for whom APC-resistance was determined by functional methods of coagulation using the kit ACTICLOT® Protein C Resistance. Method is based on the test of APTT determined twice: first in the presence and second in the absence of activated Protein C (APC. The ratio of these two values constitutes is called Activated Protein C - Sensitivity Ratio (APC-SR.From 944 examined donors, pathologic values of APC-SR (1,3-1,9 were found in 32 persons (3,4% of the total number. The distribution among sexes was 3,35% (18/537 in male and 3,43% (14/407 in female subjects. The mean values of APC-SR (1,64 in male and 1,71 in female subjects were not significantly different (P = 0,22.Based on these results, we conclude that the prevalence of APC resistance in Albanian population of Kosovo is within the lower limit of prevalence in general population in different countries of European countries, which, according to some authors ranges is from 3 to 7%.

  13. Drug resistance in Mexico: results from the National Survey on Drug-Resistant Tuberculosis.

    Science.gov (United States)

    Bojorquez-Chapela, I; Bäcker, C E; Orejel, I; López, A; Díaz-Quiñonez, A; Hernández-Serrato, M I; Balandrano, S; Romero, M; Téllez-Rojo Solís, M M; Castellanos, M; Alpuche, C; Hernández-Ávila, M; López-Gatell, H

    2013-04-01

    To present estimations obtained from a population-level survey conducted in Mexico of prevalence rates of mono-, poly- and multidrug-resistant strains among newly diagnosed cases of pulmonary tuberculosis (TB), as well as the main factors associated with multidrug resistance (combined resistance to isoniazid and rifampicin). Study data came from the National Survey on TB Drug Resistance (ENTB-2008), a nationally representative survey conducted during 2008-2009 in nine states with a stratified cluster sampling design. Samples were obtained for all newly diagnosed cases of pulmonary TB in selected sites. Drug susceptibility testing (DST) was performed for anti-tuberculosis drugs. DST results were obtained for 75% of the cases. Of these, 82.2% (95%CI 79.5-84.7) were susceptible to all drugs. The prevalence of multidrug-resistant TB (MDR-TB) was estimated at 2.8% (95%CI 1.9-4.0). MDR-TB was associated with previous treatment (OR 3.3, 95%CI 1.1-9.4). The prevalence of drug resistance is relatively low in Mexico. ENTB-2008 can be used as a baseline for future follow-up of drug resistance.

  14. The Transcription Factor OsWRKY45 Negatively Modulates the Resistance of Rice to the Brown Planthopper Nilaparvata lugens

    Science.gov (United States)

    Huangfu, Jiayi; Li, Jiancai; Li, Ran; Ye, Meng; Kuai, Peng; Zhang, Tongfang; Lou, Yonggen

    2016-01-01

    WRKY transcription factors play a central role not only in plant growth and development but also in plant stress responses. However, the role of WRKY transcription factors in herbivore-induced plant defenses and their underlying mechanisms, especially in rice, remains largely unclear. Here, we cloned a rice WRKY gene OsWRKY45, whose expression was induced by mechanical wounding, by infestation of the brown planthopper (BPH, Nilaparvata lugens) and by treatment with jasmonic acid (JA) or salicylic acid (SA). The antisense expression of OsWRKY45 (as-wrky) enhanced BPH-induced levels of H2O2 and ethylene, reduced feeding and oviposition preference as well as the survival rate of BPH, and delayed the development of BPH nymphs. Consistently, lower population densities of BPH on as-wrky lines, compared to those on wild-type (WT) plants, were observed in field experiments. On the other hand, as-wrky lines in the field had lower susceptibility to sheath blight (caused by Rhizoctonia solani) but higher susceptibility to rice blast (caused by Magnaporthe oryzae) than did WT plants. These findings suggest that OsWRKY45 plays important but contrasting roles in regulating the resistance of rice to pathogens and herbivores, and attention should be paid if OsWRKY45 is used to develop disease or herbivore-resistant rice. PMID:27258255

  15. The Transcription Factor OsWRKY45 Negatively Modulates the Resistance of Rice to the Brown Planthopper Nilaparvata lugens

    Directory of Open Access Journals (Sweden)

    Jiayi Huangfu

    2016-05-01

    Full Text Available WRKY transcription factors play a central role not only in plant growth and development but also in plant stress responses. However, the role of WRKY transcription factors in herbivore-induced plant defenses and their underlying mechanisms, especially in rice, remains largely unclear. Here, we cloned a rice WRKY gene OsWRKY45, whose expression was induced by mechanical wounding, by infestation of the brown planthopper (BPH, Nilaparvata lugens and by treatment with jasmonic acid (JA or salicylic acid (SA. The antisense expression of OsWRKY45 (as-wrky enhanced BPH-induced levels of H2O2 and ethylene, reduced feeding and oviposition preference as well as the survival rate of BPH, and delayed the development of BPH nymphs. Consistently, lower population densities of BPH on as-wrky lines, compared to those on wild-type (WT plants, were observed in field experiments. On the other hand, as-wrky lines in the field had lower susceptibility to sheath blight (caused by Rhizoctonia solani but higher susceptibility to rice blast (caused by Magnaporthe oryzae than did WT plants. These findings suggest that OsWRKY45 plays important but contrasting roles in regulating the resistance of rice to pathogens and herbivores, and attention should be paid if OsWRKY45 is used to develop disease or herbivore-resistant rice.

  16. Investigating of four main carbapenem-resistance mechanisms in high-level carbapenem resistant Pseudomonas aeruginosa isolated from burn patients

    Directory of Open Access Journals (Sweden)

    Soodabeh Rostami

    2018-02-01

    Conclusion: Emerging antimicrobial resistance in burn wound bacterial pathogens is a serious therapeutic challenge for clinicians. In the present study, most of the isolates were MDR. This finding indicated an alarming spread of resistant isolates and suggested that infection control strategies should be considered. Resistance to carbapenems is influenced by several factors, not all of which were evaluated in our study; however, the results showed that production of MBLs and overexpression of the mexB gene were the most frequent mechanisms in carbapenem-resistant isolates.

  17. Contribution of the drought tolerance-related Stress-responsive NAC1 transcription factor to resistance of barley to Ramularia leaf spot

    Science.gov (United States)

    MCGRANN, GRAHAM R D; STEED, ANDREW; BURT, CHRISTOPHER; GODDARD, RACHEL; LACHAUX, CLEA; BANSAL, ANURADHA; CORBITT, MARGARET; GORNIAK, KALINA; NICHOLSON, PAUL; BROWN, JAMES K M

    2015-01-01

    NAC proteins are plant transcription factors that are involved in tolerance to abiotic and biotic stresses, as well as in many developmental processes. Stress-responsive NAC1 (SNAC1) transcription factor is involved in drought tolerance in barley and rice, but has not been shown previously to have a role in disease resistance. Transgenic over-expression of HvSNAC1 in barley cv. Golden Promise reduced the severity of Ramularia leaf spot (RLS), caused by the fungus Ramularia collo-cygni, but had no effect on disease symptoms caused by Fusarium culmorum, Oculimacula yallundae (eyespot), Blumeria graminis f. sp. hordei (powdery mildew) or Magnaporthe oryzae (blast). The HvSNAC1 transcript was weakly induced in the RLS-susceptible cv. Golden Promise during the latter stages of R. collo-cygni symptom development when infected leaves were senescing. Potential mechanisms controlling HvSNAC1-mediated resistance to RLS were investigated. Gene expression analysis revealed no difference in the constitutive levels of antioxidant transcripts in either of the over-expression lines compared with cv. Golden Promise, nor was any difference in stomatal conductance or sensitivity to reactive oxygen species-induced cell death observed. Over-expression of HvSNAC1 delayed dark-induced leaf senescence. It is proposed that mechanisms controlled by HvSNAC1 that are involved in tolerance to abiotic stress and that inhibit senescence also confer resistance to R. collo-cygni and suppress RLS symptoms. This provides further evidence for an association between abiotic stress and senescence in barley and the development of RLS. PMID:25040333

  18. Metabolomic approach to optimizing and evaluating antibiotic treatment in the axenic culture of cyanobacterium Nostoc flagelliforme.

    Science.gov (United States)

    Han, Pei-pei; Jia, Shi-ru; Sun, Ying; Tan, Zhi-lei; Zhong, Cheng; Dai, Yu-jie; Tan, Ning; Shen, Shi-gang

    2014-09-01

    The application of antibiotic treatment with assistance of metabolomic approach in axenic isolation of cyanobacterium Nostoc flagelliforme was investigated. Seven antibiotics were tested at 1-100 mg L(-1), and order of tolerance of N. flagelliforme cells was obtained as kanamycin > ampicillin, tetracycline > chloromycetin, gentamicin > spectinomycin > streptomycin. Four antibiotics were selected based on differences in antibiotic sensitivity of N. flagelliforme and associated bacteria, and their effects on N. flagelliforme cells including the changes of metabolic activity with antibiotics and the metabolic recovery after removal were assessed by a metabolomic approach based on gas chromatography-mass spectrometry combined with multivariate analysis. The results showed that antibiotic treatment had affected cell metabolism as antibiotics treated cells were metabolically distinct from control cells, but the metabolic activity would be recovered via eliminating antibiotics and the sequence of metabolic recovery time needed was spectinomycin, gentamicin > ampicillin > kanamycin. The procedures of antibiotic treatment have been accordingly optimized as a consecutive treatment starting with spectinomycin, then gentamicin, ampicillin and lastly kanamycin, and proved to be highly effective in eliminating the bacteria as examined by agar plating method and light microscope examination. Our work presented a strategy to obtain axenic culture of N. flagelliforme and provided a method for evaluating and optimizing cyanobacteria purification process through diagnosing target species cellular state.

  19. Insulin resistance in therapeutic clinic

    Directory of Open Access Journals (Sweden)

    Anna V. Pashentseva

    2017-09-01

    Full Text Available Today an obesity became the global epidemic striking both children, and adults and represents one of the most important problems of health care worldwide. Excess accumulation of fatty tissue is resulted by insulin resistance and a compensatory hyperinsulinaemia which are the main predictors of development of a diabetes mellitus type 2. Insulin resistance is also one of key links of a pathogenesis of such diseases as cardiovascular pathology, not-alcoholic fatty liver disease, a polycystic ovary syndrome, gestational diabetes and many others. Depression of sensitivity of tissues to insulin can be physiological reaction of an organism to stress factors and pathological process. The endogenic reasons also take part in development of insulin resistance besides factors of the external environment. The role of genetic predisposition, a subclinical inflammation of fatty tissue, thyroid hormones, adipokines and vitamin D in formation of this pathological process is studied. As insulin resistance takes part in a pathogenesis of various diseases, methods of its diagnostics and correction are of great importance in therapeutic practice. At purpose of treatment it is worth giving preference to the drugs which are positively influencing sensitivity of tissues to insulin.

  20. Molecular mechanisms of methicillin resistance in Staphylococcus aureus.

    Science.gov (United States)

    Domínguez, M A; Liñares, J; Martín, R

    1997-09-01

    Methicillin-resistant Staphylococcus aureus (MRSA) strains are among the most common nosocomial pathogens. The most significant mechanism of resistance to methicillin in this-species is the acquisition of a genetic determinant (mecA gene). However, resistance seems to have a more complex molecular basis, since additional chromosomal material is involved in such resistance. Besides, overproduction of penicillinase and/or alterations in the PBPs can contribute to the formation of resistance phenotypes. Genetic and environmental factors leading to MRSA are reviewed.

  1. TET2 functions as a resistance factor against DNA methylation acquisition during Epstein-Barr virus infection.

    Science.gov (United States)

    Namba-Fukuyo, Hiroe; Funata, Sayaka; Matsusaka, Keisuke; Fukuyo, Masaki; Rahmutulla, Bahityar; Mano, Yasunobu; Fukayama, Masashi; Aburatani, Hiroyuki; Kaneda, Atsushi

    2016-12-06

    Extensive DNA methylation is observed in gastric cancer with Epstein-Barr virus (EBV) infection, and EBV infection is the cause to induce this extensive hypermethylaton phenotype in gastric epithelial cells. However, some 5' regions of genes do not undergo de novo methylation, despite the induction of methylation in surrounding regions, suggesting the existence of a resistance factor against DNA methylation acquisition. We conducted an RNA-seq analysis of gastric epithelial cells with and without EBV infection and found that TET family genes, especially TET2, were repressed by EBV infection at both mRNA and protein levels. TET2 was found to be downregulated by EBV transcripts, e.g. BARF0 and LMP2A, and also by seven human miRNAs targeting TET2, e.g., miR-93 and miR-29a, which were upregulated by EBV infection, and transfection of which into gastric cells repressed TET2. Hydroxymethylation target genes by TET2 were detected by hydroxymethylated DNA immunoprecipitation sequencing (hMeDIP-seq) with and without TET2 overexpression, and overlapped significantly with methylation target genes in EBV-infected cells. When TET2 was knocked down by shRNA, EBV infection induced de novo methylation more severely, including even higher methylation in methylation-acquired promoters or de novo methylation acquisition in methylation-protected promoters, leading to gene repression. TET2 knockdown alone without EBV infection did not induce de novo DNA methylation. These data suggested that TET2 functions as a resistance factor against DNA methylation in gastric epithelial cells and repression of TET2 contributes to DNA methylation acquisition during EBV infection.

  2. Characterization of a Staphylococcus aureus surface virulence factor that promotes resistance to oxidative killing and infectious endocarditis.

    Science.gov (United States)

    Malachowa, Natalia; Kohler, Petra L; Schlievert, Patrick M; Chuang, Olivia N; Dunny, Gary M; Kobayashi, Scott D; Miedzobrodzki, Jacek; Bohach, Gregory A; Seo, Keun Seok

    2011-01-01

    Staphylococcus aureus is a prominent human pathogen and a leading cause of community- and hospital-acquired bacterial infections worldwide. Herein, we describe the identification and characterization of the S. aureus 67.6-kDa hypothetical protein, named for the surface factor promoting resistance to oxidative killing (SOK) in this study. Sequence analysis showed that the SOK gene is conserved in all sequenced S. aureus strains and homologous to the myosin cross-reactive antigen of Streptococcus pyogenes. Immunoblotting and immunofluorescence analysis showed that SOK was copurified with membrane fractions and was exposed on the surface of S. aureus Newman and RN4220. Comparative analysis of wild-type S. aureus and an isogenic deletion strain indicated that SOK contributes to both resistance to killing by human neutrophils and to oxidative stress. In addition, the S. aureus sok deletion strain showed dramatically reduced aortic valve vegetation and bacterial cell number in a rabbit endocarditis model. These results, plus the suspected role of the streptococcal homologue in certain diseases such as acute rheumatic fever, suggest that SOK plays an important role in cardiovascular and other staphylococcal infections.

  3. Treatment challenges for community oncologists treating postmenopausal women with endocrine-resistant, hormone receptor-positive, human epidermal growth factor receptor 2-negative advanced breast cancer

    International Nuclear Information System (INIS)

    Gradishar, William J

    2016-01-01

    Community-based oncologists are faced with challenges and opportunities when delivering quality patient care, including high patient volumes and diminished resources; however, there may be the potential to deliver increased patient education and subsequently improve outcomes. This review discusses the treatment of postmenopausal women with endocrine-resistant, hormone receptor-positive, human epidermal growth factor receptor 2- negative advanced breast cancer in order to illustrate considerations in the provision of pertinent quality education in the treatment of these patients and the management of therapy-related adverse events. An overview of endocrine-resistant breast cancer and subsequent treatment challenges is also provided. Approved treatment options for endocrine-resistant breast cancer include hormonal therapies and mammalian target of rapamycin inhibitors. Compounds under clinical investigation are also discussed

  4. Interactions among insulin resistance, inflammation factors, obesity-related gene polymorphisms, environmental risk factors, and diet in the development of gestational diabetes mellitus.

    Science.gov (United States)

    Feng, Yang; Jiang, Chen-Dong; Chang, Ai-Min; Shi, Ying; Gao, Junjun; Zhu, Linlin; Zhang, Zhan

    2018-03-08

    The aim of this study was to investigate the correlations and interactions between the polymorphisms of insulin resistance-related genes (ADIPOQ rs2241766), inflammation factors (TNF-α rs1800629, IL-6 rs1800795), obesity-related genes (GNB3 rs5443, ADRB rs1042714), and risk factors for gestational diabetes mellitus (GDM) such as diet structure in the development of GDM. This research was conducted among women who visited the third-affiliate hospital of Zhengzhou University for pregnancy checkups from 1 June 2014 to 30 December 2014. Based on the results of a 75-g glucose tolerance test (OGTT), 140 pregnant women with GDM were randomly selected as a part of the GDM group and140 healthy, pregnant women as part of the control group. Relevant clinical and laboratory data for the child and the mother including her pregnancy outcomes and the delivery mode were collected for the epidemiological survey. The results showed that risk factors for GDM are advanced age, the hepatitis B virus, family history of diabetes, high body mass index before pregnancy, and weight gain of ≥10 kg before 24-week gestation. We found that diet structures were severely unbalanced. The polymorphisms rs2241766 and rs5443 were found to potentially be associated with GDM; moreover, a positive interaction was demonstrated between rs2241766 and age, and a negative interaction was demonstrated with weight gain of ≥10 kg before 24-week gestation. Our findings demonstrate that both environmental risk factors and genetic background contribute to the development of GDM.

  5. Hypogammaglobulinemia and Poor Performance Status are Predisposing Factors for Vancomycin-Resistant Enterococcus Colonization in Patients with Hematological Malignancies

    Directory of Open Access Journals (Sweden)

    Elif Gülsüm Ümit

    2017-03-01

    Full Text Available Objective: Vancomycin-resistant enterococci (VRE are common pathogens of hospital-acquired infection. Long hospitalization periods, use of broadspectrum antibiotics, and immunosuppression are major risks for VRE colonization. We aimed to evaluate patients’ characteristics and factors that may contribute to VRE colonization. Materials and Methods: Data of 66 patients with colonization and 112 patients without colonization who were hospitalized in the hematology clinic were collected. Hematological malignancies, preexisting gastrointestinal complaints, the presence of hypogammaglobulinemia at the time of diagnosis, complications like neutropenic enterocolitis (NEC, and Eastern Cooperative Oncology Group (ECOG and Karnofsky performance statuses were recorded. Results: Ages of the patients ranged between 19 and 95 years (mean: 55.99. Karnofsky and ECOG scores were statistically related to VRE colonization (p7 days may also be accepted as a risk factor, independent of diagnosis or antibiotic use. Performance status is also an important factor for colonization, which may be related to poorer hygiene and increased external help.

  6. Cross-resistance of bisultap resistant strain of Nilaparvata lugens and its biochemical mechanism.

    Science.gov (United States)

    Ling, Shanfeng; Zhang, Runjie

    2011-02-01

    The resistant (R) strain of the planthopper Nilaparvata lugens (Stål) selected for bisultap resistance displayed 7.7-fold resistance to bisultap and also had cross-resistance to nereistoxin (monosultap, thiocyclam, and cartap), chlorpyrifos, dimethoate, and malathion but no cross-resistance to buprofezin, imidacloprid, and fipronil. To find out the biochemical mechanism of resistance to bisultap, biochemical assay was done. The results showed that cytochrome P450 monooxygenases (P450) activity in R strain was 2.71-fold that in susceptible strain (S strain), in which the changed activity for general esterase (EST) was 1.91 and for glutathione S-transferases only 1.32. Piperonyl butoxide (PBO) could significantly inhibit P450 activity (percentage of inhibition [PI]: 37.31%) in the R strain, with ESTs PI = 16.04% by triphenyl phosphate (TPP). The results also demonstrated that diethyl maleate had no synergism with bisultap. However, PBO displayed significant synergism in three different strains, and the synergism increased with resistance (S strain 1.42, Lab strain, 2.24 and R strain, 3.23). TPP also showed synergism for three strains, especially in R strain (synergistic ratio = 2.47). An in vitro biochemical study and in vivo synergistic study indicated that P450 might be play important role in the biochemical mechanism of bisultap resistance and that esterase might be the important factor of bisultap resistance. Acetylcholinesterase (AChE) insensitivity play important role in bisultap resistance. We suggest that buprofezin, imidacloprid, and fipronil could be used in resistance management programs for N. lugens via alternation and rotation with bisultap.

  7. Effect of creatine supplementation and resistance-exercise training on muscle insulin-like growth factor in young adults.

    Science.gov (United States)

    Burke, Darren G; Candow, Darren G; Chilibeck, Philip D; MacNeil, Lauren G; Roy, Brian D; Tarnopolsky, Mark A; Ziegenfuss, Tim

    2008-08-01

    The purpose of this study was to compare changes in muscle insulin-like growth factor-I (IGF-I) content resulting from resistance-exercise training (RET) and creatine supplementation (CR). Male (n=24) and female (n=18) participants with minimal resistance-exercise-training experience (=1 year) who were participating in at least 30 min of structured physical activity (i.e., walking, jogging, cycling) 3-5 x/wk volunteered for the study. Participants were randomly assigned in blocks (gender) to supplement with creatine (CR: 0.25 g/kg lean-tissue mass for 7 days; 0.06 g/kg lean-tissue mass for 49 days; n=22, 12 males, 10 female) or isocaloric placebo (PL: n=20, 12 male, 8 female) and engage in a whole-body RET program for 8 wk. Eighteen participants were classified as vegetarian (lacto-ovo or vegan; CR: 5 male, 5 female; PL: 3 male, 5 female). Muscle biopsies (vastus lateralis) were taken before and after the intervention and analyzed for IGF-I using standard immunohistochemical procedures. Stained muscle cross-sections were examined microscopically and IGF-I content quantified using image-analysis software. Results showed that RET increased intramuscular IGF-I content by 67%, with greater accumulation from CR (+78%) than PL (+54%; p=.06). There were no differences in IGF-I between vegetarians and nonvegetarians. These findings indicate that creatine supplementation during resistance-exercise training increases intramuscular IGF-I concentration in healthy men and women, independent of habitual dietary routine.

  8. Alteration of serum tumor necrosis factor-alpha level in gestational diabetes mellitus and correlation with insulin resistance

    International Nuclear Information System (INIS)

    Zou Gang; Li Cuiyin; Shao Hao; Lu Zeyuan; Lai Liping; Liu Lan; Hu Xiaorong

    2009-01-01

    Objective: To explore the dynamic of tumor necrosis factor-alpha (TNF-α)and its correlation with insulin resistance (IR)during different stages of gestational diabetes mellitus (GDM). Methods: Thirty-two subjects with GDM and 31 cases of normal pregnant women nonnal glucose tolerance, NGT were enrolled in the study, serum TNF-α and insulin were determined by radioimmunoassay. The plasma glucose was measured by using glucose oxidase. Tests repeated for each group according different stages of prenatal 25-28 weeks, 29-32 weeks, 37-38 weeks and postpartum 6-8 weeks. IR was assessed by the homeostasis model of assessment for insulin resistance index (HOMA-IR). Results: (1)Serum TNF-α levels in CDM and NGT group rose with gestational age, and both significantly decreased at postpartum. (2) Serum TNF-α levels in GDM of above-mentioned four stages respectively were (7.05±0.67) ng/L, (7.11± 0.75) ng/L, (7.36±0.79) ng/L, (5.46±0.37) ng/L respectively. All significantly increased than those in the same stage group (t=7.81, 7.05, 7.15, P<0.01). (3) Maternal serum TNF-α levels were in positive correlation with HOMA-IR in GDM (r=0.571, P<0.05). Conclusions: Serum TNF-α levels in GDM rose with gestational age, but significantly decreased at postpartum. The dynamic changes of serum TNF-α contribute to occurrence of insulin resistance. (authors)

  9. A systematic review and meta-Analyses show that carbapenem use and medical devices are the leading risk factors for carbapenem- resistant pseudomonas aeruginosa

    NARCIS (Netherlands)

    A.F. Voor (Anne); J.A. Severin (Juliëtte); E.M.E.H. Lesaffre (Emmanuel); M.C. Vos (Margreet)

    2014-01-01

    textabstractA systematic review and meta-Analyses were performed to identify the risk factors associated with carbapenem-resistant Pseudomonas aeruginosa and to identify sources and reservoirs for the pathogen. A systematic search of PubMed and Embase databases from 1 January 1987 until 27 January

  10. Drug-resistant tuberculosis in Sindh

    International Nuclear Information System (INIS)

    Almani, S.A.; Memon, N.M.; Qureshi, A.F.

    2002-01-01

    Objective: To assess the prevalence of primary and secondary drug resistance amongst the clinical isolates of M.tuberculosis, to identify risk factors and how to overcome this problem. Design: A case series of 50 indoor patients with sputum smear-positive pulmonary tuberculosis. Place and duration of Study: Department of Medicine, Liaquat University of Medical and Health Sciences Jamshoro, Sindh, (Pakistan) from January 1999 to December 2000. Patients and methods: Four first line anti-tuberculous drugs rifampicine, ethambutol and streptomycin were tested for sensitivity pattern. Results: Twelve (26.66%) were sensitive to all four drugs, 12(26.66%) were resistant to one drug, 14 (31.11%) were resistant to two drugs, 2 (4.44%) were resistant to three drugs, and 5(11.11%) were resistant to all four drugs. Resistance to isoniazid was the most common in 27 cases (60%) with primary resistance in 6(13.33%) and secondary resistance in 21(46.66%), followed by resistance to streptomycin in 17 cases (37.77%) with primary resistance in 5(11.11%) and secondary resistance in 12 (26.66%). Resistance to ethambutol in 10 cases (22.22%) and rifampicine in 11 (24.44%) and all cases were secondary. Similarly multi-drugs resistance (MRD) TB was found in 11(24.44%) isolates. Conclusion: This study showed high prevalence of drug resistance among clinical isolates of M. tuberculosis. Their is a need to establish centers at number of places with adequate facilities for susceptibility testing so that the resistant pattern could be ascertained and treatment regimens tailored accordingly. (author)

  11. The DAF-16 FOXO transcription factor regulates natc-1 to modulate stress resistance in Caenorhabditis elegans, linking insulin/IGF-1 signaling to protein N-terminal acetylation.

    Directory of Open Access Journals (Sweden)

    Kurt Warnhoff

    2014-10-01

    Full Text Available The insulin/IGF-1 signaling pathway plays a critical role in stress resistance and longevity, but the mechanisms are not fully characterized. To identify genes that mediate stress resistance, we screened for C. elegans mutants that can tolerate high levels of dietary zinc. We identified natc-1, which encodes an evolutionarily conserved subunit of the N-terminal acetyltransferase C (NAT complex. N-terminal acetylation is a widespread modification of eukaryotic proteins; however, relatively little is known about the biological functions of NATs. We demonstrated that loss-of-function mutations in natc-1 cause resistance to a broad-spectrum of physiologic stressors, including multiple metals, heat, and oxidation. The C. elegans FOXO transcription factor DAF-16 is a critical target of the insulin/IGF-1 signaling pathway that mediates stress resistance, and DAF-16 is predicted to directly bind the natc-1 promoter. To characterize the regulation of natc-1 by DAF-16 and the function of natc-1 in insulin/IGF-1 signaling, we analyzed molecular and genetic interactions with key components of the insulin/IGF-1 pathway. natc-1 mRNA levels were repressed by DAF-16 activity, indicating natc-1 is a physiological target of DAF-16. Genetic studies suggested that natc-1 functions downstream of daf-16 to mediate stress resistance and dauer formation. Based on these findings, we hypothesize that natc-1 is directly regulated by the DAF-16 transcription factor, and natc-1 is a physiologically significant effector of the insulin/IGF-1 signaling pathway that mediates stress resistance and dauer formation. These studies identify a novel biological function for natc-1 as a modulator of stress resistance and dauer formation and define a functionally significant downstream effector of the insulin/IGF-1 signaling pathway. Protein N-terminal acetylation mediated by the NatC complex may play an evolutionarily conserved role in regulating stress resistance.

  12. Halo current and resistive wall simulations of ITER

    International Nuclear Information System (INIS)

    Strauss, H.R.; Zheng Linjin; Kotschenreuther, M.; Park, W.; Jardin, S.; Breslau, J.; Pletzer, A.; Paccagnella, R.; Sugiyama, L.; Chu, M.; Chance, M.; Turnbull, A.

    2005-01-01

    A number of ITER relevant problems in resistive MHD concern the effects of a resistive wall: vertical displacement events (VDE), halo currents caused by disruptions, and resistive wall modes. Simulations of these events have been carried out using the M3D code. We have verified the growth rate scaling of VDEs, which is proportional to the wall resistivity. Simulations have been done of disruptions caused by large inversion radius internal kink modes, as well as by nonlinear growth of resistive wall modes. Halo current flowing during the disruption has asymmetries with toroidal peaking factor up to about 3. VDEs have larger growth rates during disruption simulations, which may account for the loss of vertical feedback control during disruptions in experiments. Further simulations have been made of disruptions caused by resistive wall modes in ITER equilibria. For these modes the toroidal peaking factor is close to 1. Resistive wall modes in ITER and reactors have also been investigated utilizing the newly developed AEGIS (Adaptive EiGenfunction Independent Solution) linear full MHD code, for realistically shaped, fully toroidal equilibria. The AEGIS code uses an adaptive mesh in the radial direction which allows thin inertial layers to be accurately resolved, such as those responsible for the stabilization of resistive wall modes (RWM) by plasma rotation. Stabilization of resistive wall modes by rotation and wall thickness effects are examined. (author)

  13. Targeted Morphoproteomic Profiling of Ewing's Sarcoma Treated with Insulin-Like Growth Factor 1 Receptor (IGF1R) Inhibitors: Response/Resistance Signatures

    Science.gov (United States)

    Subbiah, Vivek; Naing, Aung; Brown, Robert E.; Chen, Helen; Doyle, Laurence; LoRusso, Patricia; Benjamin, Robert; Anderson, Pete; Kurzrock, Razelle

    2011-01-01

    Background Insulin-like growth factor 1 receptor (IGF1R) targeted therapies have resulted in responses in a small number of patients with advanced metastatic Ewing's sarcoma. We performed morphoproteomic profiling to better understand response/resistance mechanisms of Ewing's sarcoma to IGF1R inhibitor-based therapy. Methodology/Principal Findings This pilot study assessed two patients with advanced Ewing's sarcoma treated with IGF1R antibody alone followed by combined IGF1R inhibitor plus mammalian target of rapamycin (mTOR) inhibitor treatment once resistance to single-agent IGF1R inhibitor developed. Immunohistochemical probes were applied to detect p-mTOR (Ser2448), p-Akt (Ser473), p-ERK1/2 (Thr202/Tyr204), nestin, and p-STAT3 (Tyr 705) in the original and recurrent tumor. The initial remarkable radiographic responses to IGF1R-antibody therapy was followed by resistance and then response to combined IGF1R plus mTOR inhibitor therapy in both patients, and then resistance to the combination regimen in one patient. In patient 1, upregulation of p-Akt and p-mTOR in the tumor that relapsed after initial response to IGF1R antibody might explain the resistance that developed, and the subsequent response to combined IGF1R plus mTOR inhibitor therapy. In patient 2, upregulation of mTOR was seen in the primary tumor, perhaps explaining the initial response to the IGF1R and mTOR inhibitor combination, while the resistant tumor that emerged showed activation of the ERK pathway as well. Conclusion/Significance Morphoproteomic analysis revealed that the mTOR pathway was activated in these two patients with advanced Ewing's sarcoma who showed response to combined IGF1R and mTOR inhibition, and the ERK pathway in the patient in whom resistance to this combination emerged. Our pilot results suggests that morphoproteomic assessment of signaling pathway activation in Ewing's sarcoma merits further investigation as a guide to understanding response and resistance signatures. PMID

  14. Overexpression of CD44 accompanies acquired tamoxifen resistance in MCF7 cells and augments their sensitivity to the stromal factors, heregulin and hyaluronan

    Directory of Open Access Journals (Sweden)

    Hiscox Stephen

    2012-10-01

    Full Text Available Abstract Background Acquired resistance to endocrine therapy in breast cancer is a significant problem with relapse being associated with local and/or regional recurrence and frequent distant metastases. Breast cancer cell models reveal that endocrine resistance is accompanied by a gain in aggressive behaviour driven in part through altered growth factor receptor signalling, particularly involving erbB family receptors. Recently we identified that CD44, a transmembrane cell adhesion receptor known to interact with growth factor receptors, is upregulated in tamoxifen-resistant (TamR MCF7 breast cancer cells. The purpose of this study was to explore the consequences of CD44 upregulation in an MCF7 cell model of acquired tamoxifen resistance, specifically with respect to the hypothesis that CD44 may influence erbB activity to promote an adverse phenotype. Methods CD44 expression in MCF7 and TamR cells was assessed by RT-PCR, Western blotting and immunocytochemistry. Immunofluorescence and immunoprecipitation studies revealed CD44-erbB associations. TamR cells (± siRNA-mediated CD44 suppression or MCF7 cells (± transfection with the CD44 gene were treated with the CD44 ligand, hyaluronon (HA, or heregulin and their in vitro growth (MTT, migration (Boyden chamber and wound healing and invasion (Matrigel transwell migration determined. erbB signalling was assessed using Western blotting. The effect of HA on erbB family dimerisation in TamR cells was determined by immunoprecipitation in the presence or absence of CD44 siRNA. Results TamR cells overexpressed CD44 where it was seen to associate with erbB2 at the cell surface. siRNA-mediated suppression of CD44 in TamR cells significantly attenuated their response to heregulin, inhibiting heregulin-induced cell migration and invasion. Furthermore, TamR cells exhibited enhanced sensitivity to HA, with HA treatment resulting in modulation of erbB dimerisation, ligand-independent activation of erbB2

  15. Overexpression of CD44 accompanies acquired tamoxifen resistance in MCF7 cells and augments their sensitivity to the stromal factors, heregulin and hyaluronan

    International Nuclear Information System (INIS)

    Hiscox, Stephen; Gee, Julia; Baruha, Bedanta; Smith, Chris; Bellerby, Rebecca; Goddard, Lindy; Jordan, Nicola; Poghosyan, Zaruhi; Nicholson, Robert I; Barrett-Lee, Peter

    2012-01-01

    Acquired resistance to endocrine therapy in breast cancer is a significant problem with relapse being associated with local and/or regional recurrence and frequent distant metastases. Breast cancer cell models reveal that endocrine resistance is accompanied by a gain in aggressive behaviour driven in part through altered growth factor receptor signalling, particularly involving erbB family receptors. Recently we identified that CD44, a transmembrane cell adhesion receptor known to interact with growth factor receptors, is upregulated in tamoxifen-resistant (TamR) MCF7 breast cancer cells. The purpose of this study was to explore the consequences of CD44 upregulation in an MCF7 cell model of acquired tamoxifen resistance, specifically with respect to the hypothesis that CD44 may influence erbB activity to promote an adverse phenotype. CD44 expression in MCF7 and TamR cells was assessed by RT-PCR, Western blotting and immunocytochemistry. Immunofluorescence and immunoprecipitation studies revealed CD44-erbB associations. TamR cells (± siRNA-mediated CD44 suppression) or MCF7 cells (± transfection with the CD44 gene) were treated with the CD44 ligand, hyaluronon (HA), or heregulin and their in vitro growth (MTT), migration (Boyden chamber and wound healing) and invasion (Matrigel transwell migration) determined. erbB signalling was assessed using Western blotting. The effect of HA on erbB family dimerisation in TamR cells was determined by immunoprecipitation in the presence or absence of CD44 siRNA. TamR cells overexpressed CD44 where it was seen to associate with erbB2 at the cell surface. siRNA-mediated suppression of CD44 in TamR cells significantly attenuated their response to heregulin, inhibiting heregulin-induced cell migration and invasion. Furthermore, TamR cells exhibited enhanced sensitivity to HA, with HA treatment resulting in modulation of erbB dimerisation, ligand-independent activation of erbB2 and EGFR and induction of cell migration

  16. Insulin resistance and chronic inflammation

    Directory of Open Access Journals (Sweden)

    Natalia Matulewicz

    2016-12-01

    Full Text Available Insulin resistance is a condition of reduced biological response to insulin. Growing evidence indicates the role of the chronic low-grade inflammatory response in the pathogenesis of insulin resistance. Adipose tissue in obesity is characterized by increased lipolysis with the excessive release of free fatty acids, and is also a source of proinflammatory cytokines. Both these factors may inhibit insulin action. Proinflammatory cytokines exert their effect by stimulating major inflammatory NFκB and JNK pathways within the cells. Inflammatory processes in other insulin responsive tissues may also play a role in inducing insulin resistance. This paper is an overview of the chronic low-grade inflammation in adipose tissue, skeletal muscle, liver and endothelial cells during the development of insulin resistance.

  17. A Carbenicillin R Factor from Pseudomonas aeruginosa | van ...

    African Journals Online (AJOL)

    Of 64 carbenicillin-resistant Pseudomonas aeruginosa strains 40 transferred this resistance to Escherichia coli. R factor RP-638 isolated from Ps. aeruginosa strain 638 conferred resistance to ampicillin, carbenicillin, kanamycin, neomycin and tetracycline. This R factor was transferred at frequencies 01 10-7 to 10-4 between ...

  18. Resistência de plantas daninhas aos herbicidas Weed resistance to herbicides

    Directory of Open Access Journals (Sweden)

    Pedro J. Christoffoleti

    1994-01-01

    Full Text Available A resistência de plantas daninhas aos herbicidas ocorre em função de um processo evolutivo. O desenvolvimento de biótipos de plantas daninhas resistentes é imposto pela agricultura moderna, através da pressão de seleção causada pelo uso intensivo dos herbicidas. O conhecimento dos mecanismos e fatores que favorecem o aparecimento de biótipos de plantas daninhas resistentes é fundamental para que técnicas de manejo sejam utilizadas no sentido de evitar ou retardar o aparecimento de plantas resistentes em uma área. São poucos os relatos ou citações de literatura no Brasil. Sendo assim, este trabalho de revisão procura relatar os principais avanços e descobertas na área de plantas daninhas resistentes aos herbicidas.Weed herbicide resistance has evolved from weed evolution. The modern agriculture is responsible for this evolution because of the intensive use of herbicides. The knowledge of mechanisms and factors that influence the weed herbicide resistance play an important role in the weed manegement techniques used to avoid or delay herbicide resistance appearence. There are not many report or scientific papers about herbi cide resistance in Brasil. Therefore, this literature review aims to provide information about the main advances and discoveries in the field of weed herbicide resistance.

  19. The barley HvNAC6 transcription factor affects ABA accumulation and promotes basal resistance against powdery mildew

    DEFF Research Database (Denmark)

    Chen, Yan-Jun; Perera, Venura; Wagner, Michael

    2013-01-01

    Barley HvNAC6 is a member of the plant-specific NAC (NAM, ATAF1,2, CUC2) transcription factor family and we have shown previously that it acts as a positive regulator of basal resistance in barley against the biotrophic pathogen Blumeria graminis f. sp. hordei (Bgh). In this study, we use a trans...

  20. Comparative in vitro activity of 16 antimicrobial agents against Actinobacillus pleuropneumoniae.

    Science.gov (United States)

    Yoshimura, H; Takagi, M; Ishimura, M; Endoh, Y S

    2002-01-01

    Sixteen antimicrobial agents were tested for their activity against 68 isolates of Actinobacillus pleuropneumoniae by determining the minimum inhibitory concentrations (MICs). Ceftiofur and the fluoroquinolones danofloxacin and enrofloxacin were the most active compounds, with a MIC for 90% of the isolates (MIC90) of (0.05 microg/ml. The MIC90 values of benzylpenicillin, amoxicillin and aspoxicillin were 0.78 units/ml, 0.39 microg/ml and colistin and tiamulin. Of these, spectinomycin was the least active, with a MIC50 of 25 microg/ml, followed by tiamulin, with a MIC50 of 6.25 microg/ml. Of the 68 isolates tested, 49 (72.0%) were of serotype 2; 14 (20.5%) were of serotype 1; 2 each (3.0%) were of serotypes 5 and 6; and one was of serotype 7. Of the isolates, 23 (33.8%) were resistant to one or more of the major antibiotics. Antibiotic resistance was found only infrequently among serotype 2, with 5 (10.2%) of 49 isolates being resistant to chloramphenicol and/or oxytetracycline, while it occurred in 18 (94.7%) of the 19 isolates of other serotypes.

  1. Agar dilution method for susceptibility testing of Neisseria gonorrhoeae

    Directory of Open Access Journals (Sweden)

    Marta C de Castillo

    1996-12-01

    Full Text Available The antibiotic susceptibilities of Neisseria gonorrhoeae isolates obtained from patients attending a clinic for sexually transmitted diseases in Tucumán, Argentina, were determined by the agar dilution method (MIC. 3.5% of the isolates produced ²-lactamase. A total of 96.5% of ²-lactamase negative isolates tested were susceptible to penicillin (MIC < 2 µgml-1; 14.03% of the tested isolates were resistant to tetracycline (MIC < 2 µgml-1, and 98% of the tested isolates were susceptible to spectinomycin (MIC < 64 µgml-1. The MICs for 95% of the isolates, tested for other drugs were: < 2 µgml-1 for cefoxitin, < 0.06 µgml-1 for cefotaxime, < 0.25 µgml-1 for norfloxacin, < 10 µgml-1 for cephaloridine, < 10 µgml-1 for cephalexin, and < 50 µgml-1 for kanamycin. Antibiotic resistance among N. gonorrhoeae isolates from Tucumán, Argentina, appeared to be primarily limited to penicillin and tetracycline, which has been a general use against gonorrhoeae in Tucumán since 1960. Periodic monitoring of the underlying susceptibility profiles of the N. gonorrhoeae strains prevalent in areas of frequent transmission may provide clues regarding treatment options and emerging of drug resistance.

  2. [Virulence and its relationship to antibiotic resistance].

    Science.gov (United States)

    Joly-Guillou, M L

    1998-12-01

    PATHOGENIC ISLANDS: Certain DNA blocks inserted into the chromosome of most Gram negative bacteria originated in pathogens found in plants. VIRULENCE-ANTIBIOTIC INTERACTIONS: During the invasive phase, the bacterial cell covers itself with adhesins which facilitate its adherence to tissues. The bacterial cell produces a fibronectin which protects its defense systems. Antibiotics favor bacterial resistance by increasing the expression of surface adhesins and fibronectin production. PENICILLIN RESISTANT PNEUMOCOCCI: Experimental models have demonstrated that mortality in mice and host resistance to pneumococcal infection are related to the type of capsule and not to antibiotic resistance. QUORUM SENSING: The bacterial inoculum regulates the production of virulence factors in vivo via quorum sensing. This regulation can play an important role in Pseudomonas aeruginosa infections. ACINETOBACTER BAUMANNI VIRULENCE: Long poorly understood, factors favoring A. baumanni virulence appear to result from bacterial production of IROMPs in the extracellular growth medium in response to iron depletion during the exponential growth phase.

  3. Genetic Regulation of Virulence and Antibiotic Resistance in Acinetobacter baumannii

    Science.gov (United States)

    Kröger, Carsten; Kary, Stefani C.; Schauer, Kristina; Cameron, Andrew D. S.

    2016-01-01

    Multidrug resistant microorganisms are forecast to become the single biggest challenge to medical care in the 21st century. Over the last decades, members of the genus Acinetobacter have emerged as bacterial opportunistic pathogens, in particular as challenging nosocomial pathogens because of the rapid evolution of antimicrobial resistances. Although we lack fundamental biological insight into virulence mechanisms, an increasing number of researchers are working to identify virulence factors and to study antibiotic resistance. Here, we review current knowledge regarding the regulation of virulence genes and antibiotic resistance in Acinetobacter baumannii. A survey of the two-component systems AdeRS, BaeSR, GacSA and PmrAB explains how each contributes to antibiotic resistance and virulence gene expression, while BfmRS regulates cell envelope structures important for pathogen persistence. A. baumannii uses the transcription factors Fur and Zur to sense iron or zinc depletion and upregulate genes for metal scavenging as a critical survival tool in an animal host. Quorum sensing, nucleoid-associated proteins, and non-classical transcription factors such as AtfA and small regulatory RNAs are discussed in the context of virulence and antibiotic resistance. PMID:28036056

  4. Aeromonas associated diarrhoeal disease in south Brazil: prevalence, virulence factors and antimicrobial resistance Aeromonas associadas a diarréias no sul do Brasil: prevalência, fatores de virulência, e resistência a antibiótico

    Directory of Open Access Journals (Sweden)

    Ivani M.F. Guerra

    2007-12-01

    Full Text Available Aeromonas were isolated from 27 (6.6% of 408 patients admitted with acute gastroenteritis in two hospitals at Rio Grande do Sul, Brazil. Isolates were classified as A. hydrophila (51.8%, A. caviae (40.8%, and A. veronii biotype sobria (7.4%. The highest prevalence of Aeromonas associated infections occurred in lactants and children. Virulence genes (aerA -aerolysin/hemolysin, ahpA -serine-protease, satA - glycerophospholipid-cholesterol acyltransferase, lipA -lipase, and ahyB -elastase and virulence factors (hemolytic, proteolitic, lipolitic activities, and biofilm formation were identified in most A. hydrophila and A. veronii biotype sobria isolates, with lower frequencies on A. caviae. All Aeromonas isolates were resistant to ampicillin, ticarcillin/clavulanic acid, cephalotin, and cephazolin, and most of them (>70% exhibited resistance to imipenem, carbenicillin, amoxillin/sulbactan, and piperacillin. Multiple-resistance, more than four antibiotics, was evidenced in 29.6% of the isolates. The most efficient antibiotics were the quinolones (ciprofloxacin and norfloxacin, and the aminoglycosides (amikacin and netilmicin.Aeromonas foram isoladas de 27 (6.6% dos 408 pacientes admitidos com gastroenterite aguda em dois hospitais do Rio Grande do Sul, Brasil. Os isolados foram classificados com A. hydrophila (51.8%, A. caviae (40.8%, e A. veronii biotype sobria (7.4%. A maior prevalência de Aeromonas ocorreu em lactantes e crianças. Genes (aerA -aerolisina/hemolisina, ahpA -serina-protease, satA - glicerofosfolipidio-colesterol aciltransferase, lipA -lipase, e ahyB -elastase e factores (atividade hemolítica, proteolítica, lipolítica, e formação de biofilme de virulência foram identificados na maioria dos isolados de A. hydrophila e A. veronii biotype sobria, com freqüências menores em A. caviae. Todos os isolados de Aeromonas apresentaram resistência a ampicilina, ticarcilina/ácido clavulânico, cefalotina e cefazolina, e a maior parte

  5. Residual Resistance Data from Cavity Production Projects at Jefferson Lab

    International Nuclear Information System (INIS)

    Ciovati, Gianluigi; Geng, Rongli; Mammosser, John; Saunders, Jeffrey

    2010-01-01

    A fundamental limitation towards achieving high quality factors in superconducting radio-frequency cavities is the so-called residual resistance. Understanding and controlling the residual resistance has important implications towards improving the efficiency and reduce the operating cost of continuous wave superconducting linear accelerators. In this contribution we will report on the residual resistance values obtained from measurements of the quality factor of a large set of cavities, with resonant frequency between 805 MHz and 1.5 GHz, all of them processed and tested at Jefferson Lab. Surface treatments included both buffered chemical polishing and electropolishing. The results indicate an approximate value of the residual resistance of about 7-10 n Omega.

  6. Resistant Hypertension and Cardiorenovascular Risk

    Directory of Open Access Journals (Sweden)

    Prkacin Ingrid

    2017-06-01

    Full Text Available Studies have documented independent contribution of sympathetic activation to the cardiovascular disease continuum. Hypertension is one of the leading modifiable factors. Most if not all the benefit of antihypertensive treatment depends on blood pressure lowering, regardless how it is obtained. Resistant hypertension is defined as blood pressure that remains uncontrolled in spite of the concurrent use of three antihypertensive drugs of different classes. Ideally, one of the three drugs should be a diuretic, and all drugs should be prescribed at optimal dose amounts. Poor adherence to antihypertensive therapy, undiscovered secondary causes (e.g. obstructive sleep apnea, primary aldosteronism, renal artery stenosis, and lifestyle factors (e.g. obesity, excessive sodium intake, heavy alcohol intake, various drug interactions are the most common causes of resistant hypertension. Cardio(renovascular morbidity and mortality are significantly higher in resistant hypertensive than in general hypertensive population, as such patients are typically presented with a long-standing history of poorly controlled hypertension. Early diagnosis and treatment is needed to avoid further end-organ damage to prevent cardiorenovascular remodeling. Treatment strategy includes lifestyle changes, adding a mineralocorticoid receptor antagonist, treatment adherence in cardiovascular prevention and, in case of failure to control blood pressure, renal sympathetic denervation or baroreceptor activation therapy. The comparative outcomes in resistant hypertension deserve better understanding. In this review, the most current approaches to resistant hypertension and cardiovascular risk based on the available literature evidence will be discussed.

  7. Insulin Resistance in Alzheimer's Disease

    Science.gov (United States)

    Dineley, Kelly T; Jahrling, Jordan B; Denner, Larry

    2014-01-01

    Insulin is a key hormone regulating metabolism. Insulin binding to cell surface insulin receptors engages many signaling intermediates operating in parallel and in series to control glucose, energy, and lipids while also regulating mitogenesis and development. Perturbations in the function of any of these intermediates, which occur in a variety of diseases, cause reduced sensitivity to insulin and insulin resistance with consequent metabolic dysfunction. Chronic inflammation ensues which exacerbates compromised metabolic homeostasis. Since insulin has a key role in learning and memory as well as directly regulating ERK, a kinase required for the type of learning and memory compromised in early Alzheimer's disease (AD), insulin resistance has been identified as a major risk factor for the onset of AD. Animal models of AD or insulin resistance or both demonstrate that AD pathology and impaired insulin signaling form a reciprocal relationship. Of note are human and animal model studies geared toward improving insulin resistance that have led to the identification of the nuclear receptor and transcription factor, peroxisome proliferator-activated receptor gamma (PPARγ) as an intervention tool for early AD. Strategic targeting of alternate nodes within the insulin signaling network has revealed disease-stage therapeutic windows in animal models that coalesce with previous and ongoing clinical trial approaches. Thus, exploiting the connection between insulin resistance and AD provides powerful opportunities to delineate therapeutic interventions that slow or block the pathogenesis of AD. PMID:25237037

  8. Evaluation of the Enterococcus faecalis Biofilm-Associated Virulence Factors AhrC and Eep in Rat Foreign Body Osteomyelitis and In Vitro Biofilm-Associated Antimicrobial Resistance.

    Directory of Open Access Journals (Sweden)

    Kristi L Frank

    Full Text Available Enterococcus faecalis can cause healthcare-associated biofilm infections, including those of orthopedic devices. Treatment of enterococcal prosthetic joint infection is difficult, in part, due to biofilm-associated antimicrobial resistance. We previously showed that the E. faecalis OG1RF genes ahrC and eep are in vitro biofilm determinants and virulence factors in animal models of endocarditis and catheter-associated urinary tract infection. In this study, we evaluated the role of these genes in a rat acute foreign body osteomyelitis model and in in vitro biofilm-associated antimicrobial resistance. Osteomyelitis was established for one week following the implantation of stainless steel orthopedic wires inoculated with E. faecalis strains OG1RF, ΩahrC, and ∆eep into the proximal tibiae of rats. The median bacterial loads recovered from bones and wires did not differ significantly between the strains at multiple inoculum concentrations. We hypothesize that factors present at the infection site that affect biofilm formation, such as the presence or absence of shear force, may account for the differences in attenuation in the various animal models we have used to study the ΩahrC and ∆eep strains. No differences among the three strains were observed in the planktonic and biofilm antimicrobial susceptibilities to ampicillin, vancomycin, daptomycin, linezolid, and tetracycline. These findings suggest that neither ahrC nor eep directly contribute to E. faecalis biofilm-associated antimicrobial resistance. Notably, the experimental evidence that the biofilm attachment mutant ΩahrC displays biofilm-associated antimicrobial resistance suggests that surface colonization alone is sufficient for E. faecalis cells to acquire the biofilm antimicrobial resistance phenotype.

  9. Virulence factors and resistance to antimicrobials in Listeria monocytogenes serotype 1/2c isolated from food.

    Science.gov (United States)

    Gelbíčová, T; Pantůček, R; Karpíšková, R

    2016-08-01

    The aim of this study was to assess the potential risk posed to the human population by the presence of Listeria monocytogenes serotype 1/2c in food based on the characterization of virulence factors of Listeria involved in the invasion of host cells and sensitivity to antimicrobial agents. In addition to sequencing of the inlA and inlB genes, the presence of genes lapB, aut, fbpA, ami, vip and llsX was tested. A premature stop codon (PMSC) in the inlA gene was detected in all tested strains of serotype 1/2c and, concurrently, two novel PMSC mutation types were identified. However, neither PMSC in the inlB gene nor deletion of the lapB, aut, fbpA, ami and vip genes were found in any of the strains. The presence of the llsX gene was not confirmed. Even though all L. monocytogenes strains showed sensitivity to the tested antimicrobials on the basis of their phenotype, sequencing revealed the presence of IS1542 insertion in the inlA gene, indicating the possibility of sharing of mobile genetic elements associated with antimicrobial resistance among strains. Other than the presence of PMSCs in the inlA gene, no PMSC in inlB or deletion of other factors linked to the invasiveness of listeria were detected. Tested strains showed sensitivity to antibiotics used in the therapy of listeriosis. Strains of L. monocytogenes serotype 1/2c typically carry a PMSC in the inlA gene, but these strains still represent a potential threat to public health. The possibility of transfer of IS1542, associated with resistance to vancomycin, between enterococci and Listeria spp. was revealed. © 2016 The Society for Applied Microbiology.

  10. Skin Tags and Acanthosis Nigricans in Patients with Hepatitis C Infection in Relation to Insulin Resistance and Insulin Like Growth Factor-1 Levels

    Science.gov (United States)

    El Safoury, Omar Soliman; Shaker, Olfat G; Fawzy, May Mohsen

    2012-01-01

    Background: Skin tags (ST) are papillomas commonly found in the neck, axillae of middle-aged and elderly people Aim: Insulin and insulin-like growth factor (IGF-1) levels are affected by hepatitis C virus (HCV) infection and both of them may be implicated in the etiopathogenesis of ST and acanthosis nigricans (AN) through their proliferative and differentiating properties. So, the aim of this work was to evaluate the impact of HCV infection on ST and AN through the estimation of insulin resistance and IGF-1. Materials and Methods: Participants were arranged into four groups: (ST +ve / HCV +ve) 23 subjects, (ST+ / HCV -ve) 19 subjects, (HCV -ve / ST-ve) 20 subjects and (ST-ve /HCV +ve) 22 subjects. Age, ST size, color, number, AN, fasting glucose, fasting insulin, insulin resistance, IGF-1, HCV-antibodies (Ab) were recorded. Results: The mean number of ST in Group 1 was half the number of ST in Group 2 (11.0±9.3 / 22.3±14.0) (P=0.005). The difference in insulin resistance between the same groups was non-significant (13.1±10.6 / 9.0±5.5) (P=0.441) while the difference in IGF-1 was statistically significant (218.6±46.2 /285.4±32.8) (P=0.002). The multivariate logistic regression for the variables revealed that insulin resistance is the only factor affecting the occurrence of ST (OR=1.096, P=0.023). Multivariate regression analysis for the variables showed that HCV was borderline but not a significant factor affecting the number of ST (Beta=-0.409, P=0.053). The number of patients with AN was doubled in Group 2 in comparison to Group 1 but this was non significant 3(13%) / 6(32%) (P=0.2800). Conclusion: HCV is associated with a significant decrease in the ST number and in the serum level of IGF-1 together with an obvious decrease in the occurrence of AN. Our results may point to the entrant effect of insulin resistance and IGF-1 in ST and AN development. The current study suggests the evaluation of IGF-1-lowering agents in the control of ST and AN especially in

  11. Risk factors and mortality associated with default from multidrug-resistant tuberculosis treatment.

    Science.gov (United States)

    Franke, Molly F; Appleton, Sasha C; Bayona, Jaime; Arteaga, Fernando; Palacios, Eda; Llaro, Karim; Shin, Sonya S; Becerra, Mercedes C; Murray, Megan B; Mitnick, Carole D

    2008-06-15

    Completing treatment for multidrug-resistant (MDR) tuberculosis (TB) may be more challenging than completing first-line TB therapy, especially in resource-poor settings. The objectives of this study were to (1) identify risk factors for default from MDR TB therapy (defined as prolonged treatment interruption), (2) quantify mortality among patients who default from treatment, and (3) identify risk factors for death after default from treatment. We performed a retrospective chart review to identify risk factors for default from MDR TB therapy and conducted home visits to assess mortality among patients who defaulted from such therapy. Sixty-seven (10.0%) of 671 patients defaulted from MDR TB therapy. The median time to treatment default was 438 days (interquartile range, 152-710 days), and 27 (40.3%) of the 67 patients who defaulted from treatment had culture-positive sputum at the time of default. Substance use (hazard ratio, 2.96; 95% confidence interval, 1.56-5.62; P = .001), substandard housing conditions (hazard ratio, 1.83; 95% confidence interval, 1.07-3.11; P = .03), later year of enrollment (hazard ratio, 1.62, 95% confidence interval, 1.09-2.41; P = .02), and health district (P = .02) predicted default from therapy in a multivariable analysis. Severe adverse events did not predict default from therapy. Forty-seven (70.1%) of 67 patients who defaulted from therapy were successfully traced; of these, 25 (53.2%) had died. Poor bacteriologic response, default, low education level, and diagnosis with a psychiatric disorder significantly predicted death after default in a multivariable analysis. The proportion of patients who defaulted from MDR TB treatment was relatively low. The large proportion of patients who had culture-positive sputum at the time of treatment default underscores the public health importance of minimizing treatment default. Prognosis for patients who defaulted from therapy was poor. Interventions aimed at preventing treatment default may

  12. Baseline prostate-specific antigen levels following treatment with abiraterone acetate as a prognostic factor in castration-resistant prostate cancer.

    Science.gov (United States)

    Hiroshige, Tasuku; Eguchi, Yoshiro; Yoshizumi, Osamu; Chikui, Katsuaki; Kumagai, Hisaji; Kawaguchi, Yoshihiro; Onishi, Rei; Hayashi, Tokumasa; Watanabe, Kouta; Mitani, Tomotaro; Saito, Koujiro; Igawa, Tsukasa

    2018-05-01

    The aim of the present study was to investigate the prognostic factors associated with progression-free survival (PFS) and overall survival (OS) times in patients with castration-resistant prostate cancer (CRPC) who received treatment with abiraterone acetate (AA) in routine clinical settings. A total of 93 patients treated with AA between September 2014 and February 2017 were selected and their medical records were analyzed retrospectively. The median PFS time of docetaxel (DTX)-naïve patients was 171 days, and that of post-DTX patients was 56 days. The OS time of DTX-naïve patients did not reach the median. The median OS time of post-DTX patients was 761 days. Multivariate analyses identified baseline prostate-specific antigen (PSA) level prior to treatment with AA and the PSA response rate as independent prognostic factors for PFS time, and baseline PSA prior to treatment with AA as the only independent prognostic factor for OS time. The results of the present study indicate that the baseline PSA level prior to treatment with AA is a notable prognostic factor in patients with CRPC.

  13. Studies of electrical properties of low-resistivity sandstones based on digital rock technology

    Science.gov (United States)

    Yan, Weichao; Sun, Jianmeng; Zhang, Jinyan; Yuan, Weiguo; Zhang, Li; Cui, Likai; Dong, Huaimin

    2018-02-01

    Electrical properties are important parameters to quantitatively calculate water saturation in oil and gas reservoirs by well logging interpretation. It is usual that oil layers show high resistivity responses, while water layers show low-resistivity responses. However, there are low-resistivity oil zones that exist in many oilfields around the world, leading to difficulties for reservoir evaluation. In our research, we used digital rock technology to study different internal and external factors to account for low rock resistivity responses in oil layers. We first constructed three-dimensional digital rock models with five components based on micro-computed tomography technology and x-ray diffraction experimental results, and then oil and water distributions in pores were determined by the pore morphology method. When the resistivity of each component was assigned, rock resistivities were calculated by using the finite element method. We collected 20 sandstone samples to prove the effectiveness of our numerical simulation methods. Based on the control variate method, we studied the effects of different factors on the resistivity indexes and rock resistivities. After sensitivity analyses, we found the main factors which caused low rock resistivities in oil layers. For unfractured rocks, influential factors arranged in descending order of importance were porosity, clay content, temperature, water salinity, heavy mineral, clay type and wettability. In addition, we found that the resistivity index could not provide enough information to identify a low-resistivity oil zone by using laboratory rock-electric experimental results. These results can not only expand our understandings of the electrical properties of low-resistivity rocks from oil layers, but also help identify low-resistivity oil zones better.

  14. Candida Species Biofilms’ Antifungal Resistance

    Science.gov (United States)

    Silva, Sónia; Rodrigues, Célia F.; Araújo, Daniela; Rodrigues, Maria Elisa; Henriques, Mariana

    2017-01-01

    Candida infections (candidiasis) are the most prevalent opportunistic fungal infection on humans and, as such, a major public health problem. In recent decades, candidiasis has been associated to Candida species other than Candida albicans. Moreover, biofilms have been considered the most prevalent growth form of Candida cells and a strong causative agent of the intensification of antifungal resistance. As yet, no specific resistance factor has been identified as the sole responsible for the increased recalcitrance to antifungal agents exhibited by biofilms. Instead, biofilm antifungal resistance is a complex multifactorial phenomenon, which still remains to be fully elucidated and understood. The different mechanisms, which may be responsible for the intrinsic resistance of Candida species biofilms, include the high density of cells within the biofilm, the growth and nutrient limitation, the effects of the biofilm matrix, the presence of persister cells, the antifungal resistance gene expression and the increase of sterols on the membrane of biofilm cells. Thus, this review intends to provide information on the recent advances about Candida species biofilm antifungal resistance and its implication on intensification of the candidiasis. PMID:29371527

  15. Fluoroquinolone-resistant Escherichia coli carriage in long-term care facility.

    Science.gov (United States)

    Maslow, Joel N; Lee, Betsy; Lautenbach, Ebbing

    2005-06-01

    We conducted a cross-sectional study to determine the prevalence of, and risk factors for, colonization with fluoroquinolone (FQ)-resistant Escherichia coli in residents in a long-term care facility. FQ-resistant E. coli were identified from rectal swabs for 25 (51%) of 49 participants at study entry. On multivariable analyses, prior FQ use was the only independent risk factor for FQ-resistant E. coli carriage and was consistent for FQ exposures in the previous 3, 6, 9, or 12 months. Pulsed-field gel electrophoresis of FQ-resistant E. coli identified clonal spread of 1 strain among 16 residents. Loss (6 residents) or acquisition (7 residents) of FQ-resistant E. coli was documented and was associated with de novo colonization with genetically distinct strains. Unlike the case in the hospital setting, FQ-resistant E. coli carriage in long-term care facilities is associated with clonal spread.

  16. Identification of host factors potentially involved in RTM-mediated resistance during potyvirus long distance movement.

    Science.gov (United States)

    Sofer, Luc; Cabanillas, Daniel Garcia; Gayral, Mathieu; Téplier, Rachèle; Pouzoulet, Jérôme; Ducousso, Marie; Dufin, Laurène; Bréhélin, Claire; Ziegler-Graff, Véronique; Brault, Véronique; Revers, Frédéric

    2017-07-01

    The long distance movement of potyviruses is a poorly understood step of the viral cycle. Only factors inhibiting this process, referred to as "Restricted TEV Movement" (RTM), have been identified in Arabidopsis thaliana. On the virus side, the potyvirus coat protein (CP) displays determinants required for long-distance movement and for RTM-based resistance breaking. However, the potyvirus CP was previously shown not to interact with the RTM proteins. We undertook the identification of Arabidopsis factors which directly interact with either the RTM proteins or the CP of lettuce mosaic virus (LMV). An Arabidopsis cDNA library generated from companion cells was screened with LMV CP and RTM proteins using the yeast two-hybrid system. Fourteen interacting proteins were identified. Two of them were shown to interact with CP and the RTM proteins suggesting that a multiprotein complex could be formed between the RTM proteins and virions or viral ribonucleoprotein complexes. Co-localization experiments in Nicotiana benthamiana showed that most of the viral and cellular protein pairs co-localized at the periphery of chloroplasts which suggests a putative role for plastids in this process.

  17. Celulitis in Japanese Quails (coturnix coturnix japonica for Eschorichia coli: virulence factors, sensibility and profile antimicrobial resistance /Celulite em codornas (coturnix coturnix japonica causada por Escherichia coli: fatores de virulência, sensibilidade e perfil de resistência antimicrobiana

    Directory of Open Access Journals (Sweden)

    Marilda Carlos Vidotto

    2000-12-01

    Full Text Available Ten E. coli strains isolated from celulitis lesion s of Japanese quails were to evaluated antimicrobia l resistance to twent y six drugs , to pathogenicity of strains in SPF chickens embryonated eggs and virulence factors. The antimicrobials of higher efficiency wer e ampicillin, florfenicol and the lesser efficiency were erythromycin, oxacilin, lincomicin, novobiocin, penicillin, sulfonamidas, trimethoprim+sulfomethoxazo/e and tetracyicline. The majority of E. coli strains were serum resistance, the others virulence factors, hemolisin and congo red affinity, were lesser frequent on the studied strains. Pathogenicity of E. coli strains, evaluated to DL50 in embryonated eggs, had varied of 8x10 2 the 3,2x10.Dez cepas de E. coli isoladas de lesões de celulite em codornas foram avaliadas quanto a resistência antimicrobiana frente a vinte e seis drogas, a patogenicidade das amostras em ovos embrionários de galinha SPF e quanto aos fatores de virulência: hemolisinas, resistência sérica e afinidade ao vermelho congo Os antimicrobianos de maior eficiência foram ampicilinar florfenicol e os menos eficientes foram eritromicina, oxacilina. lincomicina, novobiocina. penicsilna, sulfonamida, sulfomethoxazole+ trimetoprim e tetraciclina. A maioria das amostras de E. coli foram resistentes ao soro, os outros fatores do virulência, hemolisina e afinidade ao vermelho-congo, foram menos freqüentes nas amostras estudadas. A patogenicidade das amostras de E. coli estimada através da DL50 em ovos embrionados, variaram de 8x10* a 3.2x10a.

  18. Tumour necrosis factor-alpha infusion produced insulin resistance but no change in the incretin effect in healthy volunteers.

    Science.gov (United States)

    Nielsen, Signe Tellerup; Lehrskov-Schmidt, Louise; Krogh-Madsen, Rikke; Solomon, Thomas P J; Lehrskov-Schmidt, Lars; Holst, Jens Juul; Møller, Kirsten

    2013-11-01

    Type 2 diabetes mellitus (T2DM) is associated with peripheral insulin resistance, impaired incretin effect, and increased plasma levels of tumour necrosis factor-alpha (TNF-α). Although TNF-α infusion at a dose that induces systemic inflammation in healthy volunteers has been demonstrated to induce peripheral insulin resistance, the influence of this cytokine on the incretin effect is unknown. We investigated whether systemic inflammation induced by TNF-α infusion in healthy volunteers alters the incretin hormone response to oral and intravenous glucose loads in a crossover study design with ten healthy male volunteers (mean age 24 years, mean body mass index 23.7 kg/m(2) ). The study consisted of four study days: days 1 and 2, 6-h infusion of saline; days 3 and 4, 6-h infusion of TNF-α; days 1 and 3, 4-h oral glucose tolerance test; and days 2 and 4, 4-h corresponding intravenous isoglycaemic glucose tolerance test. Glucose tolerance tests were initiated after 2 h of saline/TNF-α infusion. Plasma concentrations of TNF-α, interleukin 6, glucose, incretin hormones, and cortisol, and serum concentrations of C-peptide and insulin were measured throughout the study days. Insulin sensitivity was estimated by the Matsuda index and homeostasis model assessment of insulin resistance (HOMA-IR). Prehepatic insulin secretion rates were calculated. TNF-α infusion induced symptoms of systemic inflammation; increased plasma levels of cortisol, TNF-α, and interleukin 6; and increased the HOMA-IR. The secretion of incretin hormones as well as the incretin effect remained unchanged. In healthy young male volunteers, acute systemic inflammation induced by infusion of TNF-α is associated with insulin resistance with no change in the incretin effect. Copyright © 2013 John Wiley & Sons, Ltd.

  19. Selection for chlorpyrifos resistance in Liriomyza sativae Blanchard: Cross-resistance patterns, stability and biochemical mechanisms.

    Science.gov (United States)

    Askari-Saryazdi, Ghasem; Hejazi, Mir Jalil; Ferguson, J Scott; Rashidi, Mohammad-Reza

    2015-10-01

    The vegetable leafminer (VLM), Liriomyza sativae (Diptera: Agromyzidae) is a serious pest of vegetable crops and ornamentals worldwide. In cropping systems with inappropriate management strategies, development of resistance to insecticides in leafminers is probable. Chlorpyrifos is a commonly used pesticide for controlling leafminers in Iran, but resistance to this insecticide in leafminers has not been characterized. In order to develop strategies to minimize resistance in the field and greenhouse, a laboratory selected chlorpyrifos resistant strain of L. sativae was used to characterize resistance and determine the rate of development and stability of resistance. Selecting for resistance in the laboratory after 23 generations yielded a chlorpyrifos resistant selected strain (CRSS) with a resistance ratio of 40.34, determined on the larval stage. CRSS exhibited no cross-resistance to other tested insecticides except for diazinon. Synergism and biochemical assays indicated that esterases (EST) had a key role in metabolic resistance to chlorpyrifos, but glutathione S-transferase (GST) and mixed function oxidase (MFO) were not mediators in this resistance. In CRSS acetylcholinesterase (AChE) was more active than the susceptible strain, Sharif (SH). AChE in CRSS was also less sensitive to inhibition by propoxur. The kinetics parameters (Km and Vmax) of AChE indicated that affinities and hydrolyzing efficiencies of this enzyme in CRSS were higher than SH. Susceptibility to chlorpyrifos in L. sativae was re-gained in the absence of insecticide pressure. Synergism, biochemical and cross-resistance assays revealed that overactivity of metabolic enzymes and reduction in target site sensitivity are probably joint factors in chlorpyrifos resistance. An effective insecticide resistance management program is necessary to prevent fast resistance development in crop systems. Copyright © 2015 Elsevier Inc. All rights reserved.

  20. Application of factor analysis and electrical resistivity to understand groundwater contributions to coastal embayments in semi-arid and hypersaline coastal settings

    Energy Technology Data Exchange (ETDEWEB)

    Bighash, Paniz, E-mail: Bighash.p@gmail.com; Murgulet, Dorina

    2015-11-01

    Groundwater contributions and sources of salinity to Oso Bay in south Texas were investigated using multivariate statistical analysis of geochemical data and multitemporal electrical resistivity tomography surveys. Both analysis of geochemical data and subsurface imaging techniques identified two commonalities for the investigated system: 1) hypersaline water occurs near the groundwater/surface water interface during wet conditions creating reverse hydraulic gradients due to density effects. The development and downward movement of these fluids as continuous plumes deflect fresher groundwater discharge downward and laterally away from the surface; and 2) more pronounced upwelling of fresher groundwater occurs during drought periods when density inversions are more defined and are expected to overcome dispersion and diffusion processes and create sufficiently large-enough unstable gradients that induce density-difference convection. Salinity mass-balance models derived from time-difference resistivity tomograph and in-situ salinity data reaffirm these findings indicating that groundwater upwelling is more prominent during dry to wet conditions in 2013 (~ 545.5 m{sup 3}/d) and is less pronounced during wet to dry conditions in 2012 (~ 262.7 m{sup 3}/d) for the 224 m{sup 2} area surveyed. Findings show that the highly saline nature of water in this area and changes in salinity regimes can be attributed to a combination of factors, namely: surface outflows, evapoconcentration, recirculation of hypersaline groundwaters, and potential trapped oil field brines. Increased drought conditions will likely exacerbate the rate at which salinity levels are increasing in bays and estuaries in semi-arid regions where both hypersaline groundwater discharge and high evaporation rates occur simultaneously. - Highlights: • Study of salinity regimes in relation to groundwater in a coastal semiarid setting • Factor analysis defined dominant factors influencing water quality

  1. Application of factor analysis and electrical resistivity to understand groundwater contributions to coastal embayments in semi-arid and hypersaline coastal settings

    International Nuclear Information System (INIS)

    Bighash, Paniz; Murgulet, Dorina

    2015-01-01

    Groundwater contributions and sources of salinity to Oso Bay in south Texas were investigated using multivariate statistical analysis of geochemical data and multitemporal electrical resistivity tomography surveys. Both analysis of geochemical data and subsurface imaging techniques identified two commonalities for the investigated system: 1) hypersaline water occurs near the groundwater/surface water interface during wet conditions creating reverse hydraulic gradients due to density effects. The development and downward movement of these fluids as continuous plumes deflect fresher groundwater discharge downward and laterally away from the surface; and 2) more pronounced upwelling of fresher groundwater occurs during drought periods when density inversions are more defined and are expected to overcome dispersion and diffusion processes and create sufficiently large-enough unstable gradients that induce density-difference convection. Salinity mass-balance models derived from time-difference resistivity tomograph and in-situ salinity data reaffirm these findings indicating that groundwater upwelling is more prominent during dry to wet conditions in 2013 (~ 545.5 m 3 /d) and is less pronounced during wet to dry conditions in 2012 (~ 262.7 m 3 /d) for the 224 m 2 area surveyed. Findings show that the highly saline nature of water in this area and changes in salinity regimes can be attributed to a combination of factors, namely: surface outflows, evapoconcentration, recirculation of hypersaline groundwaters, and potential trapped oil field brines. Increased drought conditions will likely exacerbate the rate at which salinity levels are increasing in bays and estuaries in semi-arid regions where both hypersaline groundwater discharge and high evaporation rates occur simultaneously. - Highlights: • Study of salinity regimes in relation to groundwater in a coastal semiarid setting • Factor analysis defined dominant factors influencing water quality variations.

  2. Physiological and genetics studies of highly radiation-resistant bacteria

    International Nuclear Information System (INIS)

    Keller, L.C.

    1981-01-01

    The phenomenon of radiation resistance was studied using micrococci and Moraxella-Acinetobacter capable of surviving very high doses of gamma radiation which were isolated from foods. Physiological age, or growth phase, was found to be an important factor in making comparisons of radiation-resistance among different bacteria and their mutants. Radiation-resistant bacteria were highly resistant to the lethal effect of nitrosoguanidine used for mutagenesis. Studies of relative resistance of radiation-resistant bacteria, radiation-sensitive mutants, and nonradiation-resistant bacteria to killing by different chemical mutagens did not reveal a correlation between the traits of radiation resistance and mutagen resistance among different strains. Comparisons of plasmid profiles of radiation-resistant bacteria and selected radiation-sensitive mutants suggested the possibility that plasmids may carry genes involved in radiation resistance

  3. Analysis of differentially expressed genes related to resistance in spinosad- and neonicotinoid-resistant Musca domestica L. (Diptera: Muscidae) strains

    DEFF Research Database (Denmark)

    Castberg, Dorte Heidi Højland; Kristensen, Michael

    2017-01-01

    strains differing significantly in their response to insecticides. High differential expression of P450s and genes coding for cuticle protein indicates a combination of factors involved in metabolic neonicotinoid and spinosad resistance. Conclusion Resistance in these strains is apparently not linked...... interesting in terms of neonicotinoid resistance, while cyp4d9 was overexpressed in 791spin compared to spinosad-susceptible strains. GSTs, ESTs and UGTs were mostly overexpressed, but not to the same degree as P450s. We present a comprehensive and comparative picture of gene expression in three housefly......Background The housefly is a global pest that has developed resistance to most insecticides applied against it. Resistance of the spinosad-resistant strain 791spin and the neonicotinoid-resistant 766b strain is believed to be due to metabolism. We investigate differentially expressed genes...

  4. ORF Alignment: NC_005835 [GENIUS II[Archive

    Lifescience Database Archive (English)

    Full Text Available ine pdb|1FJG|S Chain S, Structure ... Of The Thermus Thermophilus 30s Ribosomal Subunit In ... Complex With The Antibioti...cs Streptomycin, ... Spectinomycin, And Paromomycin ... Length = 84 ... Q

  5. ORF Alignment: NC_006461 [GENIUS II[Archive

    Lifescience Database Archive (English)

    Full Text Available ine pdb|1FJG|S Chain S, Structure ... Of The Thermus Thermophilus 30s Ribosomal Subunit In ... Complex With The Antibioti...cs Streptomycin, ... Spectinomycin, And Paromomycin ... Length = 84 ... Q

  6. Antimicrobial susceptibility of listeria monocytogenes from food products

    DEFF Research Database (Denmark)

    Aarestrup, Frank Møller; Knöchel, Susanne; Hasman, Henrik

    2007-01-01

    for susceptibility to ceftiofur, chloramphenicol, ciprofloxacin, erythromycin, florfenicol, penicillin, spectinomycin, streptomycin, tetracycline, tiamulin, trimethoprim, and co-trimoxazole, and the disinfectants benzalkonium chloride and triclosan, by determination of minimum inhibitory concentrations (MICs). All...

  7. Evaluation of tumor necrosis factor alpha serum level in obese and lean women with clomiphene citrate-resistant polycystic ovary disease.

    Science.gov (United States)

    Seyam, Emaduldin; Hasan, Momen; Khalifa, Eissa M; Ramadan, Ahmad; Hefzy, Enas

    2017-11-01

    The aim of this work was to investigate the level of the serum level of tumor necrosis factor alpha (TNF-α) as an inflammatory biomarker in lean and obese women with polycystic ovary disease (PCOD), who are resistant to clomiphene citrate (CCR-PCOD). It is a case-controlled study, where 150 (n = 150) PCOD women (study group), who are resistant to clomiphene citrate (CCR-PCOD) had been recruited, in addition to 100 (n = 100) women with PCOD, who are not resistant to clomiphene citrate (NCCR-PCOD) as the first control group, and another 100 women (n = 100) fertile women with normal reproductive health, as the second control group. All the recruited subjects had been divided into subgroups according to the BMI: One obese group with BMI ≥ 27 and the second lean group with BMI PCOD-relevant biochemical and hormonal tests. TNF-α level was found to be higher in all PCOD women, either the study or control PCOD groups, than the fertile control group (49.93 ± 3.39 versus 35.83 ± 2.47 pg/ml, p PCOD women (obese CCR-PCOD), while the lowest has come in the lean PCOD women, who are not resistant to clomiphene citrate (NCCR-PCOD). Free Androgen Index (FAI) and androgenic obesity with higher W/H ratio were clearly going with TNF-α pattern and have come higher in all PCOD compared to the fertile control group. Insulin resistance (IR) shows a positive correlation with BMI regardless off PCOD status and androgen level as well. The level of other basic and PCOD-relevant hormones like FSH, TSH and prolactin have never shown statistically significant differences between all the study and control groups, except LH serum level which has shown a nonsignificant higher level in all PCOD women included either resistant to CC or not. TNF-α serum level has come significantly higher in all women with PCOD, especially in those resistant to CC. Androgenic obesity with higher W/H ratio has shown a positive correlation with TNF-α level, which could consider it

  8. Connective tissue growth factor confers drug resistance in breast cancer through concomitant up-regulation of Bcl-xL and cIAP1.

    Science.gov (United States)

    Wang, Ming-Yang; Chen, Pai-Sheng; Prakash, Ekambaranellore; Hsu, Hsing-Chih; Huang, Hsin-Yi; Lin, Ming-Tsan; Chang, King-Jen; Kuo, Min-Liang

    2009-04-15

    Connective tissue growth factor (CTGF) expression is elevated in advanced breast cancer and promotes metastasis. Chemotherapy response is only transient in most metastatic diseases. In the present study, we examined whether CTGF expression could confer drug resistance in human breast cancer. In breast cancer patients who received neoadjuvant chemotherapy, CTGF expression was inversely associated with chemotherapy response. Overexpression of CTGF in MCF7 cells (MCF7/CTGF) enhanced clonogenic ability, cell viability, and resistance to apoptosis on exposure to doxorubicin and paclitaxel. Reducing the CTGF level in MDA-MB-231 (MDA231) cells by antisense CTGF cDNA (MDA231/AS cells) mitigated this drug resistance capacity. CTGF overexpression resulted in resistance to doxorubicin- and paclitaxel-induced apoptosis by up-regulation of Bcl-xL and cellular inhibitor of apoptosis protein 1 (cIAP1). Knockdown of Bcl-xL or cIAP1 with specific small interfering RNAs abolished the CTGF-mediated resistance to apoptosis induced by the chemotherapeutic agents in MCF7/CTGF cells. Inhibition of extracellular signal-regulated kinase (ERK)-1/2 effectively reversed the resistance to apoptosis as well as the up-regulation of Bcl-xL and cIAP1 in MCF7/CTGF cells. A neutralizing antibody against integrin alpha(v)beta(3) significantly attenuated CTGF-mediated ERK1/2 activation and up-regulation of Bcl-xL and cIAP1, indicating that the integrin alpha(v)beta(3)/ERK1/2 signaling pathway is essential for CTGF functions. The Bcl-xL level also correlated with the CTGF level in breast cancer patients. We also found that a COOH-terminal domain peptide from CTGF could exert activities similar to full-length CTGF, in activation of ERK1/2, up-regulation of Bcl-xL/cIAP1, and resistance to apoptosis. We conclude that CTGF expression could confer resistance to chemotherapeutic agents through augmenting a survival pathway through ERK1/2-dependent Bcl-xL/cIAP1 up-regulation.

  9. Increased tolerance and resistance to virus infections: a possible factor in the survival of Varroa destructor-resistant honey bees (Apis mellifera.

    Directory of Open Access Journals (Sweden)

    Barbara Locke

    Full Text Available The honey bee ectoparasitic mite, Varroa destructor, has a world-wide distribution and inflicts more damage than all other known apicultural diseases. However, Varroa-induced colony mortality is more accurately a result of secondary virus infections vectored by the mite. This means that honey bee resistance to Varroa may include resistance or tolerance to virus infections. The aim of this study was to see if this is the case for a unique population of mite-resistant (MR European honey bees on the island of Gotland, Sweden. This population has survived uncontrolled mite infestation for over a decade, developing specific mite-related resistance traits to do so. Using RT-qPCR techniques, we monitored late season virus infections, Varroa mite infestation and honey bee colony population dynamics in the Gotland MR population and compared this to mite-susceptible (MS colonies in a close by apiary. From summer to autumn the deformed wing virus (DWV titres increased similarly between the MR and MS populations, while the black queen cell virus (BQCV and sacbrood virus (SBV titres decreased substantially in the MR population compared to the MS population by several orders of magnitude. The MR colonies all survived the following winter with high mite infestation, high DWV infection, small colony size and low proportions of autumn brood, while the MS colonies all perished. Possible explanations for these changes in virus titres and their relevance to Varroa resistance and colony winter survival are discussed.

  10. Specific Clinical Profile and Risk Factors for Mortality in General Surgery Patients with Infections by Multi-Drug-Resistant Gram-Negative Bacteria.

    Science.gov (United States)

    Rubio-Perez, Ines; Martin-Perez, Elena; Domingo-García, Diego; Garcia-Olmo, Damian

    2017-07-01

    The incidence of gram-negative multi-drug-resistant (MDR) infections is increasing worldwide. This study sought to determine the incidence, clinical profiles, risk factors, and mortality of these infections in general surgery patients. All general surgery patients with a clinical infection by gram-negative MDR bacteria were studied prospectively for a period of five years (2007-2011). Clinical, surgical, and microbiologic parameters were recorded, with a focus on the identification of risk factors for MDR infection and mortality. Incidence of MDR infections increased (5.6% to 15.2%) during the study period; 106 patients were included, 69.8% presented nosocomial infections. Mean age was 65 ± 15 years, 61% male. Extended-spectrum β-lactamases (ESBL) Escherichia coli was the most frequent MDR bacteria. Surgical site infections and abscesses were the most common culture locations. The patients presented multiple pre-admission risk factors and invasive measures during hospitalization. Mortality was 15%, and related to older age (odds ratio [OR] 1.07), malnutrition (OR 13.5), chronic digestive conditions (OR 4.7), chronic obstructive pulmonary disease (OR 3.9), and surgical re-intervention (OR 9.2). Multi-drug resistant infections in the surgical population are increasing. The most common clinical profile is a 65-year-old male, with previous comorbidities, who has undergone a surgical intervention, intensive care unit (ICU) admission, and invasive procedures and who has acquired the MDR infection in the nosocomial setting.

  11. Multidrug-resistant Salmonella enterica serovar Typhimurium isolates are resistant to antibiotics that influence their swimming and swarming motility

    Science.gov (United States)

    Motile bacteria utilize one or more strategies for movement, such as darting, gliding, sliding, swarming, swimming, and twitching. The ability to move is considered a virulence factor in many pathogenic bacteria, including Salmonella. Multidrug-resistant (MDR) Salmonella encodes acquired factors t...

  12. Improved understanding of factors driving methicillin-resistant Staphylococcus aureus epidemic waves

    Science.gov (United States)

    Chatterjee, Som S; Otto, Michael

    2013-01-01

    Methicillin-resistant Staphylococcus aureus (MRSA) remains one of the most important causes of nosocomial infections worldwide. Since the global spread of MRSA in the 1960s, MRSA strains have evolved with increased pathogenic potential. Notably, some strains are now capable of causing persistent infections not only in hospitalized patients but also in healthy individuals in the community. Furthermore, MRSA is increasingly associated with infections among livestock-associated workers, primarily because of transmission from animals to humans. Moreover, many MRSA strains have gained resistance to most available antibiotics. In this review, we will present current knowledge on MRSA epidemiology and discuss new endeavors being undertaken to understand better the molecular and epidemiological underpinnings of MRSA outbreaks. PMID:23861600

  13. Chamaecyparis obtusa Essential Oil Inhibits Methicillin-Resistant Staphylococcus aureus Biofilm Formation and Expression of Virulence Factors.

    Science.gov (United States)

    Kim, Eun-Sook; Kang, Sun-Young; Kim, Young-Hoi; Lee, Young-Eun; Choi, Na-Young; You, Yong-Ouk; Kim, Kang-Ju

    2015-07-01

    The emergence of antibiotic-resistant bacteria has caused difficulty in treating infectious diseases. Methicillin-resistant Staphylococcus aureus (MRSA) is one of the most commonly recognized antibiotic-resistant bacteria. Novel antibiotics are urgently required to treat these bacteria. Raw materials derived from natural sources can be used for the development of novel antibiotics, such as Chamaecyparis obtusa (C. obtusa), which has been traditionally used in treating asthmatic disease. In this study, the antibacterial activity of the essential oil (EO) extracted from C. obtusa leaves against MRSA was investigated. MRSA growth and acid production from glucose metabolism were inhibited at concentrations greater than 0.1 mg/mL C. obtusa EO. MRSA biofilm formation was observed using scanning electron microscopy and safranin staining. C. obtusa EO inhibited MRSA biofilm formation at concentrations greater than 0.1 mg/mL. Using real-time polymerase chain reaction, mRNA expression of virulence factor genes, sea, agrA, and sarA, was observed. agrA expression was inhibited with C. obtusa EO concentrations greater than 0.2 mg/mL, whereas inhibition of sea and sarA expression was also observed at a concentration of 0.3 mg/mL. C. obtusa EO was analyzed by gas chromatography (GC) and GC coupled for mass spectrometry, which identified 59 constituents, accounting to 98.99% of the total EO. These findings suggest that C. obtusa EO has antibacterial effects against MRSA, which might be associated with the major components of C. obtusa EO, such as sabinene (19.06%), α-terpinyl acetate (16.99%), bornyl acetate (10.48%), limonene (8.54%), elemol (7.47%), myrcene (5.86%), γ-terpinene (4.04%), and hibaene (3.01%).

  14. The Stigma Resistance Scale: A multi-sample validation of a new instrument to assess mental illness stigma resistance.

    Science.gov (United States)

    Firmin, Ruth L; Lysaker, Paul H; McGrew, John H; Minor, Kyle S; Luther, Lauren; Salyers, Michelle P

    2017-12-01

    Although associated with key recovery outcomes, stigma resistance remains under-studied largely due to limitations of existing measures. This study developed and validated a new measure of stigma resistance. Preliminary items, derived from qualitative interviews of people with lived experience, were pilot tested online with people self-reporting a mental illness diagnosis (n = 489). Best performing items were selected, and the refined measure was administered to an independent sample of people with mental illness at two state mental health consumer recovery conferences (n = 202). Confirmatory factor analyses (CFA) guided by theory were used to test item fit, correlations between the refined stigma resistance measure and theoretically relevant measures were examined for validity, and test-retest correlations of a subsample were examined for stability. CFA demonstrated strong fit for a 5-factor model. The final 20-item measure demonstrated good internal consistency for each of the 5 subscales, adequate test-retest reliability at 3 weeks, and strong construct validity (i.e., positive associations with quality of life, recovery, and self-efficacy, and negative associations with overall symptoms, defeatist beliefs, and self-stigma). The new measure offers a more reliable and nuanced assessment of stigma resistance. It may afford greater personalization of interventions targeting stigma resistance. Copyright © 2017 Elsevier B.V. All rights reserved.

  15. Antimicrobial Resistance of Hypervirulent Klebsiella pneumoniae: Epidemiology, Hypervirulence-Associated Determinants, and Resistance Mechanisms

    Directory of Open Access Journals (Sweden)

    Chang-Ro Lee

    2017-11-01

    Full Text Available Klebsiella pneumoniae is one of the most clinically relevant species in immunocompromised individuals responsible for community-acquired and nosocomial infections, including pneumonias, urinary tract infections, bacteremias, and liver abscesses. Since the mid-1980s, hypervirulent K. pneumoniae, generally associated with the hypermucoviscosity phenotype, has emerged as a clinically significant pathogen responsible for serious disseminated infections, such as pyogenic liver abscesses, osteomyelitis, and endophthalmitis, in a generally younger and healthier population. Hypervirulent K. pneumoniae infections were primarily found in East Asia and now are increasingly being reported worldwide. Although most hypervirulent K. pneumoniae isolates are antibiotic-susceptible, some isolates with combined virulence and resistance, such as the carbapenem-resistant hypervirulent K. pneumoniae isolates, are increasingly being detected. The combination of multidrug resistance and enhanced virulence has the potential to cause the next clinical crisis. To better understand the basic biology of hypervirulent K. pneumoniae, this review will provide a summarization and discussion focused on epidemiology, hypervirulence-associated factors, and antibiotic resistance mechanisms of such hypervirulent strains. Epidemiological analysis of recent clinical isolates in China warns the global dissemination of hypervirulent K. pneumoniae strains with extensive antibiotic resistance in the near future. Therefore, an immediate response to recognize the global dissemination of this hypervirulent strain with resistance determinants is an urgent priority.

  16. Population-based surveillance of HIV drug resistance emerging on treatment and associated factors at sentinel antiretroviral therapy sites in Namibia.

    Science.gov (United States)

    Hong, Steven Y; Jonas, Anna; DeKlerk, Michael; Shiningavamwe, Andreas; Desta, Tiruneh; Badi, Alfons; Morris, Lynn; Hunt, Gillian M; Ledwaba, Johanna; Sheehan, Heidi B; Lau, Kiger; Trotter, Andrew; Tang, Alice M; Wanke, Christine; Jordan, Michael R

    2015-04-01

    The World Health Organization (WHO) prospective surveys of acquired HIV drug resistance (HIVDR) evaluate HIVDR emerging after the first year of antiretroviral therapy (ART) and associated factors. Consecutive ART starters in 2009 were enrolled at 3 sentinel sites in Namibia. Genotyping was performed at start and after 12 months in patients with HIV viral load (VL) >1000 copies per mL. HIVDR outcomes were: HIVDR prevention (VL ≤1000 copies/mL), possible HIVDR (VL >1000 copies/mL without detectable HIVDR or loss to follow-up or ART stop), and HIVDR (VL >1000 copies/mL with detectable HIVDR). Adherence was assessed using medication possession ratio (MPR). Of 394 starters, at 12 months, 80% were on first-line ART, 1% died, 4% transferred out, 1% stopped ART, <1% switched to second-line, and 15% were lost to follow-up. Among patients on first-line, 77% had VL testing, and 94% achieved VL ≤1000 copies per mL. At baseline, 7% had HIVDR. After 12 months, among patients with VL testing, 5% had HIVDR. A majority of patients failing therapy had high-level resistance to nonnucleoside reverse transcriptase inhibitors but none to protease inhibitors. All sites achieved the WHO target of ≥70% HIVDR prevention. Factors associated with not achieving HIVDR prevention were: baseline resistance to nonnucleoside reverse transcriptase inhibitors [odds ratio (OR) 3.0, P = 0.023], WHO stage 3 or 4 at baseline (OR 2.0, P = 0.012), and MPR <75% (OR 4.9, P = 0.021). Earlier ART initiation and removal of barriers to on-time drug pickups may help to prevent HIVDR. These data inform decisions at national and global levels on the effectiveness of first- and second-line regimens.

  17. Several Virulence Factors of Multidrug-Resistant Staphylococcus aureus Isolates From Hospitalized Patients in Tehran

    Directory of Open Access Journals (Sweden)

    Abdolmajid Ghasemian

    2015-05-01

    Full Text Available Background: Biofilm formation plays an important role in resistance of Staphylococcus aureus isolates; especially multidrug-resistant isolates are a threat to healthcare settings. Objectives: The aims of this study were to detect biofilm formation and presence of several related genes among multidrug-resistant (MDR isolates of Staphylococcus aureus. Patients and Methods: A total Of 209 S. aureus strains were isolated from patients and identified by conventional diagnostic tests. The multidrug-resistant MRSA isolates were detected by antibiotic susceptibility test. The phenotypic biofilm formation was detected by micro-titre tissue plate assay. The polymerase chain reaction (PCR was performed to detect the mecA, Staphylococcal Cassette Chromosome mec (SCCmec types, accessory gene regulatory (agr genes, the icaADBC and several genes encoding staphylococcal surface proteins including clfAB, fnbAB, fib, eno, can, ebps and bbp genes with specific primers. Results: Sixty-four (30.6% isolates were methicillin-resistant, among which thirty-six (56.2% were MDR. These isolates were resistant to amoxicillin, tetracycline, ciprofloxacin, gentamicin, erythromycin and trimethoprim-sulfamethoxazole (except to 6 isolates. All the isolates were susceptible to vancomycin and linezolid. All the MDR-MRSA harbored SCCmec type III. All the MDR- MRSA isolates were strong biofilm producers in the phenotypic test. The majority of MDR- MRSA was belonged to agrI (67%, n = 24, followed by agr II (17%, n = 6, agrIV (11%, n = 4 and agrIII (5.5%, n = 2. The frequency of icaADBC genes were 75% (n = 27, 61% (n = 22, 72% (n = 26 and 72% (n = 26, respectively. Furthermore, the prevalence of clfA, clfB, fnbA, fnbB, fib, can, eno, ebps and bbp genes was 100%, 100%, 67%, 56%, 80%, 63%, 78%, 7% and 0%, respectively. Furthermore, approximately all the MRSA was strong biofilm producers. Conclusions: Multidrug-resistant isolates produced biofilm strongly and the majority harbored most

  18. [Role of oral cavity colonization resistance in dental caries development].

    Science.gov (United States)

    Petrushanko, T A; Chereda, V V; Loban', G A

    2013-01-01

    Colonization resistance is one of local immunity mechanisms determined by a combination of factors that inhibit the adhesion and growth of mucous membrane bacteria. We examined patients with different levels of caries intensity assessing oral mucosa colonization resistance by our own method. Caries development resulted in changes of colonization resistance with the increased rate of inhibition of the oral mucosa colonization resistance barrier.

  19. Resistance to corrosion fatigue fracture in heat resistant steels and their welded joints

    International Nuclear Information System (INIS)

    Timofeev, B.T.; Fedorova, V.A.; Zvezdin, Yu.I.; Vajner, L.A.; Filatov, V.M.

    1987-01-01

    Experimental data on cyclic crack resistance of heat-resistant steels and their welded joints employed for production of the reactor bodies are for the first time generalized and systematized. The formula is suggested accounting for surface and inner defects to calculate the fatigue crack growth in the process of operation. This formula for surface defects regards also the effect of the corrosion factor. Mechanisms of the reactor water effect on the fatigue crack growth rate are considered as well as a combined effect of radiation and corrosive medium on this characteristic

  20. EFFECT OF AEROBIC EXERCISE, RESISTANCE TRAINING OR COMBINED TRAINING ON GLYCAEMIC CONTROL AND CARDIOVASCULAR RISK FACTORS IN PATIENTS WITH TYPE 2 DIABETES

    Directory of Open Access Journals (Sweden)

    Majid Mobasseri

    2012-04-01

    Full Text Available Physical activity has been proven as a useful intervention for prevention and treatment of type 2 diabetes mellitus (T2DM. The purpose of this article was to compare the effects of aerobic exercise alone and resistance training alone as well as the combination of aerobic plus resistance training on glycaemic control, cardiovascular risk factors, and body composition in patients with T2DM. Eighty T2DM participants (37 men, 43 women, aged 33-69 years, were randomly divided in equal numbers (n=20 into one of four groups (aerobic, resistance, combined training, and control. Exercise training was performed three times per week for 52 weeks. After one year, 60 subjects (15 subjects in each group were entered into the statistical analysis. Seventeen parameters were evaluated. Mean HbA1c showed statistically significant reductions in the three training groups. All subjects of training groups experienced improvement in postprandial glucose, blood pressure, VO2max, and muscular percentage. Furthermore, the reduced concentration of plasma triglycerides was significant in both aerobic exercise and combined training groups. Also, a significant reduction was observed in body fat percentage in resistance and combined groups. Combination of two forms of exercise training led to an additional improvement in some of the parameters such as A1c and triglycerides compared with aerobic alone or resistance training alone. In general, the reported results in previous studies were not obtained for whole lipid profile and BMI. Both aerobic and resistance training are effective interventions for the management of T2DM complications, but combined training is associated with greater positive changes.

  1. Omics Approach to Identify Factors Involved in Brassica Disease Resistance.

    Science.gov (United States)

    Francisco, Marta; Soengas, Pilar; Velasco, Pablo; Bhadauria, Vijai; Cartea, Maria E; Rodríguez, Victor M

    2016-01-01

    Understanding plant's defense mechanisms and their response to biotic stresses is of fundamental meaning for the development of resistant crop varieties and more productive agriculture. The Brassica genus involves a large variety of economically important species and cultivars used as vegetable source, oilseeds, forage and ornamental. Damage caused by pathogens attack affects negatively various aspects of plant growth, development, and crop productivity. Over the last few decades, advances in plant physiology, genetics, and molecular biology have greatly improved our understanding of plant responses to biotic stress conditions. In this regard, various 'omics' technologies enable qualitative and quantitative monitoring of the abundance of various biological molecules in a high-throughput manner, and thus allow determination of their variation between different biological states on a genomic scale. In this review, we have described advances in 'omic' tools (genomics, transcriptomics, proteomics and metabolomics) in the view of conventional and modern approaches being used to elucidate the molecular mechanisms that underlie Brassica disease resistance.

  2. The GATA transcription factor egl-27 delays aging by promoting stress resistance in Caenorhabditis elegans.

    Directory of Open Access Journals (Sweden)

    Xiao Xu

    Full Text Available Stress is a fundamental aspect of aging, as accumulated damage from a lifetime of stress can limit lifespan and protective responses to stress can extend lifespan. In this study, we identify a conserved Caenorhabditis elegans GATA transcription factor, egl-27, that is involved in several stress responses and aging. We found that overexpression of egl-27 extends the lifespan of wild-type animals. Furthermore, egl-27 is required for the pro-longevity effects from impaired insulin/IGF-1 like signaling (IIS, as reduced egl-27 activity fully suppresses the longevity of worms that are mutant for the IIS receptor, daf-2. egl-27 expression is inhibited by daf-2 and activated by pro-longevity factors daf-16/FOXO and elt-3/GATA, suggesting that egl-27 acts at the intersection of IIS and GATA pathways to extend lifespan. Consistent with its role in IIS signaling, we found that egl-27 is involved in stress response pathways. egl-27 expression is induced in the presence of multiple stresses, its targets are significantly enriched for many types of stress genes, and altering levels of egl-27 itself affects survival to heat and oxidative stress. Finally, we found that egl-27 expression increases between young and old animals, suggesting that increased levels of egl-27 in aged animals may act to promote stress resistance. These results identify egl-27 as a novel factor that links stress and aging pathways.

  3. Effect of electrode shape on grounding resistances - Part 1

    DEFF Research Database (Denmark)

    Ingeman-Nielsen, Thomas; Tomaskovicova, Sonia; Dahlin, Torleif

    2016-01-01

    Electrode grounding resistance is a major factor affecting measurement quality in electric resistivity tomography (ERT) measurements for cryospheric applications. Still, little information is available on grounding resistances in the geophysical literature, mainly because it is difficult to measure....... The focus-one protocol is a new method for estimating single electrode grounding resistances by measuring the resistance between a single electrode in an ERT array and all the remaining electrodes connected in parallel. For large arrays, the measured resistance is dominated by the grounding resistance...... of the electrode under test, the focus electrode. We have developed an equivalent circuit model formulation for the resistance measured when applying the focus-one protocol. Our model depends on the individual grounding resistances of the electrodes of the array, the mutual resistances between electrodes...

  4. Antimicrobial resistance patterns, clinical features, and risk factors for septic shock and death of nosocomial E coli bacteremia in adult patients with hematological disease: A monocenter retrospective study in China.

    Science.gov (United States)

    Ma, Jie; Li, Ning; Liu, Yajie; Wang, Chong; Liu, Xiaoyan; Chen, Shengmei; Xie, Xinsheng; Gan, Silin; Wang, Meng; Cao, Weijie; Wang, Fang; Liu, Yanfan; Wan, Dingming; Sun, Ling; Sun, Hui

    2017-05-01

    The aim of this retrospective analysis was to evaluate the antimicrobial resistance, clinical features, and risk factors for septic shock and death of nosocomial E coli bacteremia in adult patients in a single hematological center in China. A retrospective case-control study of 157 adult hematological patients with 168 episodes of E coli bacteremia was initiated from April 2012 to July 2015. Antimicrobial susceptibility as well as antimicrobial co-resistance rates were analyzed. Clinical features and outcomes were also studied. In addition, risk factors for septic shock and death were investigated. Among the 553 positive blood isolates during the study period, the prevalence of E coli was 33.3% and ESBL production strains represented 61.9% of those examined. In all the E coli strains isolated, 85.6% were multidrug-resistance (MDR), 2.4% were extensive drug resistance (XDR), and 6.0% were resistant to carbapenems. More MDR phenotype was noted in ESBL-EC strains (98.6% vs 62.8%, PE coli (94.0% and 92.0%, respectively), but lower co-resistance rates to other antibiotics. Carbapenem resistant strains retained full sensitivity to tigecycline and 60% to amikacin. Piperacillin/tazobatam was the third sensitive drug to both ESBL-EC (77.1%) and non-ESBL-EC (86.0%). In our series, 81.6% episodes received appropriate initial antibiotic treatment and no significant decrease in it was found in bacteremia due to ESBL E coli and patients with neutropenia, septic shock. Septic shock was noted in 15.5% patients and the overall 30-day mortality rate was 21.7%. Multivariate analysis revealed that induction chemotherapy (OR 2.126; 95% CI 1.624-11.332; P = .003) and polymicrobial infection (OR 3.628; 95% CI 1.065-21.219; P = .041) were risk factors for septic shock, whereas male (OR 2.223; 95% CI 1.132-12.022; P E coli bacteremia which is still a major life-threatening problem, especially for patients with septic shock. For empirical antimicrobial therapy, combination based on

  5. Expression of Drug-Resistant Factor Genes in Hepatocellular Carcinoma Patients Undergoing Chemotherapy with Platinum Complex by Arterial Infusion

    Directory of Open Access Journals (Sweden)

    Shiro Ueda

    2010-09-01

    Full Text Available This study investigated gene expression of drug resistance factors in biopsy tissue samples from hepatocellular carcinoma (HCC patients undergoing chemotherapy by platinum complex. Liver biopsy was performed to collect tissue from the tumor site (T and the non-tumor site (NT prior to the start of treatment. For drug-resistant factors, drug excretion transporters cMOAT and MDR-1, intracellular metal binding protein MT2, DNA repair enzyme ERCC-l and inter-nucleic cell transport protein MVP, were investigated. The comparison of the expression between T and NT indicated a significant decrease of MT2 and MDR-1 in T while a significant increase in ERCC-1 was noted in T. Further, expression was compared between the response cases and non-response cases using the ratios of expression in T to those in NT. The response rate was significantly low in the high expression group when the cutoff value of cMOAT and MT2 was set at 1.5 and 1.0, respectively. Furthermore, when the patients were classified into A group (cMOAT ≧ 1.5 or MT2 ≧ 1.0 and B group (cMOAT < 1.5 and MT2 < 1.0, the response rate of A group was significantly lower than B group when we combined the cutoff values of cMOAT and MT2. It is considered possible to estimate the therapeutic effect of platinum complex at a high probability by combining the expression condition of these two genes.

  6. Daptomycin-Vancomycin–Resistant Enterococcus faecium Native Valve Endocarditis

    Directory of Open Access Journals (Sweden)

    Khandakar Hussain MD

    2016-09-01

    Full Text Available Multidrug-resistant enterococcal nosocomial invasive infections are a rising concern faced by the medical community. Not many options are available to treat these highly virulent organisms. Risk factors for developing these highly resistant organisms include prolonged hospital stay, previous antibiotic use, and immunosuppression. In this article, we report a case of daptomycin-resistant enterococcal native infective endocarditis treated with off-label use of quinupristin-dalfopristin.

  7. Influence of selected factors on bovine spermatozoa cold shock resistance

    Directory of Open Access Journals (Sweden)

    Luděk Stádník

    2015-01-01

    Full Text Available The objectives of this study were to determine the effects of sire, extender, and addition of Low Density Lipoprotein (LDL to extenders used on the percentage rate of spermatozoa survival after cold shock. Two groups of extenders were compared: without LDL addition (control variants and LDL enriched (experimental variants. Three extenders were used: AndroMed®, Bioxcell®, and Triladyl®. Experimental variants included 4–8% LDL addition into the AndroMed® and Bioxcell® extenders, and 6–10% LDL addition into the Triladyl® extender. In total, 12 samples of fresh semen were collected from 4 bulls during a period of 8 weeks. Bovine spermatozoa cold shock resistance (1 ± 1 °C, 10 min was evaluated by the percentage rate of live sperm using eosin-nigrosine staining immediately and after heat incubation (37 ± 1 °C, 120 min. The results showed the effect of sire as important and individual differences between selected sires in their sperm resistance against cold shock were confirmed. AndroMed® and Bioxcell® were found to be providing better protection of bull semen to cold shock compared to Triladyl® due to lower decline of live sperm proportion. Our results detected a positive effect of LDL addition on sperm resistance against cold shock, especially on lower decrease of live sperm percentage rate after 120 min of the heat test (P < 0.05. Further studies are needed to assess the optimal concentration of LDL in various kinds of extenders as well to state ideal time and temperature conditions for ensuring LDL reaction with sperm.

  8. Predicting resistance by mutagenesis: lessons from 45 years of MBC resistance

    Directory of Open Access Journals (Sweden)

    Nichola J. Hawkins

    2016-11-01

    Full Text Available When a new fungicide class is introduced, it is useful to anticipate the resistance risk in advance, attempting to predict both risk level and potential mechanisms. One tool for the prediction of resistance risk is laboratory selection for resistance, with the mutational supply increased through UV or chemical mutagenesis. This enables resistance to emerge more rapidly than in the field, but may produce mutations that would not emerge under field conditions.The methyl-benzimidazole carbamates (MBCs were the first systemic single-site agricultural fungicides, and the first fungicides affected by rapid evolution of target-site resistance. MBC resistance has now been reported in over 90 plant pathogens in the field, and laboratory mutants have been studied in nearly 30 species.The most common field mutations, including β-tubulin E198A/K/G, F200Y and L240F, have all been identified in laboratory mutants. However, of 28 mutations identified in laboratory mutants, only nine have been reported in the field. Therefore, the predictive value of mutagenesis studies would be increased by understanding which mutations are likely to emerge in the field.Our review of the literature indicates that mutations with high resistance factors, and those found in multiple species, are more likely to be reported in the field. However, there are many exceptions, possibly due to fitness penalties. Whether a mutation occurred in the same species appears less relevant, perhaps because β-tubulin is highly conserved so functional constraints are similar across all species. Predictability of mutations in other target sites will depend on the level and conservation of constraints.

  9. Sedentary lifestyle and its relation to cardiovascular risk factors, insulin resistance and inflammatory profile.

    Science.gov (United States)

    León-Latre, Montserrat; Moreno-Franco, Belén; Andrés-Esteban, Eva M; Ledesma, Marta; Laclaustra, Martín; Alcalde, Víctor; Peñalvo, José L; Ordovás, José M; Casasnovas, José A

    2014-06-01

    To analyze the association between sitting time and biomarkers of insulin resistance and inflammation in a sample of healthy male workers. Cross-sectional study carried out in a sample of 929 volunteers belonging to the Aragon Workers' Health Study cohort. Sociodemographic, anthropometric, pharmacological and laboratory data were collected: lipids-total cholesterol, high-density lipoprotein cholesterol, low-density lipoprotein cholesterol, triglycerides, apolipoproteins A-1 and B-100, lipoprotein (a)-, insulin resistance-glucose, glycated hemoglobin, homeostasis model assessment of insulin resistance, insulin, and triglyceride/high-density lipoprotein cholesterol ratio-, and inflammatory profile-C-reactive protein and leukocytes. Information on sitting time and physical activity was assessed using a questionnaire. Sedentary behavior was analyzed in terms of prevalences and medians, according to tertiles, using a multivariate model (crude and adjusted linear regression) with biomarkers of inflammation and insulin resistance. The most sedentary individuals had higher body mass index, greater waist circumference, and higher systolic blood pressure, with a significant upward trend in each tertile. Likewise, they had a worse lipid profile with a higher C-reactive protein level, homeostasis model assessment of insulin resistance index, triglyceride/high-density lipoprotein cholesterol ratio, and insulin concentration. In the multivariate analysis, we observed a significant association between the latter parameters and sitting time in hours (log C-reactive protein [β = 0.07], log homeostasis model assessment of insulin resistance index [β = 0.05], triglyceride/high-density lipoprotein cholesterol ratio [β = 0.23], and insulin [β = 0.44]), which remained after adjustment for metabolic equivalents-h/week. Workers who spend more time sitting show a worse inflammatory and insulin resistance profile independently of the physical activity performed. Copyright © 2013

  10. Strategies to be used in the struggle between resistance and virulence genes

    International Nuclear Information System (INIS)

    Zitelli, G.; Vallega, V.

    1977-01-01

    The cultivation of wheat varieties resistant to diseases such as stem and leaf rusts, mildew and septoria plays an important role in modern agriculture. However, the problem of how to keep varieties resistant for a long period has not yet been solved. Whatever type of resistance (specific, non-specific, tolerance etc.) the breeder chooses to use in his breeding work, the resistance stability will depend very much on the strategy used. There are many different approaches: (i) To introduce single specific factors into the cultivated varieties; (ii) To introduce the maximum number of factors in the same variety; (iii) To create multiline varieties; (iv) To cultivate different varieties carrying different resistant factors. Such a ''mosaic'' artificially creates, as in the case of multiline varieties, conditions similar to those which we find in wild populations. The use of multiline varieties and of ''mosaic'' varieties stresses the need for finding a greater number of different genes for resistance. At present we know that a large number of genes for resistance to rusts and to mildew is available in bread and durum wheats and in related species. (author)

  11. Influence factors of the inter-nanowire thermal contact resistance in the stacked nanowires

    Science.gov (United States)

    Wu, Dongxu; Huang, Congliang; Zhong, Jinxin; Lin, Zizhen

    2018-05-01

    The inter-nanowire thermal contact resistance is important for tuning the thermal conductivity of a nanocomposite for thermoelectric applications. In this paper, the stacked copper nanowires are applied for studying the thermal contact resistance. The stacked copper nanowires are firstly made by the cold-pressing method, and then the nanowire stacks are treated by sintering treatment. With the effect of the volumetric fraction of nanowires in the stack and the influence of the sintering-temperature on the thermal contact resistance discussed, results show that: The thermal conductivity of the 150-nm copper nanowires can be enlarged almost 2 times with the volumetric fraction increased from 32 to 56% because of the enlarged contact-area and contact number of a copper nanowire. When the sintering temperature increases from 293 to 673 K, the thermal conductivity of the stacked 300-nm nanowires could be enlarged almost 2.5 times by the sintering treatment, because of the improved lattice property of the contact zone. In conclusion, application of a high volumetric fraction or/and a sintering-treatment are effectivity to tune the inter-nanowire thermal contact resistance, and thus to tailor the thermal conductivity of a nanowire network or stack.

  12. Towards integrating acceptance and resistance research : evidence from a telecare case study

    NARCIS (Netherlands)

    van Offenbeek, Marjolein; Boonstra, Albert; Seo, DongBack

    There is wide agreement that acceptance and resistance are crucial factors in information system (IS) adoption. Research has yielded many theories that have focused on either acceptance or resistance, often implicitly assuming that these are opposites. This paper proposes a two-factor view on

  13. ETHYLENE RESPONSE FACTOR 96 positively regulates Arabidopsis resistance to necrotrophic pathogens by direct binding to GCC elements of jasmonate - and ethylene-responsive defence genes.

    Science.gov (United States)

    Catinot, Jérémy; Huang, Jing-Bo; Huang, Pin-Yao; Tseng, Min-Yuan; Chen, Ying-Lan; Gu, Shin-Yuan; Lo, Wan-Sheng; Wang, Long-Chi; Chen, Yet-Ran; Zimmerli, Laurent

    2015-12-01

    The ERF (ethylene responsive factor) family is composed of transcription factors (TFs) that are critical for appropriate Arabidopsis thaliana responses to biotic and abiotic stresses. Here we identified and characterized a member of the ERF TF group IX, namely ERF96, that when overexpressed enhances Arabidopsis resistance to necrotrophic pathogens such as the fungus Botrytis cinerea and the bacterium Pectobacterium carotovorum. ERF96 is jasmonate (JA) and ethylene (ET) responsive and ERF96 transcripts accumulation was abolished in JA-insensitive coi1-16 and in ET-insensitive ein2-1 mutants. Protoplast transactivation and electrophoresis mobility shift analyses revealed that ERF96 is an activator of transcription that binds to GCC elements. In addition, ERF96 mainly localized to the nucleus. Microarray analysis coupled to chromatin immunoprecipitation-PCR of Arabidopsis overexpressing ERF96 revealed that ERF96 enhances the expression of the JA/ET defence genes PDF1.2a, PR-3 and PR-4 as well as the TF ORA59 by direct binding to GCC elements present in their promoters. While ERF96-RNAi plants demonstrated wild-type resistance to necrotrophic pathogens, basal PDF1.2 expression levels were reduced in ERF96-silenced plants. This work revealed ERF96 as a key player of the ERF network that positively regulates the Arabidopsis resistance response to necrotrophic pathogens. © 2015 John Wiley & Sons Ltd.

  14. Methodologies for evaluating the proliferation resistance of nuclear fuel cycles

    International Nuclear Information System (INIS)

    Shiotani, Hiroki; Hori, Kei-ichiro; Takeda, Hiroshi

    2001-01-01

    The Japan Nuclear Cycle Development Institute (JNC) believes that the development of future nuclear fuel cycle technology should be conducted with careful consideration given to non-proliferation. JNC is studying methodologies for evaluating proliferation resistance of nuclear fuel cycle technologies. However, it is difficult to establish the methodology for evaluating proliferation resistance since the results greatly depend on the assumption for the evaluation and the surrounding conditions. This study grouped factors of proliferation resistance into categories through reviewing past studies and studied the relationships between the factors. Then, this study tried to find vulnerable nuclear material (plutonium) in some FBR fuel cycles from the proliferation perspective, and calculated the time it takes to convert the materials from various nuclear fuel cycles into pure plutonium metal under some assumptions. The result showed that it would take a long time to convert the nuclear materials from the FBR fuel cycles without plutonium separation. While it is a preliminary attempt to evaluate a technical factor of proliferation resistance as the basis of the institutional proliferation resistance, the JNC hopes that it will contribute to future discussions in this area. (author)

  15. Resistant starch in cassava products

    Directory of Open Access Journals (Sweden)

    Bruna Letícia Buzati Pereira

    2014-06-01

    Full Text Available Found in different foods, starch is the most important source of carbohydrates in the diet. Some factors present in starchy foods influence the rate at which the starch is hydrolyzed and absorbed in vivo. Due the importance of cassava products in Brazilian diet, the objective of this study was to analyze total starch, resistant starch, and digestible starch contents in commercial cassava products. Thirty three commercial cassava products from different brands, classifications, and origin were analyzed. The method used for determination of resistant starch consisted of an enzymatic process to calculate the final content of resistant starch considering the concentration of glucose released and analyzed. The results showed significant differences between the products. Among the flours and seasoned flours analyzed, the highest levels of resistant starch were observed in the flour from Bahia state (2.21% and the seasoned flour from Paraná state (1.93%. Starch, tapioca, and sago showed levels of resistant starch ranging from 0.56 to 1.1%. The cassava products analyzed can be considered good sources of resistant starch; which make them beneficial products to the gastrointestinal tract.

  16. Corrosion resistance of amorphous NiCrZr and NiCrMoZr alloys

    International Nuclear Information System (INIS)

    Naka, M.; Miyake, M.; Okamoto, I.

    1987-01-01

    One of the authors has reported that the corrosion resistance of chromium containing amorphous alloys is extremely improved by alloying phosphorus among metalloids. Two factors operate for the improvement of corrosion resistance of the amorphous alloys. First, phosphorus serves for the rapid formation of protective passive film. Second, the compositional and structural homogeneity in amorphous state also account for the formation of protective film. The latter factor has been clearly seen in the high corrosion resistance of CoCrMoZr and CoCrWZr alloys without metalloids. In order to clarify the separately two factors in the corrosion resistance of amorphous alloys, the corrosion resistance of amorphous alloys without metalloids has to be further investigated. This paper also deals with the corrosion resistance and electrochemical behavior of NiCrZr and NiCrMoZr alloys in 1N HCl, and compare them with the corrosion behavior of the crystalline alloys containing the same composition as that of the amorphous alloys

  17. Genotypes, antibiotic resistance, and virulence factors of staphylococci from ready-to-eat food.

    Science.gov (United States)

    Podkowik, Magdalena; Bystroń, Jarosław; Bania, Jacek

    2012-01-01

    Sixty-seven staphylococcal isolates belonging to 12 species were obtained from 70 ready-to-eat food products. Staphylococcus aureus (n=25), and Staphylococcus epidermidis (n=13) were dominant. Susceptibility to penicillin, oxacillin, tetracycline, clindamycin, gentamicin, erythromycin, ciprofloxacin, and vancomycin was determined. All investigated S. aureus isolates were resistant to at least one antibiotic, and fifteen isolates were resistant to four and more antibiotics. Thirty-eight coagulase-negative staphylococci (CNS) isolates were resistant to at least one antibiotic, and seventeen to four and more antibiotics. Fifteen CNS isolates were mecA positive, and grew in the presence of 6 μg/mL oxacillin. All S. aureus isolates were mecA-negative. Arginine catabolic mobile element (ACME) was found in seven S. epidermidis isolates. Five S. epidermidis isolates harbored ica operon, ACME and were able to form biofilm. Three of them also possessed IS256 element and were mecA-positive. The expression of icaA gene was comparable in five ica-positive S. epidermidis isolates. One of six mecA positive S. epidermidis isolates was classified as sequence type (ST)155, one as ST110, and two as ST88. Two methicillin-resistant Staphylococcus epidermis (MRSE) belonged to new STs, that is, ST362, and ST363. Enterotoxin genes were found in 92% of S. aureus isolates. No enterotoxin gene was detected in analyzed CNS population. We show that ready-to-eat products are an important source of antibiotic-resistant CNS and potentially virulent strains of S. epidermidis, including genotypes undistinguishable from hospital-adapted clones.

  18. Antibiotic susceptibility profiles of Mycoplasma sp. 1220 strains isolated from geese in Hungary.

    Science.gov (United States)

    Grózner, Dénes; Kreizinger, Zsuzsa; Sulyok, Kinga M; Rónai, Zsuzsanna; Hrivnák, Veronika; Turcsányi, Ibolya; Jánosi, Szilárd; Gyuranecz, Miklós

    2016-08-19

    Mycoplasma sp. 1220 can induce inflammation primarily in the genital and respiratory tracts of waterfowl, leading to serious economic losses. Adequate housing and appropriate antibiotic treatment are promoted in the control of the disease. The aim of the present study was to determine the in vitro susceptibility to thirteen different antibiotics and an antibiotic combination of thirty-eight M. sp. 1220 strains isolated from geese and a duck in several parts of Hungary, Central Europe between 2011 and 2015. High MIC50 values were observed in the cases of tilmicosin (>64 μg/ml), oxytetracycline (64 μg/ml), norfloxacin (>10 μg/ml) and difloxacin (10 μg/ml). The examined strains yielded the same MIC50 values with spectinomycin, tylosin and florfenicol (8 μg/ml), while enrofloxacin (MIC50 5 μg/ml), doxycycline (MIC50 5 μg/ml), lincomycin (MIC50 4 μg/ml) and lincomycin-spectinomycin (1:2) combination (MIC50 4 μg/ml) inhibited the growth of the bacteria with lower concentrations. Tylvalosin (MIC50 0.5 μg/ml) and two pleuromutilins (tiamulin MIC50 0.625 μg/ml; valnemulin MIC50 ≤ 0.039 μg/ml) were found to be the most effective drugs against M. sp. 1220. However, strains with elevated MIC values were detected for all applied antibiotics. Valnemulin, tiamulin and tylvalosin were found to be the most effective antibiotics in the study. Increasing resistance was observed in the cases of several antibiotics. The results highlight the importance of testing Mycoplasma species for antibiotic susceptibility before therapy.

  19. On-farm starling populations and other environmental and management factors associated with the presence of cefotaxime and ciprofloxacin resistant E. coli among dairy cattle in Ohio.

    Science.gov (United States)

    Medhanie, Genet A; Pearl, David L; McEwen, Scott A; Guerin, Michele T; Jardine, Claire M; Schrock, Jennifer; LeJeune, Jeffrey T

    2016-11-01

    Wild birds that forage around livestock facilities have been implicated as vectors of antimicrobial resistant organisms. Although antimicrobial resistant bacteria have been isolated from European starlings (Sturnus vulgaris), their role in the dissemination of antimicrobial resistant elements in livestock facilities needs further investigation. To determine whether on-farm starling density and other factors were associated with the presence of cefotaxime and ciprofloxacin resistant E. coli among dairy cows in Ohio, bovine fecal pats from 150 farms were tested for the presence of cefotaxime and ciprofloxacin resistant E. coli. Each farm was visited twice (during the summer and fall of 2007-2009). Multi-level logistic regression models with a random intercept to account for fecal pats collected within a specific visit to a farm were used to assess the associations. The percentage of samples with cefotaxime and ciprofloxacin resistant E. coli was 13.4% and 13.6%, respectively. The percentage of farms having at least one sample testing positive for cefotaxime and ciprofloxacin resistant E. coli was 56.7% and 48.7%, respectively. The odds of detecting cefotaxime and ciprofloxacin resistant E. coli in the samples was significantly higher in 2007 compared to 2008 and 2009, in fall compared to summer, and from farms closer than 60km to starling night roost sites compared to the farms further than 60km. The presence of starlings during the day had a negative association with the likelihood of detecting cefotaxime resistant E. coli. Presence of calves also had a negative association with the likelihood of detecting both cefotaxime and ciprofloxacin resistant E. coli. European starlings might play a role in the dissemination of antimicrobial resistant agents in livestock facilities related to their daily population movements rather than the specific density of birds on farm during the day. Copyright © 2016 Elsevier B.V. All rights reserved.

  20. Anxiety and Resistance in Distance Learning

    OpenAIRE

    Nazime Tuncay; Huseyin Uzunboylu

    2010-01-01

    The purpose of this study was to investigate students' anxiety and resistance towards learning through distance education.Specifically, the study sought answers to the following questions: -What are the reasons of students not choosing distancelearning courses? -Which symptoms of anxiety, if any, do distance learner’s exhibit towards distance learning? Does genderhave any significant relationships with distance learners' perception of factors that affect their anxiety and resistance? A totalo...

  1. Pathophysiological mechanisms of death resistance in colorectal carcinoma.

    Science.gov (United States)

    Huang, Ching-Ying; Yu, Linda Chia-Hui

    2015-11-07

    Colon cancers develop adaptive mechanisms to survive under extreme conditions and display hallmarks of unlimited proliferation and resistance to cell death. The deregulation of cell death is a key factor that contributes to chemoresistance in tumors. In a physiological context, balance between cell proliferation and death, and protection against cell damage are fundamental processes for maintaining gut epithelial homeostasis. The mechanisms underlying anti-death cytoprotection and tumor resistance often bear common pathways, and although distinguishing them would be a challenge, it would also provide an opportunity to develop advanced anti-cancer therapeutics. This review will outline cell death pathways (i.e., apoptosis, necrosis, and necroptosis), and discuss cytoprotective strategies in normal intestinal epithelium and death resistance mechanisms of colon tumor. In colorectal cancers, the intracellular mechanisms of death resistance include the direct alteration of apoptotic and necroptotic machinery and the upstream events modulating death effectors such as tumor suppressor gene inactivation and pro-survival signaling pathways. The autocrine, paracrine and exogenous factors within a tumor microenvironment can also instigate resistance against apoptotic and necroptotic cell death in colon cancers through changes in receptor signaling or transporter uptake. The roles of cyclooxygenase-2/prostaglandin E2, growth factors, glucose, and bacterial lipopolysaccharides in colorectal cancer will be highlighted. Targeting anti-death pathways in the colon cancer tissue might be a promising approach outside of anti-proliferation and anti-angiogenesis strategies for developing novel drugs to treat refractory tumors.

  2. resistance training and changes to plasma lipoproteins in ...

    African Journals Online (AJOL)

    to resistance training, HDL-cholesterol was reduced in women aged 54 - 71 years over 12 weeks. 12 ... the effect of a 24-week progressive resistance training programme on the blood lipid profiles of a sample ..... cise training on cardiovascular risk factors of sedentary, overweight, pre- menopausal and postmenopausal ...

  3. Prenatal Testosterone Programming of Insulin Resistance in the Female Sheep.

    Science.gov (United States)

    Puttabyatappa, Muraly; Padmanabhan, Vasantha

    2017-01-01

    Insulin resistance, a common feature of metabolic disorders such as obesity, nonalcoholic fatty liver disease, metabolic syndrome, and polycystic ovary syndrome, is a risk factor for development of diabetes. Because sex hormones orchestrate the establishment of sex-specific behavioral, reproductive, and metabolic differences, a role for them in the developmental origin of insulin resistance is also to be expected. Female sheep exposed to male levels of testosterone during fetal life serve as an excellent translational model for delineating programming of insulin resistance. This chapter summarizes the ontogeny of insulin resistance, the tissue-specific changes in insulin sensitivity, and the various factors that are involved in the programming and maintenance of the insulin resistance in adult female sheep that were developmentally exposed to fetal male levels of testosterone during the sexual-differentiation window.

  4. Occurrence Of Virulence Factors And Antimicrobial Resistance In Pasteurella Multocida Strains Isolated From Slaughter Cattle In Iran

    Directory of Open Access Journals (Sweden)

    Faham eKhamesipour

    2014-10-01

    Full Text Available A total of 30 Pasteurella multocida strains isolated from 333 pneumonic and apparently health slaughter cattle were examined for capsule biosynthesis genes and 23 virulence associated genes by polymerase chain reaction. The disc diffusion technique was used to determine antimicrobial resistance profiles among the isolates. Of the isolates, 23 belonged to capsular type A, 5 to capsular type D and two isolates were untypeable. The distribution of the capsular types in pneumonic lungs and in apparently health lungs was statistically similar. All virulence genes tested were detected among the isolates derived from pneumonic lungs; whereas isolates derived from apparently health lungs carried 16 of the 23 genes. The frequently detected genes among isolates from pneumonic lungs were exbD, hgbA, hgbB, ompA, ompH, oma87 and sodC; whereas tadD, toxA and pmHAS genes occurred less frequently. Most of the adhesins and superoxide dismutases; and all of the iron acquisition and protectin proteins occurred at significantly (p≤0.05 higher frequencies in isolates from pneumonic lungs. Isolates from apparently healthy lungs didn’t carry the following genes; hsf-1, hsf-2, tadD, toxA, nanB, nanH and pmHAS. One adhesion (hsf-1 and two iron acquisition (exbD and tonB genes occurred at significantly (p≤0.05 higher frequencies among capA isolates. All the P. multocida isolates were susceptible to ciprofloxacin, co-trimoxazole, doxycycline, enrofloxacin, nitrofurantoin and tetracyclines. Different proportions of the isolates were however resistant to ampicillin, amoxicillin, erythromycin, lincomycin, penicillin, rifampin, streptomycin and florfenicol. Our results reveal presence of virulence factors in P. multocida strains isolated from symptomatic and asymptomatic bovids. A higher frequency of the factors among isolates from symptomatic study animals may suggest their role in pathogenesis of P. multocida-associated bovine respiratory disease. The results further

  5. Infection control implications of heterogeneous resistance mechanisms in carbapenem-resistant Enterobacteriaceae (CRE).

    Science.gov (United States)

    Goodman, K E; Simner, P J; Tamma, P D; Milstone, A M

    2016-01-01

    The Centers for Disease Control and Prevention (CDC) defines carbapenem-resistant Enterobacteriaceae (CRE) based upon a phenotypic demonstration of carbapenem resistance. However, considerable heterogeneity exists within this definitional umbrella. CRE may mechanistically differ by whether they do or do not produce carbapenemases. Moreover, patients can acquire CRE through multiple pathways: endogenously through antibiotic selective pressure on intestinal microbiota, exogenously through horizontal transmission or through a combination of these factors. Some evidence suggests that non-carbapenemase-producing CRE may be more frequently acquired by antibiotic exposure and carbapenemase-producing CRE via horizontal transmission, but definitive data are lacking. This review examines types of CRE resistance mechanisms, antibiotic exposure and horizontal transmission pathways of CRE acquisition, and the implications of these heterogeneities to the development of evidence-based CRE healthcare epidemiology policies. In our Expert Commentary & Five-Year View, we outline specific nosocomial CRE knowledge gaps and potential methodological approaches for their resolution.

  6. Induced resistance in plants and the role of pathogenesis-related proteins

    NARCIS (Netherlands)

    Loon, L.C. van

    1997-01-01

    The nature of induced resistance Resistance, according to Agrios (1988) is the ability of an organism to exclude or overcome, completely or in some degree, the effect of a pathogen or other damaging factor. Disease resistance in plants is manifested by limited symptoms, reflecting the

  7. Clinico-pathological factors influencing surgical outcome in drug resistant epilepsy secondary to mesial temporal sclerosis.

    Science.gov (United States)

    Savitr Sastri, B V; Arivazhagan, A; Sinha, Sanjib; Mahadevan, Anita; Bharath, R D; Saini, J; Jamuna, R; Kumar, J Keshav; Rao, S L; Chandramouli, B A; Shankar, S K; Satishchandra, P

    2014-05-15

    Mesial temporal sclerosis (MTS) is the most common cause of drug resistant epilepsy amenable for surgical treatment and seizure control. This study analyzed the outcome of patients with MTS following anterior temporal lobectomy and amygdalohippocampectomy (ATL-AH) over 10 years and correlated the electrophysiological and radiological factors with the post operative seizure outcome. Eighty seven patients were included in the study. Sixty seven (77.2%) patients had an Engel Class 1 outcome, 9 (11.4%) had Class 2 outcome. Engel's class 1 outcome was achieved in 89.9% at 1 year, while it reduced slightly to 81.9% at 2 years and 76.2% at 5 year follow up. Seventy seven (88.5%) patients had evidence of hippocampal sclerosis on histopathology. Dual pathology was observed in 19 of 77 specimens with hippocampal sclerosis, but did not influence the outcome. Factors associated with an unfavorable outcome included male gender (p=0.04), and a higher frequency of pre-operative seizures (p=0.005), whereas the presence of febrile seizures (p=0.048) and loss of hippocampal neurons in CA4 region on histopathology (p=0.040) were associated with favorable outcome. The effect of CA4 loss on outcome is probably influenced by neuronal loss in other subfields as well since isolated CA4 loss was rare. Abnormal post operative EEG at the end of 1 week was found to be a significant factor predicting unfavorable outcome (p=0.005). On multivariate analysis, the pre-operative seizure frequency was the only significant factor affecting outcome. The present study observed excellent seizure free outcome in a carefully selected cohort of patients with MTS with refractory epilepsy. The presence of dual pathology did not influence the outcome. Copyright © 2014 Elsevier B.V. All rights reserved.

  8. Prediction of antibiotic resistance: time for a new preclinical paradigm?

    DEFF Research Database (Denmark)

    Sommer, Morten Otto Alexander; Munck, Christian; Toft-Kehler, Rasmus Vendler

    2017-01-01

    Predicting the future is difficult, especially for evolutionary processes that are influenced by numerous unknown factors. Still, this is what is required of drug developers when they assess the risk of resistance arising against a new antibiotic candidate during preclinical development. In this ......Predicting the future is difficult, especially for evolutionary processes that are influenced by numerous unknown factors. Still, this is what is required of drug developers when they assess the risk of resistance arising against a new antibiotic candidate during preclinical development....... In this Opinion article, we argue that the traditional procedures that are used for the prediction of antibiotic resistance today could be markedly improved by including a broader analysis of bacterial fitness, infection dynamics, horizontal gene transfer and other factors. This will lead to more informed...

  9. The determinants of the antibiotic resistance process.

    Science.gov (United States)

    Franco, Beatriz Espinosa; Altagracia Martínez, Marina; Sánchez Rodríguez, Martha A; Wertheimer, Albert I

    2009-01-01

    The use of antibiotic drugs triggers a complex interaction involving many biological, sociological, and psychological determinants. Resistance to antibiotics is a serious worldwide problem which is increasing and has implications for morbidity, mortality, and health care both in hospitals and in the community. To analyze current research on the determinants of antibiotic resistance and comprehensively review the main factors in the process of resistance in order to aid our understanding and assessment of this problem. We conducted a MedLine search using the key words "determinants", "antibiotic", and "antibiotic resistance" to identify publications between 1995 and 2007 on the determinants of antibiotic resistance. Publications that did not address the determinants of antibiotic resistance were excluded. The process and determinants of antibiotic resistance are described, beginning with the development of antibiotics, resistance and the mechanisms of resistance, sociocultural determinants of resistance, the consequences of antibiotic resistance, and alternative measures proposed to combat antibiotic resistance. Analysis of the published literature identified the main determinants of antibiotic resistance as irrational use of antibiotics in humans and animal species, insufficient patient education when antibiotics are prescribed, lack of guidelines for treatment and control of infections, lack of scientific information for physicians on the rational use of antibiotics, and lack of official government policy on the rational use of antibiotics in public and private hospitals.

  10. Investigation of surface resistance of copper in classical and anomalous skin-effect region

    International Nuclear Information System (INIS)

    Kutovoj, V.A.; Egorov, A.M.

    2008-01-01

    The surface resistance of copper in classical and anomalous skin-effect region has been investigated, and the surface resistance improvement factor equal to the ratio of the surface resistance of copper at room temperature to that of helium temperature, depending on the electromagnetic field frequency, has been determined. The improvement factor has been shown to have inverse power law dependence on frequency. The frequencies at which the improvement factor of copper equals 10 have been determined. It has been found that the quality factor of a resonance high-frequency system made of copper, operating at temperature T ≥ 4.2 K can be increased 10 times or more as against a quality factor of a resonance high-frequency system operating at room temperature

  11. Predictable Phenotypes of Antibiotic Resistance Mutations.

    Science.gov (United States)

    Knopp, M; Andersson, D I

    2018-05-15

    Antibiotic-resistant bacteria represent a major threat to our ability to treat bacterial infections. Two factors that determine the evolutionary success of antibiotic resistance mutations are their impact on resistance level and the fitness cost. Recent studies suggest that resistance mutations commonly show epistatic interactions, which would complicate predictions of their stability in bacterial populations. We analyzed 13 different chromosomal resistance mutations and 10 host strains of Salmonella enterica and Escherichia coli to address two main questions. (i) Are there epistatic interactions between different chromosomal resistance mutations? (ii) How does the strain background and genetic distance influence the effect of chromosomal resistance mutations on resistance and fitness? Our results show that the effects of combined resistance mutations on resistance and fitness are largely predictable and that epistasis remains rare even when up to four mutations were combined. Furthermore, a majority of the mutations, especially target alteration mutations, demonstrate strain-independent phenotypes across different species. This study extends our understanding of epistasis among resistance mutations and shows that interactions between different resistance mutations are often predictable from the characteristics of the individual mutations. IMPORTANCE The spread of antibiotic-resistant bacteria imposes an urgent threat to public health. The ability to forecast the evolutionary success of resistant mutants would help to combat dissemination of antibiotic resistance. Previous studies have shown that the phenotypic effects (fitness and resistance level) of resistance mutations can vary substantially depending on the genetic context in which they occur. We conducted a broad screen using many different resistance mutations and host strains to identify potential epistatic interactions between various types of resistance mutations and to determine the effect of strain

  12. Optimization of Nanowire-Resistance Load Logic Inverter.

    Science.gov (United States)

    Hashim, Yasir; Sidek, Othman

    2015-09-01

    This study is the first to demonstrate characteristics optimization of nanowire resistance load inverter. Noise margins and inflection voltage of transfer characteristics are used as limiting factors in this optimization. Results indicate that optimization depends on resistance value. Increasing of load resistor tends to increasing in noise margins until saturation point, increasing load resistor after this point will not improve noise margins significantly.

  13. Resistance exercise improves hippocampus-dependent memory

    Directory of Open Access Journals (Sweden)

    R.C. Cassilhas

    2012-12-01

    Full Text Available It has been demonstrated that resistance exercise improves cognitive functions in humans. Thus, an animal model that mimics this phenomenon can be an important tool for studying the underlying neurophysiological mechanisms. Here, we tested if an animal model for resistance exercise was able to improve the performance in a hippocampus-dependent memory task. In addition, we also evaluated the level of insulin-like growth factor 1/insulin growth factor receptor (IGF-1/IGF-1R, which plays pleiotropic roles in the nervous system. Adult male Wistar rats were divided into three groups (N = 10 for each group: control, SHAM, and resistance exercise (RES. The RES group was submitted to 8 weeks of progressive resistance exercise in a vertical ladder apparatus, while the SHAM group was left in the same apparatus without exercising. Analysis of a cross-sectional area of the flexor digitorum longus muscle indicated that this training period was sufficient to cause muscle fiber hypertrophy. In a step-through passive avoidance task (PA, the RES group presented a longer latency than the other groups on the test day. We also observed an increase of 43 and 94% for systemic and hippocampal IGF-1 concentration, respectively, in the RES group compared to the others. A positive correlation was established between PA performance and systemic IGF-1 (r = 0.46, P < 0.05. Taken together, our data indicate that resistance exercise improves the hippocampus-dependent memory task with a concomitant increase of IGF-1 level in the rat model. This model can be further explored to better understand the effects of resistance exercise on brain functions.

  14. Transcriptomic profiling-based mutant screen reveals three new transcription factors mediating menadione resistance in Neurospora crassa.

    Science.gov (United States)

    Zhu, Jufen; Yu, Xinxu; Xie, Baogui; Gu, Xiaokui; Zhang, Zhenying; Li, Shaojie

    2013-06-01

    To gain insight into the regulatory mechanisms of oxidative stress responses in filamentous fungi, the genome-wide transcriptional response of Neurospora crassa to menadione was analysed by digital gene expression (DGE) profiling, which identified 779 upregulated genes and 576 downregulated genes. Knockout mutants affecting 130 highly-upregulated genes were tested for menadione sensitivity, which revealed that loss of the transcription factor siderophore regulation (SRE) (a transcriptional repressor for siderophore biosynthesis), catatase-3, cytochrome c peroxidase or superoxide dismutase 1 copper chaperone causes hypersensitivity to menadione. Deletion of sre dramatically increased transcription of the siderophore biosynthesis gene ono and the siderophore iron transporter gene sit during menadione stress, suggesting that SRE is required for repression of iron uptake under oxidative stress conditions. Contrary to its phenotype, the sre deletion mutant showed higher transcriptional levels of genes encoding reactive oxygen species (ROS) scavengers than wild type during menadione stress, which implies that the mutant suffers a higher level of oxidative stress than wild type. Uncontrolled iron uptake in the sre mutant might exacerbate cellular oxidative stress. This is the first report of a negative regulator of iron assimilation participating in the fungal oxidative stress response. In addition to SRE, eight other transcription factor genes were also menadione-responsive but their single gene knockout mutants showed wild-type menadione sensitivity. Two of them, named as mit-2 (menadione induced transcription factor-2) and mit-4 (menadione induced transcription factor-4), were selected for double mutant analysis. The double mutant was hypersensitive to menadione. Similarly, the double mutation of mit-2 and sre also had additive effects on menadione sensitivity, suggesting multiple transcription factors mediate oxidative stress resistance in an additive manner

  15. Prognostic factors and risk stratification in patients with castration-resistant prostate cancer receiving docetaxel-based chemotherapy.

    Science.gov (United States)

    Yamashita, Shimpei; Kohjimoto, Yasuo; Iguchi, Takashi; Koike, Hiroyuki; Kusumoto, Hiroki; Iba, Akinori; Kikkawa, Kazuro; Kodama, Yoshiki; Matsumura, Nagahide; Hara, Isao

    2016-03-22

    While novel drugs have been developed, docetaxel remains one of the standard initial systemic therapies for castration-resistant prostate cancer (CRPC) patients. Despite the excellent anti-tumor effect of docetaxel, its severe adverse effects sometimes distress patients. Therefore, it would be very helpful to predict the efficacy of docetaxel before treatment. The aims of this study were to evaluate the potential value of patient characteristics in predicting overall survival (OS) and to develop a risk classification for CRPC patients treated with docetaxel-based chemotherapy. This study included 79 patients with CRPC treated with docetaxel. The variables, including patient characteristics at diagnosis and at the start of chemotherapy, were retrospectively collected. Prognostic factors predicting OS were analyzed using the Cox proportional hazard model. Risk stratification for overall survival was determined based on the results of multivariate analysis. PSA response ≥50 % was observed in 55 (69.6 %) of all patients, and the median OS was 22.5 months. The multivariate analysis showed that age, serum PSA level at the start of chemotherapy, and Hb were independent prognostic factors for OS. In addition, ECOG performance status (PS) and the CRP-to-albumin ratio were not significant but were considered possible predictors for OS. Risk stratification according to the number of these risk factors could effectively stratify CRPC patients treated with docetaxel in terms of OS. Age, serum PSA level at the start of chemotherapy, and Hb were identified as independent prognostic factors of OS. ECOG PS and the CRP-to-albumin ratio were not significant, but were considered possible predictors for OS in Japanese CRPC patients treated with docetaxel. Risk stratification based on these factors could be helpful for estimating overall survival.

  16. Tumour resistance to cisplatin: a modelling approach

    Energy Technology Data Exchange (ETDEWEB)

    Marcu, L [School of Chemistry and Physics, University of Adelaide, North Terrace, SA 5000 (Australia); Bezak, E [School of Chemistry and Physics, University of Adelaide, North Terrace, SA 5000 (Australia); Olver, I [Faculty of Medicine, University of Adelaide, North Terrace, SA 5000 (Australia); Doorn, T van [School of Chemistry and Physics, University of Adelaide, North Terrace, SA 5000 (Australia)

    2005-01-07

    Although chemotherapy has revolutionized the treatment of haematological tumours, in many common solid tumours the success has been limited. Some of the reasons for the limitations are: the timing of drug delivery, resistance to the drug, repopulation between cycles of chemotherapy and the lack of complete understanding of the pharmacokinetics and pharmacodynamics of a specific agent. Cisplatin is among the most effective cytotoxic agents used in head and neck cancer treatments. When modelling cisplatin as a single agent, the properties of cisplatin only have to be taken into account, reducing the number of assumptions that are considered in the generalized chemotherapy models. The aim of the present paper is to model the biological effect of cisplatin and to simulate the consequence of cisplatin resistance on tumour control. The 'treated' tumour is a squamous cell carcinoma of the head and neck, previously grown by computer-based Monte Carlo techniques. The model maintained the biological constitution of a tumour through the generation of stem cells, proliferating cells and non-proliferating cells. Cell kinetic parameters (mean cell cycle time, cell loss factor, thymidine labelling index) were also consistent with the literature. A sensitivity study on the contribution of various mechanisms leading to drug resistance is undertaken. To quantify the extent of drug resistance, the cisplatin resistance factor (CRF) is defined as the ratio between the number of surviving cells of the resistant population and the number of surviving cells of the sensitive population, determined after the same treatment time. It is shown that there is a supra-linear dependence of CRF on the percentage of cisplatin-DNA adducts formed, and a sigmoid-like dependence between CRF and the percentage of cells killed in resistant tumours. Drug resistance is shown to be a cumulative process which eventually can overcome tumour regression leading to treatment failure.

  17. Tumour resistance to cisplatin: a modelling approach

    International Nuclear Information System (INIS)

    Marcu, L; Bezak, E; Olver, I; Doorn, T van

    2005-01-01

    Although chemotherapy has revolutionized the treatment of haematological tumours, in many common solid tumours the success has been limited. Some of the reasons for the limitations are: the timing of drug delivery, resistance to the drug, repopulation between cycles of chemotherapy and the lack of complete understanding of the pharmacokinetics and pharmacodynamics of a specific agent. Cisplatin is among the most effective cytotoxic agents used in head and neck cancer treatments. When modelling cisplatin as a single agent, the properties of cisplatin only have to be taken into account, reducing the number of assumptions that are considered in the generalized chemotherapy models. The aim of the present paper is to model the biological effect of cisplatin and to simulate the consequence of cisplatin resistance on tumour control. The 'treated' tumour is a squamous cell carcinoma of the head and neck, previously grown by computer-based Monte Carlo techniques. The model maintained the biological constitution of a tumour through the generation of stem cells, proliferating cells and non-proliferating cells. Cell kinetic parameters (mean cell cycle time, cell loss factor, thymidine labelling index) were also consistent with the literature. A sensitivity study on the contribution of various mechanisms leading to drug resistance is undertaken. To quantify the extent of drug resistance, the cisplatin resistance factor (CRF) is defined as the ratio between the number of surviving cells of the resistant population and the number of surviving cells of the sensitive population, determined after the same treatment time. It is shown that there is a supra-linear dependence of CRF on the percentage of cisplatin-DNA adducts formed, and a sigmoid-like dependence between CRF and the percentage of cells killed in resistant tumours. Drug resistance is shown to be a cumulative process which eventually can overcome tumour regression leading to treatment failure

  18. Clustering of antibiotic resistance of E. coli in couples: suggestion for a major role of conjugal transmission

    Directory of Open Access Journals (Sweden)

    von Baum Heike

    2006-07-01

    Full Text Available Abstract Background Spread of antibiotic resistance in hospitals is a well-known problem, but studies investigating the importance of factors potentially related to the spread of resistant bacteria in outpatients are sparse. Methods Stool samples were obtained from 206 healthy couples in a community setting in Southern Germany in 2002–2003. E. coli was cultured and minimal inhibition concentrations were tested. Prevalences of E. coli resistance to commonly prescribed antibiotics according to potential risk factors were ascertained. Results Prevalences of ampicillin resistance were 15.7% and 19.4% for women and men, respectively. About ten percent and 15% of all isolates were resistant to cotrimoxazole and doxycycline, respectively. A partner carrying resistance was the main risk factor for being colonized with resistant E. coli. Odds ratios (95% CI for ampicillin and cotrimoxazole resistance given carriage of resistant isolates by the partner were 6.9 (3.1–15.5 and 3.3 (1.5–18.0, respectively. Conclusion Our data suggest that conjugal transmission may be more important for the spread of antibiotic resistance in the community setting than commonly suspected risk factors such as previous antibiotic intake or hospital contacts.

  19. On the microstructural factors affecting creep resistance of die-cast Mg–La-rare earth (Nd, Y or Gd) alloys

    Energy Technology Data Exchange (ETDEWEB)

    Gavras, S. [Magnesium Innovation Centre, Helmholtz-Zentrum Geesthacht, Max-Planck-Straße 1, Geesthacht (Germany); Zhu, S.M. [School of Aerospace, Mechanical and Manufacturing Engineering, RMIT University, Carlton, Victoria 3053 (Australia); Nie, J.F. [Department of Materials Science and Engineering, Monash University, Victoria 3800 (Australia); Gibson, M.A. [School of Aerospace, Mechanical and Manufacturing Engineering, RMIT University, Carlton, Victoria 3053 (Australia); Department of Materials Science and Engineering, Monash University, Victoria 3800 (Australia); CSIRO Manufacturing, Clayton, Victoria 3168 (Australia); Easton, M.A. [School of Aerospace, Mechanical and Manufacturing Engineering, RMIT University, Carlton, Victoria 3053 (Australia)

    2016-10-15

    Creep properties of high-pressure die-cast Mg–La-RE (Nd, Y or Gd) alloys, varying in ternary RE additions and in different heat treatment conditions, have been investigated. Through the use of short-term solution treatments (1 h at 520 °C) it was shown that the continuous intermetallic phase present in the eutectic at grain boundaries became discontinuous. This effect, in combination with the likely removal of the localised region of supersaturated solute in solid solution near grain boundaries, reduced the creep resistance. When relatively high concentrations of ternary alloying additions were used, solid solution strengthening and precipitation hardening appeared to compensate for the negative effect of reduced grain boundary reinforcement. Microstructural investigation revealed that Nd-containing alloys had fewer and larger dynamic precipitates present in the α-Mg matrix following creep testing at 177 °C and 90 MPa. It was concluded that grain boundary reinforcement in combination with the thermal stability of the precipitates formed, which is ultimately related to the diffusivity of solute in solid solution, are also contributing factors to creep resistance.

  20. Trastuzumab Resistance: Role for Notch Signaling

    Directory of Open Access Journals (Sweden)

    Kinnari Mehta

    2009-01-01

    Full Text Available Epidermal growth factor receptor-2 (ErbB-2/HER2 is a potent breast oncogene that has been shown to be amplified in 20% of breast cancers. Overexpression of ErbB-2 predicts for aggressive tumor behavior, resistance to some cytotoxic and antihormonal therapies, and poor overall survival. Trastuzumab, the humanized, monoclonal antibody directed against ErbB-2 has shown tremendous efficacy and improved overall survival for women when combined with a taxane-based chemotherapy. However, resistance to trastuzumab remains a major concern, most notably in women with metastatic breast cancer. Numerous mechanisms that include overexpression of alternate receptor tyrosine kinases and/or loss of critical tumor suppressors have been proposed in the last several years to elucidate trastuzumab resistance. Here we review the many possible mechanisms of action that could contribute to resistance, and novel therapies to prevent or reverse the resistant phenotype. Moreover, we provide a critical role for Notch signaling cross-talk with overlapping or new signaling networks in trastuzumab-resistant breast.