WorldWideScience

Sample records for remote plasma-enhanced chemical

  1. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  2. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber.

    Science.gov (United States)

    Dechana, A; Thamboon, P; Boonyawan, D

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films-analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques-will be discussed.

  3. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Energy Technology Data Exchange (ETDEWEB)

    Dechana, A. [Program of Physics and General Science, Faculty of Science and Technology, Songkhla Rajabhat University, Songkhla 90000 (Thailand); Thamboon, P. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Boonyawan, D., E-mail: dheerawan.b@cmu.ac.th [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand)

    2014-10-15

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al{sub 2}O{sub 3} layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al{sub 2}O{sub 3} films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  4. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Science.gov (United States)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  5. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    International Nuclear Information System (INIS)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-01-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al 2 O 3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al 2 O 3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed

  6. Substrate Effect on Plasma Clean Efficiency in Plasma Enhanced Chemical Vapor Deposition System

    Directory of Open Access Journals (Sweden)

    Shiu-Ko JangJian

    2007-01-01

    Full Text Available The plasma clean in a plasma-enhanced chemical vapor deposition (PECVD system plays an important role to ensure the same chamber condition after numerous film depositions. The periodic and applicable plasma clean in deposition chamber also increases wafer yield due to less defect produced during the deposition process. In this study, the plasma clean rate (PCR of silicon oxide is investigated after the silicon nitride deposited on Cu and silicon oxide substrates by remote plasma system (RPS, respectively. The experimental results show that the PCR drastically decreases with Cu substrate compared to that with silicon oxide substrate after numerous silicon nitride depositions. To understand the substrate effect on PCR, the surface element analysis and bonding configuration are executed by X-ray photoelectron spectroscopy (XPS. The high resolution inductively coupled plasma mass spectrometer (HR-ICP-MS is used to analyze microelement of metal ions on the surface of shower head in the PECVD chamber. According to Cu substrate, the results show that micro Cu ion and the CuOx bonding can be detected on the surface of shower head. The Cu ion contamination might grab the fluorine radicals produced by NF3 ddissociation in the RPS and that induces the drastic decrease on PCR.

  7. Effect of additive gases and injection methods on chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F2 remote plasmas

    International Nuclear Information System (INIS)

    Yun, Y. B.; Park, S. M.; Kim, D. J.; Lee, N.-E.; Kim, K. S.; Bae, G. H.

    2007-01-01

    The authors investigated the effects of various additive gases and different injection methods on the chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F 2 remote plasmas. N 2 and N 2 +O 2 gases in the F 2 /Ar/N 2 and F 2 /Ar/N 2 /O 2 remote plasmas effectively increased the etch rate of the layers. The addition of direct-injected NO gas increased the etch rates most significantly. NO radicals generated by the addition of N 2 and N 2 +O 2 or direct-injected NO molecules contributed to the effective removal of nitrogen and oxygen in the silicon nitride and oxide layers, by forming N 2 O and NO 2 by-products, respectively, and thereby enhancing SiF 4 formation. As a result of the effective removal of the oxygen, nitrogen, and silicon atoms in the layers, the chemical dry etch rates were enhanced significantly. The process regime for the etch rate enhancement of the layers was extended at elevated temperature

  8. Chemical modeling of a high-density inductively-coupled plasma reactor containing silane

    NARCIS (Netherlands)

    Kovalgin, Alexeij Y.; Boogaard, A.; Brunets, I.; Holleman, J.; Schmitz, Jurriaan

    We carried out the modeling of chemical reactions in a silane-containing remote Inductively Coupled Plasma Enhanced Chemical Vapor Deposition (ICPECVD) system, intended for deposition of silicon, silicon oxide, and silicon nitride layers. The required electron densities and Electron Energy

  9. Remote plasma enhanced chemical deposition of non-crystalline GeO2 on Ge and Si substrates.

    Science.gov (United States)

    Lucovsky, Gerald; Zeller, Daniel

    2011-09-01

    Non-crystalline GeO2 films remote were plasma deposited at 300 degrees C onto Ge substrates after a final rinse in NH4OH. The reactant precursors gas were: (i) down-stream injected 2% GeH4 in He as the Ge precursor, and (ii) up-stream, plasma excited O2-He mixtures as the O precursor. Films annealed at 400 degrees C displayed no evidence for loss of O resulting in Ge sub-oxide formation, and for a 5-6 eV mid-gap absorption associated with formation of GeOx suboxide bonding, x deposited on Ge and annealed at 600 degrees C and 700 degrees C display spectra indicative of loss of O-atoms, accompanied with a 5.5 eV absorption. X-ray absorption spectroscopy and many-electron theory are combined to describe symmetries and degeneracies for O-vacancy bonding defects. These include comparisons with remote plasma-deposited non-crystalline SiO2 on Si substrates with SiON interfacial layers. Three different properties of remote plasma GeO2 films are addressed comparisons between (i) conduction band and band edge states of GeO2 and SiO2, and (ii) electronic structure of O-atom vacancy defects in GeO2 and SiO2, and differences between (iii) annealing of GeO2 films on Ge substrates, and Si substrates passivated with SiON interfacial transition regions important for device applications.

  10. On the intrinsic moisture permeation rate of remote microwave plasma-deposited silicon nitride layers

    NARCIS (Netherlands)

    van Assche, F. J. H.; Unnikrishnan, S.; Michels, J. J.; van Mol, A. M. B.; van de Weijer, P.; M. C. M. van de Sanden,; Creatore, M.

    2014-01-01

    We report on a low substrate temperature (110 °C) remote microwave plasma-enhanced chemical vapor deposition (PECVD) process of silicon nitride barrier layers against moisture permeation for organic light emitting diodes (OLEDs) and other moisture sensitive devices such as organic

  11. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  12. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    Science.gov (United States)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  13. Post-CMP cleaning for metallic contaminant removal by using a remote plasma and UV/ozone

    International Nuclear Information System (INIS)

    Lim, Jong Min; Jeon, Bu Yong; Lee, Chong Mu

    2000-01-01

    For the chemical mechanical polishing (CMP) process to be successful, it is important to establish a good post-CMP cleaning process that will remove not only slurry and particles but also metallic impurities from the polished surface. The common metallic contaminants found after oxide CMP and Cu CMP include Cu, K, and Fe. Scrubbing, a popular method for post-CMP cleaning, is effective in removing particles, but removal of metallic contaminants using this method is not so effective. In this study, the removal of Fe metallic contaminants like Fe, which are commonly found on the wafer surface after CMP processes, was investigated using remote-hydrogen-plasma and UV/O 3 cleaning techniques. Our results show that metal contaminants, including Fe, can be effectively removed by using a hydrogen-plasma or UV/O 3 cleaning technique performed under optimal process conditions. In remote plasma H 2 cleaning, contaminant removal is enhanced with decreasing plasma exposure time and increasing rf-power. The optimal process condition for the removal of the Fe impurities existing on the wafer surface is an rf-power of 100 W. Plasma cleaning for 5 min or less is effective in removing Fe contaminants, but a plasma exposure time of 1 min is more appropriate than 5 min in view of the process time, The surface roughness decreased by 30∼50 % after remote-H 2 -plasma cleaning. On the other hand, the highest efficiency of Fe-impurity removal was achieved for an UV exposure time of 30 s. The removal mechanism for the Fe contaminants in the remote-H 2 -plasma and the UV/O 3 cleaning processes is considered to be the liftoff of Fe atoms when the SiO is removed by evaporation after the chemical or native SiO 2 formed underneath the metal atoms reacts with H + and e - to form SiO

  14. Remote operation of the vertical plasma stabilization @ the GOLEM tokamak for the plasma physics education

    Energy Technology Data Exchange (ETDEWEB)

    Svoboda, V., E-mail: svoboda@fjfi.cvut.cz [Faculty of Nuclear Sciences and Physical Engineering CTU Prague, CZ-115 19 (Czech Republic); Kocman, J.; Grover, O. [Faculty of Nuclear Sciences and Physical Engineering CTU Prague, CZ-115 19 (Czech Republic); Krbec, J.; Stöckel, J. [Faculty of Nuclear Sciences and Physical Engineering CTU Prague, CZ-115 19 (Czech Republic); Institute of Plasma Physics AS CR, CZ-182 21 Prague (Czech Republic)

    2015-10-15

    Graphical abstract: * Understandable remote operation of a vertical plasma position control system in the tokamak GOLEM for educational purposes.* Two combinable modes of real-time plasma position control: position based feedback and a pre-defined waveform.* More than 20% plasma life prolongation with plasma position control in feedback mode. - Highlights: • Understandable remote operation of a vertical plasma position control system in the tokamak GOLEM for educational purposes. • Two combinable modes of real-time plasma position control: position based feedback and a pre-defined waveform. • More than 20% plasma life prolongation with plasma position control in feedback mode. - Abstract: The GOLEM tokamak at the Czech Technical University has been established as an educational tokamak device for domestic and foreign students. Remote participation in the scope of several laboratory practices, plasma physics schools and workshops has been successfully performed from abroad. A new enhancement allowing understandable remote control of vertical plasma position in two modes (i) predefined and (ii) feedback control is presented. It allows to drive the current in the stabilization coils in any time-dependent scenario, which can include as a parameter the actual plasma position measured by magnetic diagnostics. Arbitrary movement of the plasma column in a vertical direction, stabilization of the plasma column in the center of the tokamak vessel as well as prolongation/shortening of plasma life according to the remotely defined request are demonstrated.

  15. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  16. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  17. Liquid assisted plasma enhanced chemical vapour deposition with a non-thermal plasma jet at atmospheric pressure

    Czech Academy of Sciences Publication Activity Database

    Schäfer, J.; Fricke, K.; Mika, Filip; Pokorná, Zuzana; Zajíčková, L.; Foest, R.

    2017-01-01

    Roč. 630, MAY 30 (2017), s. 71-78 ISSN 0040-6090 R&D Projects: GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01 Institutional support: RVO:68081731 Keywords : plasma jet * liquid assisted plasma enhanced chemical * vapour deposition * silicon oxide Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering OBOR OECD: Coating and films Impact factor: 1.879, year: 2016

  18. Studies on surface modification of poly(tetrafluoroethylene) film by remote and direct Ar plasma

    International Nuclear Information System (INIS)

    Wang Chen; Chen Jierong; Li Ru

    2008-01-01

    Poly(tetrafluoroethylene) (PTFE) surfaces are modified with remote and direct Ar plasma, and the effects of the modification on the hydrophilicity of PTFE are investigated. The surface microstructures and compositions of the PTFE film were characterized with the goniometer, scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS). Results show that the remote and direct plasma treatments modify the PTFE surface in morphology and composition, and both modifications cause surface oxidation of PTFE films, in the forming of some polar functional groups enhancing polymer wettability. When the remote and direct Ar plasma treats PTFE film, the contact angles decrease from the untreated 108-58 o and 65.2 o , respectively. The effect of the remote Ar plasma is more noticeable. The role of all kinds of active species, e.g. electrons, ions and free radicals involved in plasma surface modification is further evaluated. This shows that remote Ar plasma can restrain the ion and electron etching reaction and enhance radical reaction

  19. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  20. Hydrogen plasma enhanced alignment on CNT-STM tips grown by liquid catalyst-assisted microwave plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Tung, Fa-Kuei; Yoshimura, Masamichi; Ueda, Kazuyuki; Ohira, Yutaka; Tanji, Takayoshi

    2008-01-01

    Carbon nanotubes are grown directly on a scanning tunneling microscopy tip by liquid catalyst-assisted microwave-enhanced chemical vapor deposition, and effects of hydrogen plasma treatment on the tip have been investigated in detail by field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and Raman spectroscopy. The unaligned CNTs on the as-grown tip apex have been realigned and reshaped by subsequent hydrogen plasma treatment. The diameter of CNTs is enlarged mainly due to amorphous layers being re-sputtered over their outer shells

  1. Plasma effects in aligned carbon nanoflake growth by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wang, B.B. [College of Chemistry and Chemical Engineering, Chongqing University of Technology, 69 Hongguang Rd, Lijiatuo, Banan District, Chongqing 400054 (China); Zheng, K. [Institute of Microstructure and Properties of Advanced Materials, Beijing University of Technology, Beijing 100124 (China); Cheng, Q.J., E-mail: qijin.cheng@xmu.edu.cn [School of Energy Research, Xiamen University, Xiamen 361005 (China); Ostrikov, K. [Plasma Nanoscience Center Australia (PNCA), Manufacturing Flagship, Commonwealth Scientific and Industrial Research Organization, PO Box 218, Lindfield 2070, NSW (Australia); Institute for Future Environments and School of Chemistry, Physics and Mechanical Engineering, Queensland University of Technology, Brisbane 4000, QLD (Australia); Plasma Nanoscience, School of Physics, The University of Sydney, Sydney 2006, NSW (Australia)

    2015-01-15

    Highlights: • Plasma-specific effects in the growth of carbon nanoflakes (CNFs) are studied. • Electic field in the plasma sheath promotes separation of CNFs from the substrate. • The orentention of GNFs is related to the combined electic force and growth effects. • The high growth grates of aligned GNFs are plasma-related. - Abstract: Carbon nanofilms are directly grown on silicon substrates by plasma-enhanced hot filament chemical vapor deposition in methane environment. It is shown that the nanofilms are composed of aligned carbon nanoflakes by extensive investigation of experimental results of field emission scanning electron microscopy, micro-Raman spectroscopy and transmission electron microscopy. In comparison with the graphene-like films grown without plasmas, the carbon nanoflakes grow in an alignment mode and the growth rate of the films is increased. The effects of the plasma on the growth of the carbon nanofilms are studied. The plasma plays three main effects of (1) promoting the separation of the carbon nanoflakes from the silicon substrate, (2) accelerating the motion of hydrocarbon radicals, and (3) enhancing the deposition of hydrocarbon ions onto the substrate surface. Due to these plasma-specific effects, the carbon nanofilms can be formed from the aligned carbon nanoflakes with a high rate. These results advance our knowledge on the synthesis, properties and applications of graphene-based materials.

  2. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  3. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  4. Study on stability of a-SiCOF films deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Ding Shijin; Zhang Qingquan; Wang Pengfei; Zhang Wei; Wang Jitao

    2001-01-01

    Low-dielectric-constant a-SiCOF films have been prepared from TEOS, C 4 F 8 and Ar by using plasma enhanced chemical vapor deposition method. With the aid of X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), the chemical bonding configuration, thermal stability and resistance to water of the films are explored

  5. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  6. Plasma Enhanced Chemical Vapour Deposition of Horizontally Aligned Carbon Nanotubes

    Directory of Open Access Journals (Sweden)

    Matthew T. Cole

    2013-05-01

    Full Text Available A plasma-enhanced chemical vapour deposition reactor has been developed to synthesis horizontally aligned carbon nanotubes. The width of the aligning sheath was modelled based on a collisionless, quasi-neutral, Child’s law ion sheath where these estimates were empirically validated by direct Langmuir probe measurements, thereby confirming the proposed reactors ability to extend the existing sheath fields by up to 7 mm. A 7 mbar growth atmosphere combined with a 25 W plasma permitted the concurrent growth and alignment of carbon nanotubes with electric fields of the order of 0.04 V μm−1 with linear packing densities of up to ~5 × 104 cm−1. These results open up the potential for multi-directional in situ alignment of carbon nanotubes providing one viable route to the fabrication of many novel optoelectronic devices.

  7. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    Science.gov (United States)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  8. Study of plasma-material surface interaction using langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2012-01-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisiloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  9. Study of plasma-material surface interaction using Langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2009-06-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisoloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  10. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  11. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  12. A solid-state nuclear magnetic resonance study of post-plasma reactions in organosilicone microwave plasma-enhanced chemical vapor deposition (PECVD) coatings.

    Science.gov (United States)

    Hall, Colin J; Ponnusamy, Thirunavukkarasu; Murphy, Peter J; Lindberg, Mats; Antzutkin, Oleg N; Griesser, Hans J

    2014-06-11

    Plasma-polymerized organosilicone coatings can be used to impart abrasion resistance and barrier properties to plastic substrates such as polycarbonate. Coating rates suitable for industrial-scale deposition, up to 100 nm/s, can be achieved through the use of microwave plasma-enhanced chemical vapor deposition (PECVD), with optimal process vapors such as tetramethyldisiloxane (TMDSO) and oxygen. However, it has been found that under certain deposition conditions, such coatings are subject to post-plasma changes; crazing or cracking can occur anytime from days to months after deposition. To understand the cause of the crazing and its dependence on processing plasma parameters, the effects of post-plasma reactions on the chemical bonding structure of coatings deposited with varying TMDSO-to-O2 ratios was studied with (29)Si and (13)C solid-state magic angle spinning nuclear magnetic resonance (MAS NMR) using both single-pulse and cross-polarization techniques. The coatings showed complex chemical compositions significantly altered from the parent monomer. (29)Si MAS NMR spectra revealed four main groups of resonance lines, which correspond to four siloxane moieties (i.e., mono (M), di (D), tri (T), and quaternary (Q)) and how they are bound to oxygen. Quantitative measurements showed that the ratio of TMDSO to oxygen could shift the chemical structure of the coating from 39% to 55% in Q-type bonds and from 28% to 16% for D-type bonds. Post-plasma reactions were found to produce changes in relative intensities of (29)Si resonance lines. The NMR data were complemented by Fourier transform infrared (FTIR) spectroscopy. Together, these techniques have shown that the bonding environment of Si is drastically altered by varying the TMDSO-to-O2 ratio during PECVD, and that post-plasma reactions increase the cross-link density of the silicon-oxygen network. It appears that Si-H and Si-OH chemical groups are the most susceptible to post-plasma reactions. Coatings produced at a

  13. LiF enhanced nucleation of the low temperature microcrystalline silicon prepared by plasma enhanced chemical vapour deposition

    Czech Academy of Sciences Publication Activity Database

    Stuchlík, Jiří; Ledinský, Martin; Honda, Shinya; Drbohlav, Ivo; Mates, Tomáš; Fejfar, Antonín; Hruška, Karel; Stuchlíková, The-Ha; Kočka, Jan

    2009-01-01

    Roč. 517, č. 24 (2009), s. 6829-6832 ISSN 0040-6090 R&D Projects: GA AV ČR KAN400100701; GA ČR(CZ) GD202/05/H003; GA MŠk LC510; GA AV ČR IAA1010413 Institutional research plan: CEZ:AV0Z10100521 Keywords : amorphous hydrogenated silicon * atomic force microscopy * plasma-enhanced chemical vapour deposition, * nucleation * Raman scattering * lithium fluoride Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.727, year: 2009

  14. Experimental setup for producing tungsten coated graphite tiles using plasma enhanced chemical vapor deposition technique for fusion plasma applications

    International Nuclear Information System (INIS)

    Chauhan, Sachin Singh; Sharma, Uttam; Choudhary, K.K.; Sanyasi, A.K.; Ghosh, J.; Sharma, Jayshree

    2013-01-01

    Plasma wall interaction (PWI) in fusion grade machines puts stringent demands on the choice of materials in terms of high heat load handling capabilities and low sputtering yields. Choice of suitable material still remains a challenge and open topic of research for the PWI community. Carbon fibre composites (CFC), Beryllium (Be), and Tungsten (W) are now being considered as first runners for the first wall components of future fusion machines. Tungsten is considered to be one of the suitable materials for the job because of its superior properties than carbon like low physical sputtering yield and high sputter energy threshold, high melting point, fairly high re-crystallization temperature, low fuel retention capabilities, low chemical sputtering with hydrogen and its isotopes and most importantly the reparability with various plasma techniques both ex-situ and in-situ. Plasma assisted chemical vapour deposition is considered among various techniques as the most preferable technique for fabricating tungsten coated graphite tiles to be used as tokamak first wall and target components. These coated tiles are more favourable compared to pure tungsten due to their light weight and easier machining. A system has been designed, fabricated and installed at SVITS, Indore for producing tungsten coated graphite tiles using Plasma Enhanced Chemical Vapor Deposition (PE-CVD) technique for Fusion plasma applications. The system contains a vacuum chamber, a turbo-molecular pump, two electrodes, vacuum gauges, mass analyzer, mass flow controllers and a RF power supply for producing the plasma using hydrogen gas. The graphite tiles will be put on one of the electrodes and WF6 gas will be inserted in a controlled manner in the hydrogen plasma to achieve the tungsten-coating with WF6 dissociation. The system is integrated at SVITS, Indore and a vacuum of the order of 3*10 -6 is achieved and glow discharge plasma has been created to test all the sub-systems. The system design with

  15. One-step synthesis of chlorinated graphene by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fan, Liwei; Zhang, Hui; Zhang, Pingping; Sun, Xuhui, E-mail: xhsun@suda.edu.cn

    2015-08-30

    Highlights: • We developed a simple approach to synthesize the single layer chlorinated graphene. • CuCl{sub 2} on Cu surface is used as Cl source under the plasma treatment. • The formation of covalent C−Cl bond has been investigated by Raman and XPS. • Raman results indicate the p-type doping effect of chlorination. - Abstract: We developed an approach to synthesize the chlorinated single layer graphene (Cl-G) by one-step plasma enhanced chemical vapor deposition. Copper foil was simply treated with hydrochloric acid and then CuCl{sub 2} formed on the surface was used as Cl source under the assistance of plasma treatment. Compared with other two-step methods by post plasma/photochemical treatment of CVD-grown single layer graphene (SLG), one-step Cl-G synthesis approach is quite straightforward and effective. X-ray photoelectron spectroscopy (XPS) revealed that ∼2.45 atom% Cl remained in SLG. Compared with the pristine SLG, the obvious blue shifts of G band and 2D band along with the appearance of D’ band and D + G band in the Raman spectra indicate p-type doping of Cl-G.

  16. Growth of highly oriented carbon nanotubes by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Z.P.; Xu, J.W.; Ren, Z.F.; Wang, J.H. [Materials Synthesis Laboratory, Departments of Physics and Chemistry, and Center for Advanced Photonic and Electronic Materials (CAPEM), State University of New York at Buffalo, Buffalo, New York 14260 (United States); Siegal, M.P.; Provencio, P.N. [Sandia National Laboratories, Albuquerque, New Mexico 87185-1421 (United States)

    1998-12-01

    Highly oriented, multiwalled carbon nanotubes were grown on polished polycrystalline and single crystal nickel substrates by plasma enhanced hot filament chemical vapor deposition at temperatures below 666 {degree}C. The carbon nanotubes range from 10 to 500 nm in diameter and 0.1 to 50 {mu}m in length depending on growth conditions. Acetylene is used as the carbon source for the growth of the carbon nanotubes and ammonia is used for dilution gas and catalysis. The plasma intensity, acetylene to ammonia gas ratio, and their flow rates, etc. affect the diameters and uniformity of the carbon nanotubes. {copyright} {ital 1998 American Institute of Physics.}

  17. Structural and optical characterization of self-assembled Ge nanocrystal layers grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Saeed, S.; Buters, F.; Dohnalova, K.; Wosinski, L.; Gregorkiewicz, T.

    2014-01-01

    We present a structural and optical study of solid-state dispersions of Ge nanocrystals prepared by plasma-enhanced chemical vapor deposition. Structural analysis shows the presence of nanocrystalline germanium inclusions embedded in an amorphous matrix of Si-rich SiO2. Optical characterization

  18. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  19. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jiang Hao [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States)]. E-mail: hao.jiang@wpafb.af.mil; Hong Lianggou [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States); Venkatasubramanian, N. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Grant, John T. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Eyink, Kurt [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Wiacek, Kevin [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Fries-Carr, Sandra [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Enlow, Jesse [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Bunning, Timothy J. [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States)

    2007-02-26

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant ({epsilon} {sub r}) and dielectric loss (tan {delta}) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F {sub b}) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F{sub b} of 610 V/{mu}m, an {epsilon} {sub r} of 3.07, and a tan {delta} of 7.0 x 10{sup -3} at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss.

  20. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    International Nuclear Information System (INIS)

    Jiang Hao; Hong Lianggou; Venkatasubramanian, N.; Grant, John T.; Eyink, Kurt; Wiacek, Kevin; Fries-Carr, Sandra; Enlow, Jesse; Bunning, Timothy J.

    2007-01-01

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant (ε r ) and dielectric loss (tan δ) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F b ) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F b of 610 V/μm, an ε r of 3.07, and a tan δ of 7.0 x 10 -3 at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss

  1. Raman enhancement on ultra-clean graphene quantum dots produced by quasi-equilibrium plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Liu, Donghua; Chen, Xiaosong; Hu, Yibin; Sun, Tai; Song, Zhibo; Zheng, Yujie; Cao, Yongbin; Cai, Zhi; Cao, Min; Peng, Lan; Huang, Yuli; Du, Lei; Yang, Wuli; Chen, Gang; Wei, Dapeng; Wee, Andrew Thye Shen; Wei, Dacheng

    2018-01-15

    Graphene is regarded as a potential surface-enhanced Raman spectroscopy (SERS) substrate. However, the application of graphene quantum dots (GQDs) has had limited success due to material quality. Here, we develop a quasi-equilibrium plasma-enhanced chemical vapor deposition method to produce high-quality ultra-clean GQDs with sizes down to 2 nm directly on SiO 2 /Si, which are used as SERS substrates. The enhancement factor, which depends on the GQD size, is higher than conventional graphene sheets with sensitivity down to 1 × 10 -9  mol L -1 rhodamine. This is attributed to the high-quality GQDs with atomically clean surfaces and large number of edges, as well as the enhanced charge transfer between molecules and GQDs with appropriate diameters due to the existence of Van Hove singularities in the electronic density of states. This work demonstrates a sensitive SERS substrate, and is valuable for applications of GQDs in graphene-based photonics and optoelectronics.

  2. Plasma-enhanced chemical vapor deposited silicon oxynitride films for optical waveguide bridges for use in mechanical sensors

    DEFF Research Database (Denmark)

    Storgaard-Larsen, Torben; Leistiko, Otto

    1997-01-01

    In this paper the influence of RF power, ammonia flow, annealing temperature, and annealing time on the optical and mechanical properties of plasma-enhanced chemically vapor deposited silicon oxynitride films, is presented. A low refractive index (1.47 to 1.48) film having tensile stress has been...

  3. Tungsten Deposition on Graphite using Plasma Enhanced Chemical Vapour Deposition

    International Nuclear Information System (INIS)

    Sharma, Uttam; Chauhan, Sachin S; Sharma, Jayshree; Sanyasi, A K; Ghosh, J; Choudhary, K K; Ghosh, S K

    2016-01-01

    The tokamak concept is the frontrunner for achieving controlled thermonuclear reaction on earth, an environment friendly way to solve future energy crisis. Although much progress has been made in controlling the heated fusion plasmas (temperature ∼ 150 million degrees) in tokamaks, technological issues related to plasma wall interaction topic still need focused attention. In future, reactor grade tokamak operational scenarios, the reactor wall and target plates are expected to experience a heat load of 10 MW/m 2 and even more during the unfortunate events of ELM's and disruptions. Tungsten remains a suitable choice for the wall and target plates. It can withstand high temperatures, its ductile to brittle temperature is fairly low and it has low sputtering yield and low fuel retention capabilities. However, it is difficult to machine tungsten and hence usages of tungsten coated surfaces are mostly desirable. To produce tungsten coated graphite tiles for the above-mentioned purpose, a coating reactor has been designed, developed and made operational at the SVITS, Indore. Tungsten coating on graphite has been attempted and successfully carried out by using radio frequency induced plasma enhanced chemical vapour deposition (rf -PECVD) for the first time in India. Tungsten hexa-fluoride has been used as a pre-cursor gas. Energy Dispersive X-ray spectroscopy (EDS) clearly showed the presence of tungsten coating on the graphite samples. This paper presents the details of successful operation and achievement of tungsten coating in the reactor at SVITS. (paper)

  4. Stages of polymer transformation during remote plasma oxidation (RPO) at atmospheric pressure

    Science.gov (United States)

    Luan, P.; Oehrlein, G. S.

    2018-04-01

    The interaction of cold temperature plasma sources with materials can be separated into two types: ‘direct’ and ‘remote’ treatments. Compared to the ‘direct’ treatment which involves energetic charged species along with short-lived, strongly oxidative neutral species, ‘remote’ treatment by the long-lived weakly oxidative species is less invasive and better for producing uniformly treated surfaces. In this paper, we examine the prototypical case of remote plasma oxidation (RPO) of polymer materials by employing a surface micro-discharge (in a N2/O2 mixture environment) treatment on polystyrene. Using material characterization techniques including real-time ellipsometry, x-ray photoelectron spectroscopy, and Fourier-transform infrared spectroscopy, the time evolution of polymer film thickness, refractive index, surface, and bulk chemical composition were evaluated. These measurements revealed three consecutive stages of polymer transformation, i.e. surface adsorption and oxidation, bulk film permeation and thickness expansion followed by the material removal as a result of RPO. By correlating the observed film thickness changes with simultaneously obtained chemical information, we found that the three stages were due to the three effects of weakly oxidative species on polymers: (1) surface oxidation and nitrate (R-ONO2) chemisorption, (2) bulk oxidation, and (3) etching. Our results demonstrate that surface adsorption and oxidation, bulk oxidation, and etching can all happen during one continuous plasma treatment. We show that surface nitrate is only adsorbed on the top few nanometers of the polymer surface. The polymer film expansion also provided evidence for the diffusion and reaction of long-lived plasma species in the polymer bulk. Besides, we found that the remote plasma etched surface was relatively rich in O-C=O (ester or carboxylic acid). These findings clarify the roles of long-lived weakly oxidative plasma species on polymers and advance

  5. Microwave plasma-enhanced chemical vapour deposition growth of carbon nanostructures

    Directory of Open Access Journals (Sweden)

    Shivan R. Singh

    2010-05-01

    Full Text Available The effect of various input parameters on the production of carbon nanostructures using a simple microwave plasma-enhanced chemical vapour deposition technique has been investigated. The technique utilises a conventional microwave oven as the microwave energy source. The developed apparatus is inexpensive and easy to install and is suitable for use as a carbon nanostructure source for potential laboratory-based research of the bulk properties of carbon nanostructures. A result of this investigation is the reproducibility of specific nanostructures with the variation of input parameters, such as carbon-containing precursor and support gas flow rate. It was shown that the yield and quality of the carbon products is directly controlled by input parameters. Transmission electron microscopy and scanning electron microscopy were used to analyse the carbon products; these were found to be amorphous, nanotubes and onion-like nanostructures.

  6. Effect of plasma composition on nanocrystalline diamond layers deposited by a microwave linear antenna plasma-enhanced chemical vapour deposition system

    Czech Academy of Sciences Publication Activity Database

    Taylor, Andrew; Ashcheulov, Petr; Čada, Martin; Fekete, Ladislav; Hubík, Pavel; Klimša, Ladislav; Olejníček, Jiří; Remeš, Zdeněk; Jirka, Ivan; Janíček, P.; Bedel-Pereira, E.; Kopeček, Jaromír; Mistrík, J.; Mortet, Vincent

    2015-01-01

    Roč. 212, č. 11 (2015), s. 2418-2423 ISSN 1862-6300 R&D Projects: GA ČR GA13-31783S; GA MŠk LO1409 Grant - others:FUNBIO(XE) CZ.2.16/3.1.00/21568 Institutional support: RVO:68378271 ; RVO:61388955 Keywords : diamond * electrical conductivity * nanocrystalline materials * optical emission spectroscopy * plasma enhanced chemical vapour deposition * SiC Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.648, year: 2015

  7. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  8. Polyethylene Oxide Films Polymerized by Radio Frequency Plasma-Enhanced Chemical Vapour Phase Deposition and Its Adsorption Behaviour of Platelet-Rich Plasma

    International Nuclear Information System (INIS)

    Wen-Juan, Hu; Fen-Yan, Xie; Qiang, Chen; Jing, Weng

    2008-01-01

    We present polyethylene oxide (PEO) functional films polymerized by rf plasma-enhanced vapour chemical deposition (rf-PECVD) on p-Si (100) surface with precursor ethylene glycol dimethyl ether (EGDME) and diluted Ar in pulsed plasma mode. The influences of discharge parameters on the film properties and compounds are investigated. The film structure is analysed by Fourier transform infrared (FTIR) spectroscopy. The water contact angle measurement and atomic force microscope (AFM) are employed to examine the surface polarity and to detect surface morphology, respectively. It is concluded that the smaller duty cycle in pulsed plasma mode contributes to the rich C-O-C (EO) group on the surfaces. As an application, the adsorption behaviour of platelet-rich plasma on plasma polymerization films performed in-vitro is explored. The shapes of attached cells are studied in detail by an optic invert microscope, which clarifies that high-density C-O-C groups on surfaces are responsible for non-fouling adsorption behaviour of the PEO films

  9. Polyethylene Oxide Films Polymerized by Radio Frequency Plasma-Enhanced Chemical Vapour Phase Deposition and Its Adsorption Behaviour of Platelet-Rich Plasma

    Science.gov (United States)

    Hu, Wen-Juan; Xie, Fen-Yan; Chen, Qiang; Weng, Jing

    2008-10-01

    We present polyethylene oxide (PEO) functional films polymerized by rf plasma-enhanced vapour chemical deposition (rf-PECVD) on p-Si (100) surface with precursor ethylene glycol dimethyl ether (EGDME) and diluted Ar in pulsed plasma mode. The influences of discharge parameters on the film properties and compounds are investigated. The film structure is analysed by Fourier transform infrared (FTIR) spectroscopy. The water contact angle measurement and atomic force microscope (AFM) are employed to examine the surface polarity and to detect surface morphology, respectively. It is concluded that the smaller duty cycle in pulsed plasma mode contributes to the rich C-O-C (EO) group on the surfaces. As an application, the adsorption behaviour of platelet-rich plasma on plasma polymerization films performed in-vitro is explored. The shapes of attached cells are studied in detail by an optic invert microscope, which clarifies that high-density C-O-C groups on surfaces are responsible for non-fouling adsorption behaviour of the PEO films.

  10. Polycrystalline AlN films with preferential orientation by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Sanchez, G.; Wu, A.; Tristant, P.; Tixier, C.; Soulestin, B.; Desmaison, J.; Bologna Alles, A.

    2008-01-01

    AlN thin films for acoustic wave devices were prepared by Microwave Plasma Enhanced Chemical Vapor Deposition under different process conditions, employing Si (100) and Pt (111)/SiO 2 /Si (100) substrates. The films were characterized by X-ray diffraction, Fourier transform infrared transmission spectroscopy, atomic force microscopy, scanning electron microscopy, and transmission electron microscopy. The values of the distance between the plasma and the tri-methyl-aluminum precursor injector, the radiofrequency bias potential, and the substrate temperature were central in the development of polycrystalline films. The choice of the chamber total pressure during deposition allowed for the development of two different crystallographic orientations, i.e., or . The film microstructures exhibited in general a column-like growth with rounded tops, an average grain size of about 40 nm, and a surface roughness lower than 20 nm under the best conditions

  11. The design of remote participation platform for EAST plasma control

    Energy Technology Data Exchange (ETDEWEB)

    Yuan, Q.P., E-mail: qpyuan@ipp.ac.cn [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei (China); Xiao, B.J. [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei (China); University of Science & Technology of China, Hefei (China); Zhang, R.R. [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei (China); Chai, W.T.; Liu, J.; Xiao, R.; Zhou, Z.C.; Pei, X.F. [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei (China); University of Science & Technology of China, Hefei (China)

    2016-11-15

    Highlights: • The remote participation platform for EAST plasma control is composed of real time control service and scenario management. • The web based interface has been developed for supporting remote participation. • The functionality module has been designed and assistant tools have been developed. - Abstract: EAST has become a physics experimental platform for high parameter and steady-state long-pulse plasma operation. A new remote participation platform for EAST plasma control is designed, which is composed of gatekeeper system, web-based user interface system, discharge scenario management system, online simulation system and data interface with on-site plasma control system (PCS). The identification and access privilege of remote participator is validated by the gatekeeper system. Only authorized users can set control parameters for next shot plasma control or making discharge scenario for future shot through WebPCS which is a web-based user interface and designed based on B/S structure. The systematic architecture design and preliminary deployment of such remote platform will be presented in this paper.

  12. Plasma density remote control system of experimental advanced superconductive tokamak

    International Nuclear Information System (INIS)

    Zhang Mingxin; Luo Jiarong; Li Guiming; Wang Hua; Zhao Dazheng; Xu Congdong

    2007-01-01

    In Tokamak experiments, experimental data and information on the density control are stored in the local computer system. Therefore, the researchers have to be in the control room for getting the data. Plasma Density Remote Control System (DRCS), which is implemented by encapsulating the business logic on the client in the B/S module, conducts the complicated science computation and realizes the synchronization with the experimental process on the client. At the same time, Web Services and Data File Services are deployed for the data exchange. It is proved in the experiments that DRCS not only meets the requirements for the remote control, but also shows an enhanced capability on the data transmission. (authors)

  13. Structural and chemical analysis of annealed plasma-enhanced atomic layer deposition aluminum nitride films

    Energy Technology Data Exchange (ETDEWEB)

    Broas, Mikael, E-mail: mikael.broas@aalto.fi; Vuorinen, Vesa [Department of Electrical Engineering and Automation, Aalto University, P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland); Sippola, Perttu; Pyymaki Perros, Alexander; Lipsanen, Harri [Department of Micro- and Nanosciences, Aalto University, P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland); Sajavaara, Timo [Department of Physics, University of Jyväskylä, P.O. Box 35, FIN-40014 Jyväskylä (Finland); Paulasto-Kröckel, Mervi [Department of Electrical Engineering and Automation, Aalto University. P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland)

    2016-07-15

    Plasma-enhanced atomic layer deposition was utilized to grow aluminum nitride (AlN) films on Si from trimethylaluminum and N{sub 2}:H{sub 2} plasma at 200 °C. Thermal treatments were then applied on the films which caused changes in their chemical composition and nanostructure. These changes were observed to manifest in the refractive indices and densities of the films. The AlN films were identified to contain light element impurities, namely, H, C, and excess N due to nonideal precursor reactions. Oxygen contamination was also identified in the films. Many of the embedded impurities became volatile in the elevated annealing temperatures. Most notably, high amounts of H were observed to desorb from the AlN films. Furthermore, dinitrogen triple bonds were identified with infrared spectroscopy in the films. The triple bonds broke after annealing at 1000 °C for 1 h which likely caused enhanced hydrolysis of the films. The nanostructure of the films was identified to be amorphous in the as-deposited state and to become nanocrystalline after 1 h of annealing at 1000 °C.

  14. Reduced chemical warfare agent sorption in polyurethane-painted surfaces via plasma-enhanced chemical vapor deposition of perfluoroalkanes.

    Science.gov (United States)

    Gordon, Wesley O; Peterson, Gregory W; Durke, Erin M

    2015-04-01

    Perfluoralkalation via plasma chemical vapor deposition has been used to improve hydrophobicity of surfaces. We have investigated this technique to improve the resistance of commercial polyurethane coatings to chemicals, such as chemical warfare agents. The reported results indicate the surface treatment minimizes the spread of agent droplets and the sorption of agent into the coating. The improvement in resistance is likely due to reduction of the coating's surface free energy via fluorine incorporation, but may also have contributing effects from surface morphology changes. The data indicates that plasma-based surface modifications may have utility in improving chemical resistance of commercial coatings.

  15. Carbon nanosheets by microwave plasma enhanced chemical vapor deposition in CH4-Ar system

    International Nuclear Information System (INIS)

    Wang Zhipeng; Shoji, Mao; Ogata, Hironori

    2011-01-01

    We employ a new gas mixture of CH 4 -Ar to fabricate carbon nanosheets by microwave plasma enhanced chemical vapor deposition at the growth temperature of less than 500 deg. C. The catalyst-free nanosheets possess flower-like structures with a large amount of sharp edges, which consist of a few layers of graphene sheets according to the observation by transmission electron microscopy. These high-quality carbon nanosheets demonstrated a faster electron transfer between the electrolyte and the nanosheet surface, due to their edge defects and graphene structures.

  16. The Performance Improvement of N2 Plasma Treatment on ZrO2 Gate Dielectric Thin-Film Transistors with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition IGZO Channel.

    Science.gov (United States)

    Wu, Chien-Hung; Huang, Bo-Wen; Chang, Kow-Ming; Wang, Shui-Jinn; Lin, Jian-Hong; Hsu, Jui-Mei

    2016-06-01

    The aim of this paper is to illustrate the N2 plasma treatment for high-κ ZrO2 gate dielectric stack (30 nm) with indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs). Experimental results reveal that a suitable incorporation of nitrogen atoms could enhance the device performance by eliminating the oxygen vacancies and provide an amorphous surface with better surface roughness. With N2 plasma treated ZrO2 gate, IGZO channel is fabricated by atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique. The best performance of the AP-PECVD IGZO TFTs are obtained with 20 W-90 sec N2 plasma treatment with field-effect mobility (μ(FET)) of 22.5 cm2/V-s, subthreshold swing (SS) of 155 mV/dec, and on/off current ratio (I(on)/I(off)) of 1.49 x 10(7).

  17. Sensing performance of plasma-enhanced chemical vapor deposition SiC-SiO2-SiC horizontal slot waveguides

    NARCIS (Netherlands)

    Pandraud, G.; Margallo-Balbas, E.; Sarro, P.M.

    2012-01-01

    We have studied, for the first time, the sensing capabilities of plasma-enhanced chemical vapor deposition (PECVD) SiC-SiO2-SiC horizontal slot waveguides. Optical propagation losses were measured to be 23.9 dB?cm for the quasi-transverse magnetic mode. To assess the potential of this device as a

  18. Plasma diagnostics and device properties of AlGaN/GaN HEMT passivated with SiN deposited by plasma-enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Romero, M F; Sanz, M M; Munoz, E [ISOM-Universidad Politecnica de Madrid (UPM). ETSIT, Madrid (Spain); Tanarro, I [Instituto de Estructura de la Materia, CSIC, Madrid (Spain); Jimenez, A, E-mail: itanarro@iem.cfmac.csic.e [Departamento Electronica, Escuela Politecnica Superior, Universidad de Alcala, Alcala de Henares, Madrid (Spain)

    2010-12-15

    In this work, silicon nitride thin films have been deposited by plasma enhanced chemical vapour deposition on both silicon samples and AlGaN/GaN high electron mobility transistors (HEMT) grown on sapphire substrates. Commercial parallel-plate RF plasma equipment has been used. During depositions, the dissociation rates of SiH{sub 4} and NH{sub 3} precursors and the formation of H{sub 2} and N{sub 2} have been analysed by mass spectrometry as a function of the NH{sub 3}/SiH{sub 4} flow ratio and the RF power applied to the plasma reactor. Afterwards, the properties of the films and the HEMT electrical characteristics have been studied. Plasma composition has been correlated with the SiN deposition rate, refractive index, H content and the final electric characteristics of the passivated transistors.

  19. Apparatus and method for enhanced chemical processing in high pressure and atmospheric plasmas produced by high frequency electromagnetic waves

    Science.gov (United States)

    Efthimion, Philip C.; Helfritch, Dennis J.

    1989-11-28

    An apparatus and method for creating high temperature plasmas for enhanced chemical processing of gaseous fluids, toxic chemicals, and the like, at a wide range of pressures, especially at atmospheric and high pressures includes an electro-magnetic resonator cavity, preferably a reentrant cavity, and a wave guiding structure which connects an electro-magnetic source to the cavity. The cavity includes an intake port and an exhaust port, each having apertures in the conductive walls of the cavity sufficient for the intake of the gaseous fluids and for the discharge of the processed gaseous fluids. The apertures are sufficiently small to prevent the leakage of the electro-magnetic radiation from the cavity. Gaseous fluid flowing from the direction of the electro-magnetic source through the guiding wave structure and into the cavity acts on the plasma to push it away from the guiding wave structure and the electro-magnetic source. The gaseous fluid flow confines the high temperature plasma inside the cavity and allows complete chemical processing of the gaseous fluids at a wide range of pressures.

  20. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  1. Effect of oxygen plasma on field emission characteristics of single-wall carbon nanotubes grown by plasma enhanced chemical vapour deposition system

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Avshish; Parveen, Shama; Husain, Samina; Ali, Javid; Zulfequar, Mohammad [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Harsh [Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia, New Delhi 110025 (India); Husain, Mushahid, E-mail: mush-reslab@rediffmail.com [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia, New Delhi 110025 (India)

    2014-02-28

    Field emission properties of single wall carbon nanotubes (SWCNTs) grown on iron catalyst film by plasma enhanced chemical vapour deposition system were studied in diode configuration. The results were analysed in the framework of Fowler-Nordheim theory. The grown SWCNTs were found to be excellent field emitters, having emission current density higher than 20 mA/cm{sup 2} at a turn-on field of 1.3 V/μm. The as grown SWCNTs were further treated with Oxygen (O{sub 2}) plasma for 5 min and again field emission characteristics were measured. The O{sub 2} plasma treated SWCNTs have shown dramatic improvement in their field emission properties with emission current density of 111 mA/cm{sup 2} at a much lower turn on field of 0.8 V/μm. The as grown as well as plasma treated SWCNTs were also characterized by various techniques, such as scanning electron microscopy, high resolution transmission electron microscopy, Raman spectroscopy, and Fourier transform infrared spectroscopy before and after O{sub 2} plasma treatment and the findings are being reported in this paper.

  2. Room temperature synthesis of porous SiO2 thin films by plasma enhanced chemical vapor deposition

    OpenAIRE

    Barranco Quero, Ángel; Cotrino Bautista, José; Yubero Valencia, Francisco; Espinós, J. P.; Rodríguez González-Elipe, Agustín

    2004-01-01

    Synthesis of porous SiO2 thin films in room temperature was carried out using plasma enhanced chemical vapor deposition (CVD) in an electron cyclotron resonance microwave reactor with a downstream configuration.The gas adsorption properties and the type of porosity of the SiO2 thin films were assessed by adsorption isotherms of toluene at room temperature.The method could also permit the tailoring synthesis of thin films when both composition and porosity can be simultaneously and independent...

  3. Developments in remote participation in plasma physics experiments

    International Nuclear Information System (INIS)

    Blackwell, B.

    1999-01-01

    Recent growth in the size of plasma experiments and developments in network based software have contributed to a high level of interest in remote participation. Highlights of the recent conferences on this subject, and the ensuing 'white paper' are presented, with demonstrations of various Data Server/Web/Java based remote access techniques. These not only allow AINSE/AFRG users convenient access to H-1NF data from their home laboratory, but are (or soon will be) available to and from many overseas laboratories with similar systems. Many large plasma laboratories predict a large increase in remote access in the next two years. Several demonstrations of remote experiment control have been performed over medium speed networks, and several new experiments are planning on remote access from the beginning. In this paper we consider data access rights and security, access to common documents, and access to processed and raw data. The full version of this document can be viewed on the ANU's H-1NF web page at: http://rsphysse.anu.edu.au/

  4. Modeling of Sheath Ion-Molecule Reactions in Plasma Enhanced Chemical Vapor Deposition of Carbon Nanotubes

    Science.gov (United States)

    Hash, David B.; Govindan, T. R.; Meyyappan, M.

    2004-01-01

    In many plasma simulations, ion-molecule reactions are modeled using ion energy independent reaction rate coefficients that are taken from low temperature selected-ion flow tube experiments. Only exothermic or nearly thermoneutral reactions are considered. This is appropriate for plasma applications such as high-density plasma sources in which sheaths are collisionless and ion temperatures 111 the bulk p!asma do not deviate significantly from the gas temperature. However, for applications at high pressure and large sheath voltages, this assumption does not hold as the sheaths are collisional and ions gain significant energy in the sheaths from Joule heating. Ion temperatures and thus reaction rates vary significantly across the discharge, and endothermic reactions become important in the sheaths. One such application is plasma enhanced chemical vapor deposition of carbon nanotubes in which dc discharges are struck at pressures between 1-20 Torr with applied voltages in the range of 500-700 V. The present work investigates The importance of the inclusion of ion energy dependent ion-molecule reaction rates and the role of collision induced dissociation in generating radicals from the feedstock used in carbon nanotube growth.

  5. Role of chlorine in the nanocrystalline silicon film formation by rf plasma-enhanced chemical vapor deposition of chlorinated materials

    International Nuclear Information System (INIS)

    Shirai, Hajime

    2004-01-01

    We demonstrate the disorder-induced low-temperature crystallization in the nanocrystalline silicon film growth by rf plasma-enhanced chemical vapor deposition of H 2 -diluted SiH 2 Cl 2 and SiCl 4 . The combination of the chemical reactivity of SiCld (d: dangling bond) and SiHCl complexes and the release of the disorder-induced stress near the growing surface tightly correlate with the phase transitionity of SiCld and SiHCl complexes near the growing surface with the aid of atomic hydrogen, which induce higher degree of disorder in the a-Si network. These features are most prominent in the SiCl 4 compared with those of SiH 2 Cl 2 and SiH 4 , which preferentially enhance the nanocrystalline Si formation

  6. The growth of axially modulated p–n GaN nanowires by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Tung-Hsien; Hong, Franklin Chau-Nan

    2013-01-01

    Due to the n-type characteristics of intrinsic gallium nitride, p-type gallium nitride (GaN) is more difficult to synthesize than n-type gallium nitride in forming the p–n junctions for optoelectronic applications. For the growth of the p-type gallium nitride, magnesium is used as the dopant. The Mg-doped GaN nanowires (NWs) have been synthesized on (111)-oriented n + -silicon substrates by plasma-enhanced chemical vapor deposition. The scanning electron microscope images showed that the GaN NWs were bent at high Mg doping levels, and the transmission electron microscope characterization indicated that single-crystalline GaN NWs grew along < 0001 > orientation. As shown by energy dispersive spectroscopy, the Mg doping levels in GaN NWs increased with increasing partial pressure of magnesium nitride, which was employed as the dopant precursor for p-GaN NW growth. Photoluminescence measurements suggested the presence of both p- and n‐type GaN NWs. Furthermore, the GaN NWs with axial p–n junctions were aligned between either two-Ni or two-Al electrodes by applying alternating current voltages. The current–voltage characteristics have confirmed the formation of axial p–n junctions in GaN nanowires. - Highlights: ► Grow axially modulated GaN nanowires by plasma-enhanced chemical vapor deposition ► Control the Mg concentration of GaN nanowires by tuning Mg 3 N 2 temperature ► Align the GaN nanowires by applying alternating current voltages between electrodes

  7. Morphologic and Chemical Properties of PMMA/ATH Layers with Enhanced Abrasion Resistance Realised by Cold Plasma Spraying at Atmospheric Pressure

    Directory of Open Access Journals (Sweden)

    L. Wallenhorst

    2018-01-01

    Full Text Available This study investigated the morphologic and chemical properties of coatings based on PMMA/ATH powder and deposited by cold plasma spraying on wood and glass. Since the deposition of pure PMMA/ATH powder with air as process gas yielded coatings with insufficient abrasion resistance, two modifications of the basic process were investigated. Previous studies showed that replacing air as process gas with forming gas did not enhance the abrasion resistance, but the addition of a phenol-formaldehyde resin (PF succeeded in stabilising the particle coatings. In this work, results from morphologic and chemical analysis suggested an encasement of the PMMA/ATH particles by plasma-modified PF and thus a fusion of individual particles, explaining the enhanced bonding. Moreover, adhesion tests confirmed an outstanding bonding between the coating and wood as well as glass, which is assumed to result from interactions between the PF’s hydroxyl groups and functional groups on the substrates’ surfaces. Studies on the wettability revealed a hydrophobic character of such coatings, therefore generally indicating a possible application, for example, to reduce water uptake by wooden materials.

  8. Physics-electrical hybrid model for real time impedance matching and remote plasma characterization in RF plasma sources.

    Science.gov (United States)

    Sudhir, Dass; Bandyopadhyay, M; Chakraborty, A

    2016-02-01

    Plasma characterization and impedance matching are an integral part of any radio frequency (RF) based plasma source. In long pulse operation, particularly in high power operation where plasma load may vary due to different reasons (e.g. pressure and power), online tuning of impedance matching circuit and remote plasma density estimation are very useful. In some cases, due to remote interfaces, radio activation and, due to maintenance issues, power probes are not allowed to be incorporated in the ion source design for plasma characterization. Therefore, for characterization and impedance matching, more remote schemes are envisaged. Two such schemes by the same authors are suggested in these regards, which are based on air core transformer model of inductive coupled plasma (ICP) [M. Bandyopadhyay et al., Nucl. Fusion 55, 033017 (2015); D. Sudhir et al., Rev. Sci. Instrum. 85, 013510 (2014)]. However, the influence of the RF field interaction with the plasma to determine its impedance, a physics code HELIC [D. Arnush, Phys. Plasmas 7, 3042 (2000)] is coupled with the transformer model. This model can be useful for both types of RF sources, i.e., ICP and helicon sources.

  9. Spectroscopic ellipsometry characterization of nano-crystalline diamondfilms prepared at various substrate temperatures and pulsed plasma frequencies using microwave plasma enhanced chemical vapor deposition apparatus with linear antenna delivery

    Czech Academy of Sciences Publication Activity Database

    Mistrík, J.; Janíček, P.; Taylor, Andrew; Fendrych, František; Fekete, Ladislav; Jäger, Aleš; Nesládek, M.

    2014-01-01

    Roč. 571, č. 1 (2014), s. 230-237 ISSN 0040-6090 R&D Projects: GA ČR GA13-31783S; GA MŠk(CZ) LM2011026 Grant - others: COST Nano TP(XE) MP0901; OP VK(XE) CZ.1.07/2.3.00/20.0306 Institutional support: RVO:68378271 Keywords : nanocrystalline diamond * thin films * microwave plasma-enhanced chemical vapor deposition * pulsed plasma * low deposition temperature Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.759, year: 2014

  10. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  11. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    International Nuclear Information System (INIS)

    Sulyaeva, Veronica S.; Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A.; Kesler, Valerii G.; Kirienko, Viktor V.

    2014-01-01

    Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC x N y films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC x N y films were found to be high optical transparent layers (93%). • BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9

  12. Towards Enhanced Performance Thin-film Composite Membranes via Surface Plasma Modification

    Science.gov (United States)

    Reis, Rackel; Dumée, Ludovic F.; Tardy, Blaise L.; Dagastine, Raymond; Orbell, John D.; Schutz, Jürg A.; Duke, Mikel C.

    2016-01-01

    Advancing the design of thin-film composite membrane surfaces is one of the most promising pathways to deal with treating varying water qualities and increase their long-term stability and permeability. Although plasma technologies have been explored for surface modification of bulk micro and ultrafiltration membrane materials, the modification of thin film composite membranes is yet to be systematically investigated. Here, the performance of commercial thin-film composite desalination membranes has been significantly enhanced by rapid and facile, low pressure, argon plasma activation. Pressure driven water desalination tests showed that at low power density, flux was improved by 22% without compromising salt rejection. Various plasma durations and excitation powers have been systematically evaluated to assess the impact of plasma glow reactions on the physico-chemical properties of these materials associated with permeability. With increasing power density, plasma treatment enhanced the hydrophilicity of the surfaces, where water contact angles decreasing by 70% were strongly correlated with increased negative charge and smooth uniform surface morphology. These results highlight a versatile chemical modification technique for post-treatment of commercial membrane products that provides uniform morphology and chemically altered surface properties. PMID:27363670

  13. Structured nanocarbon on various metal foils by microwave plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Rius, G; Yoshimura, M

    2013-01-01

    We present a versatile process for the engineering of nanostructures made of crystalline carbon on metal foils. The single step process by microwave plasma-enhance chemical vapor deposition is demonstrated for various substrate materials, such as Ni or Cu. Either carbon nanotubes (CNT) or carbon nanowalls (CNW) are obtained under same growth conditions and without the need of additional catalyst. The use of spacer and insulator implies a certain control over the kind of allotropes that are obtained. High density and large surface area are morphological characteristics of the thus obtained C products. The possibility of application on many metals, and in the alloy composition, on as-delivered commercially available foils indicates that this strategy can be adapted to a bunch of specific applications, while the production of C nanostructures is of remarkable simplicity.

  14. Heteroepitaxial Growth of Germanium-on-Silicon Using Ultrahigh-Vacuum Chemical Vapor Deposition with RF Plasma Enhancement

    Science.gov (United States)

    Alharthi, Bader; Grant, Joshua M.; Dou, Wei; Grant, Perry C.; Mosleh, Aboozar; Du, Wei; Mortazavi, Mansour; Li, Baohua; Naseem, Hameed; Yu, Shui-Qing

    2018-05-01

    Germanium (Ge) films have been grown on silicon (Si) substrate by ultrahigh-vacuum chemical vapor deposition with plasma enhancement (PE). Argon plasma was generated using high-power radiofrequency (50 W) to assist in germane decomposition at low temperature. The growth temperature was varied in the low range of 250°C to 450°C to make this growth process compatible with complementary metal-oxide-semiconductor technology. The material and optical properties of the grown Ge films were investigated. The material quality was determined by Raman and x-ray diffraction techniques, revealing growth of crystalline films in the temperature range of 350°C to 450°C. Photoluminescence spectra revealed improved optical quality at growth temperatures of 400°C and 450°C. Furthermore, material quality study using transmission electron microscopy revealed existence of defects in the Ge layer grown at 400°C. Based on the etch pit density, the average threading dislocation density in the Ge layer obtained at this growth temperature was measured to be 4.5 × 108 cm-2. This result was achieved without any material improvement steps such as use of graded buffer or thermal annealing. Comparison between PE and non-plasma-enhanced growth, in the same machine at otherwise the same growth conditions, indicated increased growth rate and improved material and optical qualities for PE growth.

  15. Plasma-chemical processes and systems

    International Nuclear Information System (INIS)

    Castro B, J.

    1987-01-01

    The direct applications of plasma technology on chemistry and metallurgy are presented. The physical fundaments of chemically active non-equilibrium plasma, the reaction kinetics, and the physical chemical transformations occuring in the electrical discharges, which are applied in the industry, are analysed. Some plasma chemical systems and processes related to the energy of hydrogen, with the chemical technology and with the metallurgy are described. Emphasis is given to the optimization of the energy effectiveness of these processes to obtain reducers and artificial energetic carriers. (M.C.K.) [pt

  16. Production and transport chemistry of atomic fluorine in remote plasma source and cylindrical reaction chamber

    International Nuclear Information System (INIS)

    Gangoli, S P; Johnson, A D; Fridman, A A; Pearce, R V; Gutsol, A F; Dolgopolsky, A

    2007-01-01

    Increasingly, NF 3 -based plasmas are being used in semiconductor manufacturing to clean chemical vapour deposition (CVD) chambers. With advantages such as faster clean times, substantially lower emissions of gases having high global warming potentials, and reduced chamber damage, NF 3 plasmas are now favoured over fluorocarbon-based processes. Typically, a remote plasma source (RPS) is used to dissociate the NF 3 gas and produce atomic fluorine that etches the CVD residues from the chamber surfaces. However, it is important to efficiently transport F atoms from the plasma source into the process chamber. The current work is aimed at understanding and improving the key processes involved in the production and transport of atomic fluorine atoms. A zero-dimensional model of NF 3 dissociation and F production chemistry in the RPS is developed based on various known and derived plasma parameters. Additionally, a model describing the transport of atomic fluorine is proposed that includes both physical (diffusion, adsorption and desorption) and chemical processes (surface and three-body volume recombination). The kinetic model provides an understanding of the impact of chamber geometry, gas flow rates, pressure and temperature on fluorine recombination. The plasma-kinetic model is validated by comparing model predictions (percentage F atom density) with experimental results (etch rates)

  17. Plasmas for the low-temperature growth of high-quality GaN films by molecular beam epitaxy and remote plasma MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Capezzuto, P.; Bruno, G. [Plasmachemistry Research Center, CNR, Bari (Italy); Namkoong, G.; Doolittle, W.A.; Brown, A.S. [Georgia Inst. of Tech., Atlanta (United States). School of Electrical and Computer Engineering, Microelectronic Research Center

    2002-03-16

    GaN heteroepitaxial growth on sapphire (0001) substrates was carried out by both radio-frequency (rf) remote plasma metalorganic chemical vapor deposition (RP-MOCVD) and molecular beam epitaxy (MBE). A multistep growth process including substrate plasma cleaning and nitridation, buffer growth, its subsequent annealing and epilayer growth was used. In order to achieve a better understanding of the GaN growth, in-situ real time investigation of the surface chemistry is performed for all the steps using the conventional reflection high-energy electron spectroscopy (RHEED) during the MBE process, while laser reflectance interferometry (LRI) and spectroscopic ellipsometry (SE), which do not require UHV conditions, are used for the monitoring of the RP-MOCVD process. The chemistry of the rf N{sub 2} plasma sapphire nitridation and its effect on the epilayer growth and quality are discussed in both MBE and RP-MOCVD. (orig.)

  18. Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Gates, S. M.; Neumayer, D. A.; Sherwood, M. H.; Grill, A.; Wang, X.; Sankarapandian, M.

    2007-01-01

    The preparation of ultralow dielectric constant porous silicon, carbon, oxygen, hydrogen alloy dielectrics, called 'pSiCOH', using a production 200 mm plasma enhanced chemical vapor deposition tool and a thermal treatment is reported here. The effect of deposition temperature on the pSiCOH film is examined using Fourier transform infrared (FTIR) spectroscopy, dielectric constant (k), and film shrinkage measurements. For all deposition temperatures, carbon in the final porous film is shown to be predominantly Si-CH 3 species, and lower k is shown to correlate with increased concentration of Si-CH 3 . NMR and FTIR spectroscopies clearly detect the loss of a removable, unstable, hydrocarbon (CH x ) phase during the thermal treatment. Also detected are increased cross-linking of the Si-O skeleton, and concentration changes for three distinct structures of carbon. In the as deposited films, deposition temperature also affects the hydrocarbon (CH x ) content and the presence of C=O and C=C functional groups

  19. Sticking non-stick: Surface and Structure control of Diamond-like Carbon in Plasma Enhanced Chemical Vapour Deposition

    Science.gov (United States)

    Jones, B. J.; Nelson, N.

    2016-10-01

    This short review article explores the practical use of diamond-like carbon (DLC) produced by plasma enhanced chemical vapour deposition (PECVD). Using as an example issues relating to the DLC coating of a hand-held surgical device, we draw on previous works using atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, tensiometry and electron paramagnetic resonance. Utilising data from these techniques, we examine the surface structure, substrate-film interface and thin film microstructure, such as sp2/sp3 ratio (graphitic/diamond-like bonding ratio) and sp2 clustering. We explore the variations in parameters describing these characteristics, and relate these to the final device properties such as friction, wear resistance, and diffusion barrier integrity. The material and device characteristics are linked to the initial plasma and substrate conditions.

  20. Sticking non-stick: Surface and Structure control of Diamond-like Carbon in Plasma Enhanced Chemical Vapour Deposition

    International Nuclear Information System (INIS)

    Jones, B J; Nelson, N

    2016-01-01

    This short review article explores the practical use of diamond-like carbon (DLC) produced by plasma enhanced chemical vapour deposition (PECVD). Using as an example issues relating to the DLC coating of a hand-held surgical device, we draw on previous works using atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, tensiometry and electron paramagnetic resonance. Utilising data from these techniques, we examine the surface structure, substrate-film interface and thin film microstructure, such as sp 2 /sp 3 ratio (graphitic/diamond-like bonding ratio) and sp 2 clustering. We explore the variations in parameters describing these characteristics, and relate these to the final device properties such as friction, wear resistance, and diffusion barrier integrity. The material and device characteristics are linked to the initial plasma and substrate conditions. (paper)

  1. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    Energy Technology Data Exchange (ETDEWEB)

    Sulyaeva, Veronica S., E-mail: veronica@niic.nsc.ru [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A. [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kesler, Valerii G. [Laboratory of Physical Principles for Integrated Microelectronics, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation); Kirienko, Viktor V. [Laboratory of Nonequilibrium Semiconductors Systems, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation)

    2014-05-02

    Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers (93%). • BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9.

  2. Controlled surface diffusion in plasma-enhanced chemical vapor deposition of GaN nanowires

    International Nuclear Information System (INIS)

    Hou, W C; Hong, Franklin Chau-Nan

    2009-01-01

    This study investigates the growth of GaN nanowires by controlling the surface diffusion of Ga species on sapphire in a plasma-enhanced chemical vapor deposition (CVD) system. Under nitrogen-rich growth conditions, Ga has a tendency to adsorb on the substrate surface diffusing to nanowires to contribute to their growth. The significance of surface diffusion on the growth of nanowires is dependent on the environment of the nanowire on the substrate surface as well as the gas phase species and compositions. Under nitrogen-rich growth conditions, the growth rate is strongly dependent on the surface diffusion of gallium, but the addition of 5% hydrogen in nitrogen plasma instantly diminishes the surface diffusion effect. Gallium desorbs easily from the surface by reaction with hydrogen. On the other hand, under gallium-rich growth conditions, nanowire growth is shown to be dominated by the gas phase deposition, with negligible contribution from surface diffusion. This is the first study reporting the inhibition of surface diffusion effects by hydrogen addition, which can be useful in tailoring the growth and characteristics of nanowires. Without any evidence of direct deposition on the nanowire surface, gallium and nitrogen are shown to dissolve into the catalyst for growing the nanowires at 900 deg. C.

  3. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  4. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  5. Nanostructure and optical properties of CeO{sub 2} thin films obtained by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Barreca, D.; Bruno, G.; Gasparotto, A.; Losurdo, M.; Tondello, E

    2003-12-15

    In the present study, Spectroscopic Ellipsometry (SE) is used to investigate the interrelations between nanostructure and optical properties of CeO{sub 2} thin films deposited by Plasma-Enhanced Chemical Vapor Deposition (PE-CVD). The layers were synthesized in Ar and Ar-O{sub 2} plasmas on Si(100) substrates at temperatures lower than 300 deg. C. Both the real and imaginary parts of the complex dielectric functions and, subsequently, the optical constants of the films are derived up to 6.0 eV photon energy. Particular attention is devoted to the influence of synthesis conditions and sample properties on the optical response, taking into account the effects of surface roughness and SiO{sub 2} interface layer on Si.

  6. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    Science.gov (United States)

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  7. Epitaxial growth of GaN by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) in the downflow of a very high frequency (VHF) N2/H2 excited plasma - effect of TMG flow rate and VHF power

    Science.gov (United States)

    Lu, Yi; Kondo, Hiroki; Ishikawa, Kenji; Oda, Osamu; Takeda, Keigo; Sekine, Makoto; Amano, Hiroshi; Hori, Masaru

    2014-04-01

    Gallium nitride (GaN) films have been grown by using our newly developed Radical-Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD) system. This system has three features: (1) application of very high frequency (60 MHz) power in order to increase the plasma density, (2) introduction of H2 gas together with N2 gas in the plasma discharge region to generate not only nitrogen radicals but also active NHx molecules, and (3) radical supply under remote plasma arrangement with suppression of charged ions and photons by employing a Faraday cage. Using this new system, we have studied the effect of the trimethylgallium (TMG) source flow rate and of the plasma generation power on the GaN crystal quality by using scanning electron microscopy (SEM) and double crystal X-ray diffraction (XRD). We found that this REMOCVD allowed the growth of epitaxial GaN films of the wurtzite structure of (0001) orientation on sapphire substrates with a high growth rate of 0.42 μm/h at a low temperature of 800 °C. The present REMOCVD is a promising method for GaN growth at relatively low temperature and without using costly ammonia gas.

  8. Structural and photoluminescence investigation on the hot-wire assisted plasma enhanced chemical vapor deposition growth silicon nanowires

    International Nuclear Information System (INIS)

    Chong, Su Kong; Goh, Boon Tong; Wong, Yuen-Yee; Nguyen, Hong-Quan; Do, Hien; Ahmad, Ishaq; Aspanut, Zarina; Muhamad, Muhamad Rasat; Dee, Chang Fu; Rahman, Saadah Abdul

    2012-01-01

    High density of silicon nanowires (SiNWs) were synthesized by a hot-wire assisted plasma enhanced chemical vapor deposition technique. The structural and optical properties of the as-grown SiNWs prepared at different rf power of 40 and 80 W were analyzed in this study. The SiNWs prepared at rf power of 40 W exhibited highly crystalline structure with a high crystal volume fraction, X C of ∼82% and are surrounded by a thin layer of SiO x . The NWs show high absorption in the high energy region (E>1.8 eV) and strong photoluminescence at 1.73 to 2.05 eV (red–orange region) with a weak shoulder at 1.65 to 1.73 eV (near IR region). An increase in rf power to 80 W reduced the X C to ∼65% and led to the formation of nanocrystalline Si structures with a crystallite size of <4 nm within the SiNWs. These NWs are covered by a mixture of uncatalyzed amorphous Si layer. The SiNWs prepared at 80 W exhibited a high optical absorption ability above 99% in the broadband range between 220 and ∼1500 nm and red emission between 1.65 and 1.95 eV. The interesting light absorption and photoluminescence properties from both SiNWs are discussed in the text. - Highlights: ► Growth of random oriented silicon nanowires using hot-wire assisted plasma enhanced chemical vapor deposition. ► Increase in rf power reduces the crystallinity of silicon nanowires. ► High density and nanocrystalline structure in silicon nanowires significant enhance the near IR light absorption. ► Oxide defects and silicon nanocrystallites in silicon nanowires reveal photoluminescence in red–orange and red regions.

  9. Stress hysteresis and mechanical properties of plasma-enhanced chemical vapor deposited dielectric films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.; Kamarajugadda, Mallika; Bozeman, Steven P.; Stearns, Laura C.

    2004-02-01

    A comprehensive survey is described of the responses of three plasma-enhanced chemical vapor deposited dielectric film systems to thermal cycling and indentation contact. All three films—silicon oxide, silicon nitride, and silicon oxy-nitride—exhibited significant nonequilibrium permanent changes in film stress on thermal cycling or annealing. The linear relationship between stress and temperature changed after the films were annealed at 300 °C, representing a structural alteration in the film reflecting a change in coefficient of thermal expansion or biaxial modulus. A double-substrate method was used to deduce both thermoelastic properties before and after the anneal of selected films and the results were compared with the modulus deconvoluted from small-scale depth-sensing indentation experiments (nanoindentation). Rutherford backscattering spectrometry and hydrogen forward scattering were used to deduce the composition of the films and it was found that all the films contained significant amounts of hydrogen.

  10. Plasma-enhanced chemical vapor deposition of graphene on copper substrates

    Directory of Open Access Journals (Sweden)

    Nicolas Woehrl

    2014-04-01

    Full Text Available A plasma enhanced vapor deposition process is used to synthesize graphene from a hydrogen/methane gas mixture on copper samples. The graphene samples were transferred onto SiO2 substrates and characterized by Raman spectroscopic mapping and atomic force microscope topographical mapping. Analysis of the Raman bands shows that the deposited graphene is clearly SLG and that the sheets are deposited on large areas of several mm2. The defect density in the graphene sheets is calculated using Raman measurements and the influence of the process pressure on the defect density is measured. Furthermore the origin of these defects is discussed with respect to the process parameters and hence the plasma environment.

  11. Development and verification test on remote plasma cutting of large metallic waste

    International Nuclear Information System (INIS)

    Ozawa, Tamotsu; Yamada, Kunitaka; Abe, Tadashi

    1979-01-01

    Plasma cutting is the cutting method to melt and scatter cut objects by generating arc between an electrode in a nozzle and the cut objects and making working gas fed to surround it into high temperature, high speed plasma jet. In case of remote plasma cutting, a torch for the plasma cutting is operated remotely with a manipulator from the outside of a cell. At the time of planning the method of breaking up solid wastes, the type of cutting machines and the method of remote operation of the cutting machines and cut objects were examined. It was decided to adopt plasma cutting machines, because their cutting capability such as materials, thickness and cutting speed is excellent, and the construction and handling are simple. The form of the solid wastes to be cut is not uniform, accordingly the method of manipulator operation was adopted to respond to various forms flexibly. Cut objects are placed on a turntable to change the position successively. In case of remote plasma cutting, the controls of torch speed and gap must be made with a manipulator. The use of light-shielding glasses reduces largely the watchability of cut objects and becomes hindrance in the operation. As for the safety aspect, the suitable gas for cutting, which does not contain hydrogen, must be selected. The tests carried out for two years since November, 1977, are reported in this paper, and most of the problems have been solved. (Kako, I.)

  12. A remote in-vessel and ex-vessel force-reflecting telerobotic system for the burning plasma experiment

    International Nuclear Information System (INIS)

    Kuban, D.P.; Busko, N.

    1992-01-01

    The Burning Plasma Experiment (BPX) has made an applaudable commitment to total remote maintenance which will undoubtedly move fusion energy closer to commercial reality. This commitment poses new and formidable challenges due to the dimensional constraints, diversity of maintenance operations, and the geometrically intricate equipment arrangements. These challenges must be addressed for successful hot operation of the Princeton Plasma Physics Laboratory BPX. This paper reports on a new manipulator system, called the TeleMate, which is under development to contribute to this needed capability. This system combines enhancements to a proven mechanical design with state-of-the-art controls technology, to produce a flexible system that can be configured to address the numerous remote fusion applications. The mechanical portion of the system has many years of operation in existing radioactive facilities. This paper presents a system description, the development status, initial test data, and control system performance

  13. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  14. Low-temperature synthesis of diamond films by photoemission-assisted plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kawata, Mayuri, E-mail: kawata@mail.tagen.tohoku.ac.jp; Ojiro, Yoshihiro; Ogawa, Shuichi; Takakuwa, Yuji [Institute of Multidisciplinary Research for Advanced Materials, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan); Masuzawa, Tomoaki; Okano, Ken [International Christian University, 3-10-2 Osawa, Mitaka 181-8585 (Japan)

    2014-03-15

    Photoemission-assisted plasma-enhanced chemical vapor deposition (PA-PECVD), a process in which photoelectrons emitted from a substrate irradiated with ultraviolet light are utilized as a trigger for DC discharge, was investigated in this study; specifically, the DC discharge characteristics of PA-PECVD were examined for an Si substrate deposited in advance through hot-filament chemical vapor deposition with a nitrogen-doped diamond layer of thickness ∼1 μm. Using a commercially available Xe excimer lamp (hν = 7.2 eV) to illuminate the diamond surface with and without hydrogen termination, the photocurrents were found to be 3.17 × 10{sup 12} and 2.11 × 10{sup 11} electrons/cm{sup 2}/s, respectively. The 15-fold increase in photocurrent was ascribed to negative electron affinity (NEA) caused by hydrogen termination on the diamond surfaces. The DC discharge characteristics revealed that a transition bias voltage from a Townsend-to-glow discharge was considerably decreased because of NEA (from 490 to 373 V for H{sub 2} gas and from 330 to 200 V for Ar gas), enabling a reduction in electric power consumption needed to synthesize diamond films through PA-PECVD. In fact, the authors have succeeded in growing high-quality diamond films of area 2.0 cm{sup 2} at 540 °C with a discharge power of only 1.8 W, plasma voltage of 156.4 V, and discharge current of 11.7 mA under the glow discharge of CH{sub 4}/H{sub 2}/Ar mixed gases. In addition to having only negligible amounts of graphite and amorphous carbon, the diamond films exhibit a relatively high diamond growth rate of 0.5 μm/h at temperatures as low as 540 °C, which is attributed to Ar{sup +} ions impinging on the diamond surface, and causing the removal of hydrogen atoms from the surface through sputtering. This process leads to enhanced CH{sub x} radical adsorption, because the sample was applied with a negative potential to accelerate photoelectrons in PA-PECVD.

  15. Synthesis and growth mechanism of Fe-catalyzed carbon nanotubes by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Jiang Jun; Feng Tao; Cheng Xinhong; Dai Lijuan; Cao Gongbai; Jiang Bingyao; Wang Xi; Liu Xianghuai; Zou Shichang

    2006-01-01

    Plasma-enhanced chemical vapor deposition (PECVD) was used to grow Fe-catalyzed carbon nanotubes (CNTs). The nanotubes had a uniform diameter in the range of about 10-20 nm. A base growth mode was responsible for the CNTs growth using a mixture of H 2 (60 sccm) and C 2 H 2 (15 sccm). For a mixture of H 2 (100 sccm) and C 2 H 2 (25 sccm), a complicated growth mechanism took place involving both the base growth and the tip growth. X-ray photoelectron spectroscopy measurements revealed that the grown CNTs contained C-H covalent bonds and Fe-C bonds located at the interface between them and the substrates. The factors determining the growth mechanism of CNTs are discussed and their growth mechanisms with the different gas ratios are suggested

  16. Remote-LIBS characterization of ITER-like plasma facing materials

    International Nuclear Information System (INIS)

    Almaviva, S.; Caneve, L.; Colao, F.; Fantoni, R.; Maddaluno, G.

    2012-01-01

    Graphical abstract: Display Omitted Highlights: ► Description of a LIBS set-up as remote diagnostics in new generation fusion machines. ► Identification of the atomic composition of samples simulating plasma facing components. ► Submicrometric resolution in depth profiling the elemental composition of the samples. ► Identification of elements present in traces or as impurities on the sample surface. ► Discussion on the applicability of the Calibration Free method for quantitative analysis. - Abstract: The occurrence of several plasma-wall interaction processes, eventually affecting the overall system performances, is expected in a working fusion device chamber. Monitoring the changes in the composition of the plasma facing component (PFC) surface layer, as a result of erosion and redeposition mechanisms, can provide useful information on the possible plasma pollution and fuel retention. To this aim, suitable diagnostic techniques able to perform depth profiling analysis of the superficial layers on the PFCs have been developed. Due to the constraints commonly found in fusion devices, the measuring apparatus must be non invasive, remote and sensitive to light elements. These requirements make LIBS (Laser Induced Breakdown Spectroscopy) an ideal candidate for on-line monitoring the walls of current and of next generation (as ITER) fusion devices. LIBS is a well established tool for qualitative, semi-quantitative and quantitative analysis of surfaces, with micro-destructive characteristics and some capabilities for stratigraphy. In this work, LIBS depth profiling capability has been verified for the determination of the composition of multilayer structures simulating plasma facing components covered with deposited impurity layers. A new experimental setup has been designed and realized in order to optimize the characteristics of a LIBS system working in vacuum conditions and remotely, two noticeable properties for an ITER-relevant diagnostics. A quantitative

  17. Characteristics of SiOx-containing hard film prepared by low temperature plasma enhanced chemical vapor deposition using hexamethyldisilazane or vinyltrimethylsilane and post oxygen plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Wei, Yi-Syuan; Liu, Wan-Yu; Wu, Hsin-Ming [Department of Materials Engineering, Tatung University, Taipei, 104, Taiwan (China); Chen, Ko-Shao, E-mail: kschen@ttu.edu.tw [Department of Materials Engineering, Tatung University, Taipei, 104, Taiwan (China); Cech, Vladimir [Institute of Materials Chemistry, Brno University of Technology (Czech Republic)

    2017-03-01

    This study, monomers of hexamethyldisilazane (HMDSZ) and vinyltrimethylsilane (VTMS) were respectively used to deposit on the surface of polyethylene terephthalate (PET) substrate by plasma enhanced chemical vapor deposition. Oxygen plasma treatment follows the HMDSZ and VTMS deposition to produce a hydrophilic surface film on the deposited surface. Time for HMDSZ and VTMS plasma deposition was changed to investigate its influences on water contact angle, deposited film thickness, refractive index, and friction coefficient properties. The surface morphologies of the processed samples were observed by scanning electron microscope and their chemical compositions were measured by X-ray photoelectron spectroscopy. At 550 nm wavelength, the optical transmittance of PET after the HMDSZ treatment decreases from 89% to 83%, but increases from 89% to 95% for the VTMS treatment. With increase in HMDSZ and VTMS deposition times, the film thickness increases and the refractive index decreases. Result revealed by XPS, SiO{sub 2} film is formed on the sample surface after the O{sub 2} plasma treatment. The film adhesion capability by the HMDSZ+O{sub 2} and VTMS+O{sub 2} treatment was stronger than that by the HMDSZ and VTMS treatment only. The SiOx films produced by HMDSZ+O{sub 2} and VTMS+O{sub 2} treatment can increase the film hardness and improve light transmittance. - Highlights: • With increase in HMDSZ and VTMS deposition times, the film thickness increases and the refractive index decreases. • The optical transmittance of PET after the VTMS treatment increases from 89% to 95%. • The SiO{sub 2} films deposited by HMDSZ+O{sub 2} and VTMS+O{sub 2} plasma can increase the film hardness and improve light transmittance. • It is expected that they can be applied to the optical transmittance protective film on plastic substrate in the future.

  18. Characterisation of silicon carbide films deposited by plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Iliescu, Ciprian; Chen Bangtao; Wei Jiashen; Pang, A.J.

    2008-01-01

    The paper presents a characterisation of amorphous silicon carbide films deposited in plasma-enhanced chemical vapour deposition (PECVD) reactors for MEMS applications. The main parameter was optimised in order to achieve a low stress and high deposition rate. We noticed that the high frequency mode (13.56 MHz) gives a low stress value which can be tuned from tensile to compressive by selecting the correct power. The low frequency mode (380 kHz) generates high compressive stress (around 500 MPa) due to ion bombardment and, as a result, densification of the layer achieved. Temperature can decrease the compressive value of the stress (due to annealing effect). A low etching rate of the amorphous silicon carbide layer was noticed for wet etching in KOH 30% at 80 o C (around 13 A/min) while in HF 49% the layer is practically inert. A very slow etching rate of amorphous silicon carbide layer in XeF 2 -7 A/min- was observed. The paper presents an example of this application: PECVD-amorphous silicon carbide cantilevers fabricated using surface micromachining by dry-released technique in XeF 2

  19. A comparative study of nitrogen plasma effect on field emission characteristics of single wall carbon nanotubes synthesized by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Avshish; Parveen, Shama; Husain, Samina; Ali, Javid [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Zulfequar, Mohammad [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Harsh [Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Husain, Mushahid, E-mail: mush_reslab@rediffmail.com [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia (A Central University), New Delhi 110025 (India)

    2014-12-15

    Highlights: • Vertically aligned single wall carbon nanotubes (SWCNTs) have been successfully grown on nickel (Ni) deposited silicon substrate. • The diameter distribution of the grown (SWCNTs) is in the range 1–2 nm. • A current density of 25.0 mA/cm{sup 2} at 1.9 V/μm of the grown SWCNTs is observed with a high turn-on field (E{sub to}) of 1.3 V/μm. • After N{sub 2} nitrogen plasma treatment, huge current density of 81.5 mA/cm{sup 2} at 2.0 V/μm was recorded with low E{sub to} of 1.2 V/μm. • The comparison of these two typical results indicates a drastic enhancement in the field emission properties after plasma treatments. - Abstract: Vertically aligned single wall carbon nanotubes (SWCNTs) with large scale control of diameter, length and alignment have successfully been grown by plasma enhanced chemical vapor deposition (PECVD) system. The nickel (Ni) as catalyst deposited on silicon (Si) substrate was used to grow the SWCNTs. Field emission (FE) characteristics of the as grown SWCNTs were measured using indigenously designed setup in which a diode is configured in such a way that by applying negative voltage on the copper plate (cathode) with respect to stainless steel anode plate, current density can be recorded. To measure the FE characteristics, SWCNTs film pasted on the copper plate with silver epoxy was used as electron emitter source. The effective area of anode was ∼78.5 mm{sup 2} for field emission measurements. The emission measurements were carried out under high vacuum pressure of the order of 10{sup −6} Torr to minimize the electron scattering and degradation of the emitters. The distance between anode and cathode was kept 500 μm (constant) during entire field emission studies. The grown SWCNTs are excellent field emitters, having emission current density higher than 25 mA/cm{sup 2} at turn-on field 1.3 V/μm. In order to enhance the field emission characteristics, the as grown SWCNTs have been treated under nitrogen (N{sub 2

  20. Resolving the nanostructure of plasma-enhanced chemical vapor deposited nanocrystalline SiOx layers for application in solar cells

    Science.gov (United States)

    Klingsporn, M.; Kirner, S.; Villringer, C.; Abou-Ras, D.; Costina, I.; Lehmann, M.; Stannowski, B.

    2016-06-01

    Nanocrystalline silicon suboxides (nc-SiOx) have attracted attention during the past years for the use in thin-film silicon solar cells. We investigated the relationships between the nanostructure as well as the chemical, electrical, and optical properties of phosphorous, doped, nc-SiO0.8:H fabricated by plasma-enhanced chemical vapor deposition. The nanostructure was varied through the sample series by changing the deposition pressure from 533 to 1067 Pa. The samples were then characterized by X-ray photoelectron spectroscopy, spectroscopic ellipsometry, Raman spectroscopy, aberration-corrected high-resolution transmission electron microscopy, selected-area electron diffraction, and a specialized plasmon imaging method. We found that the material changed with increasing pressure from predominantly amorphous silicon monoxide to silicon dioxide containing nanocrystalline silicon. The nanostructure changed from amorphous silicon filaments to nanocrystalline silicon filaments, which were found to cause anisotropic electron transport.

  1. Optical and passivating properties of hydrogenated amorphous silicon nitride deposited by plasma enhanced chemical vapour deposition for application on silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Wight, Daniel Nilsen

    2008-07-01

    quality, etch rate. The response of these parameters to high temperature anneals were correlated with structural changes in the silicon nitride films as measured by using the hydrogen bond concentration. Plasma enhanced chemical vapour deposition allows continuous variation in nearly all deposition parameters. The parameters studied in this work are the gas flow ratios and excitation power. In both direct and remote deposition systems, the increase in deposition power density lead to higher activation of ammonia which in turn lead to augmented incorporation of nitrogen into the films and thus lower refractive index. For a direct system, the same parameter change lead to a drastic fall in passivation quality of Czochralski silicon attributed to an increase in ion bombardment as well as the general observation that as deposited passivation tends to increase with refractive index. Silicon nitride films with variations in refractive index were also made by varying the silane-to-ammonia gas flow ratio. This simple parameter adjustment makes plasma enhanced chemical vapour deposited silicon nitride applicable to double layer anti-reflective coatings simulated in this work. The films were found to have an etch rate in 5% hydrofluoric acid that decreased with increasing refractive index. This behaviour is attributed to the decreasing concentration of nitrogen-to-hydrogen bonds in the films. Such bonds at the surface of silicon nitride have been suggested to be involved in the main reaction mechanism when etching silicon nitride in hydrofluoric acid. Annealing the films lead to a drastic fall in etch rates and was linked to the release of hydrogen from the nitrogen-hydrogen bonds. (author). 115 refs., 35 figs., 6 tabs

  2. Optimization of silicon oxynitrides by plasma-enhanced chemical vapor deposition for an interferometric biosensor

    Science.gov (United States)

    Choo, Sung Joong; Lee, Byung-Chul; Lee, Sang-Myung; Park, Jung Ho; Shin, Hyun-Joon

    2009-09-01

    In this paper, silicon oxynitride layers deposited with different plasma-enhanced chemical vapor deposition (PECVD) conditions were fabricated and optimized, in order to make an interferometric sensor for detecting biochemical reactions. For the optimization of PECVD silicon oxynitride layers, the influence of the N2O/SiH4 gas flow ratio was investigated. RF power in the PEVCD process was also adjusted under the optimized N2O/SiH4 gas flow ratio. The optimized silicon oxynitride layer was deposited with 15 W in chamber under 25/150 sccm of N2O/SiH4 gas flow rates. The clad layer was deposited with 20 W in chamber under 400/150 sccm of N2O/SiH4 gas flow condition. An integrated Mach-Zehnder interferometric biosensor based on optical waveguide technology was fabricated under the optimized PECVD conditions. The adsorption reaction between bovine serum albumin (BSA) and the silicon oxynitride surface was performed and verified with this device.

  3. Preparation of carbon nanotubes with different morphology by microwave plasma enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Duraia, El-Shazly M. [Suez Canal University, Faculty of Science, Physics Department, Ismailia (Egypt); Al-Farabi Kazakh National University, 71 Al-Farabi av., 050038 Almaty (Kazakhstan); Institute of Physics and Technology, Ibragimov Street 11, 050032 Almaty (Kazakhstan); Mansurov, Zulkhair [Al-Farabi Kazakh National University, 71 Al-Farabi av., 050038 Almaty (Kazakhstan); Tokmoldin, S.Zh. [Institute of Physics and Technology, Ibragimov Street 11, 050032 Almaty (Kazakhstan)

    2010-04-15

    In this work we present a part of our results about the preparation of carbon nanotube with different morphologies by using microwave plasma enhanced chemical vapour deposition MPECVD. Well aligned, curly, carbon nanosheets, coiled carbon sheets and carbon microcoils have been prepared. We have investigated the effect of the different growth condition parameters such as the growth temperature, pressure and the hydrogen to methane flow rate ratio on the morphology of the carbon nanotubes. The results showed that there is a great dependence of the morphology of carbon nanotubes on these parameters. The yield of the carbon microcoils was high when the growth temperature was 700 C. There is a linear relation between the growth rate and the methane to hydrogen ratio. The effect of the gas pressure on the CNTs was also studied. Our samples were investigated by scanning electron microscope and Raman spectroscopy (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Structural and optical characterization of self-assembled Ge nanocrystal layers grown by plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Saeed, Saba; Buters, Frank; Dohnalova, Katerina; Wosinski, Lech; Gregorkiewicz, Tom

    2014-10-10

    We present a structural and optical study of solid-state dispersions of Ge nanocrystals prepared by plasma-enhanced chemical vapor deposition. Structural analysis shows the presence of nanocrystalline germanium inclusions embedded in an amorphous matrix of Si-rich SiO(2).Optical characterization reveals two prominent emission bands centered around 2.6 eV and 3.4 eV, and tunable by excitation energy. In addition, the lower energy band shows an excitation power-dependent blue shift of up to 0.3 eV. Decay dynamics of the observed emission contains fast (nanosecond) and slow (microseconds) components, indicating contributions of several relaxation channels. Based on these material characteristics, a possible microscopic origin of the individual emission bands is discussed.

  5. Remote automatic control scheme for plasma arc cutting of contaminated waste

    International Nuclear Information System (INIS)

    Dudar, A.M.; Ward, C.R.; Kriikku, E.M.

    1993-01-01

    Plasma arc cutting is a popular technique used for size reduction of radioactively contaminated metallic waste such as glove boxes, vessels, and ducts. It is a very aggressive process and is capable of cutting metal objects up to 3 in. thick. The crucial control criteria in plasma cutting is maintaining a open-quotes stand-offclose quotes distance between the plasma torch tip and the material being cut. Manual plasma cutting techniques in radioactive environments require the operator to wear a plastic suit covered by a metallic suit. This is very cumbersome, time-consuming, and also generates additional waste (plastic and metallic suits). Teleoperated remote cutting is preferable to manual cutting, but our experience has shown that remote control of the stand-off distance is particularly difficult because of the brightness of the plasma arc and inadequate viewing angles. Also, the heat generated by the torch causes the sheet metal to deform and warp during plasma cutting, creating a dynamically changing metal surface. The aforementioned factors make it extremely difficult, if not impossible, to perform plasma cuts of waste with a variety of shapes and sizes in a teleoperated fashion with an operator in the loop. Automating the process is clearly desirable

  6. Ultra-Trace Chemical Sensing with Long-Wave Infrared Cavity-Enhanced Spectroscopic Sensors

    Energy Technology Data Exchange (ETDEWEB)

    Taubman, Matthew S.; Myers, Tanya L.; Cannon, Bret D.; Williams, Richard M.; Schultz, John F.

    2003-02-20

    The infrared sensors task of Pacific Northwest National Laboratory's (PNNL's) Remote Spectroscopy Project (Task B of Project PL211) is focused on the science and technology of remote and in-situ spectroscopic chemical sensors for detecting proliferation and coun-tering terrorism. Missions to be addressed by remote chemical sensor development in-clude detecting proliferation of nuclear or chemical weapons, and providing warning of terrorist use of chemical weapons. Missions to be addressed by in-situ chemical sensor development include countering terrorism by screening luggage, personnel, and shipping containers for explosives, firearms, narcotics, chemical weapons, or chemical weapons residues, and mapping contaminated areas. The science and technology is also relevant to chemical weapons defense, air operations support, monitoring emissions from chemi-cal weapons destruction or industrial activities, law enforcement, medical diagnostics, and other applications. Sensors for most of these missions will require extreme chemical sensitivity and selectiv-ity because the signature chemicals of importance are expected to be present in low con-centrations or have low vapor pressures, and the ambient air is likely to contain pollutants or other chemicals with interfering spectra. Cavity-enhanced chemical sensors (CES) that draw air samples into optical cavities for laser-based interrogation of their chemical content promise real-time, in-situ chemical detection with extreme sensitivity to specified target molecules and superb immunity to spectral interference and other sources of noise. PNNL is developing CES based on quantum cascade (QC) lasers that operate in the mid-wave infrared (MWIR - 3 to 5 microns) and long-wave infrared (LWIR - 8 to 14 mi-crons), and CES based on telecommunications lasers operating in the short-wave infrared (SWIR - 1 to 2 microns). All three spectral regions are promising because smaller mo-lecular absorption cross sections in the SWIR

  7. Coating of diamond-like carbon nanofilm on alumina by microwave plasma enhanced chemical vapor deposition process.

    Science.gov (United States)

    Rattanasatien, Chotiwan; Tonanon, Nattaporn; Bhanthumnavin, Worawan; Paosawatyanyong, Boonchoat

    2012-01-01

    Diamond-like carbon (DLC) nanofilms with thickness varied from under one hundred to a few hundred nanometers have been successfully deposited on alumina substrates by microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. To obtain dense continuous DLC nanofilm coating over the entire sample surface, alumina substrates were pre-treated to enhance the nucleation density. Raman spectra of DLC films on samples showed distinct diamond peak at around 1332 cm(-1), and the broad band of amorphous carbon phase at around 1550 cm(-1). Full width at half maximum height (FWHM) values indicated good formation of diamond phase in all films. The result of nano-indentation test show that the hardness of alumina samples increase from 7.3 +/- 2.0 GPa in uncoated samples to 15.8 +/- 4.5-52.2 +/- 2.1 GPa in samples coated with DLC depending on the process conditions. It is observed that the hardness values are still in good range although the thickness of the films is less than a hundred nanometer.

  8. Effect of silane/hydrogen ratio on microcrystalline silicon thin films by remote inductively coupled plasma

    Science.gov (United States)

    Guo, Y. N.; Wei, D. Y.; Xiao, S. Q.; Huang, S. Y.; Zhou, H. P.; Xu, S.

    2013-05-01

    Hydrogenated microcrystalline silicon (μc-Si:H) thin films were prepared by remote low frequency inductively coupled plasma (ICP) chemical vapor deposition system, and the effect of silane/hydrogen ratio on the microstructure and electrical properties of μc-Si:H films was systematically investigated. As silane/hydrogen ratio increases, the crystalline volume fraction Fc decreases and the ratio of the intensity of (220) peak to that of (111) peak drops as silane flow rate is increased. The FTIR result indicates that the μc-Si:H films prepared by remote ICP have a high optical response with a low hydrogen content, which is in favor of reducing light-induced degradation effect. Furthermore, the processing window of the phase transition region for remote ICP is much wider than that for typical ICP. The photosensitivity of μc-Si:H films can exceed 100 at the transition region and this ensures the possibility of the fabrication of microcrystalline silicon thin film solar cells with a open-circuit voltage of about 700 mV.

  9. Fast enhancement on hydrophobicity of poplar wood surface using low-pressure dielectric barrier discharges (DBD) plasma

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Weimin [College of Materials Science and Engineering, Nanjing Forestry University, Nanjing 210037 (China); Jiangsu Engineering Research Center of Fast-growing Trees and Agri-fiber Materials, Nanjing 210037 (China); Nanjing Suman Plasma Technology Co., Ltd, Enterprise of Graduate Research Station of Jiangsu Province, No. 3 Youyihe Road, Nanjing 210001 (China); Zhou, Xiaoyan, E-mail: zhouxiaoyan@njfu.edu.cn [College of Materials Science and Engineering, Nanjing Forestry University, Nanjing 210037 (China); Jiangsu Engineering Research Center of Fast-growing Trees and Agri-fiber Materials, Nanjing 210037 (China); Zhang, Xiaotao [College of Materials Science and Engineering, Nanjing Forestry University, Nanjing 210037 (China); Jiangsu Engineering Research Center of Fast-growing Trees and Agri-fiber Materials, Nanjing 210037 (China); Bian, Jie [Nanjing Suman Plasma Technology Co., Ltd, Enterprise of Graduate Research Station of Jiangsu Province, No. 3 Youyihe Road, Nanjing 210001 (China); Shi, Shukai; Nguyen, Thiphuong; Chen, Minzhi [College of Materials Science and Engineering, Nanjing Forestry University, Nanjing 210037 (China); Jiangsu Engineering Research Center of Fast-growing Trees and Agri-fiber Materials, Nanjing 210037 (China); Wan, Jinglin [Nanjing Suman Plasma Technology Co., Ltd, Enterprise of Graduate Research Station of Jiangsu Province, No. 3 Youyihe Road, Nanjing 210001 (China)

    2017-06-15

    Highlights: • Plasma working under low pressure is easy to realize industrialization. • Enhancing process finished within 75 s. • Plasma treatment leads to the increase in equilibrium contact angle by 330%. • Tinfoil film with simple chemical structure was used to reveal the mechanism. - Abstract: The hydrophilicity of woody products leads to deformation and cracks, which greatly limits its applications. Low-pressure dielectric barrier discharge (DBD) plasma using hexamethyldisiloxane was applied in poplar wood surface to enhance the hydrophobicity. The chemical properties, micro-morphology, and contact angles of poplar wood surface before and after plasma treatment were investigated by attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR), x-ray photoelectron spectroscopy (XPS), scanning electron microscope and energy dispersive analysis of X-ray (SEM-EDX), atomic force microscopy (AFM), and optical contact angle measurement (OCA). Moreover, tinfoil film was used as the base to reveal the enhancement mechanism. The results showed that hexamethyldisiloxane monomer is first broken into several fragments with active sites and hydrophobic chemical groups. Meanwhile, plasma treatment results in the formation of free radicals and active sites in the poplar wood surface. Then, the fragments are reacted with free radicals and incorporated into the active sites to form a network structure based on the linkages of Si-O-Si and Si−O−C. Plasma treatment also leads to the formation of acicular nano-structure in poplar wood surface. These facts synergistically enhance the hydrophobicity of poplar wood surface, demonstrating the dramatically increase in the equilibrium contact angle by 330%.

  10. Studies on surface graft polymerization of acrylic acid onto PTFE film by remote argon plasma initiation

    International Nuclear Information System (INIS)

    Wang Chen; Chen Jierong

    2007-01-01

    The graft polymerization of acrylic acid (AAc) was carried out onto poly(tetrafluoroethylene) (PTFE) films that had been pretreated with remote argon plasma and subsequently exposed to oxygen to create peroxides. Peroxides are known to be the species responsible for initiating the graft polymerization when PTFE reacts with AAc. We chose different parameters of remote plasma treatment to get the optimum condition for introducing maximum peroxides (2.87 x 10 -11 mol/cm 2 ) on the surface. The influence of grafted reaction conditions on the grafting degree was investigated. The maximum grafting degree was 25.2 μg/cm 2 . The surface microstructures and compositions of the AAc grafted PTFE film were characterized with the water contact angle meter, Fourier-transform infrared spectroscopy (ATR-FTIR) and X-ray photoelectron spectroscopy (XPS). Contact angle measurements revealed that the water contact angle decreased from 108 o to 41 o and the surface free energy increased from 22.1 x 10 -5 to 62.1 x 10 -5 N cm -1 by the grafting of the AAc chains. The hydrophilicity of the PTFE film surface was greatly enhanced. The time-dependent activity of the grafted surface was better than that of the plasma treated film

  11. Activating basal-plane catalytic activity of two-dimensional MoS2 monolayer with remote hydrogen plasma

    KAUST Repository

    Cheng, Chia-Chin

    2016-09-10

    Two-dimensional layered transition metal dichalcogenide (TMD) materials such as Molybdenum disufide (MoS2) have been recognized as one of the low-cost and efficient electrocatalysts for hydrogen evolution reaction (HER). The crystal edges that account for a small percentage of the surface area, rather than the basal planes, of MoS2 monolayer have been confirmed as their active catalytic sites. As a result, extensive efforts have been developing in activating the basal planes of MoS2 for enhancing their HER activity. Here, we report a simple and efficient approach-using a remote hydrogen-plasma process-to creating S-vacancies on the basal plane of monolayer crystalline MoS2; this process can generate high density of S-vacancies while mainly maintaining the morphology and structure of MoS2 monolayer. The density of S-vacancies (defects) on MoS2 monolayers resulted from the remote hydrogen-plasma process can be tuned and play a critical role in HER, as evidenced in the results of our spectroscopic and electrical measurements. The H2-plasma treated MoS2 also provides an excellent platform for systematic and fundamental study of defect-property relationships in TMDs, which provides insights for future applications including electrical, optical and magnetic devices. © 2016 Elsevier Ltd.

  12. Synthesis of few-layer graphene on a Ni substrate by using DC plasma enhanced chemical vapor deposition (PE-CVD)

    International Nuclear Information System (INIS)

    Kim, Jeong Hyuk; Castro, Edward Joseph; Hwang, Yong Gyoo; Lee, Choong Hun

    2011-01-01

    In this work, few-layer graphene (FLG) was successfully grown on polycrystalline Ni a large scale by using DC plasma enhanced chemical vapor deposition (DC PE-CVD), which may serve as an alternative route in large-scale graphene synthesis. The synthesis time had an effect on the quality of the graphene produced. The applied DC voltage, on the other hand, influenced the minimization of the defect densities in the graphene grown. We also present a method of producing a free-standing polymethyl methacrylate (PMMA)/graphene membrane on a FeCl 3(aq) solution, which could then be transferred to the desired substrate.

  13. High Current Emission from Patterned Aligned Carbon Nanotubes Fabricated by Plasma-Enhanced Chemical Vapor Deposition

    Science.gov (United States)

    Cui, Linfan; Chen, Jiangtao; Yang, Bingjun; Jiao, Tifeng

    2015-12-01

    Vertically, carbon nanotube (CNT) arrays were successfully fabricated on hexagon patterned Si substrates through radio frequency plasma-enhanced chemical vapor deposition using gas mixtures of acetylene (C2H2) and hydrogen (H2) with Fe/Al2O3 catalysts. The CNTs were found to be graphitized with multi-walled structures. Different H2/C2H2 gas flow rate ratio was used to investigate the effect on CNT growth, and the field emission properties were optimized. The CNT emitters exhibited excellent field emission performance (the turn-on and threshold fields were 2.1 and 2.4 V/μm, respectively). The largest emission current could reach 70 mA/cm2. The emission current was stable, and no obvious deterioration was observed during the long-term stability test of 50 h. The results were relevant for practical applications based on CNTs.

  14. Development and Testing of a High Capacity Plasma Chemical Reactor in the Ukraine

    Energy Technology Data Exchange (ETDEWEB)

    Reilly, Raymond W.

    2012-07-30

    This project, Development and Testing of a High Capacity Plasma Chemical Reactor in the Ukraine was established at the Kharkiv Institute of Physics and Technology (KIPT). The associated CRADA was established with Campbell Applied Physics (CAP) located in El Dorado Hills, California. This project extends an earlier project involving both CAP and KIPT conducted under a separate CRADA. The initial project developed the basic Plasma Chemical Reactor (PCR) for generation of ozone gas. This project built upon the technology developed in the first project, greatly enhancing the output of the PCR while also improving reliability and system control.

  15. Effects of Surface Modification of Nanodiamond Particles for Nucleation Enhancement during Its Film Growth by Microwave Plasma Jet Chemical Vapour Deposition Technique

    Directory of Open Access Journals (Sweden)

    Chii-Ruey Lin

    2014-01-01

    Full Text Available The seedings of the substrate with a suspension of nanodiamond particles (NDPs were widely used as nucleation seeds to enhance the growth of nanostructured diamond films. The formation of agglomerates in the suspension of NDPs, however, may have adverse impact on the initial growth period. Therefore, this paper was aimed at the surface modification of the NDPs to enhance the diamond nucleation for the growth of nanocrystalline diamond films which could be used in photovoltaic applications. Hydrogen plasma, thermal, and surfactant treatment techniques were employed to improve the dispersion characteristics of detonation nanodiamond particles in aqueous media. The seeding of silicon substrate was then carried out with an optimized spin-coating method. The results of both Fourier transform infrared spectroscopy and dynamic light scattering measurements demonstrated that plasma treated diamond nanoparticles possessed polar surface functional groups and attained high dispersion in methanol. The nanocrystalline diamond films deposited by microwave plasma jet chemical vapour deposition exhibited extremely fine grain and high smooth surfaces (~6.4 nm rms on the whole film. These results indeed open up a prospect of nanocrystalline diamond films in solar cell applications.

  16. Remote handling needs of the Princeton Plasma Physics Laboratory

    International Nuclear Information System (INIS)

    Smiltnieks, V.

    1982-07-01

    This report is the result of a Task Force study commissioned by the Canadian Fusion Fuels Technology Project (CFFTP) to investigate the remote handling requirements at the Princeton Plasma Physics Laboratory (PPPL) and identify specific areas where CFFTP could offer a contractual or collaborative participation, drawing on the Canadian industrial expertise in remote handling technology. The Task Force reviewed four areas related to remote handling requirements; the TFTR facility as a whole, the service equipment required for remote maintenance, the more complex in-vessel components, and the tritium systems. Remote maintenance requirements both inside the vacuum vessel and around the periphery of the machine were identified as the principal areas where Canadian resources could effectively provide an input, initially in requirement definition, concept evaluation and feasibility design, and subsequently in detailed design and manufacture. Support requirements were identified in such areas as the mock-up facility and a variety of planning studies relating to reliability, availability, and staff training. Specific tasks are described which provide an important data base to the facility's remote handling requirements. Canadian involvement in the areas is suggested where expertise exists and support for the remote handling work is warranted. Reliability, maintenance operations, inspection strategy and decommissioning are suggested for study. Several specific components are singled out as needing development

  17. An economic analysis of the deposition of electrochromic WO3 via sputtering or plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Garg, D.; Henderson, P.B.; Hollingsworth, R.E.; Jensen, D.G.

    2005-01-01

    The costs of manufacturing electrochromic WO 3 thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO 3 for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF 6 , sputtering cost is dominated by labor and depreciation

  18. Atmospheric pressure plasma enhanced chemical vapor deposition of zinc oxide and aluminum zinc oxide

    International Nuclear Information System (INIS)

    Johnson, Kyle W.; Guruvenket, Srinivasan; Sailer, Robert A.; Ahrenkiel, S. Phillip; Schulz, Douglas L.

    2013-01-01

    Zinc oxide (ZnO) and aluminum-doped zinc oxide (AZO) thin films were deposited via atmospheric pressure plasma enhanced chemical vapor deposition. A second-generation precursor, bis(1,1,1,5,5,5-hexafluoro-2,4-pentanedionato)(N,N′-diethylethylenediamine) zinc, exhibited significant vapor pressure and good stability at one atmosphere where a vaporization temperature of 110 °C gave flux ∼ 7 μmol/min. Auger electron spectroscopy confirmed that addition of H 2 O to the carrier gas stream mitigated F contamination giving nearly 1:1 metal:oxide stoichiometries for both ZnO and AZO with little precursor-derived C contamination. ZnO and AZO thin film resistivities ranged from 14 to 28 Ω·cm for the former and 1.1 to 2.7 Ω·cm for the latter. - Highlights: • A second generation precursor was utilized for atmospheric pressure film growth. • Addition of water vapor to the carrier gas stream led to a marked reduction of ZnF 2 . • Carbonaceous contamination from the precursor was minimal

  19. A simple method to deposit palladium doped SnO2 thin films using plasma enhanced chemical vapor deposition technique

    International Nuclear Information System (INIS)

    Kim, Young Soon; Wahab, Rizwan; Shin, Hyung-Shik; Ansari, S. G.; Ansari, Z. A.

    2010-01-01

    This work presents a simple method to deposit palladium doped tin oxide (SnO 2 ) thin films using modified plasma enhanced chemical vapor deposition as a function of deposition temperature at a radio frequency plasma power of 150 W. Stannic chloride (SnCl 4 ) was used as precursor and oxygen (O 2 , 100 SCCM) (SCCM denotes cubic centimeter per minute at STP) as reactant gas. Palladium hexafluroacetyleacetonate (Pd(C 5 HF 6 O 2 ) 2 ) was used as a precursor for palladium. Fine granular morphology was observed with tetragonal rutile structure. A peak related to Pd 2 Sn is observed, whose intensity increases slightly with deposition temperature. Electrical resistivity value decreased from 8.6 to 0.9 mΩ cm as a function of deposition temperature from 400 to 600 deg. C. Photoelectron peaks related to Sn 3d, Sn 3p3, Sn 4d, O 1s, and C 1s were detected with varying intensities as a function of deposition temperature.

  20. Si Nano wires Produced by Very High Frequency Plasma Enhanced Chemical Vapor Deposition (PECVD) via VLS Mechanism

    International Nuclear Information System (INIS)

    Yussof Wahab; Yussof Wahab; Habib Hamidinezhad; Habib Hamidinezhad

    2013-01-01

    Silicon nano wires (SiNWs) with diameter of about a few nanometers and length of 3 μm on silicon wafers were synthesized by very high frequency plasma enhanced chemical vapor deposition. Scanning electron microscopy (SEM) observations showed that the silicon nano wires were grown randomly and energy-dispersive X-ray spectroscopy analysis indicates that the nano wires have the composition of Si, Au and O elements. The SiNWs were characterized by high resolution transmission electron microscopy (HRTEM) and Raman spectroscopy. SEM micrographs displayed SiNWs that are needle-like with a diameter ranged from 30 nm at the top to 100 nm at the bottom of the wire and have length a few of micrometers. In addition, HRTEM showed that SiNWs consist of crystalline silicon core and amorphous silica layer. (author)

  1. Acquirement and enhancement of remote speech signals

    Science.gov (United States)

    Lü, Tao; Guo, Jin; Zhang, He-yong; Yan, Chun-hui; Wang, Can-jin

    2017-07-01

    To address the challenges of non-cooperative and remote acoustic detection, an all-fiber laser Doppler vibrometer (LDV) is established. The all-fiber LDV system can offer the advantages of smaller size, lightweight design and robust structure, hence it is a better fit for remote speech detection. In order to improve the performance and the efficiency of LDV for long-range hearing, the speech enhancement technology based on optimally modified log-spectral amplitude (OM-LSA) algorithm is used. The experimental results show that the comprehensible speech signals within the range of 150 m can be obtained by the proposed LDV. The signal-to-noise ratio ( SNR) and mean opinion score ( MOS) of the LDV speech signal can be increased by 100% and 27%, respectively, by using the speech enhancement technology. This all-fiber LDV, which combines the speech enhancement technology, can meet the practical demand in engineering.

  2. Photoluminescence from PP-HMDSO thin films deposited using a remote plasma of 13.56 MHz hollow cathode discharge

    International Nuclear Information System (INIS)

    Naddaf, M; Saloum, S; Hamadeh, H

    2007-01-01

    Room temperature photoluminescence (PL) from plasma-polymerized hexamethyldisiloxane (PP-HMDSO) thin films deposited on silicon wafers has been investigated as a function of both the applied RF power and the monomer flow rate. Films were deposited in a low pressure-low temperature remote plasma ignited in a 13.56 MHz hollow cathode discharge reactor, using pure HMDSO as a monomer and Ar as a feed gas. The substrate temperature during the deposition was as low as 40 deg. C and the total pressure was about 0.03 mbar. Optical emission spectroscopy (OES) has been used as in situ tool for monitoring the different chemical species present in the plasma during deposition processes. The deposited PP-HMDSO films showed a strong, broad 'green/yellow' PL band. The RF power and the flow rate of the HMDSO monomer are found to have a significant impact on the PL intensity of the deposited film. The changes in the chemical bonding of the film as a function of deposition parameters have been investigated by using the Fourier transform infrared (FTIR) spectroscopic analysis and are related to PL and OES results. The 'green/yellow' PL band is ascribed to chemical groups and bonds of silicon, hydrogen and/or oxygen constituting the films, in particular, SiH, SiO bonds and silanol Si-O-H groups

  3. Photoluminescence from PP-HMDSO thin films deposited using a remote plasma of 13.56 MHz hollow cathode discharge

    Science.gov (United States)

    Naddaf, M.; Saloum, S.; Hamadeh, H.

    2007-07-01

    Room temperature photoluminescence (PL) from plasma-polymerized hexamethyldisiloxane (PP-HMDSO) thin films deposited on silicon wafers has been investigated as a function of both the applied RF power and the monomer flow rate. Films were deposited in a low pressure-low temperature remote plasma ignited in a 13.56 MHz hollow cathode discharge reactor, using pure HMDSO as a monomer and Ar as a feed gas. The substrate temperature during the deposition was as low as 40 °C and the total pressure was about 0.03 mbar. Optical emission spectroscopy (OES) has been used as in situ tool for monitoring the different chemical species present in the plasma during deposition processes. The deposited PP-HMDSO films showed a strong, broad 'green/yellow' PL band. The RF power and the flow rate of the HMDSO monomer are found to have a significant impact on the PL intensity of the deposited film. The changes in the chemical bonding of the film as a function of deposition parameters have been investigated by using the Fourier transform infrared (FTIR) spectroscopic analysis and are related to PL and OES results. The 'green/yellow' PL band is ascribed to chemical groups and bonds of silicon, hydrogen and/or oxygen constituting the films, in particular, SiH, SiO bonds and silanol Si-O-H groups.

  4. Photoluminescence from PP-HMDSO thin films deposited using a remote plasma of 13.56 MHz hollow cathode discharge

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M; Saloum, S; Hamadeh, H [Department of Physics, Atomic Energy Commission of Syria (AECS), PO Box 6091, Damascus (Syrian Arab Republic)

    2007-07-07

    Room temperature photoluminescence (PL) from plasma-polymerized hexamethyldisiloxane (PP-HMDSO) thin films deposited on silicon wafers has been investigated as a function of both the applied RF power and the monomer flow rate. Films were deposited in a low pressure-low temperature remote plasma ignited in a 13.56 MHz hollow cathode discharge reactor, using pure HMDSO as a monomer and Ar as a feed gas. The substrate temperature during the deposition was as low as 40 deg. C and the total pressure was about 0.03 mbar. Optical emission spectroscopy (OES) has been used as in situ tool for monitoring the different chemical species present in the plasma during deposition processes. The deposited PP-HMDSO films showed a strong, broad 'green/yellow' PL band. The RF power and the flow rate of the HMDSO monomer are found to have a significant impact on the PL intensity of the deposited film. The changes in the chemical bonding of the film as a function of deposition parameters have been investigated by using the Fourier transform infrared (FTIR) spectroscopic analysis and are related to PL and OES results. The 'green/yellow' PL band is ascribed to chemical groups and bonds of silicon, hydrogen and/or oxygen constituting the films, in particular, SiH, SiO bonds and silanol Si-O-H groups.

  5. Photoluminescence from PP-HMDSO thin films deposited using a remote plasma of 13.56 MHz hollow cathode discharge

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.; Hamadeh, H.

    2008-01-01

    Room temperature photoluminescence (PL) from plasma-polymerized hexamethyldisiloxane (PP-HMDSO) thin films deposited on silicon wafers has been investigated as a function of both the applied RF power and the monomer flow rate. Films were deposited in a low pressure-low temperature remote plasma ignited in a 13.56 MHz hollow cathode discharge reactor, using pure HMDSO as a monomer and Ar as a feed gas. The substrate temperature during the deposition was as low as 40 deg. C and the total pressure was about 0.03 mbar. Optical emission spectroscopy (OES) has been used as in situ tool for monitoring the different chemical species present in the plasma during deposition processes. The deposited PP-HMDSO films showed a strong, broad 'green/yellow' PL band. The RF power and the flow rate of the HMDSO monomer are found to have a significant impact on the PL intensity of the deposited film. The changes in the chemical bonding of the film as a function of deposition parameters have been investigated by using the Fourier transform infrared (FTIR) spectroscopic analysis and are related to PL and OES results. The 'green/yellow' PL band is ascribed to chemical groups and bonds of silicon, hydrogen and/or oxygen constituting the films, in particular, SiH, SiO bonds and silanol Si-O-H groups. (Authors)

  6. Remote network control plasma diagnostic system for Tokamak T-10

    International Nuclear Information System (INIS)

    Troynov, V I; Zimin, A M; Krupin, V A; Notkin, G E; Nurgaliev, M R

    2016-01-01

    The parameters of molecular plasma in closed magnetic trap is studied in this paper. Using the system of molecular diagnostics, which was designed by the authors on the «Tokamak T-10» facility, the radiation of hydrogen isotopes at the plasma edge is investigated. The scheme of optical radiation registration within visible spectrum is described. For visualization, identification and processing of registered molecular spectra a new software is developed using MatLab environment. The software also includes electronic atlas of electronic-vibrational-rotational transitions for molecules of protium and deuterium. To register radiation from limiter cross-section a network control system is designed using the means of the Internet/Intranet. Remote control system diagram and methods are given. The examples of web-interfaces for working out equipment control scenarios and viewing of results are provided. After test run in Intranet, the remote diagnostic system will be accessible through Internet. (paper)

  7. On improved understanding of plasma-chemical processes in complex low-temperature plasmas

    Science.gov (United States)

    Röpcke, Jürgen; Loffhagen, Detlef; von Wahl, Eric; Nave, Andy S. C.; Hamann, Stephan; van Helden, Jean-Piere H.; Lang, Norbert; Kersten, Holger

    2018-05-01

    Over the last years, chemical sensing using optical emission spectroscopy (OES) in the visible spectral range has been combined with methods of mid infrared laser absorption spectroscopy (MIR-LAS) in the molecular fingerprint region from 3 to 20 μm, which contains strong rotational-vibrational absorption bands of a large variety of gaseous species. This optical approach established powerful in situ diagnostic tools to study plasma-chemical processes of complex low-temperature plasmas. The methods of MIR-LAS enable to detect stable and transient molecular species in ground and excited states and to measure the concentrations and temperatures of reactive species in plasmas. Since kinetic processes are inherent to discharges ignited in molecular gases, high time resolution on sub-second timescales is frequently desired for fundamental studies as well as for process monitoring in applied research and industry. In addition to high sensitivity and good temporal resolution, the capacity for broad spectral coverage enabling multicomponent detection is further expanding the use of OES and MIR-LAS techniques. Based on selected examples, this paper reports on recent achievements in the understanding of complex low-temperature plasmas. Recently, a link with chemical modeling of the plasma has been provided, which is the ultimate objective for a better understanding of the chemical and reaction kinetic processes occurring in the plasma. Contribution to the Topical Issue "Fundamentals of Complex Plasmas", edited by Jürgen Meichsner, Michael Bonitz, Holger Fehske, Alexander Piel.

  8. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Bollani, M; Fedorov, A; Chrastina, D; Sordan, R; Picco, A; Bonera, E

    2010-01-01

    Si 1-x Ge x islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si 1-x Ge x islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s -1 ) and low temperature (650 deg. C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  9. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition.

    Science.gov (United States)

    Bollani, M; Chrastina, D; Fedorov, A; Sordan, R; Picco, A; Bonera, E

    2010-11-26

    Si(1-x)Ge(x) islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si(1-x)Ge(x) islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s(-1)) and low temperature (650 °C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  10. Remote Metrology, Mapping, and Motion Sensing of Plasma Facing Components Using FM Coherent Laser Radar

    International Nuclear Information System (INIS)

    Menon, M.M.; Barry, R.E.; Slotwinsky, A.; Kugel, H.W.; Skinner, C.H.

    2000-01-01

    Metrology inside a D/T burning fusion reactor must necessarily be conducted remotely since the in-vessel environment would be highly radioactive due to neutron activation of the torus walls. A technique based on frequency modulated coherent laser radar (FM CLR) for such remote metrology is described. Since the FM CLR relies on frequency shift to measure distances, the results are largely insensitive to surface reflectance characteristics. Results of measurements in TFTR and NSTX fusion devices using a prototype FM CLR unit, capable of remotely measuring distances (range) up to 22 m with better than 0.1-mm precision, are provided. These results illustrate that the FM CLR can be used for precision remote metrology as well as viewing. It is also shown that by conducting Doppler corrected range measurements using the CLR, the motion of objects can be tracked. Thus, the FM CLR has the potential to remotely measure the motion of plasma facing components (PFCs) during plasma disruptions

  11. Preparation of Hydrophobic Metal-Organic Frameworks via Plasma Enhanced Chemical Vapor Deposition of Perfluoroalkanes for the Removal of Ammonia

    Science.gov (United States)

    DeCoste, Jared B.; Peterson, Gregory W.

    2013-01-01

    Plasma enhanced chemical vapor deposition (PECVD) of perfluoroalkanes has long been studied for tuning the wetting properties of surfaces. For high surface area microporous materials, such as metal-organic frameworks (MOFs), unique challenges present themselves for PECVD treatments. Herein the protocol for development of a MOF that was previously unstable to humid conditions is presented. The protocol describes the synthesis of Cu-BTC (also known as HKUST-1), the treatment of Cu-BTC with PECVD of perfluoroalkanes, the aging of materials under humid conditions, and the subsequent ammonia microbreakthrough experiments on milligram quantities of microporous materials. Cu-BTC has an extremely high surface area (~1,800 m2/g) when compared to most materials or surfaces that have been previously treated by PECVD methods. Parameters such as chamber pressure and treatment time are extremely important to ensure the perfluoroalkane plasma penetrates to and reacts with the inner MOF surfaces. Furthermore, the protocol for ammonia microbreakthrough experiments set forth here can be utilized for a variety of test gases and microporous materials. PMID:24145623

  12. TELEMATICS APPLICATIONS REMOT: Description of the intended plasma physics demonstrator

    NARCIS (Netherlands)

    Kemmerling, G.; van der Meer, E.; Ephraïm, M.; Balke, C.; Lourens, W.; Korten, M.

    2012-01-01

    This document presents the intended plasma physics demonstator in the REMOT Project. Due to the complexity of the system the demonstrator should be kept as simple as possible without sacrificing flexibility. The demonstrator should be made in such a way that it can easily be modified and expanded.

  13. Improved size distribution control of silicon nanocrystals in a spatially confined remote plasma

    NARCIS (Netherlands)

    Dogan, I.; Westerman, R. H. J.; M. C. M. van de Sanden,

    2015-01-01

    This work demonstrates how to improve the size distribution of silicon nanocrystals (Si-NCs) synthesized in a remote plasma, in which the flow dynamics and the particular chemistry initially resulted in the formation of small (2-10 nm) and large (50-120 nm) Si-NCs. Plasma consists of two regions: an

  14. An economic analysis of the deposition of electrochromic WO{sub 3} via sputtering or plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Garg, D. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States); Henderson, P.B. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States)]. E-mail: henderpb@airproducts.co; Hollingsworth, R.E. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States); Jensen, D.G. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States)

    2005-06-15

    The costs of manufacturing electrochromic WO{sub 3} thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO{sub 3} for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF{sub 6}, sputtering cost is dominated by labor and depreciation.

  15. Magnetically enhanced triode etching of large area silicon membranes in a molecular bromine plasma

    International Nuclear Information System (INIS)

    Wolfe, J.C.; Sen, S.; Pendharkar, S.V.; Mauger, P.; Shimkunas, A.R.

    1992-01-01

    The optimization of a process for etching 125 mm silicon membranes formed on 150 mm wafers and bonded to Pyrex rings is discussed. A magnetically enhanced triode etching system was designed to provide an intense, remote plasma surrounding the membrane while, at the same time, suppressing the discharge over the membrane itself. For the optimized molecular bromine process, the silicon etch rate is 40 nm/min and the selectivity relative to SiO 2 is 160:1. 14 refs., 6 figs

  16. Combustion flame-plasma hybrid reactor systems, and chemical reactant sources

    Science.gov (United States)

    Kong, Peter C

    2013-11-26

    Combustion flame-plasma hybrid reactor systems, chemical reactant sources, and related methods are disclosed. In one embodiment, a combustion flame-plasma hybrid reactor system comprising a reaction chamber, a combustion torch positioned to direct a flame into the reaction chamber, and one or more reactant feed assemblies configured to electrically energize at least one electrically conductive solid reactant structure to form a plasma and feed each electrically conductive solid reactant structure into the plasma to form at least one product is disclosed. In an additional embodiment, a chemical reactant source for a combustion flame-plasma hybrid reactor comprising an elongated electrically conductive reactant structure consisting essentially of at least one chemical reactant is disclosed. In further embodiments, methods of forming a chemical reactant source and methods of chemically converting at least one reactant into at least one product are disclosed.

  17. Fast enhancement on hydrophobicity of poplar wood surface using low-pressure dielectric barrier discharges (DBD) plasma

    Science.gov (United States)

    Chen, Weimin; Zhou, Xiaoyan; Zhang, Xiaotao; Bian, Jie; Shi, Shukai; Nguyen, Thiphuong; Chen, Minzhi; Wan, Jinglin

    2017-06-01

    The hydrophilicity of woody products leads to deformation and cracks, which greatly limits its applications. Low-pressure dielectric barrier discharge (DBD) plasma using hexamethyldisiloxane was applied in poplar wood surface to enhance the hydrophobicity. The chemical properties, micro-morphology, and contact angles of poplar wood surface before and after plasma treatment were investigated by attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR), x-ray photoelectron spectroscopy (XPS), scanning electron microscope and energy dispersive analysis of X-ray (SEM-EDX), atomic force microscopy (AFM), and optical contact angle measurement (OCA). Moreover, tinfoil film was used as the base to reveal the enhancement mechanism. The results showed that hexamethyldisiloxane monomer is first broken into several fragments with active sites and hydrophobic chemical groups. Meanwhile, plasma treatment results in the formation of free radicals and active sites in the poplar wood surface. Then, the fragments are reacted with free radicals and incorporated into the active sites to form a network structure based on the linkages of Si-O-Si and Sisbnd Osbnd C. Plasma treatment also leads to the formation of acicular nano-structure in poplar wood surface. These facts synergistically enhance the hydrophobicity of poplar wood surface, demonstrating the dramatically increase in the equilibrium contact angle by 330%.

  18. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  19. Effects of boron addition on a-Si90Ge10:H films obtained by low frequency plasma enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Perez, Arllene M; Renero, Francisco J; Zuniga, Carlos; Torres, Alfonso; Santiago, Cesar

    2005-01-01

    Optical, structural and electric properties of (a-(Si 90 Ge 10 ) 1-y B y :H) thin film alloys, deposited by low frequency plasma enhanced chemical vapour deposition, are presented. The chemical bonding structure has been studied by IR spectroscopy, while the composition was investigated by Raman spectroscopy. A discussion about boron doping effects, in the composition and bonding of samples, is presented. Transport of carriers has been studied by measurement of the conductivity dependence on temperature, which increases from 10 -3 to 10 1 Ω -1 cm -1 when the boron content varies from 0 to 50%. Similarly, the activation energy is between 0.62 and 0.19 eV when the doping increases from 0 to 83%. The optical properties have been determined from the film's optical transmission, using Swanepoel's method. It is shown that the optical gap varies from 1.3 to 0.99 eV

  20. Influence of Chemical Precleaning on the Plasma Treatment Efficiency of Aluminum by RF Plasma Pencil

    International Nuclear Information System (INIS)

    Prysiazhnyi, Vadym; Slavicek, Pavel; Klima, Milos; Mikmekova, Eliska

    2016-01-01

    This paper is aimed to show the influence of initial chemical pretreatment prior to subsequent plasma activation of aluminum surfaces. The results of our study showed that the state of the topmost surface layer (i.e. the surface morphology and chemical groups) of plasma modified aluminum significantly depends on the chemical precleaning. Commonly used chemicals (isopropanol, trichlorethane, solution of NaOH in deionized water) were used as precleaning agents. The plasma treatments were done using a radio frequency driven atmospheric pressure plasma pencil developed at Masaryk University, which operates in Ar, Ar/O 2 gas mixtures. The effectiveness of the plasma treatment was estimated by the wettability measurements, showing high wettability improvement already after 0.3 s treatment. The effects of surface cleaning (hydrocarbon removal), surface oxidation and activation (generation of OH groups) were estimated using infrared spectroscopy. The changes in the surface morphology were measured using scanning electron microscopy. Optical emission spectroscopy measurements in the near-to-surface region with temperature calculations showed that plasma itself depends on the sample precleaning procedure. (paper)

  1. Influence of Chemical Precleaning on the Plasma Treatment Efficiency of Aluminum by RF Plasma Pencil

    Science.gov (United States)

    Vadym, Prysiazhnyi; Pavel, Slavicek; Eliska, Mikmekova; Milos, Klima

    2016-04-01

    This paper is aimed to show the influence of initial chemical pretreatment prior to subsequent plasma activation of aluminum surfaces. The results of our study showed that the state of the topmost surface layer (i.e. the surface morphology and chemical groups) of plasma modified aluminum significantly depends on the chemical precleaning. Commonly used chemicals (isopropanol, trichlorethane, solution of NaOH in deionized water) were used as precleaning agents. The plasma treatments were done using a radio frequency driven atmospheric pressure plasma pencil developed at Masaryk University, which operates in Ar, Ar/O2 gas mixtures. The effectiveness of the plasma treatment was estimated by the wettability measurements, showing high wettability improvement already after 0.3 s treatment. The effects of surface cleaning (hydrocarbon removal), surface oxidation and activation (generation of OH groups) were estimated using infrared spectroscopy. The changes in the surface morphology were measured using scanning electron microscopy. Optical emission spectroscopy measurements in the near-to-surface region with temperature calculations showed that plasma itself depends on the sample precleaning procedure.

  2. In situ growth rate measurements during plasma-enhanced chemical vapour deposition of vertically aligned multiwall carbon nanotube films

    International Nuclear Information System (INIS)

    Joensson, M; Nerushev, O A; Campbell, E E B

    2007-01-01

    In situ laser reflectivity measurements are used to monitor the growth of multiwalled carbon nanotube (MWCNT) films grown by DC plasma-enhanced chemical vapour deposition (PECVD) from an iron catalyst film deposited on a silicon wafer. In contrast to thermal CVD growth, there is no initial increase in the growth rate; instead, the initial growth rate is high (as much as 10 μm min -1 ) and then drops off rapidly to reach a steady level (2 μm min -1 ) for times beyond 1 min. We show that a limiting factor for growing thick films of multiwalled nanotubes (MWNTs) using PECVD can be the formation of an amorphous carbon layer at the top of the growing nanotubes. In situ reflectivity measurements provide a convenient technique for detecting the onset of the growth of this layer

  3. Formation of apatite on hydrogenated amorphous silicon (a-Si:H) film deposited by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu Xuanyong; Chu, Paul K.; Ding Chuanxian

    2007-01-01

    Hydrogenated amorphous silicon films were fabricated on p-type, 100 mm diameter silicon wafers by plasma-enhanced chemical vapor deposition (PECVD) using silane and hydrogen. The structure and composition of the hydrogenated amorphous silicon films were investigated using micro-Raman spectroscopy and cross-sectional transmission electron microscopy (XTEM). The hydrogenated amorphous silicon films were subsequently soaked in simulated body fluids to evaluate apatite formation. Carbonate-containing hydroxyapatite (bone-like apatite) was formed on the surface suggesting good bone conductivity. The amorphous structure and presence of surface Si-H bonds are believed to induce apatite formation on the surface of the hydrogenated amorphous silicon film. A good understanding of the surface bioactivity of silicon-based materials and means to produce a bioactive surface is important to the development of silicon-based biosensors and micro-devices that are implanted inside humans

  4. Formation of apatite on hydrogenated amorphous silicon (a-Si:H) film deposited by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liu Xuanyong [Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China) and Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)]. E-mail: xyliu@mail.sic.ac.cn; Chu, Paul K. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)]. E-mail: paul.chu@cityu.edu.hk; Ding Chuanxian [Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China)

    2007-01-15

    Hydrogenated amorphous silicon films were fabricated on p-type, 100 mm diameter <1 0 0> silicon wafers by plasma-enhanced chemical vapor deposition (PECVD) using silane and hydrogen. The structure and composition of the hydrogenated amorphous silicon films were investigated using micro-Raman spectroscopy and cross-sectional transmission electron microscopy (XTEM). The hydrogenated amorphous silicon films were subsequently soaked in simulated body fluids to evaluate apatite formation. Carbonate-containing hydroxyapatite (bone-like apatite) was formed on the surface suggesting good bone conductivity. The amorphous structure and presence of surface Si-H bonds are believed to induce apatite formation on the surface of the hydrogenated amorphous silicon film. A good understanding of the surface bioactivity of silicon-based materials and means to produce a bioactive surface is important to the development of silicon-based biosensors and micro-devices that are implanted inside humans.

  5. Permanent magnet electron cyclotron resonance plasma source with remote window

    International Nuclear Information System (INIS)

    Berry, L.A.; Gorbatkin, S.M.

    1995-01-01

    An electron cyclotron resonance (ECR) plasma has been used in conjunction with a solid metal sputter target for Cu deposition over 200 mm diameters. The goal is to develop a deposition system and process suitable for filling submicron, high-aspect ratio ULSI features. The system uses a permanent magnet for creation of the magnetic field necessary for ECR, and is significantly more compact than systems equipped with electromagnets. A custom launcher design allows remote microwave injection with the microwave entrance window shielded from the copper flux. When microwaves are introduced at an angle with respect to the plasma, high electron densities can be produced with a plasma frequency significantly greater than the electron cyclotron frequency. Copper deposition rates of 1000 A/min have been achieved

  6. Ex-vessel remote maintenance development plans for the Burning Plasma Experiment

    International Nuclear Information System (INIS)

    Burgess, T.W.; Davis, F.C.

    1991-01-01

    Remote maintenance (RM) is fundamental to the basic design requirements of the Burning Plasma Experiment (BPX), and an extensive RM development and demonstration program is planned to meet these requirements. The program first draws from the experience base that exists in the fission community and Europe's Joint European Torus (JET) Project. Successful solutions are applied where possible and, in many cases, improved in order to achieve the performance demanded by a multiyear program that must be capable of efficiently executing RM procedures. Early, concurrent efforts in the design and fabrication of prototype remote handling (RH) equipment, remote tooling, and maintainable machine components will precede an extensive use of mock-up equipment in order to test, develop, and demonstrate the technology. 7 refs,. 5 figs

  7. Characterization of diamond-like nanocomposite thin films grown by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Santra, T. S.; Liu, C. H.; Bhattacharyya, T. K.; Patel, P.; Barik, T. K.

    2010-01-01

    Diamond-like nanocomposite (DLN) thin films, comprising the networks of a-C:H and a-Si:O were deposited on pyrex glass or silicon substrate using gas precursors (e.g., hexamethyldisilane, hexamethyldisiloxane, hexamethyldisilazane, or their different combinations) mixed with argon gas, by plasma enhanced chemical vapor deposition technique. Surface morphology of DLN films was analyzed by atomic force microscopy. High-resolution transmission electron microscopic result shows that the films contain nanoparticles within the amorphous structure. Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, and x-ray photoelectron spectroscopy (XPS) were used to determine the structural change within the DLN films. The hardness and friction coefficient of the films were measured by nanoindentation and scratch test techniques, respectively. FTIR and XPS studies show the presence of C-C, C-H, Si-C, and Si-H bonds in the a-C:H and a-Si:O networks. Using Raman spectroscopy, we also found that the hardness of the DLN films varies with the intensity ratio I D /I G . Finally, we observed that the DLN films has a better performance compared to DLC, when it comes to properties like high hardness, high modulus of elasticity, low surface roughness and low friction coefficient. These characteristics are the critical components in microelectromechanical systems (MEMS) and emerging nanoelectromechanical systems (NEMS).

  8. Role of plasma activation in tailoring the nanostructure of multifunctional oxides thin films

    Energy Technology Data Exchange (ETDEWEB)

    Giangregorio, Maria M.; Losurdo, Maria; Capezzuto, Pio [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, and Department of Chemistry, University of Bari, via Orabona, 4-70125 Bari (Italy); Bruno, Giovanni [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, and Department of Chemistry, University of Bari, via Orabona, 4-70125 Bari (Italy)], E-mail: giovanni.bruno@ba.imip.cnr.it

    2009-03-01

    Potential of O{sub 2} remote plasmas for improving structural, morphological and optical properties of various multifunctional oxides thin films both during plasma assisted growth as well as by post-growth treatments is discussed. In particular, an O{sub 2} remote plasma metalorganic chemical vapor deposition (RP-MOCVD) route is presented for tailoring the structural, morphological and optical properties of Er{sub 2}O{sub 3} and ZnO films. Furthermore, post-growth room-temperature remote O{sub 2} plasma treatments of indium-tin-oxides (ITO) films are demonstrated to be effective in improving morphology of ITO films.

  9. Fundamental aspects of plasma chemical physics Thermodynamics

    CERN Document Server

    Capitelli, Mario; D'Angola, Antonio

    2012-01-01

    Fundamental Aspects of Plasma Chemical Physics - Thermodynamics develops basic and advanced concepts of plasma thermodynamics from both classical and statistical points of view. After a refreshment of classical thermodynamics applied to the dissociation and ionization regimes, the book invites the reader to discover the role of electronic excitation in affecting the properties of plasmas, a topic often overlooked by the thermal plasma community. Particular attention is devoted to the problem of the divergence of the partition function of atomic species and the state-to-state approach for calculating the partition function of diatomic and polyatomic molecules. The limit of ideal gas approximation is also discussed, by introducing Debye-Huckel and virial corrections. Throughout the book, worked examples are given in order to clarify concepts and mathematical approaches. This book is a first of a series of three books to be published by the authors on fundamental aspects of plasma chemical physics.  The next bo...

  10. Wave propagation in a quasi-chemical equilibrium plasma

    Science.gov (United States)

    Fang, T.-M.; Baum, H. R.

    1975-01-01

    Wave propagation in a quasi-chemical equilibrium plasma is studied. The plasma is infinite and without external fields. The chemical reactions are assumed to result from the ionization and recombination processes. When the gas is near equilibrium, the dominant role describing the evolution of a reacting plasma is played by the global conservation equations. These equations are first derived and then used to study the small amplitude wave motion for a near-equilibrium situation. Nontrivial damping effects have been obtained by including the conduction current terms.

  11. Electrical transport properties of graphene nanowalls grown at low temperature using plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Zhao, Rong; Ahktar, Meysam; Alruqi, Adel; Dharmasena, Ruchira; Jasinski, Jacek B.; Thantirige, Rukshan M.; Sumanasekera, Gamini U.

    2017-05-01

    In this work, we report the electrical transport properties of uniform and vertically oriented graphene (graphene nanowalls) directly synthesized on multiple substrates including glass, Si/SiO2 wafers, and copper foils using radio-frequency plasma enhanced chemical vapor deposition (PECVD) with methane (CH4) as the precursor at relatively low temperatures. The temperature for optimum growth was established with the aid of transmission electron microscopy, scanning electron microscopy, and Raman spectroscopy. This approach offers means for low-cost graphene nanowalls growth on an arbitrary substrate with the added advantage of transfer-free device fabrication. The temperature dependence of the electrical transport properties (resistivity and thermopower) were studied in the temperature range, 30-300 K and analyzed with a combination of 2D-variable range hopping (VRH) and thermally activated (TA) conduction mechanisms. An anomalous temperature dependence of the thermopower was observed for all the samples and explained with a combination of a diffusion term having a linear temperature dependence plus a term with an inverse temperature dependence.

  12. Diagnostics of N2 Ar plasma mixture excited in a 13.56 MHz hollow cathode discharge system: application to remote plasma treatment of polyamide surface

    Science.gov (United States)

    Saloum, S.; Naddaf, M.; Alkhaled, B.

    2008-02-01

    N2-x% Ar plasma gas mixture, generated in a hollow cathode RF discharge system, has been characterized by both optical emission spectroscopy (OES) and double Langmuir probe, as a function of experimental parameters: total pressure (5-33 Pa), and different fractions of argon (7 <= x <= 80), at a constant applied RF power of 300 W. N2 dissociation degree has been investigated qualitatively by both the actinometry method and the ratio I_N/I_{N_2} of the atomic nitrogen line emission intensity at 672.3 nm to the vibrational band (0-0) of the N2 second positive system at 337.1 nm. Both methods showed that the increase in argon fraction enhances the dissociation of N2, with a maximum at x = 50 for the pressure of 5 Pa, although the two methods give two opposite trends as a function of total pressure. Spectroscopic measurements showed that the vibrational temperature of the N2 second positive system increases with both argon fraction and total pressure increase, it lies between 4900 and 12 300 K. Langmuir probe measurements showed that, in the remote zone, the electron temperature falls in the range 1.57-1.75 eV, the N_{2}^{+} density varies between 5 × 109 and 1.4 × 1010 cm-3 and that both the plasma ionization degree and electron temperature increase towards the source. In addition, the process of plasma-polyamide (PA) surface interaction, in the remote plasma zone, has been studied through OES analysis during plasma treatment of PA to monitor the possible emissions due to the polymer etching. An increase in atomic nitrogen line (672.3 nm) intensity is obtained, atomic carbon line (833.52 nm) and the band emission (0-0) from the CN (B 2Σ+-X 2Σ+) violet system were observed. The PA surface modification has been confirmed through the improvement of its hydrophilic character as the water contact angle measured after the plasma treatment significantly decreased.

  13. Diagnostics of N2-Ar plasma mixture excited in A 13.56 MHz hollow cathode discharge system: Application to remote plasma treatment of polyamide surface

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.; Al-khaled, B.

    2009-01-01

    N 2 -x % Ar plasma gas mixture, generated in a hollow cathode RF discharge system, has been characterized by both optical emission spectroscopy (OES) and double langmuir probe, as a function of experimental parameters: Total pressure (5-33 Pa), and different fractions of argon (7≤ x ≤ 80), at a constant applied RF power of 300 W. N 2 dissociation degree has been investigated qualitatively by both actinometry method and the ratio of the atomic nitrogen line emission intensity at 672.3 nm to the vibrational band (0-0) of the N 2 second positive system at 337.1 nm. Both methods showed that the increase of argon fraction enhances the dissociation of N 2 , with a maximum at x=50 for the pressure of 5 Pa, although the two methods give two opposite trends as a function of total pressure. Spectroscopic measurements showed that the vibrational temperature of N 2 second positive system increases with both argon fraction and total pressure increase, it lies between 4900 K and 12300 K. Langmuir probe measurements showed that, in the remote zone, the electron temperature falls in the range 1.57-1.75 eV, the N 2 + density varies between 5.10 9 cm-3 and 1.4 10 10 cm -3 , and that both the plasma ionization degree and electron temperature increase towards the source. In addition, the process of plasma-polyamide surface interaction, in the remote plasma zone, has been studied through optical emission spectroscopy analysis during plasma treatment of polyamide to monitor the possible emissions due to the polymer etching. An increase of atomic nitrogen line (672.3 nm) intensity is obtained, atomic carbon line (833.52 nm) and the band emission (0-0) from CN (B 2 Σ + -X 2 Σ + ) violet system were observed. The polyamide surface modification has been confirmed through the improvement of its hydrophilic character as the water contact angle measured after the plasma treatment significantly decreased. (author)

  14. Diagnostics of N2-Ar plasma mixture excited in a 13.56 MHz hollow cathode discharge system: application to remote plasma treatment of polyamide surface

    International Nuclear Information System (INIS)

    Saloum, S; Naddaf, M; Alkhaled, B

    2008-01-01

    N 2 -x% Ar plasma gas mixture, generated in a hollow cathode RF discharge system, has been characterized by both optical emission spectroscopy (OES) and double Langmuir probe, as a function of experimental parameters: total pressure (5-33 Pa), and different fractions of argon (7 ≤ x ≤ 80), at a constant applied RF power of 300 W. N 2 dissociation degree has been investigated qualitatively by both the actinometry method and the ratio I N /I N 2 of the atomic nitrogen line emission intensity at 672.3 nm to the vibrational band (0-0) of the N 2 second positive system at 337.1 nm. Both methods showed that the increase in argon fraction enhances the dissociation of N 2 , with a maximum at x = 50 for the pressure of 5 Pa, although the two methods give two opposite trends as a function of total pressure. Spectroscopic measurements showed that the vibrational temperature of the N 2 second positive system increases with both argon fraction and total pressure increase, it lies between 4900 and 12 300 K. Langmuir probe measurements showed that, in the remote zone, the electron temperature falls in the range 1.57-1.75 eV, the N 2 + density varies between 5 x 10 9 and 1.4 x 10 10 cm -3 and that both the plasma ionization degree and electron temperature increase towards the source. In addition, the process of plasma-polyamide (PA) surface interaction, in the remote plasma zone, has been studied through OES analysis during plasma treatment of PA to monitor the possible emissions due to the polymer etching. An increase in atomic nitrogen line (672.3 nm) intensity is obtained, atomic carbon line (833.52 nm) and the band emission (0-0) from the CN (B 2 Σ + -X 2 Σ + ) violet system were observed. The PA surface modification has been confirmed through the improvement of its hydrophilic character as the water contact angle measured after the plasma treatment significantly decreased

  15. Plasma characterization using terahertz-wave-enhanced fluorescence

    International Nuclear Information System (INIS)

    Liu Jingle; Zhang, X.-C.

    2010-01-01

    We demonstrate that the terahertz-wave-enhanced fluorescence emission from excited atoms or molecules can be employed in the characterization of laser-induced gas plasmas. The electron relaxation time and plasma density were deduced through applying the electron impact excitation/ionization and electron-ion recombination processes to the measured time-dependent enhanced fluorescence. The electron collision dynamics of nitrogen plasma excited at different gas pressures and laser pulse energies have been systematically investigated. This plasma characterization method provides picosecond temporal resolution and enables omnidirectional optical signal collection.

  16. Synthesis and analysis of silicon nanowire below Si-Au eutectic temperatures using very high frequency plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Hamidinezhad, Habib; Wahab, Yussof; Othaman, Zulkafli; Ismail, Abd Khamim

    2011-01-01

    Silicon nanowires (SiNWs) were synthesized from pure silane precursor gas and Au nanoparticles catalyst at below Au-Si eutectic temperature. The SiNWs were grown onto Si (1 1 1) substrates using very high frequency plasma enhanced chemical vapor deposition via a vapor-solid-solid mechanism at temperatures ranging from 363 to 230 deg. C. The morphology of the synthesized SiNWs was characterized by means of field emission scanning electron microscope equipped with energy dispersive X-ray, high resolution transmission electron microscopy, X-ray diffraction technique and Raman spectroscope. Results demonstrated that the SiNWs can be grown at the temperature as low as 250 deg. C. In addition, it was revealed that the grown wires were silicon-crystallized.

  17. Evaluation of remote maintenance schemes by plasma equilibrium analysis in Tokamak DEMO reactor

    International Nuclear Information System (INIS)

    Utoh, Hiroyasu; Tobita, Kenji; Asakura, Nobuyuki; Sakamoto, Yoshiteru

    2014-01-01

    Highlights: • The remote maintenance schemes in DEMO reactor were evaluated by the plasma equilibrium analysis. • Horizontal sector transport maintenance scheme requires the largest total PF coil current. • The difference of total PF coil current for MHD equilibrium in between the large segmented divertor maintenance and the segmentalized divertor maintenance was about 10%. - Abstract: The remote maintenance schemes in a DEMO reactor are categorized by insertion direction, blanket segmentation, and divertor maintenance scheme, and are quantitatively evaluated by analysing the plasma equilibrium. The positions of the poloidal field (PF) coil are limited by the size of the toroidal field (TF) coil and the maintenance port layout of each remote maintenance scheme. Because the PF coils are located near the larger TF coil and far from the plasma surface, the horizontal sector transport maintenance scheme requires the largest part of total PF coil current, 25% larger than that required for separated sector transport using vertical maintenance ports with segmented divertor maintenance (SDM). In the unsegmented divertor maintenance (UDM) scheme, the total magnetic stored energy in the PF coils at plasma equilibrium is about 30% larger than that stored in the SDM scheme, but the time required for removal and installation of all the divertor cassettes in the UDM scheme is roughly a third of that required in the SDM scheme because the number of divertor cassettes in the UDM scheme is a third of that in the SDM scheme. From the viewpoint of simple maintenance operations, the merit of the UDM scheme has more merit than the SDM scheme

  18. Effects of boron addition on a-Si(90)Ge(10):H films obtained by low frequency plasma enhanced chemical vapour deposition.

    Science.gov (United States)

    Pérez, Arllene M; Renero, Francisco J; Zúñiga, Carlos; Torres, Alfonso; Santiago, César

    2005-06-29

    Optical, structural and electric properties of (a-(Si(90)Ge(10))(1-y)B(y):H) thin film alloys, deposited by low frequency plasma enhanced chemical vapour deposition, are presented. The chemical bonding structure has been studied by IR spectroscopy, while the composition was investigated by Raman spectroscopy. A discussion about boron doping effects, in the composition and bonding of samples, is presented. Transport of carriers has been studied by measurement of the conductivity dependence on temperature, which increases from 10(-3) to 10(1) Ω(-1) cm(-1) when the boron content varies from 0 to 50%. Similarly, the activation energy is between 0.62 and 0.19 eV when the doping increases from 0 to 83%. The optical properties have been determined from the film's optical transmission, using Swanepoel's method. It is shown that the optical gap varies from 1.3 to 0.99 eV.

  19. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Science.gov (United States)

    Chou, Chia-Man; Lai, Chih-Chang; Chang, Chih-Wei; Wen, Kai-Shin; Hsiao, Vincent K. S.

    2017-07-01

    We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO)-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD) incorporated with radio-frequency (r.f.)-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD)-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC) structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr). High oxygen vapor pressure (150 mTorr) and low r.f. power (10 W) are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  20. PTFE treatment by remote atmospheric Ar/O2 plasmas : a simple reaction scheme model proposal

    NARCIS (Netherlands)

    Carbone, E.A.D.; Verhoeven, M.W.G.M.; Keuning, W.; van der Mullen, J.J.A.M.

    2016-01-01

    Polytetrafluoroethylene (PTFE) samples were treated by a remote atmospheric pressure microwave plasma torch and analyzed by water contact angle (WCA) and X-ray photoelectron spectroscopy (XPS). In the case of pure argon plasma a decrease of WCA is observed meanwhile an increase of hydrophobicity was

  1. Remote operation of the vertical plasma stabilization @ the GOLEM tokamak for the plasma physics education

    Czech Academy of Sciences Publication Activity Database

    Svoboda, V.; Kocman, J.; Grover, O.; Krbec, Jaroslav; Stöckel, Jan

    96-97, October (2015), s. 974-979 ISSN 0920-3796. [Symposium on Fusion Technology 2014(SOFT-28)/28./. San Sebastián, 29.09.2014-03.10.2014] Institutional support: RVO:61389021 Keywords : tokamak technology * remote participation * plasma stabilization Subject RIV: JF - Nuclear Energetics OBOR OECD: Nuclear related engineering Impact factor: 1.301, year: 2015 http://dx.doi.org/10.1016/j.fusengdes.2015.06.044

  2. Remote PECVD silicon nitride films with improved electrical properties for GaAs P-HEMT passivation

    CERN Document Server

    Sohn, M K; Kim, K H; Yang, S G; Seo, K S

    1998-01-01

    In order to obtain thin silicon nitride films with excellent electrical and mechanical properties, we employed RPECVD (Remote Plasma Enhanced Chemical Vapor Deposition) process which produces less plasma-induced damage than the conventional PECVD. Through the optical and electrical measurements of the deposited films, we optimized the various RPECVD process parameters. The optimized silicon nitride films showed excellent characteristics such as small etch rate (approx 33 A/min by 7:1 BHF), high breakdown field (>9 MV/cm), and low compressive stress (approx 3.3x10 sup 9 dyne/cm sup 2). We successfully applied thin RPECVD silicon nitride films to the surface passivation of GaAs pseudomorphic high electron mobility transistors (P-HEMTs) with negligible degradations in DC and RF characteristics.

  3. Effects of the gate dielectric on the subthreshold transport of carbon nanotube network transistors grown by using plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Jeong, Seung Geun; Park, Wan Jun

    2010-01-01

    In this study, we investigated the subthreshold slope of random network carbon nanotube transistors with different geometries and passivations. Single-wall carbon nanotubes with lengths of 1-2 m were grown by using plasma-enhanced chemical vapor deposition to form the transistor channels. A critical channel length, where the subthreshold slope was saturated, of 7 μm was obtained. This was due to the percolational behavior of the nanotube random networks. With the dielectric passivation, the subthreshold slope was dramatically reduced from 9 V/decade to 0.9 V/decade by reducing interfacial trap sites, which then reduced the interface capacitance between the nanotube network and the gate dielectric.

  4. Characterization of diamond-like nanocomposite thin films grown by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Santra, T S; Liu, C H [Institute of Nanoengineering and Microsystems (NEMS), National Tsing Hua University, Hsinchu, Taiwan 30043 (China); Bhattacharyya, T K [Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721302, West Bengal (India); Patel, P [Department of Electrical and Computer Engineering, University of Illinois at Urbana Champaign, Urbana, Illinois 61801 (United States); Barik, T K [School of Applied Sciences, Haldia Institute of Technology, Haldia 721657, Purba Medinipur, West Bengal (India)

    2010-06-15

    Diamond-like nanocomposite (DLN) thin films, comprising the networks of a-C:H and a-Si:O were deposited on pyrex glass or silicon substrate using gas precursors (e.g., hexamethyldisilane, hexamethyldisiloxane, hexamethyldisilazane, or their different combinations) mixed with argon gas, by plasma enhanced chemical vapor deposition technique. Surface morphology of DLN films was analyzed by atomic force microscopy. High-resolution transmission electron microscopic result shows that the films contain nanoparticles within the amorphous structure. Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, and x-ray photoelectron spectroscopy (XPS) were used to determine the structural change within the DLN films. The hardness and friction coefficient of the films were measured by nanoindentation and scratch test techniques, respectively. FTIR and XPS studies show the presence of C-C, C-H, Si-C, and Si-H bonds in the a-C:H and a-Si:O networks. Using Raman spectroscopy, we also found that the hardness of the DLN films varies with the intensity ratio I{sub D}/I{sub G}. Finally, we observed that the DLN films has a better performance compared to DLC, when it comes to properties like high hardness, high modulus of elasticity, low surface roughness and low friction coefficient. These characteristics are the critical components in microelectromechanical systems (MEMS) and emerging nanoelectromechanical systems (NEMS).

  5. Strangeness Production in a Chemically Equilibrating Quark-Gluon Plasma

    Institute of Scientific and Technical Information of China (English)

    HE Ze-Jun; LONG Jia-Li; MA Yu-Gang; MA Guo-Liang

    2004-01-01

    @@ We study the strangeness of a chemically equilibrating quark-gluon plasma at finite baryon density based on the and will accelerate with the change of the initial system from a chemically non-equilibrated to an equilibrated system. We also find that the calculated strangeness is very different from the one in the thermodynamic equilibrium system. This study may be helpful to understand the formation of quark-gluon plasma via a chemically non-equilibrated evolution framework.

  6. Formation and characterization of the MgO protecting layer deposited by plasma-enhanced metal-organic chemical-vapor deposition

    CERN Document Server

    Kang, M S; Byun, J C; Kim, D S; Choi, C K; Lee, J Y; Kim, K H

    1999-01-01

    MgO films were prepared on Si(100) and soda-lime glass substrates by using plasma-enhanced metal-organic chemical-vapor deposition. Various ratios of the O sub 2 /CH sub 3 MgO sup t Bu gas mixture and various gas flow rates were tested for the film fabrications. Highly (100)-oriented MgO films with good crystallinity were obtained with a 10 sccm CH sub 3 MgO sup t Bu flow without an O sub 2 gas flow. About 5 % carbon was contained in all the MgO films. The refractive index and the secondary electron emission coefficient for the best quality film were 1.43 and 0.45, respectively. The sputtering rate was about 0.2 nm/min for 10 sup 1 sup 1 cm sup - sup 3 Ar sup + ion density. Annealing at 500 .deg. C in an Ar ambient promoted the grain size without inducing a phase transition.

  7. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Directory of Open Access Journals (Sweden)

    Chia-Man Chou

    2017-07-01

    Full Text Available We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD incorporated with radio-frequency (r.f.-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr. High oxygen vapor pressure (150 mTorr and low r.f. power (10 W are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  8. Enhanced field emission characteristics of boron doped diamond films grown by microwave plasma assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Koinkar, Pankaj M. [Center for International Cooperation in Engineering Education (CICEE), University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan); Patil, Sandip S. [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Kim, Tae-Gyu [Department of Nano System and Process Engineering, Pusan National University, 50 Cheonghak-ri, Samrangjin-eup, Miryang, Gyeongnam, Pusan 627-706 (Korea, Republic of); Yonekura, Daisuke [Department of Mechanical Engineering, University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan); More, Mahendra A., E-mail: mam@physics.unipune.ac.in [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Joag, Dilip S. [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Murakami, Ri-ichi, E-mail: murakami@me.tokushima-u.ac.jp [Department of Mechanical Engineering, University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan)

    2011-01-01

    Boron doped diamond films were synthesized on silicon substrates by microwave plasma chemical vapor deposition (MPCVD) technique. The effect of B{sub 2}O{sub 3} concentration varied from 1000 to 5000 ppm on the field emission characteristics was examined. The surface morphology and quality of films were characterized by scanning electron microscope (SEM) and Raman spectroscopy. The surface morphology obtained by SEM showed variation from facetted microcrystal covered with nanometric grains to cauliflower of nanocrystalline diamond (NCD) particles with increasing B{sub 2}O{sub 3} concentration. The Raman spectra confirm the formation of NCD films. The field emission properties of NCD films were observed to improve upon increasing boron concentration. The values of the onset field and threshold field are observed to be as low as 0.36 and 0.08 V/{mu}m, respectively. The field emission current stability investigated at the preset value of {approx}1 {mu}A is observed to be good, in each case. The enhanced field emission properties are attributed to the better electrical conductivity coupled with the nanometric features of the diamond films.

  9. A Method to Construct Plasma with Nonlinear Density Enhancement Effect in Multiple Internal Inductively Coupled Plasmas

    International Nuclear Information System (INIS)

    Chen Zhipeng; Li Hong; Liu Qiuyan; Luo Chen; Xie Jinlin; Liu Wandong

    2011-01-01

    A method is proposed to built up plasma based on a nonlinear enhancement phenomenon of plasma density with discharge by multiple internal antennas simultaneously. It turns out that the plasma density under multiple sources is higher than the linear summation of the density under each source. This effect is helpful to reduce the fast exponential decay of plasma density in single internal inductively coupled plasma source and generating a larger-area plasma with multiple internal inductively coupled plasma sources. After a careful study on the balance between the enhancement and the decay of plasma density in experiments, a plasma is built up by four sources, which proves the feasibility of this method. According to the method, more sources and more intensive enhancement effect can be employed to further build up a high-density, large-area plasma for different applications. (low temperature plasma)

  10. Characterization of thin TiO2 films prepared by plasma enhanced chemical vapour deposition for optical and photocatalytic applications

    International Nuclear Information System (INIS)

    Sobczyk-Guzenda, A.; Gazicki-Lipman, M.; Szymanowski, H.; Kowalski, J.; Wojciechowski, P.; Halamus, T.; Tracz, A.

    2009-01-01

    Thin titanium oxide films were deposited using a radio frequency (RF) plasma enhanced chemical vapour deposition method. Their optical properties and thickness were determined by means of ultraviolet-visible absorption spectrophotometry. Films of the optical parameters very close to those of titanium dioxide have been obtained at the high RF power input. Their optical quality is high enough to allow for their use in a construction of stack interference optical filters. At the same time, these materials exhibit strong photocatalytic effects. The results of structural analysis, carried out by Raman Shift Spectroscopy, show that the coatings posses amorphous structure. However, Raman spectra of the same films subjected to thermal annealing at 450 o C disclose an appearance of a crystalline form, namely that of anatase. Surface morphology of the films has also been characterized by Atomic Force Microscopy revealing granular, broccoli-like topography of the films.

  11. Transport properties of field effect transistors with randomly networked single walled carbon nanotubes grown by plasma enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Kim, Un Jeong; Park, Wanjun

    2009-01-01

    The transport properties of randomly networked single walled carbon nanotube (SWNT) transistors with different channel lengths of L c = 2-10 μm were investigated. Randomly networked SWNTs were directly grown for the two different densities of ρ ∼ 25 μm -2 and ρ ∼ 50 μm -2 by water plasma enhanced chemical vapour deposition. The field effect transport is governed mainly by formation of the current paths that is related to the nanotube density. On the other hand, the off-state conductivity deviates from linear dependence for both nanotube density and channel length. The field effect mobility of holes is estimated as 4-13 cm 2 V -1 s -1 for the nanotube transistors based on the simple MOS theory. The mobility is increased for the higher density without meaningful dependence on the channel lengths.

  12. Multichannel signal enhancement using a remote wireless microphone

    NARCIS (Netherlands)

    Bloemendal, Brian; Van De Laar, Jakob; Sommen, Piet

    2012-01-01

    A novel approach to multichannel signal enhancement is presented that exploits data from a remote wireless microphone (RWM). This RWM is placed near an interfering source and transmits only autocorrelation data of its observations to a host, i.e., not the entire signal. The host has access to the

  13. Grafting of copolymer styrene maleic anhydride on poly(ethylene terephthalate) film by chemical reaction and by plasma method

    Energy Technology Data Exchange (ETDEWEB)

    Bigan, Muriel; Bigot, Julien [Laboratoire de Chimie Organique et Macromoleculaire (UMR 8009), Universite des Sciences et Technologies de Lille, 59655 Villeneuve d' Ascq Cedex (France); Mutel, Brigitte [Laboratoire de Genie des Procedes d' Interactions Fluides reactifs-Materiaux (UPRES-EA 3751), Batiment C5, Universite des Sciences et Technologies de Lille, 59655 Villeneuve d' Ascq Cedex (France)], E-mail: Brigitte.mutel@univ-lille1.fr; Coqueret, Xavier [Laboratoire Reactions Selectives et Applications (UMR-CNRS 6519) Universite de Reims Champagne-Ardennes, B.P. 1039, 51687 Reims Cedex 2 (France)

    2008-02-15

    This work deals with the chemical grafting of a styrene maleic anhydride copolymer on the surface of a previously hydrolyzed polyethylene terephthalate (PET) film 12 {mu}m thick via covalent bond. Two different ways are studied. The first one involves an activation of the hydrolyzed PET by the triethylamine before the grafting step. In the second one, the copolymer reacts with the 4-dimethylaminopyridine in order to form maleinyl pyridinium salt which reacts with alcohol function of the hydrolyzed PET. Characterization and quantification of the grafting are performed by Fourier transform infrared spectroscopy. Factorial experiment designs are used to optimize the process and to estimate experimental parameters effects. The opportunity to associate the chemical process to a cold remote nitrogen plasma one is also examined.

  14. Growth and characterization of stoichiometric BCN films on highly oriented pyrolytic graphite by radiofrequency plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mannan, Md. Abdul, E-mail: amannan75@yahoo.co [Department of Chemistry and Applied Chemistry, Faculty of Science and Engineering, Saga University, 1 Honjo, Saga 840-8502 (Japan); Synchrotron Radiation Research Unit, Quantum Beam Science Directorate, Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki 319-1195 (Japan); Noguchi, Hideyuki; Kida, Tetsuya; Nagano, Masamitsu [Department of Chemistry and Applied Chemistry, Faculty of Science and Engineering, Saga University, 1 Honjo, Saga 840-8502 (Japan); Hirao, Norie; Baba, Yuji [Synchrotron Radiation Research Unit, Quantum Beam Science Directorate, Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki 319-1195 (Japan)

    2010-05-31

    Hexagonal boron carbonitride (h-BCN) hybrid films have been synthesized on highly oriented pyrolytic graphite by radiofrequency plasma enhanced chemical vapor deposition using tris-(dimethylamino)borane as a single-source molecular precursor. The films were characterized by X-ray photoelectron spectroscopy (XPS), near-edge X-ray absorption fine structure (NEXAFS) and Raman spectroscopic measurements. XPS measurement showed that the B atoms were bonded to C and N atoms to form the sp{sup 2}-B-C-N atomic hybrid chemical environment. The atomic composition estimated from the XPS of the typical sample was found to be almost B{sub 1}C{sub 1}N{sub 1}. NEXAFS spectra of the B K-edge and the N K-edge had the peaks due to the {pi}* and {sigma}* resonances of sp{sup 2} hybrid orbitals implying the existence of the sp{sup 2} hybrid configurations of h-BCN around the B atoms. The G band at 1592 and D band at 1352 cm{sup -1} in the Raman spectra also suggested the presence of the graphite-like sp{sup 2}-B-C-N atomic hybrid bonds. The films consisted of micrometer scale crystalline structure of around 10 {mu}m thick has been confirmed by the field emission scanning electron microscopy.

  15. Online Cloud Offloading Using Heterogeneous Enhanced Remote Radio Heads

    KAUST Repository

    Shnaiwer, Yousef N.; Sorour, Sameh; Sadeghi, Parastoo; Al-Naffouri, Tareq Y.

    2018-01-01

    This paper studies the cloud offloading gains of using heterogeneous enhanced remote radio heads (eRRHs) and dual-interface clients in fog radio access networks (F-RANs). First, the cloud offloading problem is formulated as a collection

  16. Enhanced surface functionality via plasma modification and plasma deposition techniques to create more biologically relevant materials

    Science.gov (United States)

    Shearer, Jeffrey C.

    Functionalizing nanoparticles and other unusually shaped substrates to create more biologically relevant materials has become central to a wide range of research programs. One of the primary challenges in this field is creating highly functionalized surfaces without modifying the underlying bulk material. Traditional wet chemistry techniques utilize thin film depositions to functionalize nanomaterials with oxygen and nitrogen containing functional groups, such as --OH and --NHx. These functional groups can serve to create surfaces that are amenable to cell adhesion or can act as reactive groups for further attachment of larger structures, such as macromolecules or antiviral agents. Additional layers, such as SiO2, are often added between the nanomaterial and the functionalized coating to act as a barrier films, adhesion layers, and to increase overall hydrophilicity. However, some wet chemistry techniques can damage the bulk material during processing. This dissertation examines the use of plasma processing as an alternative method for producing these highly functionalized surfaces on nanoparticles and polymeric scaffolds through the use of plasma modification and plasma enhanced chemical vapor deposition techniques. Specifically, this dissertation will focus on (1) plasma deposition of SiO2 barrier films on nanoparticle substrates; (2) surface functionalization of amine and alcohol groups through (a) plasma co-polymerization and (b) plasma modification; and (3) the design and construction of plasma hardware to facilitate plasma processing of nanoparticles and polymeric scaffolds. The body of work presented herein first examines the fabrication of composite nanoparticles by plasma processing. SiOxC y and hexylamine films were coated onto TiO2 nanoparticles to demonstrate enhanced water dispersion properties. Continuous wave and pulsed allyl alcohol plasmas were used to produce highly functionalized Fe2 O3 supported nanoparticles. Specifically, film composition was

  17. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    Energy Technology Data Exchange (ETDEWEB)

    Ghrib, M., E-mail: mondherghrib@yahoo.fr [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Gaidi, M.; Ghrib, T.; Khedher, N. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Ben Salam, M. [L3M, Department of Physics, Faculty of Sciences of Bizerte, 7021 Zarzouna (Tunisia); Ezzaouia, H. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia)

    2011-08-15

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  18. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    International Nuclear Information System (INIS)

    Ghrib, M.; Gaidi, M.; Ghrib, T.; Khedher, N.; Ben Salam, M.; Ezzaouia, H.

    2011-01-01

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  19. Metal/Carbon Hybrid Nanostructures Produced from Plasma-Enhanced Chemical Vapor Deposition over Nafion-Supported Electrochemically Deposited Cobalt Nanoparticles

    Directory of Open Access Journals (Sweden)

    Mohammad Islam

    2018-04-01

    Full Text Available In this work, we report development of hybrid nanostructures of metal nanoparticles (NP and carbon nanostructures with strong potential for catalysis, sensing, and energy applications. First, the etched silicon wafer substrates were passivated for subsequent electrochemical (EC processing through grafting of nitro phenyl groups using para-nitrobenzene diazonium (PNBT. The X-ray photoelectron spectroscope (XPS and atomic force microscope (AFM studies confirmed presence of few layers. Cobalt-based nanoparticles were produced over dip or spin coated Nafion films under different EC reduction conditions, namely CoSO4 salt concentration (0.1 M, 1 mM, reduction time (5, 20 s, and indirect or direct EC reduction route. Extensive AFM examination revealed NP formation with different attributes (size, distribution depending on electrochemistry conditions. While relatively large NP with >100 nm size and bimodal distribution were obtained after 20 s EC reduction in H3BO3 following Co2+ ion uptake, ultrafine NP (<10 nm could be produced from EC reduction in CoSO4 and H3BO3 mixed solution with some tendency to form oxides. Different carbon nanostructures including few-walled or multiwalled carbon nanotubes (CNT and carbon nanosheets were grown in a C2H2/NH3 plasma using the plasma-enhanced chemical vapor deposition technique. The devised processing routes enable size controlled synthesis of cobalt nanoparticles and metal/carbon hybrid nanostructures with unique microstructural features.

  20. Remote Sensing Image Enhancement Based on Non-subsampled Shearlet Transform and Parameterized Logarithmic Image Processing Model

    Directory of Open Access Journals (Sweden)

    TAO Feixiang

    2015-08-01

    Full Text Available Aiming at parts of remote sensing images with dark brightness and low contrast, a remote sensing image enhancement method based on non-subsampled Shearlet transform and parameterized logarithmic image processing model is proposed in this paper to improve the visual effects and interpretability of remote sensing images. Firstly, a remote sensing image is decomposed into a low-frequency component and high frequency components by non-subsampled Shearlet transform.Then the low frequency component is enhanced according to PLIP (parameterized logarithmic image processing model, which can improve the contrast of image, while the improved fuzzy enhancement method is used to enhance the high frequency components in order to highlight the information of edges and details. A large number of experimental results show that, compared with five kinds of image enhancement methods such as bidirectional histogram equalization method, the method based on stationary wavelet transform and the method based on non-subsampled contourlet transform, the proposed method has advantages in both subjective visual effects and objective quantitative evaluation indexes such as contrast and definition, which can more effectively improve the contrast of remote sensing image and enhance edges and texture details with better visual effects.

  1. Computers in plasma physics: remote data access and magnetic configuration design

    International Nuclear Information System (INIS)

    Blackwell, B.D.; McMillan, B.F.; Searle, A.C.; Gardner, H.J.; Price, D.M.; Fredian, T.W.

    2000-01-01

    Full text: Two graphically intensive examples of the application of computers in plasma physics are described remote data access for plasma confinement experiments, and a code for real-time magnetic field tracing and optimisation. The application for both of these is the H-1NF National Plasma Fusion Research Facility, a Commonwealth Major National Research Facility within the Research School of Physical Science, Institute of Advanced Studies, ANU. It is based on the 'flexible' heliac stellarator H-1, a plasma confinement device in which the confining fields are generated solely by external conductors. These complex, fully three dimensional magnetic fields are used as examples for the magnetic design application, and data from plasma physics experiments are used to illustrate the remote access techniques. As plasma fusion experiments grow in size, increased remote access allows physicists to participate in experiments and data analysis from their home base. Three types of access will be described and demonstrated - a simple Java-based web interface, an example TCP client-server built around the widely used MDSPlus data system and the visualisation package IDL (RSI Inc), and a virtual desktop Environment (VNC: AT and T Research) that simulates terminals local to the plasma facility. A client server TCP/IP - web interface to the programmable logic controller that provides user interface to the programmable high power magnet power supplies is described. A very general configuration file allows great flexibility, and allows new displays and interfaces to be created (usually) without changes to the underlying C++ and Java code. The magnetic field code BLINE provides accurate calculation of complex magnetic fields, and 3D visualisation in real time, using a low cost multiprocessor computer and an OpenGL-compatible graphics accelerator. A fast, flexible multi-mesh interpolation method is used for tracing vacuum magnetic field lines created by arbitrary filamentary

  2. Atomic force microscopy indentation of fluorocarbon thin films fabricated by plasma enhanced chemical deposition at low radio frequency power

    International Nuclear Information System (INIS)

    Sirghi, L.; Ruiz, A.; Colpo, P.; Rossi, F.

    2009-01-01

    Atomic force microscopy (AFM) indentation technique is used for characterization of mechanical properties of fluorocarbon (CF x ) thin films obtained from C 4 F 8 gas by plasma enhanced chemical vapour deposition at low r.f. power (5-30 W) and d.c. bias potential (10-80 V). This particular deposition method renders films with good hydrophobic property and high plastic compliance. Commercially available AFM probes with stiff cantilevers (10-20 N/m) and silicon sharpened tips (tip radius < 10 nm) are used for indentations and imaging of the resulted indentation imprints. Force depth curves and imprint characteristics are used for determination of film hardness, elasticity modulus and plasticity index. The measurements show that the decrease of the discharge power results in deposition of films with decreased hardness and stiffness and increased plasticity index. Nanolithography based on AFM indentation is demonstrated on thin films (thickness of 40 nm) with good plastic compliance.

  3. Tensile test of a silicon microstructure fully coated with submicrometer-thick diamond like carbon film using plasma enhanced chemical vapor deposition method

    Science.gov (United States)

    Zhang, Wenlei; Uesugi, Akio; Hirai, Yoshikazu; Tsuchiya, Toshiyuki; Tabata, Osamu

    2017-06-01

    This paper reports the tensile properties of single-crystal silicon (SCS) microstructures fully coated with sub-micrometer thick diamond like carbon (DLC) film using plasma enhanced chemical vapor deposition (PECVD). To minimize the deformations or damages caused by non-uniform coating of DLC, which has high compression residual stress, released SCS specimens with the dimensions of 120 µm long, 4 µm wide, and 5 µm thick were coated from the top and bottom side simultaneously. The thickness of DLC coating is around 150 nm and three different bias voltages were used for deposition. The tensile strength improved from 13.4 to 53.5% with the increasing of negative bias voltage. In addition, the deviation in strength also reduced significantly compared to bare SCS sample.

  4. Effect of nickel oxide seed layers on annealed-amorphous titanium oxide thin films prepared using plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Cheng-Yang; Hong, Shao-Chyang; Hwang, Fu-Tsai; Lai, Li-Wen; Lin, Tan-Wei; Liu, Day-Shan

    2011-01-01

    The effect of a nickel oxide (NiO x ) seed layer on the crystallization and photocatalytic activity of the sequentially plasma-enhanced chemical vapor deposited amorphous titanium oxide (TiO x ) thin film processed by a post-annealing process was investigated. The evolution of the crystalline structures, chemical bond configurations, and surface/cross-sectional morphologies of the annealed TiO x films, with and without a NiO x seed layer, was examined using X-ray diffractometer, Fourier transform infrared spectrometry, X-ray photoelectron spectroscopy, atomic force microscopy, and field emission scanning electron microscope measurements. Thermo- and photo-induced hydrophilicity was determined by measuring the contact angle of water droplet. Photocatalytic activity after UV light irradiation was evaluated from the decolorization of a methylene blue solution. The crystallization temperature of the TiO x film, deposited on a NiO x seed layer, was found to be lower than that of a pure TiO x film, further improving the thermo- and photo-induced surface super-hydrophilicity. The TiO x film deposited onto the NiO x seed layer, resulting in significant cluster boundaries, showed a rough surface morphology and proved to alleviate the anatase crystal growth by increasing the post-annealing temperature, which yielded a more active surface area and prohibited the recombination of photogenerated electrons and holes. The photocatalytic activity of the NiO x /TiO x system with such a textured surface therefore was enhanced and optimized through an adequate post-annealing process.

  5. Effect of nickel oxide seed layers on annealed-amorphous titanium oxide thin films prepared using plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Cheng-Yang; Hong, Shao-Chyang [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China); Hwang, Fu-Tsai [Department of Electro-Optical Engineering, National United University, Miao-Li, 36003, Taiwan (China); Lai, Li-Wen [ITRI South, Industrial Technology Research Institute, Liujia, Tainan, 73445, Taiwan (China); Lin, Tan-Wei [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China); Liu, Day-Shan, E-mail: dsliu@sunws.nfu.edu.tw [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China)

    2011-10-31

    The effect of a nickel oxide (NiO{sub x}) seed layer on the crystallization and photocatalytic activity of the sequentially plasma-enhanced chemical vapor deposited amorphous titanium oxide (TiO{sub x}) thin film processed by a post-annealing process was investigated. The evolution of the crystalline structures, chemical bond configurations, and surface/cross-sectional morphologies of the annealed TiO{sub x} films, with and without a NiO{sub x} seed layer, was examined using X-ray diffractometer, Fourier transform infrared spectrometry, X-ray photoelectron spectroscopy, atomic force microscopy, and field emission scanning electron microscope measurements. Thermo- and photo-induced hydrophilicity was determined by measuring the contact angle of water droplet. Photocatalytic activity after UV light irradiation was evaluated from the decolorization of a methylene blue solution. The crystallization temperature of the TiO{sub x} film, deposited on a NiO{sub x} seed layer, was found to be lower than that of a pure TiO{sub x} film, further improving the thermo- and photo-induced surface super-hydrophilicity. The TiO{sub x} film deposited onto the NiO{sub x} seed layer, resulting in significant cluster boundaries, showed a rough surface morphology and proved to alleviate the anatase crystal growth by increasing the post-annealing temperature, which yielded a more active surface area and prohibited the recombination of photogenerated electrons and holes. The photocatalytic activity of the NiO{sub x}/TiO{sub x} system with such a textured surface therefore was enhanced and optimized through an adequate post-annealing process.

  6. Performance Improvement of Microcrystalline p-SiC/i-Si/n-Si Thin Film Solar Cells by Using Laser-Assisted Plasma Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Hsin-Ying Lee

    2014-01-01

    Full Text Available The microcrystalline p-SiC/i-Si/n-Si thin film solar cells treated with hydrogen plasma were fabricated at low temperature using a CO2 laser-assisted plasma enhanced chemical vapor deposition (LAPECVD system. According to the micro-Raman results, the i-Si films shifted from 482 cm−1 to 512 cm−1 as the assisting laser power increased from 0 W to 80 W, which indicated a gradual transformation from amorphous to crystalline Si. From X-ray diffraction (XRD results, the microcrystalline i-Si films with (111, (220, and (311 diffraction were obtained. Compared with the Si-based thin film solar cells deposited without laser assistance, the short-circuit current density and the power conversion efficiency of the solar cells with assisting laser power of 80 W were improved from 14.38 mA/cm2 to 18.16 mA/cm2 and from 6.89% to 8.58%, respectively.

  7. Methods for Enhancing Geological Structures in Spectral Spatial Difference-Based on Remote-Sensing Image

    Institute of Scientific and Technical Information of China (English)

    2000-01-01

    @@In this paper, some image processing methods such as directional template (mask) matching enhancement, pseudocolor or false color enhancement, K-L transform enhancement are used to enhance a geological structure, one of important ore-controlling factors, shown in the remote-sensing images.This geological structure is regarded as image anomaly in the remote-sensing image, since considerable differences, based on the spatial spectral distribution pattern, in gray values (spectral), color tones and texture, are always present between the geological structure and background. Therefore,the enhancement of the geological structure in the remotesensing image is that of the spectral spatial difference.

  8. Diagnostics of N{sub 2}-Ar plasma mixture excited in a 13.56 MHz hollow cathode discharge system: application to remote plasma treatment of polyamide surface

    Energy Technology Data Exchange (ETDEWEB)

    Saloum, S; Naddaf, M; Alkhaled, B [Atomic Energy Commission of Syria (AECS), Physics Department, PO Box 6091, Damascus (Syrian Arab Republic)], E-mail: scientific@aec.org.sy

    2008-02-21

    N{sub 2}-x% Ar plasma gas mixture, generated in a hollow cathode RF discharge system, has been characterized by both optical emission spectroscopy (OES) and double Langmuir probe, as a function of experimental parameters: total pressure (5-33 Pa), and different fractions of argon (7 {<=} x {<=} 80), at a constant applied RF power of 300 W. N{sub 2} dissociation degree has been investigated qualitatively by both the actinometry method and the ratio I{sub N}/I{sub N{sub 2}} of the atomic nitrogen line emission intensity at 672.3 nm to the vibrational band (0-0) of the N{sub 2} second positive system at 337.1 nm. Both methods showed that the increase in argon fraction enhances the dissociation of N{sub 2}, with a maximum at x = 50 for the pressure of 5 Pa, although the two methods give two opposite trends as a function of total pressure. Spectroscopic measurements showed that the vibrational temperature of the N{sub 2} second positive system increases with both argon fraction and total pressure increase, it lies between 4900 and 12 300 K. Langmuir probe measurements showed that, in the remote zone, the electron temperature falls in the range 1.57-1.75 eV, the N{sub 2}{sup +} density varies between 5 x 10{sup 9} and 1.4 x 10{sup 10} cm{sup -3} and that both the plasma ionization degree and electron temperature increase towards the source. In addition, the process of plasma-polyamide (PA) surface interaction, in the remote plasma zone, has been studied through OES analysis during plasma treatment of PA to monitor the possible emissions due to the polymer etching. An increase in atomic nitrogen line (672.3 nm) intensity is obtained, atomic carbon line (833.52 nm) and the band emission (0-0) from the CN (B {sup 2}{sigma}{sup +}-X {sup 2}{sigma}{sup +}) violet system were observed. The PA surface modification has been confirmed through the improvement of its hydrophilic character as the water contact angle measured after the plasma treatment significantly decreased.

  9. Atmospheric Pressure Plasma Induced Sterilization and Chemical Neutralization

    Science.gov (United States)

    Garate, Eusebio; Evans, Kirk; Gornostaeva, Olga; Alexeff, Igor; Lock Kang, Weng; Wood, Thomas K.

    1998-11-01

    We are studying chemical neutralization and surface decontamination using atmospheric pressure plasma discharges. The plasma is produced by corona discharge from an array of pins and a ground plane. The array is constructed so that various gases, like argon or helium, can be flowed past the pins where the discharge is initiated. The pin array can be biased using either DC, AC or pulsed discharges. Results indicate that the atmospheric plasma is effective in sterilizing surfaces with biological contaminants like E-coli and bacillus subtilus cells. Exposure times of less than four minutes in an air plasma result in a decrease in live colony counts by six orders of magnitude. Greater exposure times result in a decrease of live colony counts of up to ten orders of magnitude. The atmospheric pressure discharge is also effective in decomposing organic phosphate compounds that are simulants for chemical warfare agents. Details of the decomposition chemistry, by-product formation, and electrical energy consumption of the system will be discussed.

  10. Effect of growth interruptions on TiO{sub 2} films deposited by plasma enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Li, D., E-mail: dyli@yzu.edu.cn [College of Mechanical Engineering, Yangzhou University, Yangzhou, 225127 (China); Goullet, A. [Institut des Matériaux Jean Rouxel (IMN), UMR CNRS 6502, 2 rue de la Houssinière, 44322, Nantes (France); Carette, M. [Institut d’Electronique, de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, Avenue Poincaré, 59652, Villeneuve d' Ascq (France); Granier, A. [Institut des Matériaux Jean Rouxel (IMN), UMR CNRS 6502, 2 rue de la Houssinière, 44322, Nantes (France); Landesman, J.P. [Institut de Physique de Rennes, UMR CNRS 6251, 263 av. Général Leclerc, 35042, Rennes (France)

    2016-10-01

    TiO{sub 2} films of ∼300 nm were deposited at low temperature (<140 °C) and pressure (0.4 Pa) using plasma enhanced chemical vapour deposition at the floating potential (V{sub f}) or the substrate self-bias voltage (V{sub b}) of −50 V. The impact of growth interruptions on the morphology, microstructure and optical properties of the films was investigated. The interruptions were carried out by stopping the plasma generation and gas injection once the increase of the layer thickness during each deposition step was about ∼100 nm. In one case of V{sub f}, the films of ∼300 nm exhibit a columnar morphology consisting of a bottom dense layer, an intermediate gradient layer and a top roughness layer. But the growth interruptions result in an increase of the dense layer thickness and a decrease of surface roughness. The film inhomogeneity has been identified by the in-situ real-time evolution of the kinetic ellipsometry (KE) parameters and the modeling process of spectroscopic ellipsometry (SE). The discrepancy of the refractive index measured by SE between bottom and upper layers can be reduced by growth interruptions. In the other case of V{sub b} = −50 V, the films exhibit a more compact arrangement which is homogeneous along the growth direction as confirmed by KE and SE. Both of Fourier transform infrared spectra and X-ray diffraction illustrate a phase transformation from anatase to rutile with the bias of −50 V, and also evidenced on the evolution of the refractive index dispersion curves. And a greatly increase of the refractive indice in the transparent range can be identified. However, the growth interruptions seem to have no influence on the morphology and optical properties in this case. - Highlights: • TiO{sub 2} films deposited by plasma processes at low temperature and pressure. • Influence of growth interruptions on structural and optical properties. • In-situ real-time ellipsometry measurements on film properties. • Structural and

  11. Controlled growth of carbon nanofibers using plasma enhanced chemical vapor deposition: Effect of catalyst thickness and gas ratio

    International Nuclear Information System (INIS)

    Saidin, M.A.R.; Ismail, A.F.; Sanip, S.M.; Goh, P.S.; Aziz, M.; Tanemura, M.

    2012-01-01

    The characteristics of carbon nanofibers (CNFs) grown, using direct current plasma enhanced chemical vapor deposition system reactor under various acetylene to ammonia gas ratios and different catalyst thicknesses were studied. Nickel/Chromium-glass (Ni/Cr-glass) thin film catalyst was employed for the growth of CNF. The grown CNFs were then characterized using Raman spectroscopy, field emission scanning electron microscopy and transmission electron microscopy (TEM). Raman spectroscopy showed that the Ni/Cr-glass with thickness of 15 nm and gas ratio acetylene to ammonia of 1:3 produced CNFs with the lowest I D /I G value (the relative intensity of D-band to G-band). This indicated that this catalyst thickness and gas ratio value is the optimum combination for the synthesis of CNFs under the conditions studied. TEM observation pointed out that the CNFs produced have 104 concentric walls and the residual catalyst particles were located inside the tubes of CNFs. It was also observed that structural morphology of the grown CNFs was influenced by acetylene to ammonia gas ratio and catalyst thickness.

  12. Controlled growth of carbon nanofibers using plasma enhanced chemical vapor deposition: Effect of catalyst thickness and gas ratio

    Energy Technology Data Exchange (ETDEWEB)

    Saidin, M.A.R. [Advanced Membrane Technology Research Centre (AMTEC), Universiti Teknologi Malaysia, 81310 Skudai, Johor Bahru (Malaysia); Ismail, A.F., E-mail: afauzi@utm.my [Advanced Membrane Technology Research Centre (AMTEC), Universiti Teknologi Malaysia, 81310 Skudai, Johor Bahru (Malaysia); Sanip, S.M.; Goh, P.S.; Aziz, M. [Advanced Membrane Technology Research Centre (AMTEC), Universiti Teknologi Malaysia, 81310 Skudai, Johor Bahru (Malaysia); Tanemura, M. [Department of Frontier Material, Graduate School of Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan)

    2012-01-31

    The characteristics of carbon nanofibers (CNFs) grown, using direct current plasma enhanced chemical vapor deposition system reactor under various acetylene to ammonia gas ratios and different catalyst thicknesses were studied. Nickel/Chromium-glass (Ni/Cr-glass) thin film catalyst was employed for the growth of CNF. The grown CNFs were then characterized using Raman spectroscopy, field emission scanning electron microscopy and transmission electron microscopy (TEM). Raman spectroscopy showed that the Ni/Cr-glass with thickness of 15 nm and gas ratio acetylene to ammonia of 1:3 produced CNFs with the lowest I{sub D}/I{sub G} value (the relative intensity of D-band to G-band). This indicated that this catalyst thickness and gas ratio value is the optimum combination for the synthesis of CNFs under the conditions studied. TEM observation pointed out that the CNFs produced have 104 concentric walls and the residual catalyst particles were located inside the tubes of CNFs. It was also observed that structural morphology of the grown CNFs was influenced by acetylene to ammonia gas ratio and catalyst thickness.

  13. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Oliveira, M. H.; Viana, G. A.; de Lima, M. M.; Cros, A.; Cantarero, A.; Marques, F. C.

    2010-12-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH4) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  14. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Oliveira, M. H. Jr.; Viana, G. A.; Marques, F. C.; Lima, M. M. Jr. de; Cros, A.; Cantarero, A.

    2010-01-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH 4 ) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  15. Remote plasma sputtering of indium tin oxide thin films for large area flexible electronics

    International Nuclear Information System (INIS)

    Yeadon, A.D.; Wakeham, S.J.; Brown, H.L.; Thwaites, M.J.; Whiting, M.J.; Baker, M.A.

    2011-01-01

    Indium tin oxide (ITO) thin films with a specific resistivity of 3.5 × 10 −4 Ω cm and average visible light transmission (VLT) of 90% have been reactively sputtered onto A4 Polyethylene terephthalate (PET), glass and silicon substrates using a remote plasma sputtering system. This system offers independent control of the plasma density and the target power enabling the effect of the plasma on ITO properties to be studied. Characterization of ITO on glass and silicon has shown that increasing the plasma density gives rise to a decrease in the specific resistivity and an increase in the optical band gap of the ITO films. Samples deposited at plasma powers of 1.5 kW, 2.0 kW and 2.5 kW and optimized oxygen flow rates exhibited specific resistivity values of 3.8 × 10 −4 Ω cm, 3.7 × 10 −4 Ω cm and 3.5 × 10 −4 Ω cm and optical gaps of 3.48 eV, 3.51 eV and 3.78 eV respectively. The increase in plasma density also influenced the crystalline texture and the VLT increased from 70 to 95%, indicating that more oxygen is being incorporated into the growing film. It has been shown that the remote plasma sputter technique can be used in an in-line process to produce uniform ITO coatings on PET with specific resistivities of between 3.5 × 10 −4 and 4.5 × 10 −4 Ω cm and optical transmission of greater than 85% over substrate widths of up to 30 cm.

  16. The structure and growth mechanism of Si nanoneedles prepared by plasma-enhanced chemical vapor deposition

    Czech Academy of Sciences Publication Activity Database

    Červenka, Jiří; Ledinský, Martin; Stuchlík, Jiří; Stuchlíková, The-Ha; Bakardjieva, Snejana; Hruška, Karel; Fejfar, Antonín; Kočka, Jan

    2010-01-01

    Roč. 21, č. 41 (2010), 415604/1-415604/7 ISSN 0957-4484 R&D Projects: GA MŠk(CZ) LC06040; GA AV ČR KAN400100701; GA MŠk LC510 EU Projects: European Commission(XE) 240826 - PolySiMode Institutional research plan: CEZ:AV0Z10100521; CEZ:AV0Z40320502 Keywords : nanoneedles * nanowires * silicon * plasma * chemical vapor deposition * crystal structure * growth * phonon * SEM * Raman Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.644, year: 2010

  17. Titanium nitride plasma-chemical synthesis with titanium tetrachloride raw material in the DC plasma-arc reactor

    Science.gov (United States)

    Kirpichev, D. E.; Sinaiskiy, M. A.; Samokhin, A. V.; Alexeev, N. V.

    2017-04-01

    The possibility of plasmochemical synthesis of titanium nitride is demonstrated in the paper. Results of the thermodynamic analysis of TiCl4 - H2 - N2 system are presented; key parameters of TiN synthesis process are calculated. The influence of parameters of plasma-chemical titanium nitride synthesis process in the reactor with an arc plasmatron on characteristics on the produced powders is experimentally investigated. Structure, chemical composition and morphology dependencies on plasma jet enthalpy, stoichiometric excess of hydrogen and nitrogen in a plasma jet are determined.

  18. Effects of Pretreatment on the Electronic Properties of Plasma Enhanced Chemical Vapor Deposition Hetero-Epitaxial Graphene Devices

    Science.gov (United States)

    Zhang, Lian-Chang; Shi, Zhi-Wen; Yang, Rong; Huang, Jian

    2014-09-01

    Quasi-monolayer graphene is successfully grown by the plasma enhanced chemical vapor deposition heteroepitaxial method we reported previously. To measure its electrical properties, the prepared graphene is fabricated into Hall ball shaped devices by the routine micro-fabrication method. However, impurity molecules adsorbed onto the graphene surface will impose considerable doping effects on the one-atom-thick film material. Our experiment demonstrates that pretreatment of the device by heat radiation baking and electrical annealing can dramatically influence the doping state of the graphene and consequently modify the electrical properties. While graphene in the as-fabricated device is highly p-doped, as confirmed by the position of the Dirac point at far more than +60 V, baking treatment at temperatures around 180°C can significantly lower the doping level and reduce the conductivity. The following electrical annealing is much more efficient to desorb the extrinsic molecules, as confirmed by the in situ measurement, and as a result, further modify the doping state and electrical properties of the graphene, causing a considerable drop of the conductivity and a shifting of Dirac point from beyond +60 V to 0 V.

  19. Adhesion enhancement of Al coatings on carbon/epoxy composite surfaces by atmospheric plasma

    International Nuclear Information System (INIS)

    Coulon, J.F.; Tournerie, N.; Maillard, H.

    2013-01-01

    Adhesion strengths between aluminium thin film coatings and manufactured carbon/epoxy composite surfaces were measured by assessing fracture tensile strengths using pull-off tests. The effect of the substrate roughness (nm to μm) of these composite surfaces on adhesion was studied by examining the surface free energies and adhesion strengths. The adhesion strengths of the coatings varied significantly. To improve the coating adhesion, each composite surface was treated with atmospheric plasma prior to deposition, which resulted in an increase in the surface free energy from approximately 40 mJ/m 2 to 70 mJ/m 2 because the plasma pretreatment led to the formation of hydrophilic C-O and C=O bonds on the composite surfaces, as demonstrated by X-ray photoelectron spectroscopy analyses. The adhesion strengths of the coatings were enhanced for all surface roughnesses studied. In our study, the effect of mechanical adhesion due to roughness was separated from the effect of modifying the chemical bonds with plasma activation. The adhesion ability of the pure resin was relatively weak. Increasing the surface roughness largely improved the adhesion of the resin surface. Plasma treatment of the pure resin also increased the surface adhesion. Our study shows that plasma activation effectively enhances the adhesion of manufactured composites, even when the surface roughness is on the order of microns. The ageing of the surface activation was also investigated, and the results demonstrate that atmospheric plasma has potential for use in the pretreatment of composite materials.

  20. Comparative enhancing effects of electret with chemical enhancers on transdermal delivery of meloxicam in vitro

    International Nuclear Information System (INIS)

    Cui, L L; Hou, X M; Li, G D; Jiang, J; Liang, Y Y; Xin, X

    2008-01-01

    Electret offers enhancing effect in transdermal drug delivery for altering of the arrangement of lipid molecules in the stratum corneum, forming many transient permeable apertures and enhancing the transdermal drug delivery. In this paper, meloxicam patch formulations were developed to make the comparative study of transdermal drug delivery between electret and chemical enhancers. Patches were made into control, electret, chemical enhancer and electret with chemical enhancer ones, according to the preparation procedure. The electret combined with chemical enhancer patch was designed to probe the incorporation between electret and chemical enhancer in transdermal drug delivery. The meloxicam release from the patch was found to increase in order of blank, chemical enhancer, electret and electret with chemical enhancer patch, in general.

  1. Lipon thin films grown by plasma-enhanced metalorganic chemical vapor deposition in a N{sub 2}-H{sub 2}-Ar gas mixture

    Energy Technology Data Exchange (ETDEWEB)

    Meda, Lamartine, E-mail: LMeda@xula.edu [Department of Chemistry, Xavier University of Louisiana, 1 Drexel Drive, New Orleans, LA, 70125 (United States); Maxie, Eleston E. [Excellatron Solid State LLC, 263 Decatur Street, Atlanta, GA 30312 (United States)

    2012-01-01

    Lithium phosphorus oxynitride (Lipon) thin films have been deposited by a plasma-enhanced metalorganic chemical vapor deposition method. Lipon thin films were deposited on approximately 0.2 {mu}m thick Au-coated alumina substrates in a N{sub 2}-H{sub 2}-Ar plasma at 13.56 MHz, a power of 150 W, and at 180 Degree-Sign C using triethyl phosphate [(CH{sub 2}CH{sub 3}){sub 3}PO{sub 4}] and lithium tert-butoxide [(LiOC(CH{sub 3}){sub 3}] precursors. Lipon growth rates ranged from 10 to 42 nm/min and thicknesses varied from 1 to 2.5 {mu}m. X-ray powder diffraction showed that the films were amorphous, and X-ray photoelectron spectroscopy (XPS) revealed approximately 4 at.% N in the films. The ionic conductivity of Lipon was measured by electrochemical impedance spectroscopy to be approximately 1.02 {mu}S/cm, which is consistent with the ionic conductivity of Lipon deposited by radio frequency magnetron sputtering of Li{sub 3}PO{sub 4} targets in either mixed Ar-N{sub 2} or pure N{sub 2} atmosphere. Attempts to deposit Lipon in a N{sub 2}-O{sub 2}-Ar plasma resulted in the growth of Li{sub 3}PO{sub 4} thin films. The XPS analysis shows no C and N atom peaks. Due to the high impedance of these films, reliable conductivity measurements could not be obtained for films grown in N{sub 2}-O{sub 2}-Ar plasma.

  2. A fast combinatorial enhancement technique for earthquake damage identification based on remote sensing image

    Science.gov (United States)

    Dou, Aixia; Wang, Xiaoqing; Ding, Xiang; Du, Zecheng

    2010-11-01

    On the basis of the study on the enhancement methods of remote sensing images obtained after several earthquakes, the paper designed a new and optimized image enhancement model which was implemented by combining different single methods. The patterns of elementary model units and combined types of model were defined. Based on the enhancement model database, the algorithm of combinatorial model was brought out via C++ programming. The combined model was tested by processing the aerial remote sensing images obtained after 1976 Tangshan earthquake. It was proved that the definition and implementation of combined enhancement model can efficiently improve the ability and flexibility of image enhancement algorithm.

  3. Chemical Penetration Enhancers for Transdermal Drug Delivery ...

    African Journals Online (AJOL)

    for transdermal administration. The permeation of drug through skin can be enhanced by both chemical penetration enhancement and physical methods. In this review, we have discussed the chemical penetration enhancement technology for transdermal drug delivery as well as the probable mechanisms of action.

  4. Wide-band coherent receiver development for enhanced surveillance

    International Nuclear Information System (INIS)

    Simpson, M.L.; Richards, R.K.; Hutchinson, D.P.

    1998-03-01

    Oak Ridge National Laboratory (ORNL) has been developing advanced coherent IR heterodyne receivers for plasma diagnostics in fusion reactors for over 20 years. Recent progress in wide band IR detectors and high speed electronics has significantly enhanced the measurement capabilities of coherent receivers. In addition, developments in new HgCdTe and quantum well IR photodetector (QWIP) focal plane arrays are providing the possibility of both active and passive coherent imaging. In this paper the authors discuss the implications of these new enabling technologies to the IR remote sensing community for enhanced surveillance. Coherent receivers, as opposed to direct or thermal detection, provide multiple dimensions of information about a scene or target in a single detector system. Combinations of range, velocity, temperature, and chemical species information are all available from a coherent heterodyne receiver. They present laboratory data showing measured noise equivalent power (NEP) of new QWIP detectors with heterodyne bandwidths greater than 7 GHz. For absorption measurements, a wide band coherent receiver provides the capability of looking between CO 2 lines at off-resonance peaks and thus the measurement of lines normally inaccessible with conventional heterodyne or direct detection systems. Also described are differential absorption lidar (DIAL) and Doppler laboratory measurements using an 8 x 8 HgCdTe focal plane array demonstrating the snapshot capability of coherent receiver detector arrays for enhanced chemical plume and moving hardbody capture. Finally they discuss a variety of coherent receiver configurations that can suppress (or enhance) sensitivity of present active remote sensing systems to speckle, glint, and other measurement anomalies

  5. The Chemical Potential of Plasma Membrane Cholesterol: Implications for Cell Biology.

    Science.gov (United States)

    Ayuyan, Artem G; Cohen, Fredric S

    2018-02-27

    Cholesterol is abundant in plasma membranes and exhibits a variety of interactions throughout the membrane. Chemical potential accounts for thermodynamic consequences of molecular interactions, and quantifies the effective concentration (i.e., activity) of any substance participating in a process. We have developed, to our knowledge, the first method to measure cholesterol chemical potential in plasma membranes. This was accomplished by complexing methyl-β-cyclodextrin with cholesterol in an aqueous solution and equilibrating it with an organic solvent containing dissolved cholesterol. The chemical potential of cholesterol was thereby equalized in the two phases. Because cholesterol is dilute in the organic phase, here activity and concentration were equivalent. This equivalence allowed the amount of cholesterol bound to methyl-β-cyclodextrin to be converted to cholesterol chemical potential. Our method was used to determine the chemical potential of cholesterol in erythrocytes and in plasma membranes of nucleated cells in culture. For erythrocytes, the chemical potential did not vary when the concentration was below a critical value. Above this value, the chemical potential progressively increased with concentration. We used standard cancer lines to characterize cholesterol chemical potential in plasma membranes of nucleated cells. This chemical potential was significantly greater for highly metastatic breast cancer cells than for nonmetastatic breast cancer cells. Chemical potential depended on density of the cancer cells. A method to alter and fix the cholesterol chemical potential to any value (i.e., a cholesterol chemical potential clamp) was also developed. Cholesterol content did not change when cells were clamped for 24-48 h. It was found that the level of activation of the transcription factor STAT3 increased with increasing cholesterol chemical potential. The cholesterol chemical potential may regulate signaling pathways. Copyright © 2018. Published by

  6. Interactive computer enhanced remote viewing system

    International Nuclear Information System (INIS)

    Smith, D.A.; Tourtellott, J.A.

    1994-01-01

    The Interactive, Computer Enhanced, Remote Viewing System (ICERVSA) is a volumetric data system designed to help the Department of Energy (DOE) improve remote operations in hazardous sites by providing reliable and accurate maps of task spaces where robots will clean up nuclear wastes. The ICERVS mission is to acquire, store, integrate and manage all the sensor data for a site and to provide the necessary tools to facilitate its visualization and interpretation. Empirical sensor data enters through the Common Interface for Sensors and after initial processing, is stored in the Volumetric Database. The data can be analyzed and displayed via a Graphic User Interface with a variety of visualization tools. Other tools permit the construction of geometric objects, such as wire frame models, to represent objects which the operator may recognize in the live TV image. A computer image can be generated that matches the viewpoint of the live TV camera at the remote site, facilitating access to site data. Lastly, the data can be gathered, processed, and transmitted in acceptable form to a robotic controller. Descriptions are given of all these components. The final phase of the ICERVS project, which has just begun, will produce a full scale system and demonstrate it at a DOE site to be selected. A task added to this Phase will adapt the ICERVS to meet the needs of the Dismantlement and Decommissioning (D and D) work at the Oak Ridge National Laboratory (ORNL)

  7. Enhancement of plasma generation in catalyst pores with different shapes

    Science.gov (United States)

    Zhang, Yu-Ru; Neyts, Erik C.; Bogaerts, Annemie

    2018-05-01

    Plasma generation inside catalyst pores is of utmost importance for plasma catalysis, as the existence of plasma species inside the pores affects the active surface area of the catalyst available to the plasma species for catalytic reactions. In this paper, the electric field enhancement, and thus the plasma production inside catalyst pores with different pore shapes is studied with a two-dimensional fluid model. The results indicate that the electric field will be significantly enhanced near tip-like structures. In a conical pore with small opening, the strongest electric field appears at the opening and bottom corners of the pore, giving rise to a prominent ionization rate throughout the pore. For a cylindrical pore, the electric field is only enhanced at the bottom corners of the pore, with lower absolute value, and thus the ionization rate inside the pore is only slightly enhanced. Finally, in a conical pore with large opening, the electric field is characterized by a maximum at the bottom of the pore, yielding a similar behavior for the ionization rate. These results demonstrate that the shape of the pore has a significantly influence on the electric field enhancement, and thus modifies the plasma properties.

  8. Surface-enhanced Raman fiberoptic sensors for remote monitoring

    Energy Technology Data Exchange (ETDEWEB)

    Stokes, D.L.; Alarie, J.P.; Vo-Dinh, T. [Oak Ridge National Lab., TN (United States). Health Sciences Research Div.

    1995-09-01

    A new sensor design for remote surface-enhanced Raman scattering (SERS) measurements has been developed for environmental applications. The design features the modification of an optical fiber using layers of alumina microparticles and silver coatings for inducing the SERS effect at the sensing probe. A single fiber carries both the laser excitation and the SERS signal radiation, keeping optical parameters at the remote tip simple and consistent. The small tip size achievable with this configuration also demonstrates potential of this new design as a microsensor for in-situ measurement in microenvironments. Details of sensor tip fabrication and optical system design are described. SERS spectra of aqueous environmental samples acquired in-situ using the SERS sensor are also presented to illustrate the effectiveness of the SERS sensor.

  9. Fundamental aspects of plasma chemical physics transport

    CERN Document Server

    Capitelli, Mario; Laricchiuta, Annarita

    2013-01-01

    Fundamental Aspects of Plasma Chemical Physics: Tranpsort develops basic and advanced concepts of plasma transport to the modern treatment of the Chapman-Enskog method for the solution of the Boltzmann transport equation. The book invites the reader to consider actual problems of the transport of thermal plasmas with particular attention to the derivation of diffusion- and viscosity-type transport cross sections, stressing the role of resonant charge-exchange processes in affecting the diffusion-type collision calculation of viscosity-type collision integrals. A wide range of topics is then discussed including (1) the effect of non-equilibrium vibrational distributions on the transport of vibrational energy, (2) the role of electronically excited states in the transport properties of thermal plasmas, (3) the dependence of transport properties on the multitude of Saha equations for multi-temperature plasmas, and (4) the effect of the magnetic field on transport properties. Throughout the book, worked examples ...

  10. Remote detection of radioactive material using high-power pulsed electromagnetic radiation.

    Science.gov (United States)

    Kim, Dongsung; Yu, Dongho; Sawant, Ashwini; Choe, Mun Seok; Lee, Ingeun; Kim, Sung Gug; Choi, EunMi

    2017-05-09

    Remote detection of radioactive materials is impossible when the measurement location is far from the radioactive source such that the leakage of high-energy photons or electrons from the source cannot be measured. Current technologies are less effective in this respect because they only allow the detection at distances to which the high-energy photons or electrons can reach the detector. Here we demonstrate an experimental method for remote detection of radioactive materials by inducing plasma breakdown with the high-power pulsed electromagnetic waves. Measurements of the plasma formation time and its dispersion lead to enhanced detection sensitivity compared to the theoretically predicted one based only on the plasma on and off phenomena. We show that lower power of the incident electromagnetic wave is sufficient for plasma breakdown in atmospheric-pressure air and the elimination of the statistical distribution is possible in the presence of radioactive material.

  11. Influence of Chemical Precleaning on the Plasma Treatment Efficiency of Aluminum by RF Plasma Pencil

    Czech Academy of Sciences Publication Activity Database

    Prysiazhnyi, V.; Slavíček, P.; Mikmeková, Eliška; Klíma, M.

    2016-01-01

    Roč. 18, č. 4 (2016), s. 430-437 ISSN 1009-0630 Institutional support: RVO:68081731 Keywords : atmospheric pressure plasma * plasma jet * aluminium * surface treatment * surface processing * chemical precleaning Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering Impact factor: 0.830, year: 2016

  12. Optical, mechanical and surface properties of amorphous carbonaceous thin films obtained by plasma enhanced chemical vapor deposition and plasma immersion ion implantation and deposition

    Science.gov (United States)

    Turri, Rafael G.; Santos, Ricardo M.; Rangel, Elidiane C.; da Cruz, Nilson C.; Bortoleto, José R. R.; Dias da Silva, José H.; Antonio, César Augusto; Durrant, Steven F.

    2013-09-01

    Diverse amorphous hydrogenated carbon-based films (a-C:H, a-C:H:F, a-C:H:N, a-C:H:Cl and a-C:H:Si:O) were obtained by radiofrequency plasma enhanced chemical vapor deposition (PECVD) and plasma immersion ion implantation and deposition (PIIID). The same precursors were used in the production of each pair of each type of film, such as a-C:H, using both PECVD and PIIID. Optical properties, namely the refractive index, n, absorption coefficient, α, and optical gap, ETauc, of these films were obtained via transmission spectra in the ultraviolet-visible near-infrared range (wavelengths from 300 to 3300 nm). Film hardness, elastic modulus and stiffness were obtained as a function of depth using nano-indentation. Surface energy values were calculated from liquid drop contact angle data. Film roughness and morphology were assessed using atomic force microscopy (AFM). The PIIID films were usually thinner and possessed higher refractive indices than the PECVD films. Determined refractive indices are consistent with literature values for similar types of films. Values of ETauc were increased in the PIIID films compared to the PECVD films. An exception was the a-C:H:Si:O films, for which that obtained by PIIID was thicker and exhibited a decreased ETauc. The mechanical properties - hardness, elastic modulus and stiffness - of films produced by PECVD and PIIID generally present small differences. An interesting effect is the increase in the hardness of a-C:H:Cl films from 1.0 to 3.0 GPa when ion implantation is employed. Surface energy correlates well with surface roughness. The implanted films are usually smoother than those obtained by PECVD.

  13. Optical, mechanical and surface properties of amorphous carbonaceous thin films obtained by plasma enhanced chemical vapor deposition and plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Turri, Rafael G.; Santos, Ricardo M.; Rangel, Elidiane C.; Cruz, Nilson C. da; Bortoleto, José R.R.; Dias da Silva, José H.; Antonio, César Augusto; Durrant, Steven F.

    2013-01-01

    Diverse amorphous hydrogenated carbon-based films (a-C:H, a-C:H:F, a-C:H:N, a-C:H:Cl and a-C:H:Si:O) were obtained by radiofrequency plasma enhanced chemical vapor deposition (PECVD) and plasma immersion ion implantation and deposition (PIIID). The same precursors were used in the production of each pair of each type of film, such as a-C:H, using both PECVD and PIIID. Optical properties, namely the refractive index, n, absorption coefficient, α, and optical gap, E Tauc , of these films were obtained via transmission spectra in the ultraviolet–visible near-infrared range (wavelengths from 300 to 3300 nm). Film hardness, elastic modulus and stiffness were obtained as a function of depth using nano-indentation. Surface energy values were calculated from liquid drop contact angle data. Film roughness and morphology were assessed using atomic force microscopy (AFM). The PIIID films were usually thinner and possessed higher refractive indices than the PECVD films. Determined refractive indices are consistent with literature values for similar types of films. Values of E Tauc were increased in the PIIID films compared to the PECVD films. An exception was the a-C:H:Si:O films, for which that obtained by PIIID was thicker and exhibited a decreased E Tauc . The mechanical properties – hardness, elastic modulus and stiffness – of films produced by PECVD and PIIID generally present small differences. An interesting effect is the increase in the hardness of a-C:H:Cl films from 1.0 to 3.0 GPa when ion implantation is employed. Surface energy correlates well with surface roughness. The implanted films are usually smoother than those obtained by PECVD.

  14. Active screen plasma nitriding enhances cell attachment to polymer surfaces

    International Nuclear Information System (INIS)

    Kaklamani, Georgia; Bowen, James; Mehrban, Nazia; Dong, Hanshan; Grover, Liam M.; Stamboulis, Artemis

    2013-01-01

    Active screen plasma nitriding (ASPN) is a well-established technique used for the surface modification of materials, the result of which is often a product with enhanced functional performance. Here we report the modification of the chemical and mechanical properties of ultra-high molecular weight poly(ethylene) (UHMWPE) using 80:20 (v/v) N 2 /H 2 ASPN, followed by growth of 3T3 fibroblasts on the treated and untreated polymer surfaces. ASPN-treated UHMWPE showed extensive fibroblast attachment within 3 h of seeding, whereas fibroblasts did not successfully attach to untreated UHMWPE. Fibroblast-coated surfaces were maintained for up to 28 days, monitoring their metabolic activity and morphology throughout. The chemical properties of the ASPN-treated UHMWPE surface were studied using X-ray photoelectron spectroscopy, revealing the presence of C-N, C=N, and C≡N chemical bonds. The elastic modulus, surface topography, and adhesion properties of the ASPN-treated UHMWPE surface were studied over 28 days during sample storage under ambient conditions and during immersion in two commonly used cell culture media.

  15. Microwave plasma enhanced chemical vapor deposition growth of few-walled carbon nanotubes using catalyst derived from an iron-containing block copolymer precursor

    International Nuclear Information System (INIS)

    Wang Peng; Lu, Jennifer; Zhou, Otto

    2008-01-01

    The microwave plasma enhanced chemical vapor deposition (MPECVD) method is now commonly used for directional and conformal growth of carbon nanotubes (CNTs) on supporting substrates. One of the shortcomings of the current process is the lack of control of the diameter and diameter distribution of the CNTs due to difficulties in synthesizing well-dispersed catalysts. Recently, block copolymer derived catalysts have been developed which offer the potential of fine control of both the size of and the spacing between the metal clusters. In this paper we report the successful growth of CNTs with narrow diameter distribution using polystyrene-block-polyferrocenylethylmethylsilane (PS-b-PFEMS) as the catalyst precursor. The study shows that higher growth pressure leads to better CNT growth. Besides the pressure, the effects on the growth of CNTs of the growth parameters, such as temperature and precursor gas ratio, are also studied

  16. Epitaxial growth and processing of InP films in a ``novel`` remote plasma-MOCVD apparatus

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, G. [Bari Univ. (Italy). Centro di Studio per la Chimica; Losurdo, M. [Bari Univ. (Italy). Centro di Studio per la Chimica; Capezzuto, P. [Bari Univ. (Italy). Centro di Studio per la Chimica; Capozzi, V. [Bari Univ. (Italy). Ist. di Fisica; Lorusso, F.G. [Bari Univ. (Italy). Ist. di Fisica; Minafra, A. [Bari Univ. (Italy). Ist. di Fisica

    1996-06-01

    A new remote plasma MOCVD apparatus for the treatment and deposition of III-V materials and, specifically, of indium phosphide, has been developed. The plasma source is used to produce hydrogen atoms and to predissociate phosphine for, respectively, the reduction of native oxide on InP substrate surface and the InP deposition. In situ diagnostics by optical emission spectroscopy, mass spectrometry, and spectroscopic ellipsometry are used to fingerprint the gas phase and the growth surface. The plasma cleaning process effectively reduce the InP oxide layer without surface damage. Indium phosphide epilayers deposited from trimethylindium and plasma activated PH{sub 3} show singular photoluminescence spectra with signal intensity higher than that of the best InP film deposited under conventional MOCVD condition (without PH{sub 3} plasma preactivation). (orig.)

  17. Plasma surface interactions in Q-enhanced mirror systems

    International Nuclear Information System (INIS)

    Post, R.F.

    1978-01-01

    Two approaches to enhancement of the Q (energy gain) factor of mirror systems are under study at Livermore. These include the Tandem Mirror and the Field Reversed Mirror. Both of these new ideas preserve features of conventional mirror systems as far as plasma-wall interactions are concerned. Specifically in both approaches field lines exit from the ends of the system and impinge on walls located at a distance from the confinement chamber. It is possible to predict some aspects of the plasma/surface interactions of TM and FRM systems from experience obtained in the Livermore 2XIIB experiment. In particular, as observed in 2XIIB, effective isolation of the plasma from thermal contact with the ends owing to the development of sheath-like regions is to be expected. Studies presently underway directed toward still further enhancing the decoupling of the plasma from the effects of plasma surface interactions at the walls will be discussed, with particular reference to the problem of minimizing the effects of refluxing secondary electrons produced by plasma impact on the end walls

  18. Bio-compatibility, surface and chemical characterization of glow discharge plasma modified ZnO nanocomposite polycarbonate

    Energy Technology Data Exchange (ETDEWEB)

    Bagra, Bhawna, E-mail: bhawnacct@gmail.com; Pimpliskar, Prashant, E-mail: bhawnacct@gmail.com [Centre for Converging Technologies, University of Rajasthan, Jaipur-302004 (India); Agrawal, Narendra Kumar [Department of Physics, Malaviya National Institute of Technology, Jaipur-302004 (India)

    2014-04-24

    Bio compatibility is an important issue for synthesis of biomedical devices, which can be tested by bioadoptability and creations of active site to enhance the bacterial/cell growth in biomedical devices. Hence a systematic study was carried out to characterize the effects of Nitrogen ion plasma for creations of active site in nano composite polymer membrane. Nano particles of ZnO are synthesized by chemical root, using solution casting nano composite polymeric membranes were prepared and treated with Nitrogen ion plasma. These membranes were characterized by different technique such as optical microscopy, SEM- Scanning electron microscope, optical transmittance, Fourier transform infrared spectroscopy. Then biocompatibility for membranes was tested by testing of bio-adoptability of membrane.

  19. Controlled density of vertically aligned carbon nanotubes in a triode plasma chemical vapor deposition system

    International Nuclear Information System (INIS)

    Lim, Sung Hoon; Park, Kyu Chang; Moon, Jong Hyun; Yoon, Hyun Sik; Pribat, Didier; Bonnassieux, Yvan; Jang, Jin

    2006-01-01

    We report on the growth mechanism and density control of vertically aligned carbon nanotubes using a triode plasma enhanced chemical vapor deposition system. The deposition reactor was designed in order to allow the intermediate mesh electrode to be biased independently from the ground and power electrodes. The CNTs grown with a mesh bias of + 300 V show a density of ∼ 1.5 μm -2 and a height of ∼ 5 μm. However, CNTs do not grow when the mesh electrode is biased to - 300 V. The growth of CNTs can be controlled by the mesh electrode bias which in turn controls the plasma density and ion flux on the sample

  20. Chemical analysis of plasma-assisted antimicrobial treatment on cotton

    International Nuclear Information System (INIS)

    Kan, C W; Lam, Y L; Yuen, C W M; Luximon, A; Lau, K W; Chen, K S

    2013-01-01

    This paper explores the use of plasma treatment as a pretreatment process to assist the application of antimicrobial process on cotton fabric with good functional effect. In this paper, antimicrobial finishing agent, Microfresh Liquid Formulation 9200-200 (MF), and a binder (polyurethane dispersion, Microban Liquid Formulation R10800-0, MB) will be used for treating the cotton fabric for improving the antimicrobial property and pre-treatment of cotton fabric by plasma under atmospheric pressure will be employed to improve loading of chemical agents. The chemical analysis of the treated cotton fabric will be conducted by Fourier transform Infrared Spectroscopy.

  1. A remotely interrogatable sensor for chemical monitoring

    Science.gov (United States)

    Stoyanov, P. G.; Doherty, S. A.; Grimes, C. A.; Seitz, W. R.

    1998-01-01

    A new type of continuously operating, in-situ, remotely monitored sensor is presented. The sensor is comprised of a thin film array of magnetostatically coupled, magnetically soft ferromagnetic thin film structures, adhered to or encased within a thin polymer layer. The polymer is made so that it swells or shrinks in response to the chemical analyte of interest, which in this case is pH. As the polymer swells or shrinks, the magnetostatic coupling between the magnetic elements changes, resulting in changes in the magnetic switching characteristics of the sensor. Placed within a sinusoidal magnetic field the magnetization vector of the coupled sensor elements periodically reverses directions, generating magnetic flux that can be remotely detected as a series of voltage spikes in appropriately placed pickup coils. one preliminary sensor design consists of four triangles, initially spaced approximately 50 micrometers apart, arranged to form a 12 mm x 12 mm square with the triangle tips centered at a common origin. Our preliminary work has focused on monitoring of pH using a lightly crosslinked pH sensitive polymer layer of hydroxyethylmethacrylate and 2-(dimethylamino) ethylmethacrylate. As the polymer swells or shrinks the magnetostatic coupling between the triangles changes, resulting in measurable changes in the amplitude of the detected voltage spirits.

  2. Diamond growth on Fe-Cr-Al alloy by H2-plasma enhanced graphite etching

    International Nuclear Information System (INIS)

    Li, Y. S.; Hirose, A.

    2007-01-01

    Without intermediate layer and surface pretreatment, adherent diamond films with high initial nucleation density have been deposited on Fe-15Cr-5Al (wt. %) alloy substrate. The deposition was performed using microwave hydrogen plasma enhanced graphite etching in a wide temperature range from 370 to 740 degree sign C. The high nucleation density and growth rate of diamond are primarily attributed to the unique precursors used (hydrogen plasma etched graphite) and the chemical nature of the substrate. The improvement in diamond adhesion to steel alloys is ascribed to the important role played by Al, mitigation of the catalytic function of iron by suppressing the preferential formation of loose graphite intermediate phase on steel surface

  3. Time-resolved resonance fluorescence spectroscopy for study of chemical reactions in laser-induced plasmas.

    Science.gov (United States)

    Liu, Lei; Deng, Leimin; Fan, Lisha; Huang, Xi; Lu, Yao; Shen, Xiaokang; Jiang, Lan; Silvain, Jean-François; Lu, Yongfeng

    2017-10-30

    Identification of chemical intermediates and study of chemical reaction pathways and mechanisms in laser-induced plasmas are important for laser-ablated applications. Laser-induced breakdown spectroscopy (LIBS), as a promising spectroscopic technique, is efficient for elemental analyses but can only provide limited information about chemical products in laser-induced plasmas. In this work, time-resolved resonance fluorescence spectroscopy was studied as a promising tool for the study of chemical reactions in laser-induced plasmas. Resonance fluorescence excitation of diatomic aluminum monoxide (AlO) and triatomic dialuminum monoxide (Al 2 O) was used to identify these chemical intermediates. Time-resolved fluorescence spectra of AlO and Al 2 O were used to observe the temporal evolution in laser-induced Al plasmas and to study their formation in the Al-O 2 chemistry in air.

  4. Electrochemical properties of N-doped hydrogenated amorphous carbon films fabricated by plasma-enhanced chemical vapor deposition methods

    Energy Technology Data Exchange (ETDEWEB)

    Tanaka, Yoriko; Furuta, Masahiro; Kuriyama, Koichi; Kuwabara, Ryosuke; Katsuki, Yukiko [Division of Environmental Science and Engineering, Graduate School of Science and Engineering, Yamaguchi University, 1677-1 Yoshida, Yamaguchi-shi, Yamaguchi 753-8512 (Japan); Kondo, Takeshi [Department of Pure and Applied Chemistry, Faculty of Science and Technology, Tokyo University of Science, 2641 Yamazaki, Noda 278-8510 (Japan); Fujishima, Akira [Kanagawa Advanced Science and Technology (KAST), 3-2-1, Sakato, Takatsu-ku, Kawasaki-shi, Kanagawa 213-0012 (Japan); Honda, Kensuke, E-mail: khonda@yamaguchi-u.ac.j [Division of Environmental Science and Engineering, Graduate School of Science and Engineering, Yamaguchi University, 1677-1 Yoshida, Yamaguchi-shi, Yamaguchi 753-8512 (Japan)

    2011-01-01

    Nitrogen-doped hydrogenated amorphous carbon thin films (a-C:N:H, N-doped DLC) were synthesized with microwave-assisted plasma-enhanced chemical vapor deposition widely used for DLC coating such as the inner surface of PET bottles. The electrochemical properties of N-doped DLC surfaces that can be useful in the application as an electrochemical sensor were investigated. N-doped DLC was easily fabricated using the vapor of nitrogen contained hydrocarbon as carbon and nitrogen source. A N/C ratio of resulting N-doped DLC films was 0.08 and atomic ratio of sp{sup 3}/sp{sup 2}-bonded carbons was 25/75. The electrical resistivity and optical gap were 0.695 {Omega} cm and 0.38 eV, respectively. N-doped DLC thin film was found to be an ideal polarizable electrode material with physical stability and chemical inertness. The film has a wide working potential range over 3 V, low double-layer capacitance, and high resistance to electrochemically induced corrosion in strong acid media, which were the same level as those for boron-doped diamond (BDD). The charge transfer rates for the inorganic redox species, Fe{sup 2+/3+} and Fe(CN){sub 6}{sup 4-/3-} at N-doped DLC were sufficiently high. The redox reaction of Ce{sup 2+/3+} with standard potential higher than H{sub 2}O/O{sub 2} were observed due to the wider potential window. At N-doped DLC, the change of the kinetics of Fe(CN){sub 6}{sup 3-/4-} by surface oxidation is different from that at BDD. The rate of Fe(CN){sub 6}{sup 3-/4-} was not varied before and after oxidative treatment on N-doped DLC includes sp{sup 2} carbons, which indicates high durability of the electrochemical activity against surface oxidation.

  5. Remote Ischemic Conditioning

    Science.gov (United States)

    Heusch, Gerd; Bøtker, Hans Erik; Przyklenk, Karin; Redington, Andrew; Yellon, Derek

    2014-01-01

    In remote ischemic conditioning (RIC) brief, reversible episodes of ischemia with reperfusion in one vascular bed, tissue or organ confer a global protective phenotype and render remote tissues and organs resistant to ischemia/reperfusion injury. The peripheral stimulus can be chemical, mechanical or electrical and involves activation of peripheral sensory nerves. The signal transfer to the heart or other organs is through neuronal and humoral communications. Protection can be transferred, even across species, with plasma-derived dialysate and involves nitric oxide, stromal derived factor-1α, microRNA-144, but also other, not yet identified factors. Intracardiac signal transduction involves: adenosine, bradykinin, cytokines, and chemokines, which activate specific receptors; intracellular kinases; and mitochondrial function. RIC by repeated brief inflation/deflation of a blood pressure cuff protects against endothelial dysfunction and myocardial injury in percutaneous coronary interventions, coronary artery bypass grafting and reperfused acute myocardial infarction. RIC is safe and effective, noninvasive, easily feasible and inexpensive. PMID:25593060

  6. Applying chemical engineering concepts to non-thermal plasma reactors

    Science.gov (United States)

    Pedro AFFONSO, NOBREGA; Alain, GAUNAND; Vandad, ROHANI; François, CAUNEAU; Laurent, FULCHERI

    2018-06-01

    Process scale-up remains a considerable challenge for environmental applications of non-thermal plasmas. Undersanding the impact of reactor hydrodynamics in the performance of the process is a key step to overcome this challenge. In this work, we apply chemical engineering concepts to analyse the impact that different non-thermal plasma reactor configurations and regimes, such as laminar or plug flow, may have on the reactor performance. We do this in the particular context of the removal of pollutants by non-thermal plasmas, for which a simplified model is available. We generalise this model to different reactor configurations and, under certain hypotheses, we show that a reactor in the laminar regime may have a behaviour significantly different from one in the plug flow regime, often assumed in the non-thermal plasma literature. On the other hand, we show that a packed-bed reactor behaves very similarly to one in the plug flow regime. Beyond those results, the reader will find in this work a quick introduction to chemical reaction engineering concepts.

  7. Enhanced nuclear level decay in hot dense plasmas

    International Nuclear Information System (INIS)

    Gosselin, G.; Morel, P.

    2004-01-01

    A model of nuclear level decay in a plasma environment is described. Nuclear excitation and decay by photon processes, nuclear excitation by electron capture, and decay by internal conversion are taken into account. The electrons in the plasma are described by a relativistic average atom model for the bound electrons and by a relativistic Thomas-Fermi-Dirac model for the free electrons. Nuclear decay of isomeric level may be enhanced through an intermediate level lying above the isomer. An enhanced nuclear decay rate may occur for temperatures far below the excitation energy of the transition to the intermediate level. In most cases, the enhancement factor may reach several decades

  8. Effect of Radio-Frequency and Low-Frequency Bias Voltage on the Formation of Amorphous Carbon Films Deposited by Plasma Enhanced Chemical Vapor Deposition

    International Nuclear Information System (INIS)

    Manis-Levy, Hadar; Mintz, Moshe H.; Livneh, Tsachi; Zukerman Ido; Raveh, Avi

    2014-01-01

    The effect of radio-frequency (RF) or low-frequency (LF) bias voltage on the formation of amorphous hydrogenated carbon (a-C:H) films was studied on silicon substrates with a low methane (CH 4 ) concentration (2–10 vol.%) in CH 4 +Ar mixtures. The bias substrate was applied either by RF (13.56 MHz) or by LF (150 kHz) power supply. The highest hardness values (∼18–22 GPa) with lower hydrogen content in the films (∼20 at.%) deposited at 10 vol.% CH 4 , was achieved by using the RF bias. However, the films deposited using the LF bias, under similar RF plasma generation power and CH 4 concentration (50 W and 10 vol.%, respectively), displayed lower hardness (∼6–12 GPa) with high hydrogen content (∼40 at.%). The structures analyzed by Fourier Transform Infrared (FTIR) and Raman scattering measurements provide an indication of trans-polyacetylene structure formation. However, its excessive formation in the films deposited by the LF bias method is consistent with its higher bonded hydrogen concentration and low level of hardness, as compared to the film prepared by the RF bias method. It was found that the effect of RF bias on the film structure and properties is stronger than the effect of the low-frequency (LF) bias under identical radio-frequency (RF) powered electrode and identical PECVD (plasma enhanced chemical vapor deposition) system configuration. (plasma technology)

  9. Exploring the chemical enhancement for surface-enhanced Raman scattering with Au bowtie nanoantennas

    International Nuclear Information System (INIS)

    Fromm, David P.; Sundaramurthy, Arvind; Kinkhabwala, Anika; Schuck, P. James; Kino, Gordon S.; Moerner, W.E.

    2006-01-01

    Single metallic bowtie nanoantennas provide a controllable environment for surface-enhanced Raman scattering (SERS) of adsorbed molecules. Bowties have experimentally measured electromagnetic enhancements, enabling estimation of chemical enhancement for both the bulk and the few-molecule regime. Strong fluctuations of selected Raman lines imply that a small number of p-mercaptoaniline molecules on a single bowtie show chemical enhancement >10 7 , much larger than previously believed, likely due to charge transfer between the Au surface and the molecule. This chemical sensitivity of SERS has significant implications for ultra-sensitive detection of single molecules

  10. Enhancing Spatial Resolution of Remotely Sensed Imagery Using Deep Learning

    Science.gov (United States)

    Beck, J. M.; Bridges, S.; Collins, C.; Rushing, J.; Graves, S. J.

    2017-12-01

    Researchers at the Information Technology and Systems Center at the University of Alabama in Huntsville are using Deep Learning with Convolutional Neural Networks (CNNs) to develop a method for enhancing the spatial resolutions of moderate resolution (10-60m) multispectral satellite imagery. This enhancement will effectively match the resolutions of imagery from multiple sensors to provide increased global temporal-spatial coverage for a variety of Earth science products. Our research is centered on using Deep Learning for automatically generating transformations for increasing the spatial resolution of remotely sensed images with different spatial, spectral, and temporal resolutions. One of the most important steps in using images from multiple sensors is to transform the different image layers into the same spatial resolution, preferably the highest spatial resolution, without compromising the spectral information. Recent advances in Deep Learning have shown that CNNs can be used to effectively and efficiently upscale or enhance the spatial resolution of multispectral images with the use of an auxiliary data source such as a high spatial resolution panchromatic image. In contrast, we are using both the spatial and spectral details inherent in low spatial resolution multispectral images for image enhancement without the use of a panchromatic image. This presentation will discuss how this technology will benefit many Earth Science applications that use remotely sensed images with moderate spatial resolutions.

  11. The Surface Interface Characteristics of Vertically Aligned Carbon Nanotube and Graphitic Carbon Fiber Arrays Grown by Thermal and Plasma Enhanced Chemical Vapor Deposition

    Science.gov (United States)

    Delzeit, Lance; Nguyen, Cattien; Li, Jun; Han, Jie; Meyyappan, M.

    2002-01-01

    The development of nano-arrays for sensors and devices requires the growth of arrays with the proper characteristics. One such application is the growth of vertically aligned carbon nanotubes (CNTs) and graphitic carbon fibers (GCFs) for the chemical attachment of probe molecules. The effectiveness of such an array is dependent not only upon the effectiveness of the probe and the interface between that probe and the array, but also the array and the underlaying substrate. If that array is a growth of vertically aligned CNTs or GCFs then the attachment of that array to the surface is of the utmost importance. This attachment provides the mechanical stability and durability of the array, as well as, the electrical properties of that array. If the detection is to be acquired through an electrical measurement, then the appropriate resistance between the array and the surface need to be fabricated into the device. I will present data on CNTs and GCFs grown from both thermal and plasma enhanced chemical vapor deposition. The focus will be on the characteristics of the metal film from which the CNTs and GCFs are grown and the changes that occur due to changes within the growth process.

  12. Obtention of selective membranes for water and hydrophobic liquids by plasma enhanced chemical vapor deposition on porous substrates

    International Nuclear Information System (INIS)

    Bankovic, P.; Demarquette, N.R.; Silva, M.L.P. da

    2004-01-01

    In this work, the possibility of obtaining selective membranes for water and hydrophobic liquids by plasma enhanced chemical vapor deposition (PECVD) of hexamethyldisilazane (HMDS) or double layers of HMDS and n-hexane on porous substrates using a capacitive plasma reactor was investigated. The porous substrates used were paper filter, diatomite and polyester textiles. The films were characterized by X-ray photoelectron spectroscopy (XPS), attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR) and contact angle measurements. The membranes obtained were characterized by the Cobb test. Their efficiency to separate hydrocarbon compounds from water was evaluated through filtration experiments and Karl-Fischer titration tests. The reagents used in the filtration experiments were: chloroform, n-hexane, n-heptane, ethyl ether, benzene and diesel. XPS analysis showed that Si, N, C and O were present at the surface of the film. C peak was dominant in the double layer film spectra. C-H n , CH 2 , Si-H, Si-CH 3 , N-H, Si-CH 2 -Si, Si-N-Si and Si-C bonds were identified in both types of the films by ATR-FTIR. The relative intensities of the corresponding peaks in the two spectra were different. The XPS and FTIR results indicated that C was most likely present in a CH n form at the surface of double layer film. The average contact angles formed by drops of water on the film surface ranged from 135 deg. to 155 deg. . Water adsorption measured by Cobb test decreased from average values ranging from 300 to 9000 g m -2 (for nonmodified surfaces) to values ranging from 0 to 20 g m -2 (for treated surfaces). The Karl-Fischer titration indicated that between 90 and 1000 ppm (depending on the reagent used) of water remained in the hydrocarbon compound after filtration

  13. Comparative Study of Furnace and Flash Lamp Annealed Silicon Thin Films Grown by Plasma Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Maheshwar Shrestha

    2018-03-01

    Full Text Available Low-temperature growth of microcrystalline silicon (mc-Si is attractive for many optoelectronic device applications. This paper reports a detailed comparison of optical properties, microstructure, and morphology of amorphous silicon (a-Si thin films crystallized by furnace annealing and flash lamp annealing (FLA at temperatures below the softening point of glass substrate. The initial a-Si films were grown by plasma enhanced chemical vapor deposition (PECVD. Reflectance measurement indicated characteristic peak in the UV region ~280 nm for the furnace annealed (>550 °C and flash lamp annealed films, which provided evidence of crystallization. The film surface roughness increased with increasing the annealing temperature as well as after the flash lamp annealing. X-ray diffraction (XRD measurement indicated that the as-deposited samples were purely amorphous and after furnace crystallization, the crystallites tended to align in one single direction (202 with uniform size that increased with the annealing temperature. On the other hand, the flash lamp crystalized films had randomly oriented crystallites with different sizes. Raman spectroscopy showed the crystalline volume fraction of 23.5%, 47.3%, and 61.3% for the samples annealed at 550 °C, 650 °C, and with flash lamp, respectively. The flash lamp annealed film was better crystallized with rougher surface compared to furnace annealed ones.

  14. Fundamental limits on gas-phase chemical reduction of NOx in a plasma

    Energy Technology Data Exchange (ETDEWEB)

    Penetrante, B.M.; Hsiao, M.C.; Merritt, B.T.; Vogtlin, G.E. [Lawrence Livermore National Lab., CA (United States)

    1997-12-31

    In the plasma, the electrons do not react directly with the NOx molecules. The electrons collide mainly with the background gas molecules like N{sub 2}, O{sub 2} and H{sub 2}O. Electron impact on these molecules result partly in dissociation reactions that produce reactive species like N, O and OH. The NOx in the engine exhaust gas initially consist mostly of NO. The ground state nitrogen atom, N, is the only species that could lead to the chemical reduction of NO to N{sub 2}. The O radical oxidizes NO to NO{sub 2} leaving the same amount of NOx. The OH radical converts NO{sub 2} to nitric acid. Acid products in the plasma can easily get adsorbed on surfaces in the plasma reactor and in the pipes. When undetected, the absence of these oxidation products can often be mistaken for chemical reduction of NOx. In this paper the authors will examine the gas-phase chemical reduction of NOx. They will show that under the best conditions, the plasma can chemically reduce 1.6 grams of NOx per brake-horsepower-hour [g(NOx)/bhp-hr] when 5% of the engine output energy is delivered to the plasma.

  15. Rigid hoist articulated grapple system development for enhanced remote maintenance

    International Nuclear Information System (INIS)

    Witham, C.; White, P.; Garin, J.

    1979-01-01

    Remote maintenance and repair within nuclear environments have become more demanding of remote manipulation equipment in the last few years. A deficiency exists in the array of tools available for dexterous operations of loads in the 180-kg range. The development of a manipulation system with enhanced operator controls is discussed. This system is a six-degree-of-freedom manipulator with bilateral servo control. It is to be attached to a mobile support boom in order to operate throughout the nuclear cell. The manipulator is intended to work in conjunction with light duty servomanipulators, overhead crane systems, and through-the-wall mechanical master slaves

  16. Fabrication of enhancement-mode AlGaN/GaN high electron mobility transistors using double plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Lim, Jong-Won, E-mail: jwlim@etri.re.kr [Photonic/Wireless Convergence Components Dept., IT Materials and Components Lab., Electronics and Telecommunications Research Institute, Daejeon 305-700 (Korea, Republic of); Ahn, Ho-Kyun; Kim, Seong-il; Kang, Dong-Min; Lee, Jong-Min; Min, Byoung-Gue; Lee, Sang-Heung; Yoon, Hyung-Sup; Ju, Chull-Won; Kim, Haecheon; Mun, Jae-Kyoung; Nam, Eun-Soo [Photonic/Wireless Convergence Components Dept., IT Materials and Components Lab., Electronics and Telecommunications Research Institute, Daejeon 305-700 (Korea, Republic of); Park, Hyung-Moo [Photonic/Wireless Convergence Components Dept., IT Materials and Components Lab., Electronics and Telecommunications Research Institute, Daejeon 305-700 (Korea, Republic of); Division of Electronics and Electrical Engineering, Dongguk University, Seoul (Korea, Republic of)

    2013-11-29

    We report the fabrication and DC and microwave characteristics of 0.5 μm AlGaN/GaN high electron mobility transistors using double plasma treatment process. Silicon nitride layers 700 and 150 Å thick were deposited by plasma-enhanced chemical vapor deposition at 260 °C to protect the device and to define the gate footprint. The double plasma process was carried out by two different etching techniques to obtain enhancement-mode AlGaN/GaN high electron mobility transistors with 0.5 μm gate lengths. The enhancement-mode AlGaN/GaN high electron mobility transistor was prepared in parallel to the depletion-mode AlGaN/GaN high electron mobility transistor device on one wafer. Completed double plasma treated 0.5 μm AlGaN/GaN high electron mobility transistor devices fabricated by dry etching exhibited a peak transconductance, gm, of 330 mS/mm, a breakdown voltage of 115 V, a current-gain cutoff frequency (f{sub T}) of 18 GHz, and a maximum oscillation frequency (f{sub max}) of 66 GHz. - Highlights: • The double plasma process was carried out by two different etching techniques. • Double plasma treated device exhibited a transconductance of 330 mS/mm. • Completed 0.5 μm gate device exhibited a current-gain cutoff frequency of 18 GHz. • The off-state breakdown voltage of 115 V for 0.5 μm gate device was obtained. • Continuous-wave output power density of 4.3 W/mm was obtained at 2.4 GHz.

  17. Fabrication of enhancement-mode AlGaN/GaN high electron mobility transistors using double plasma treatment

    International Nuclear Information System (INIS)

    Lim, Jong-Won; Ahn, Ho-Kyun; Kim, Seong-il; Kang, Dong-Min; Lee, Jong-Min; Min, Byoung-Gue; Lee, Sang-Heung; Yoon, Hyung-Sup; Ju, Chull-Won; Kim, Haecheon; Mun, Jae-Kyoung; Nam, Eun-Soo; Park, Hyung-Moo

    2013-01-01

    We report the fabrication and DC and microwave characteristics of 0.5 μm AlGaN/GaN high electron mobility transistors using double plasma treatment process. Silicon nitride layers 700 and 150 Å thick were deposited by plasma-enhanced chemical vapor deposition at 260 °C to protect the device and to define the gate footprint. The double plasma process was carried out by two different etching techniques to obtain enhancement-mode AlGaN/GaN high electron mobility transistors with 0.5 μm gate lengths. The enhancement-mode AlGaN/GaN high electron mobility transistor was prepared in parallel to the depletion-mode AlGaN/GaN high electron mobility transistor device on one wafer. Completed double plasma treated 0.5 μm AlGaN/GaN high electron mobility transistor devices fabricated by dry etching exhibited a peak transconductance, gm, of 330 mS/mm, a breakdown voltage of 115 V, a current-gain cutoff frequency (f T ) of 18 GHz, and a maximum oscillation frequency (f max ) of 66 GHz. - Highlights: • The double plasma process was carried out by two different etching techniques. • Double plasma treated device exhibited a transconductance of 330 mS/mm. • Completed 0.5 μm gate device exhibited a current-gain cutoff frequency of 18 GHz. • The off-state breakdown voltage of 115 V for 0.5 μm gate device was obtained. • Continuous-wave output power density of 4.3 W/mm was obtained at 2.4 GHz

  18. Improvement of Plating Characteristics Between Nickel and PEEK by Plasma Treatment and Chemical Etching

    International Nuclear Information System (INIS)

    Lee, Hye W.; Lee, Jong K.; Park, Ki Y.

    2009-01-01

    Surface of PEEK(poly-ether-ether-ketone) was modified by chemical etching, plasma treatment and mechanical grinding to improve the plating adhesion. The plating characteristics of these samples were studied by the contact angle, plating thickness, gloss and adhesion. Chemical etching and plasma treatment increased wettability, adhesion and gloss. The contact angle of as-received PEEK was 61 .deg. . The contact angles of chemical etched, plasma treated or both were improved to the range of 15∼33 .deg. . In the case of electroless plating, the thickest layer without blister was 1.6 μm. The adhesion strengths by chemical etching, plasma treatment or both chemical etching and plasma treatment were 75 kgf/cm 2 , 102 kgf/cm 2 , 113 kgf/cm 2 , respectively, comparing to the 24 kgf/cm 2 of as-received. In the case of mechanically ground PEEKs, the adhesion strengths were higher than those unground, with the sacrifice of surface gloss. The gloss of untreated PEEK were greater than mechanically ground PEEKs. Plating thickness increased linearly with the plating times

  19. A Self-Calibrating Remote Control Chemical Monitoring System

    Energy Technology Data Exchange (ETDEWEB)

    Jessica Croft

    2007-06-01

    The Susie Mine, part of the Upper Tenmile Mining Area, is located in Rimini, MT about 15 miles southwest of Helena, MT. The Upper Tenmile Creek Mining Area is an EPA Superfund site with 70 abandoned hard rock mines and several residential yards prioritized for clean up. Water from the Susie mine flows into Tenmile Creek from which the city of Helena draws part of its water supply. MSE Technology Applications in Butte, Montana was contracted by the EPA to build a treatment system for the Susie mine effluent and demonstrate a system capable of treating mine waste water in remote locations. The Idaho National Lab was contracted to design, build and demonstrate a low maintenance self-calibrating monitoring system that would monitor multiple sample points, allow remote two-way communications with the control software and allow access to the collected data through a web site. The Automated Chemical Analysis Monitoring (ACAM) system was installed in December 2006. This thesis documents the overall design of the hardware, control software and website, the data collected while MSE-TA’s system was operational, the data collected after MSE-TA’s system was shut down and suggested improvements to the existing system.

  20. Crystalline phase control and growth selectivity of β-MnO{sub 2} thin films by remote plasma assisted pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Abi-Akl, M.; Tabbal, M., E-mail: malek.tabbal@aub.edu.lb; Kassem, W.

    2016-08-01

    In this paper, we exploit the effect of coupling an oxygen remote plasma source to Pulsed Laser Deposition (PLD) for the growth of pure and well crystallized β-MnO{sub 2} films. Films were grown on Si substrates by laser ablation of a MnO target in oxygen ambient and remote plasma. X-Ray Diffraction, Fourier Transform Infra-Red spectroscopy and Raman scattering were used to determine the crystalline structure and bonding in the grown layers, whereas Atomic Force Microscopy was used to study their morphology and surface roughness. Deposition at 500 °C and high oxygen pressure (33.3–66.6 Pa) resulted in the formation of films with roughness of 12 nm consisting of nsutite γ-MnO{sub 2}, a structure characterized by the intergrowth of the pyrolusite β-MnO{sub 2} in a ramsdellite R-MnO{sub 2} matrix. Deposition at the same temperature but low pressure (1.33–3.33 Pa) in oxygen ambient lead to the formation of Mn{sub 2}O{sub 3} whereas plasma activation within the same pressure range induced the growth of single phase highly crystalline β-MnO{sub 2} having smooth surfaces with a roughness value of 0.6 nm. Such results underline the capability of remote plasma assisted PLD in selecting and controlling the crystalline phase of manganese oxide layers. - Highlights: • MnO{sub 2} films were grown by Remote Plasma Assisted Pulsed Laser Deposition. • Crystalline MnO{sub 2} is formed at a substrate temperature of 500 °C. • Smooth crystalline single phase β-MnO{sub 2} films were obtained at 1.33–3.33 Pa. • Deposition at 1.33–3.33 Pa without plasma activation lead to the growth of Mn{sub 2}O{sub 3}. • Without plasma, mixed phases of MnO{sub 2} polymorphs are obtained at 33.3 Pa and above.

  1. High degree reduction and restoration of graphene oxide on SiO2 at low temperature via remote Cu-assisted plasma treatment

    Science.gov (United States)

    Obata, Seiji; Sato, Minoru; Akada, Keishi; Saiki, Koichiro

    2018-06-01

    A high throughput synthesis method of graphene has been required for a long time to apply graphene to industrial applications. Of the various synthesis methods, the chemical exfoliation of graphite via graphene oxide (GO) is advantageous as far as productivity is concerned; however, the quality of the graphene produced by this method is far inferior to that synthesized by other methods, such as chemical vapor deposition on metals. Developing an effective reduction and restoration method for GO on dielectric substrates has been therefore a key issue. Here, we present a method for changing GO deposited on a dielectric substrate into high crystallinity graphene at 550 °C this method uses CH4/H2 plasma and a Cu catalyst. We found that Cu remotely catalyzed the high degree reduction and restoration of GO on SiO2 and the effect ranged over at least 8 mm. With this method, field-effect transistor devices can be fabricated without any post treatment such as a transfer process. This plasma treatment increased electron and hole mobilities of GO to 480 cm2 V‑1 s‑1 and 460 cm2 V‑1 s‑1 respectively; these values were more than 50 times greater than that of conventional reduced GO. Furthermore, the on-site conversion ensured that the shape of the GO sheets remained unchanged after the treatment. This plasma treatment realizes the high throughput synthesis of a desired shaped graphene on any substrate without any residue and damage being caused by the transfer process; as such, it expands the potential applicability of graphene.

  2. Surface-modified polymeric pads for enhanced performance during chemical mechanical planarization

    International Nuclear Information System (INIS)

    Deshpande, S.; Dakshinamurthy, S.; Kuiry, S.C.; Vaidyanathan, R.; Obeng, Y.S.; Seal, S.

    2005-01-01

    The chemical mechanical planarization (CMP) process occurs at an atomic level at the slurry/wafer interface and hence slurries and polishing pads play a critical role in their successful implementation. Polyurethane is a commonly used polymer in the manufacturing of CMP pads. These pads are incompatible with some chemicals present in the CMP slurries, such as hydrogen peroxide. To overcome these problems, Psiloquest has developed new Application Specific Pads (ASP). Surface of such pads has been modified by depositing a thin film of tetraethyl orthosilicate using plasma-enhanced chemical vapor deposition (PECVD) process. In the present study, mechanical properties of such coated pads have been investigated using nanoindentation. The surface morphology and the chemistry of the ASP were studied using scanning electron microcopy, X-ray photoelectron spectroscopy, and Fourier transform infrared spectroscopy techniques. It was observed that mechanical and chemical properties of the pad top surface are a function of the PECVD coating time. Such PECVD-treated pads are found to be hydrophilic and do not require storage in aqueous media during the not-in-use period. The metal removal rate using such surface-modified polishing pads was found to increase linearly with the PECVD coating time

  3. Investigation of RF-enhanced plasma potentials on Alcator C-Mod

    International Nuclear Information System (INIS)

    Ochoukov, R.; Whyte, D.G.; Brunner, D.; Cziegler, I.; LaBombard, B.; Lipschultz, B.; Myra, J.; Terry, J.; Wukitch, S.

    2013-01-01

    Radio frequency (RF) sheath rectification is a leading mechanism suspected of causing anomalously high erosion of plasma facing materials in RF-heated plasmas on Alcator C-Mod. An extensive experimental survey of the plasma potential (Φ P ) in RF-heated discharges on C-Mod reveals that significant Φ P enhancement (>100 V) is found on outboard limiter surfaces, both mapped and not mapped to active RF antennas. Surfaces that magnetically map to active RF antennas show Φ P enhancement that is, in part, consistent with the recently proposed slow wave rectification mechanism. Surfaces that do not map to active RF antennas also experience significant Φ P enhancement, which strongly correlates with the local fast wave intensity. In this case, fast wave rectification is a leading candidate mechanism responsible for the observed enhancement

  4. Ultralow k films by using a plasma-enhanced chemical vapor deposition porogen approach: Study of the precursor reaction mechanisms

    International Nuclear Information System (INIS)

    Castex, A.; Jousseaume, V.; Deval, J.; Bruat, J.; Favennec, L.; Passemard, G.

    2008-01-01

    As interconnects are scaled down, much effort is made to achieve ultralow k material with a dielectric constant lower than 2.5. Thus, many new precursors are investigated in plasma-enhanced chemical vapor deposition. This is particularly true with the porogen approach where two molecules are used: an organosilicon to create the silicon matrix and an organic molecule ''porogen'' that creates material porosity during a post-treatment such as annealing. In this article, the influence of the organosilicon molecular structure is investigated. Two ''matrix precursors'' with different structures are therefore compared. The first one, referred to as D5, has a ring structure (decamethyl pentacyclosiloxane); the second one, referred to as DEOMS, has a star structure (diethoxymethyl silane). The porogen organic molecule, referred to as CHO, is cyclohexen oxide. The fragmentation paths of the precursor molecules in the plasma are investigated by quadrupole mass spectroscopy and the film structure is studied by Fourier transform infrared spectroscopy. The mass spectroscopy analysis shows that the fragmentation in plasma is highest for DEOMS, intermediate for CHO, and lowest for D5 in comparable process conditions. At the maximum plasma power setting, the loss rate, which yields molecule consumption, is 43%-81% for the D5-CHO mixture, respectively, and 73%-37% for the DEOMS-CHO mixture, respectively. This is related to higher bond-dissociation energy for the siloxane (Si-O-Si) link in D5 than silane (Si-H), silylethoxyde (Si-OC 2 H 5 ) in DEOMS, or C-C and epoxy cycle in CHO. Indeed, a higher electron-energy relative threshold for dissociation under electron impact is measured for D5 (around 7 eV) than for DEOMS and CHO (around 4 eV). Moreover, the fragment structures differ from one precursor to another. Methyl groups are abstracted from D5 and a few polysiloxane chains are produced from pentacycle opening and fragmentation. In the case of DEOMS, many single silicon

  5. Adsorbability Enhancement of Macroporous Resin by Dielectric Barrier Discharge Plasma Treatment to Phenol in Water

    Directory of Open Access Journals (Sweden)

    Shoufeng Tang

    2016-01-01

    Full Text Available In order to enhance the adsorption efficiency and economize the use of macroporous resin, we have treated it with the dielectric barrier discharge (DBD plasma to improve its adsorbing capacity for phenol. The effects of operation conditions, for instance, applied voltage, treated time, and air flow rate on resin, were investigated by adsorption kinetics and isotherms. Results showed that the adsorption data were in good agreement with the pseudo-second-order and Freundlich equation. Experimental results showed that the modified resin was 156.5 mg/g and 39.2% higher than the untreated sample, when the modified conditions were conducted for discharge voltage 20 kV, treatment time 45 min, and air flow rate 1.2 L/min. The resin was characterized by FTIR and nitrogen adsorption isotherms before and after the DBD processes. It was found that the reason for the enhancement of resin adsorbability was attributed to the DBD plasma changing the surface physical and chemical structure.

  6. Plasma-enhanced growth, composition, and refractive index of silicon oxy-nitride films

    DEFF Research Database (Denmark)

    Mattsson, Kent Erik

    1995-01-01

    Secondary ion mass spectrometry and refractive index measurements have been carried out on silicon oxy-nitride produced by plasma-enhanced chemical vapor deposition (PECVD). Nitrous oxide and ammonia were added to a constant flow of 2% silane in nitrogen, to produce oxy-nitride films with atomic...... nitrogen concentrations between 2 and 10 at. %. A simple atomic valence model is found to describe both the measured atomic concentrations and published material compositions for silicon oxy-nitride produced by PECVD. A relation between the Si–N bond concentration and the refractive index is found......-product. A model, that combine the chemical net reaction and the stoichiometric rules, is found to agree with measured deposition rates for given material compositions. Effects of annealing in a nitrogen atmosphere has been investigated for the 400 °C– 1100 °C temperature range. It is observed that PECVD oxy...

  7. Gravimetrical and chemical characterization of SiOx structures deposited on fine powders by short plasma exposure in a plasma down stream reactor

    International Nuclear Information System (INIS)

    Spillmann, Adrian; Sonnenfeld, Axel; Rohr, Philipp Rudolf von

    2008-01-01

    The surface of lactose particles was modified by a plasma-enhanced chemical vapor deposition process to improve the flow behavior of the powder. For this, the particulates were treated in a plasma down stream reactor which provides a short (50 ms) and homogeneous exposure to the capacitively coupled RF discharge. The organosilicon monomer hexamethyldisiloxane (HMDSO) was used as a precursor for the formation of SiO x which is deposited on the substrate particle surface. For varying process gas mixtures (O 2 /Ar/HMDSO) and RF power applied, the amount of the deposited material was determined gravimetrically after dissolution of the lactose substrate particles and the chemical composition of the accumulated deposition material was investigated by means of attenuated total reflection Fourier transform infrared (ATR-FTIR) spectroscopy. The concentration of the deposited SiO x relating to the substrate material was found to be in the range of 0.1 wt.%. Based on the ATR-FTIR analysis, the inorganic, i.e. oxidic SiO x fraction of the obtained deposits was shown to be controllable by varying the process parameters, whilst a relatively large amount of organic structures must be considered.

  8. Enhanced resonant second harmonic generation in plasma based on density transition

    Directory of Open Access Journals (Sweden)

    Kant Niti

    2015-06-01

    Full Text Available Resonant second harmonic generation of a relativistic self-focusing laser in plasma with density ramp profile has been investigated. A high intense Gaussian laser beam generates resonant second harmonic beam in plasma with density ramp profile. The second harmonic undergoes periodic focusing in the plasma channel created by the fundamental wave. The normalized second harmonic amplitude varies periodically with distance and attains maximum value in the focal region. Enhancement in the second harmonic amplitude on account of relativistic self-focusing of laser based on plasma density transition is seen. Plasma density ramp plays an important role to make self-focusing stronger which leads to enhance the second harmonic generation in plasma.

  9. Investigation of RF-enhanced plasma potentials on Alcator C-Mod

    Energy Technology Data Exchange (ETDEWEB)

    Ochoukov, R., E-mail: ochoukov@psfc.mit.edu [PSFC MIT, NW17, 175 Albany Street, Cambridge, MA 02139 (United States); Whyte, D.G.; Brunner, D. [PSFC MIT, NW17, 175 Albany Street, Cambridge, MA 02139 (United States); Cziegler, I. [Center for Energy Research, UCSD, 9500 Gilman Drive, La Jolla, CA 92093 (United States); LaBombard, B.; Lipschultz, B. [PSFC MIT, NW17, 175 Albany Street, Cambridge, MA 02139 (United States); Myra, J. [Lodestar Research Corporation, 2400 Central Avenue P-5, Boulder, CO 80301 (United States); Terry, J.; Wukitch, S. [PSFC MIT, NW17, 175 Albany Street, Cambridge, MA 02139 (United States)

    2013-07-15

    Radio frequency (RF) sheath rectification is a leading mechanism suspected of causing anomalously high erosion of plasma facing materials in RF-heated plasmas on Alcator C-Mod. An extensive experimental survey of the plasma potential (Φ{sub P}) in RF-heated discharges on C-Mod reveals that significant Φ{sub P} enhancement (>100 V) is found on outboard limiter surfaces, both mapped and not mapped to active RF antennas. Surfaces that magnetically map to active RF antennas show Φ{sub P} enhancement that is, in part, consistent with the recently proposed slow wave rectification mechanism. Surfaces that do not map to active RF antennas also experience significant Φ{sub P} enhancement, which strongly correlates with the local fast wave intensity. In this case, fast wave rectification is a leading candidate mechanism responsible for the observed enhancement.

  10. Theoretical studies of surface enhanced hyper-Raman spectroscopy: The chemical enhancement mechanism

    Science.gov (United States)

    Valley, Nicholas; Jensen, Lasse; Autschbach, Jochen; Schatz, George C.

    2010-08-01

    Hyper-Raman spectra for pyridine and pyridine on the surface of a tetrahedral 20 silver atom cluster are calculated using static hyperpolarizability derivatives obtained from time dependent density functional theory. The stability of the results with respect to choice of exchange-correlation functional and basis set is verified by comparison with experiment and with Raman spectra calculated for the same systems using the same methods. Calculated Raman spectra were found to match well with experiment and previous theoretical calculations. The calculated normal and surface enhanced hyper-Raman spectra closely match experimental results. The chemical enhancement factors for hyper-Raman are generally larger than for Raman (102-104 versus 101-102). Integrated hyper-Raman chemical enhancement factors are presented for a set of substituted pyridines. A two-state model is developed to predict these chemical enhancement factors and this was found to work well for the majority of the molecules considered, providing a rationalization for the difference between hyper-Raman and Raman enhancement factors.

  11. Enhancement of electron field emission of vertically aligned carbon nanotubes by nitrogen plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Wang, B.B. [College of Chemistry and Chemical Engineering, Chongqing University of Technology, 69 Hongguang Rd, Lijiatuo, Banan District, Chongqing 400054 (China); Plasma Nanoscience Centre Australia (PNCA), CSIRO Materials Science and Engineering, P.O. Box 218, Lindfield, NSW 2070 (Australia); Cheng, Q.J. [Plasma Nanoscience Centre Australia (PNCA), CSIRO Materials Science and Engineering, P.O. Box 218, Lindfield, NSW 2070 (Australia); Plasma Nanoscience, School of Physics, University of Sydney, Sydney, NSW 2006 (Australia); Chen, X. [College of Materials Science and Engineering, Chongqing University, Chongqing 400044 (China); Ostrikov, K., E-mail: kostya.ostrikov@csiro.au [Plasma Nanoscience Centre Australia (PNCA), CSIRO Materials Science and Engineering, P.O. Box 218, Lindfield, NSW 2070 (Australia); Plasma Nanoscience, School of Physics, University of Sydney, Sydney, NSW 2006 (Australia)

    2011-09-22

    Highlights: > A new and custom-designed bias-enhanced hot-filament chemical vapor deposition system is developed to synthesize vertically aligned carbon nanotubes. > The carbon nanotubes are later treated with nitrogen plasmas. > The electron field emission characteristics of the carbon nanotubes are significantly improved after the nitrogen plasma treatment. > A new physical mechanism is proposed to interpret the improvement of the field emission characteristics. - Abstract: The electron field emission (EFE) characteristics from vertically aligned carbon nanotubes (VACNTs) without and with treatment by the nitrogen plasma are investigated. The VACNTs with the plasma treatment showed a significant improvement in the EFE property compared to the untreated VACNTs. The morphological, structural, and compositional properties of the VACNTs are extensively examined by scanning electron microscopy, transmission electron microscopy, Raman spectroscopy, and energy dispersive X-ray spectroscopy. It is shown that the significant EFE improvement of the VACNTs after the nitrogen plasma treatment is closely related to the variation of the morphological and structural properties of the VACNTs. The high current density (299.6 {mu}A/cm{sup 2}) achieved at a low applied field (3.50 V/{mu}m) suggests that the VACNTs after nitrogen plasma treatment can serve as effective electron field emission sources for numerous applications.

  12. Enhancement of electron field emission of vertically aligned carbon nanotubes by nitrogen plasma treatment

    International Nuclear Information System (INIS)

    Wang, B.B.; Cheng, Q.J.; Chen, X.; Ostrikov, K.

    2011-01-01

    Highlights: → A new and custom-designed bias-enhanced hot-filament chemical vapor deposition system is developed to synthesize vertically aligned carbon nanotubes. → The carbon nanotubes are later treated with nitrogen plasmas. → The electron field emission characteristics of the carbon nanotubes are significantly improved after the nitrogen plasma treatment. → A new physical mechanism is proposed to interpret the improvement of the field emission characteristics. - Abstract: The electron field emission (EFE) characteristics from vertically aligned carbon nanotubes (VACNTs) without and with treatment by the nitrogen plasma are investigated. The VACNTs with the plasma treatment showed a significant improvement in the EFE property compared to the untreated VACNTs. The morphological, structural, and compositional properties of the VACNTs are extensively examined by scanning electron microscopy, transmission electron microscopy, Raman spectroscopy, and energy dispersive X-ray spectroscopy. It is shown that the significant EFE improvement of the VACNTs after the nitrogen plasma treatment is closely related to the variation of the morphological and structural properties of the VACNTs. The high current density (299.6 μA/cm 2 ) achieved at a low applied field (3.50 V/μm) suggests that the VACNTs after nitrogen plasma treatment can serve as effective electron field emission sources for numerous applications.

  13. Interactive computer-enhanced remote viewing system

    Energy Technology Data Exchange (ETDEWEB)

    Tourtellott, J.A.; Wagner, J.F. [Mechanical Technology Incorporated, Latham, NY (United States)

    1995-10-01

    Remediation activities such as decontamination and decommissioning (D&D) typically involve materials and activities hazardous to humans. Robots are an attractive way to conduct such remediation, but for efficiency they need a good three-dimensional (3-D) computer model of the task space where they are to function. This model can be created from engineering plans and architectural drawings and from empirical data gathered by various sensors at the site. The model is used to plan robotic tasks and verify that selected paths are clear of obstacles. This report describes the development of an Interactive Computer-Enhanced Remote Viewing System (ICERVS), a software system to provide a reliable geometric description of a robotic task space, and enable robotic remediation to be conducted more effectively and more economically.

  14. On the chemical enhancement in SERS

    Science.gov (United States)

    Jensen, Lasse

    2012-12-01

    In Surface-enhanced Raman scattering (SERS), the Raman signal of a molecule adsorbed on a metal surface is enhanced by many orders of magnitude. This provides a "finger-print" of molecules which can be used in ultrasensitive sensing devises. Here we present a time-dependent density functional theory (TDDFT) study of the molecule-surface chemical coupling in SERS. A systematic study of the chemical enhancement (CHEM) of meta-and para-substituted pyridines interacting with a small silver cluster (Ag20) is presented. We find that the magnitude of chemical enhancement is governed to a large extent by the energy difference between the highest occupied energy level (HOMO) of the metal and the lowest unoccupied energy level (LUMO) of the molecule. A two-state approximation shows that the enhancement scales roughly as (ωX/ω¯e)4, where accent="true">ω¯e is an average excitation energy between the HOMO of the metal and the LUMO of the molecule and wX the HOMO-LUMO gap of the free molecule. Furthermore, we demonstrate that it is possible to control the CHEM enhancement by switching a dithienylethene photoswitch from its closed form to its open form. The open form of the photoswitch is found to be the strongest Raman scatterer when adsorbed on the surface whereas the opposite is found for the free molecule. This trend is explained using the simple two-state approximation.

  15. The Synergistic Effect between Electrical and Chemical Factors in Plasma Gene/Molecule-Transfection

    Science.gov (United States)

    Jinno, Masafumi

    2016-09-01

    This study has been done to know what kind of factors in plasma and processes on cells promote plasma gene/molecule transfection. We have discovered a new plasma source using a microcapillary electrode which enables high transfection efficiency and high cell survivability simultaneously. However, the mechanism of the transfection by plasma was not clear. To clarify the transfection mechanisms by micro plasma, we focused on the effects of electrical (current, charge, field, etc.) and chemical (radicals, RONS, etc.) factors generated by the micro plasma and evaluated the contribution weight of three groups of the effects and processes, i.e. electrical, chemical and biochemical ones. At first, the necessity of the electrical factors was estimated by the laser produced plasma (LPP). Mouse L-929 fibroblast cell was cultured on a 96-well plate or 12-well micro slide chamber. Plasmids pCX-EGFP in Tris-EDTA buffer was dropped on the cells and they were exposed to the capillary discharge plasma (CDP) or the LPP. In the case of the CDP, the plasma was generated between the tip of the capillary electrode and the cells so that both electrical and chemical factors were supplied to the cells. In this setup, about 20% of average transfection efficiency was obtained. In the case of the LPP, the plasma was generated apart from the cells so that electrical factors were not supplied to the cells. In this setup, no transfection was observed. These results show that the electrical factors are necessary for the plasma gene transfection. Next, the necessity of the chemical factors was estimated the effect of catalase to remove H2O2 in CDP. The transfection efficiency decreased to 0.4 by scavenging H2O2 with catalase. However, only the solution of H2O2 caused no gene transfection in cells. These results shows that H2O2 is important species to cause gene/molecule transfection but still needs a synergistic effect with electrical or other chemical factors. This work was partly supported by

  16. Construction and Application of Enhanced Remote Sensing Ecological Index

    Science.gov (United States)

    Wang, X.; Liu, C.; Fu, Q.; Yin, B.

    2018-04-01

    In order to monitor the change of regional ecological environment quality, this paper use MODIS and DMSP / OLS remote sensing data, from the production capacity, external disturbance changes and human socio-economic development of the three main factors affecting the quality of ecosystems, select the net primary productivity, vegetation index and light index, using the principal component analysis method to automatically determine the weight coefficient, construction of the formation of enhanced remote sensing ecological index, and the ecological environment quality of Hainan Island from 2001 to 2013 was monitored and analyzed. The enhanced remote sensing ecological index combines the effects of the natural environment and human activities on ecosystems, and according to the contribution of each principal component automatically determine the weight coefficient, avoid the design of the weight of the parameters caused by the calculation of the human error, which provides a new method for the operational operation of regional macro ecological environment quality monitoring. During the period from 2001 to 2013, the ecological environment quality of Hainan Island showed the characteristics of decend first and then rise, the ecological environment in 2005 was affected by severe natural disasters, and the quality of ecological environment dropped sharply. Compared with 2001, in 2013 about 20000 square kilometers regional ecological environmental quality has improved, about 8760 square kilometers regional ecological environment quality is relatively stable, about 5272 square kilometers regional ecological environment quality has decreased. On the whole, the quality of ecological environment in the study area is good, the frequent occurrence of natural disasters, on the quality of the ecological environment to a certain extent.

  17. Hard graphitelike hydrogenated amorphous carbon grown at high rates by a remote plasma

    DEFF Research Database (Denmark)

    Singh, Shailendra Vikram; Zaharia, T.; Creatore, M.

    2010-01-01

    Hydrogenated amorphous carbon (a-C:H) deposited from an Ar-C 2H2 expanding thermal plasma chemical vapor deposition (ETP-CVD) is reported. The downstream plasma region of an ETP is characterized by a low electron temperature (∼0.3 eV), which leads to an ion driven chemistry and negligible physical...

  18. PumpKin: A tool to find principal pathways in plasma chemical models

    Science.gov (United States)

    Markosyan, A. H.; Luque, A.; Gordillo-Vázquez, F. J.; Ebert, U.

    2014-10-01

    PumpKin is a software package to find all principal pathways, i.e. the dominant reaction sequences, in chemical reaction systems. Although many tools are available to integrate numerically arbitrarily complex chemical reaction systems, few tools exist in order to analyze the results and interpret them in relatively simple terms. In particular, due to the large disparity in the lifetimes of the interacting components, it is often useful to group reactions into pathways that recycle the fastest species. This allows a researcher to focus on the slow chemical dynamics, eliminating the shortest timescales. Based on the algorithm described by Lehmann (2004), PumpKin automates the process of finding such pathways, allowing the user to analyze complex kinetics and to understand the consumption and production of a certain species of interest. We designed PumpKin with an emphasis on plasma chemical systems but it can also be applied to atmospheric modeling and to industrial applications such as plasma medicine and plasma-assisted combustion.

  19. Device performance of in situ steam generated gate dielectric nitrided by remote plasma nitridation

    International Nuclear Information System (INIS)

    Al-Shareef, H. N.; Karamcheti, A.; Luo, T. Y.; Bersuker, G.; Brown, G. A.; Murto, R. W.; Jackson, M. D.; Huff, H. R.; Kraus, P.; Lopes, D.

    2001-01-01

    In situ steam generated (ISSG) oxides have recently attracted interest for use as gate dielectrics because of their demonstrated reliability improvement over oxides formed by dry oxidation. [G. Minor, G. Xing, H. S. Joo, E. Sanchez, Y. Yokota, C. Chen, D. Lopes, and A. Balakrishna, Electrochem. Soc. Symp. Proc. 99-10, 3 (1999); T. Y. Luo, H. N. Al-Shareef, G. A. Brown, M. Laughery, V. Watt, A. Karamcheti, M. D. Jackson, and H. R. Huff, Proc. SPIE 4181, 220 (2000).] We show in this letter that nitridation of ISSG oxide using a remote plasma decreases the gate leakage current of ISSG oxide by an order of magnitude without significantly degrading transistor performance. In particular, it is shown that the peak normalized transconductance of n-channel devices with an ISSG oxide gate dielectric decreases by only 4% and the normalized drive current by only 3% after remote plasma nitridation (RPN). In addition, it is shown that the reliability of the ISSG oxide exhibits only a small degradation after RPN. These observations suggest that the ISSG/RPN process holds promise for gate dielectric applications. [copyright] 2001 American Institute of Physics

  20. Improving plasma resistance and lowering roughness in an ArF photoresist by adding a chemical reaction inhibitor

    International Nuclear Information System (INIS)

    Jinnai, Butsurin; Uesugi, Takuji; Koyama, Koji; Samukawa, Seiji; Kato, Keisuke; Yasuda, Atsushi; Maeda, Shinichi; Momose, Hikaru

    2010-01-01

    Major challenges associated with 193 nm lithography using an ArF photoresist are low plasma resistance and roughness formation in the ArF photoresist during plasma processes. We have previously found decisive factors affecting the plasma resistance and roughness formation in an ArF photoresist: plasma resistance is determined by UV/VUV radiation, and roughness formation is dominated by chemical reactions. In this study, based on our findings on the interaction between plasma radiation species and ArF photoresist polymers, we proposed an ArF photoresist with a chemical reaction inhibitor, which can trap reactive species from the plasma, and characterized the performances of the resultant ArF photoresist through neutral beam experiments. Hindered amine light stabilizers, i.e. 4-hydroxy-2,2,6,6-tetramethyl-1-piperidinyloxy (HO-TEMPO), were used as the chemical reaction inhibitor. Etching rates of the ArF photoresist films were not dependent on the HO-TEMPO content in the irradiations without chemical reactions or under UV/VUV radiation. However, in the irradiation with chemical reactions, the etching rates of the ArF photoresist films decreased as the HO-TEMPO content increased. In addition, the surface roughness decreased with the increase in the additive amount of chemical reaction inhibitor. According to FTIR analysis, a chemical reaction inhibitor can inhibit the chemical reactions in ArF photoresist films through plasma radicals. These results indicate that a chemical reaction inhibitor is effective against chemical reactions, resulting in improved plasma resistance and less roughness in an ArF photoresist. These results also support our suggested mechanism of plasma resistance and roughness formation in an ArF photoresist.

  1. Plasma-assisted nitrogen doping of VACNTs for efficiently enhancing the supercapacitor performance

    Energy Technology Data Exchange (ETDEWEB)

    Mashayekhi, Alireza; Hosseini, Seyed Mahmoud [University of Tehran, Nano-fabricated Energy Devices Laboratory, School of Electrical and Computer Engineering, College of Engineering (Iran, Islamic Republic of); Hassanpour Amiri, Morteza; Namdar, Naser [University of Tehran, Thin Film and Nano-electronics Laboratory, Nano-electronics Centre of Excellence, School of Electrical and Computer Engineering, College of Engineering (Iran, Islamic Republic of); Sanaee, Zeinab, E-mail: z.sanaee@ut.ac.ir [University of Tehran, Nano-fabricated Energy Devices Laboratory, School of Electrical and Computer Engineering, College of Engineering (Iran, Islamic Republic of)

    2016-06-15

    Nitrogen doping of vertically aligned carbon nanotubes (VACNTs) using plasma-enhanced chemical vapour deposition has been investigated to improve the supercapacitance performance of CNTs. Incorporating electrochemical measurements on the open-ended nitrogen-doped CNTs, showed the achievement of 6 times improvement in the capacitance value. For nitrogen-doped CNTs on silicon substrate, specific capacitance of 60 F g{sup −1} was obtained in 0.5 M KCl solution, with capacity retention ratio above 90 % after cycled at 0.1 A g{sup −1} for 5000 cycles. Using this sample, a symmetric supercapacitance was fabricated which showed the power density of 37.5 kW kg{sup −1}. The facile fabrication approach and its excellent capacitance improvement, propose it as an efficient technique for enhancing the supercapacitance performance of the carbon-based electrodes.

  2. New fabrication technique using side-wall-type plasma-enhanced chemical-vapor deposition for a floating gate memory with a Si nanodot

    Energy Technology Data Exchange (ETDEWEB)

    Ichikawa, Kazunori; Punchaipetch, Prakaipetch; Yano, Hiroshi; Hatayama, Tomoaki; Uraoka, Yukiharu; Fuyuki, Takashi [Nara Institute of Science and Techonology, Ikoma, Nara (Japan); Tomyo, Atsushi; Takahashi, Eiji; Hayashi, Tsukasa; Ogata, Kiyoshi [Nissin Electric Co., Ltd., Kyoto (Japan)

    2006-08-15

    We have used side-wall-type plasma-enhanced chemical-vapor deposition (PECVD)to fabricate a floating gate memory using a Si nano-crystal dot on thermal SiO{sub 2} at a low temperature of 430 .deg. C. Atomic and radical hydrogen plays an important role in the low-temperature formation of the dot. Transmission electron microscopy (TEM) and atomic force microscopy (AFM) analyses revealed that the average dot size and density were approximately 5 nm and 8.5 X 10{sup 11} cm{sup -2}, respectively. The electronic properties were investigated with metal-oxide-semiconductor-field-effect transistors (MOSFETs) by embedding the nanocrystal dots into SiO{sub 2} fabricated using CVD. Electron charging and discharging were clearly confirmed at room temperature by the transient behavior of the capacitance and the transfer curve. The number of electrons confined in a single dot was approximately one. Furthermore, we evaluated the electronic behavior by varying the bias condition or the operating temperature. The critical charge density could be confirmed to be independent of the injection condition.

  3. Chemical analysis of refractories by plasma spectrometry

    International Nuclear Information System (INIS)

    Coutinho, C.A.

    1990-01-01

    X-ray spectrometry has been, since the last two or three decades, the traditional procedure for the chemical analysis of refractories, due to its high degree of accuracy and speed to produce analytical results. An interesting alternative to X-ray fluorescence is provided by the Inductively Coupled Plasma Spectrometry technique, for those laboratories where wet chemistry facilities are already available or process control is not required at high speed, or investiment costs have to be low. This paper presents results obtained by plasma spectroscopy for the analysis of silico - aluminous refractories, showing calibration curves, precion and detection limits. Considerations and comparisons with X-ray fluorescence are also made. (author) [pt

  4. A new ground-penetrating radar system for remote site characterization

    International Nuclear Information System (INIS)

    Davis, K.C.; Sandness, G.A.

    1994-08-01

    The cleanup of waste burial sites and military bombing ranges involves the risk of exposing field personnel to toxic chemicals, radioactive materials, or unexploded munitions. Time-consuming and costly measures are required to provide protection from those hazards. Therefore, there is a growing interest in developing remotely controlled sensors and sensor platforms that can be employed in site characterization surveys. A specialized ground-penetrating radar has been developed to operate on a remotely controlled vehicle for the non-intrusive subsurface characterization of buried waste sites. Improved radar circuits provide enhanced performance, and an embedded microprocessor dynamically optimizes operation. The radar unit is packaged to survive chemical contamination and decontamination

  5. Interaction of heavy ion beams with a hydrogen plasma: plasma lens effect and stopping power enhancement

    International Nuclear Information System (INIS)

    Gardes, D.; Bimbot, R.; Della-Negra, S.; Dumail, M.; Kubica, B.; Richard, A.; Rivet, M.F.; Servajean, A.; Deutsch, C.; Maynard, G.

    1988-01-01

    By coupling a hydrogen plasma to a Tandem accelerator, transmission and energy losses of 2 MeV/u carbon and sulfur beams passing through a plasma target have been investigated. Fluctuations in beam transmission have been observed and attributed to a plasma lens effect. Moreover, energy loss measurements indicate an enhanced stopping power of the plasma relative to its cold matter equivalent

  6. Studies on omnidirectional enhancement of giga-hertz radiation by sub-wavelength plasma modulation

    Science.gov (United States)

    Fanrong, KONG; Qiuyue, NIE; Shu, LIN; Zhibin, WANG; Bowen, LI; Shulei, ZHENG; Binhao, JIANG

    2018-01-01

    The technology of radio frequency (RF) radiation intensification for radio compact antennas based on modulation and enhancement effects of sub-wavelength plasma structures represents an innovative developing strategy. It exhibits important scientific significance and promising potential of broad applications in various areas of national strategic demands, such as electrical information network and microwave communication, detection and control technology. In this paper, laboratory experiments and corresponding analyses have been carried out to investigate the modulation and enhancement technology of sub-wavelength plasma structure on the RF electromagnetic radiation. An application focused sub-wavelength plasma-added intensification up to ∼7 dB higher than the free-space radiation is observed experimentally in giga-hertz (GHz) RF band. The effective radiation enhancement bandwidth covers from 0.85 to 1.17 GHz, while the enhanced electromagnetic signals transmitted by sub-wavelength plasma structures maintain good communication quality. Particularly, differing from the traditional RF electromagnetic radiation enhancement method characterized by focusing the radiation field of antenna in a specific direction, the sub-wavelength plasma-added intensification of the antenna radiation presents an omnidirectional enhancement, which is reported experimentally for the first time. Corresponding performance characteristics and enhancement mechanism analyses are also conducted in this paper. The results have demonstrated the feasibility and promising potential of sub-wavelength plasma modulation in application focused RF communication, and provided the scientific basis for further research and development of sub-wavelength plasma enhanced compact antennas with wide-range requests and good quality for communication.

  7. Growth kinetics and initial stage growth during plasma-enhanced Ti atomic layer deposition

    CERN Document Server

    Kim, H

    2002-01-01

    We have investigated the growth kinetics of plasma-enhanced Ti atomic layer deposition (ALD) using a quartz crystal microbalance. Ti ALD films were grown at temperatures from 20 to 200 deg. C using TiCl sub 4 as a source gas and rf plasma-produced atomic H as the reducing agent. Postdeposition ex situ chemical analyses of thin films showed that the main impurity is oxygen, mostly incorporated during the air exposure prior to analysis. The thickness per cycle, corresponding to the growth rate, was measured by quartz crystal microbalance as a function of various key growth parameters, including TiCl sub 4 and H exposure time, rf plasma power, and sample temperature. The growth rates were independent of TiCl sub 4 exposure above 1x10 sup 3 L, indicating typical ALD mode growth. The key kinetic parameters for Cl extraction reaction and TiCl sub 4 adsorption kinetics were obtained and the growth kinetics were modeled to predict the growth rates based upon these results. Also, the dependency of growth kinetics on d...

  8. Fundamental aspects of plasma chemical physics kinetics

    CERN Document Server

    Capitelli, Mario; Colonna, Gianpiero; Esposito, Fabrizio; Gorse, Claudine; Hassouni, Khaled; Laricchiuta, Annarita; Longo, Savino

    2016-01-01

    Describing non-equilibrium "cold" plasmas through a chemical physics approach, this book uses the state-to-state plasma kinetics, which considers each internal state as a new species with its own cross sections. Extended atomic and molecular master equations are coupled with Boltzmann and Monte Carlo methods to solve the electron energy distribution function. Selected examples in different applied fields, such as microelectronics, fusion, and aerospace, are presented and discussed including the self-consistent kinetics in RF parallel plate reactors, the optimization of negative ion sources and the expansion of high enthalpy flows through nozzles of different geometries. The book will cover the main aspects of the state-to-state kinetic approach for the description of nonequilibrium cold plasmas, illustrating the more recent achievements in the development of kinetic models including the self-consistent coupling of master equations and Boltzmann equation for electron dynamics. To give a complete portrayal, the...

  9. Remote and direct plasma regions for low-temperature growth of carbon nanotubes on glass substrates for display applications

    International Nuclear Information System (INIS)

    Tabatabaei, M K; Ghafouri fard, H; Koohsorkhi, J; Khatami, S; Mohajerzadeh, S

    2011-01-01

    A novel method for growing carbon nanotubes (CNTs) on glass substrates is introduced in this study. A two-stage plasma was used to achieve low-temperature and vertically aligned CNTs. Ni deposited on indium tin oxide/glass substrate was used as the catalyst and hydrogen and acetylene were used as gas feeds. In this investigation a new technique was developed to grow vertically aligned CNTs at temperatures below 400 deg. C while CNT growth by plasma-enhanced chemical vapour deposition required high temperatures. Low-temperature growth of vertically aligned CNTs was suitable for the fabrication of micro-lens and self-oriented displays on glass substrates. Also, we have reported a new configuration for CNT-based display by means of controlling the refractive index of liquid crystal around the CNT by applying a proper voltage to the top and bottom array.

  10. Thermal expansion coefficient and thermomechanical properties of SiN(x) thin films prepared by plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Tien, Chuen-Lin; Lin, Tsai-Wei

    2012-10-20

    We present a new method based on fast Fourier transform (FFT) for evaluating the thermal expansion coefficient and thermomechanical properties of thin films. The silicon nitride thin films deposited on Corning glass and Si wafers were prepared by plasma-enhanced chemical vapor deposition in this study. The anisotropic residual stress and thermomechanical properties of silicon nitride thin films were studied. Residual stresses in thin films were measured by a modified Michelson interferometer associated with the FFT method under different heating temperatures. We found that the average residual-stress value increases when the temperature increases from room temperature to 100°C. Increased substrate temperature causes the residual stress in SiN(x) film deposited on Si wafers to be more compressive, but the residual stress in SiN(x) film on Corning glass becomes more tensile. The residual-stress versus substrate-temperature relation is a linear correlation after heating. A double substrate technique is used to determine the thermal expansion coefficients of the thin films. The experimental results show that the thermal expansion coefficient of the silicon nitride thin films is 3.27×10(-6)°C(-1). The biaxial modulus is 1125 GPa for SiN(x) film.

  11. ICRF-enhanced plasma potentials in the SOL of Alcator C-Mod

    Energy Technology Data Exchange (ETDEWEB)

    Ochoukov, R.; Whyte, D. G.; Brunner, D.; LaBombard, B.; Lipschultz, B.; Terry, J. L.; Wukitch, S. J. [PSFC MIT, NW17, 175 Albany Street, Cambridge, MA 02139 (United States); D' Ippolito, D. A.; Myra, J. R. [Lodestar Research Corporation, 2400 Central Avenue, Boulder, Colorado 80301 (United States)

    2014-02-12

    We performed an extensive survey of the plasma potential in the scrape-off layer (SOL) of Ion Cyclotron Range-of Frequencies (ICRF)-heated discharges on Alcator C-Mod. Our results show that plasma potentials are enhanced in the presence of ICRF power and plasma potential values of >100 V are often observed. Such potentials are high enough to induce sputtering of high-Z molybdenum (Mo) plasma facing components by deuterium ions on C-Mod. For comparison, the plasma potential in Ohmic discharges is typically less than 10 V, well below the threshold needed to induce Mo sputtering by deuterium ions. ICRF-enhanced plasma potentials are observed in the SOL regions that both magnetically map and do not map to active ICRF antennas. Regions that magnetically map to active ICRF antennas are accessible to slow waves directly launched by the antennas and these regions experience plasma potential enhancement that is partially consistent with the slow wave rectification mechanism. One of the most defining features of the slow wave rectification is a threshold appearance of significant plasma potentials (>100 V) when the dimensionless rectification parameter Λ{sub −o} is above unity and this trend is observed experimentally. We also observe ICRF-enhanced plasma potentials >100 V in regions that do not magnetically map to the active antennas and, hence, are not accessible for slow waves launched directly by the active antennas. However, unabsorbed fast waves can reach these regions. The general trend that we observe in these 'un-mapped' regions is that the plasma potential scales with the strength of the local RF wave fields with the fast wave polarization and the highest plasma potentials are observed in discharges with the highest levels of unabsorbed ICRF power. Similarly, we find that core Mo levels scale with the level of unabsorbed ICRF power suggesting a link between plasma potentials in the SOL and the strength of the impurity source.

  12. Investigation of plasma potential and pulsed discharge characteristics in enhanced glow discharge plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Li Liuhe; Lu Qiuyuan; Fu, Ricky K.Y.; Chu, Paul K.

    2009-01-01

    Enhanced glow discharge plasma immersion ion implantation and deposition (EGD-PII and D) does not require external plasma sources. In this technique, the plasma is produced by self-glow discharge when a high negative voltage is applied to the sample. The small-area, pointed-shape hollow anode and large area tabular cathode form an electron-focused electric field. Using a special electric field design, the electrons from either the plasma or target (secondary electrons) are focused to a special hollow anode. As a result of the special electron-focusing field, the self-glow discharge process can be enhanced to achieve effective ion implantation into the substrate. In this work, the plasma potential distribution is investigated in details and the possible pulse discharge mechanism is discussed. The unique characteristics of the pulsed plasma and plasma extinction are studied.

  13. Interactive computer-enhanced remote viewing system

    International Nuclear Information System (INIS)

    Tourtellott, J.A.; Wagner, J.F.

    1995-01-01

    Remediation activities such as decontamination and decommissioning (D ampersand D) typically involve materials and activities hazardous to humans. Robots are an attractive way to conduct such remediation, but for efficiency they need a good three-dimensional (3-D) computer model of the task space where they are to function. This model can be created from engineering plans and architectural drawings and from empirical data gathered by various sensors at the site. The model is used to plan robotic tasks and verify that selected paths are clear of obstacles. This report describes the development of an Interactive Computer-Enhanced Remote Viewing System (ICERVS), a software system to provide a reliable geometric description of a robotic task space, and enable robotic remediation to be conducted more effectively and more economically

  14. Plasmas in Multiphase Media: Bubble Enhanced Discharges in Liquids and Plasma/Liquid Phase Boundaries

    Energy Technology Data Exchange (ETDEWEB)

    Kushner, Mark Jay [University of Michigan

    2014-07-10

    In this research project, the interaction of atmospheric pressure plasmas with multi-phase media was computationally investigated. Multi-phase media includes liquids, particles, complex materials and porous surfaces. Although this investigation addressed fundamental plasma transport and chemical processes, the outcomes directly and beneficially affected applications including biotechnology, medicine and environmental remediation (e.g., water purification). During this project, we made advances in our understanding of the interaction of atmospheric pressure plasmas in the form of dielectric barrier discharges and plasma jets with organic materials and liquids. We also made advances in our ability to use computer modeling to represent these complex processes. We determined the method that atmospheric pressure plasmas flow along solid and liquid surfaces, and through endoscopic like tubes, deliver optical and high energy ion activation energy to organic and liquid surfaces, and produce reactivity in thin liquid layers, as might cover a wound. We determined the mechanisms whereby plasmas can deliver activation energy to the inside of liquids by sustaining plasmas in bubbles. These findings are important to the advancement of new technology areas such as plasma medicine

  15. Quantification of monosialogangliosides in human plasma through chemical derivatization for signal enhancement in LC–ESI-MS

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Qianyang; Liu, Danting [Clinical Chemistry Program, Department of Chemistry, Cleveland State University, 2121 Euclid Avenue, Cleveland, OH 44115 (United States); Xin, Baozhong; Cechner, Karen [DDC Clinic, Center for Special Needs Children, 14567 Madison Road, Middlefield, OH 44062 (United States); Zhou, Xiang [Clinical Chemistry Program, Department of Chemistry, Cleveland State University, 2121 Euclid Avenue, Cleveland, OH 44115 (United States); Wang, Heng, E-mail: Wang@ddcclinic.org [DDC Clinic, Center for Special Needs Children, 14567 Madison Road, Middlefield, OH 44062 (United States); Zhou, Aimin, E-mail: a.zhou@csuohio.edu [Clinical Chemistry Program, Department of Chemistry, Cleveland State University, 2121 Euclid Avenue, Cleveland, OH 44115 (United States); Center for Gene Regulation in Health and Diseases, Cleveland State University, 2121 Euclid Avenue, Cleveland, OH 44115 (United States)

    2016-07-27

    Gangliosides are found in abundance in the central nervous system of vertebrates. Their metabolic disruption and dysfunction are associated with various neurodegenerative disorders such as Alzheimer's disease and Parkinson's disease. In order to improve our understanding of the etiology of these diseases, analytical ganglioside assays with sufficient specificity and sensitivity in relevant biological matrices are required. In the present work we have developed and validated a reverse-phase ultra-performance liquid chromatography (UPLC)/tandem mass spectrometry (MS) method for determining monosialogangliosides GM1, GM2, and GM3 present in human plasma. Compared with our previous method, this method enhanced, by 15 fold, MS responses of the analytes by employing 2-(2-Pyridilamino)-ethylamine (PAEA) & 4-(4, 6-Dimethoxy-1, 3, 5-triazin-2-yl)-4-methylmorpholinium chloride (DMTMM)-based derivatization. The analytes and internal standards were derivatized with PAEA&DMTMM after extraction from plasma using a protein precipitation procedure. They were then purified using liquid–liquid partitioning. When the samples were then analyzed by UPLC-MS/MS with a multiple reaction monitoring (MRM) mode, we achieved superior sensitivity and specificity. This method was evaluated for extraction recovery, calibration linearity, precision, accuracy, and lower limit of quantification (LLOQ). The validated method was successfully applied to monitor monosialoganglioside levels in the plasma from patients with GM3 synthase deficiency. With significantly increased sensitivity, we have, for the first time, detected a significant amount of GM3 in the affected patients. - Highlights: • A UPLC/MS/MS method for analyzing monosialogangliosides GM1, GM2, and GM3 in human plasma was developed and validated. • PAEA&DMTMM-based derivatization greatly improved the sensitivity. • The method was applied to measure GM1, GM2, and GM3 in the plasma from the patients with GM3 synthase

  16. Enhancing fieldwork learning using blended learning, GIS and remote supervision

    Science.gov (United States)

    Marra, Wouter A.; Alberti, Koko; Karssenberg, Derek

    2015-04-01

    Fieldwork is an important part of education in geosciences and essential to put theoretical knowledge into an authentic context. Fieldwork as teaching tool can take place in various forms, such as field-tutorial, excursion, or supervised research. Current challenges with fieldwork in education are to incorporate state-of-the art methods for digital data collection, on-site GIS-analysis and providing high-quality feedback to large groups of students in the field. We present a case on first-year earth-sciences fieldwork with approximately 80 students in the French Alps focused on geological and geomorphological mapping. Here, students work in couples and each couple maps their own fieldwork area to reconstruct the formative history. We present several major improvements for this fieldwork using a blended-learning approach, relying on open source software only. An important enhancement to the French Alps fieldwork is improving students' preparation. In a GIS environment, students explore their fieldwork areas using existing remote sensing data, a digital elevation model and derivatives to formulate testable hypotheses before the actual fieldwork. The advantage of this is that the students already know their area when arriving in the field, have started to apply the empirical cycle prior to their field visit, and are therefore eager to investigate their own research questions. During the fieldwork, students store and analyze their field observations in the same GIS environment. This enables them to get a better overview of their own collected data, and to integrate existing data sources also used in the preparation phase. This results in a quicker and enhanced understanding by the students. To enable remote access to observational data collected by students, the students synchronize their data daily with a webserver running a web map application. Supervisors can review students' progress remotely, examine and evaluate their observations in a GIS, and provide

  17. Enhancement of EAST plasma control capabilities

    Energy Technology Data Exchange (ETDEWEB)

    Xiao, Bingjia, E-mail: bjxiao@ipp.ac.cn [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei (China); School of Nuclear Science and Technology, University of Science and Technology of China, Hefei (China); Yuan, Qiping; Luo, Zhengping; Huang, Yao; Liu, Lei; Guo, Yong; Pei, Xiaofang; Chen, Shuliang [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei (China); Humphreys, D.A.; Hyatt, A.W. [General Atomics, P.O. Box 85608, San Diego, CA 92186-5608 (United States); Mueller, Dennis [Princeton Plasma Physics Laboratory, Princeton, NJ (United States); Calabró, G.; Crisanti, F. [ENEA UnitàTecnicaFusione, C.R. Frascati, Via E. Fermi 45, 00044 Frascati, Roma (Italy); Albanese, R.; Ambrosino, R. [CREATE, Università di Napoli Federicao II, Università di Cassino and Università di Napoli Parthenope, Via Claudio 19, 80125 Napoli (Italy)

    2016-11-15

    Highlights: • Parallel plasma equilibrium reconstruction using GPU for real-time control on EAST. • Vertical control using Bang-bang + PID method to improve the response and minimize the oscillation caused by the latency. • Quasi-snow flake divertor plasma configuration has been demonstrated on EAST. - Abstract: In order to improve the plasma control performance and enhance the capability for advanced plasma control, new algorithms such as PEFIT/ISOFLUX plasma shape feedback control, quasi-snowflake plasma shape development and vertical control under new vertical control power supply, have been implemented and experimentally tested and verified in EAST 2014 campaign. P-EFIT is a rewritten version of EFIT aiming at fast real-time equilibrium reconstruction by using GPU for parallelized computation. Successful control using PEFIT/ISOFLUX was established in dedicated experiment. Snowfldivertor plasma shape has the advantage of spreading heat over the divertor target and a quasi-snowflake (QSF) configuration was achieved in discharges with I{sub p} = 0.25 MA and B{sub t} = 1.8T, κ∼1.9, by plasma position feedback control. The shape feedback control to achieve QSF shape has been preliminary implemented by using PEFIT and the initial experimental test has been done. For more robust vertical instability control, the inner coil (IC) and its power supply have been upgraded. A new control algorithm with the combination of Bang-bang and PID controllers has been developed. It is shown that new vertical control power supply together with the new control algorithms results in higher vertical controllability.

  18. [Study of enhancement effect of laser-induced crater on plasma radiation].

    Science.gov (United States)

    Chen, Jin-Zhong; Zhang, Xiao-Ping; Guo, Qing-Lin; Su, Hong-Xin; Li, Guang

    2009-02-01

    Single pulses exported from high-energy neodymium glass laser were used to act on the same position of soil sample surface repeatedly, and the plasma emission spectra generated from sequential laser pulse action were collected by spectral recording system. The experimental results show that the laser-induced soil plasma radiation was enhanced continuously under the confinement effect of the crater walls, and the line intensities and signal-to-background ratios both had different improvements along with increasing the number of acting pulses. The photographs of the plasma image and crater appearance were taken to study the plasma shape, laser-induced crater appearance, and the mass of the ablated sample. The internal mechanism behind that laser-induced crater enhanced plasma radiation was researched. Under the sequential laser pulse action, the forming plasma as a result enlarges gradually first, leading to distortion at the trail of plasma plume, and then, its volume diminishes slowly. And also, the color of the plasma changes from buff to white gradually, which implies that the temperature increases constantly. The laser-induced crater had a regular shape, that is, the diameter increased from its bottom to top gradually, thus forming a taper. The mass of the laser-ablated substance descends along with increasing the amount of action pulse. Atomization degree of vaporized substance was improved in virtue of the crater confinement effect, Fresnel absorption produced from the crater walls reflection, and the inverse bremsstrahlung, and the plasma radiation intensity was enhanced as a result.

  19. Ultrasound enhanced plasma surface modification at atmospheric pressure

    DEFF Research Database (Denmark)

    Kusano, Yukihiro; Singh, Shailendra Vikram; Norrman, Kion

    2012-01-01

    Efficiency of atmospheric pressure plasma treatment can be highly enhanced by simultaneous high power ultrasonic irradiation onto the treating surface. It is because ultrasonic waves with a sound pressure level (SPL) above ∼140 dB can reduce the thickness of a boundary gas layer between the plasma...... arc at atmospheric pressure to study adhesion improvement. The effect of ultrasonic irradiation with the frequency diapason between 20 and 40 kHz at the SPL of ∼150 dB was investigated. After the plasma treatment without ultrasonic irradiation, the wettability was significantly improved...

  20. Typical parameters of the plasma chemical similarity in non-isothermal reactive plasmas

    International Nuclear Information System (INIS)

    Gundermann, S.; Jacobs, H.; Miethke, F.; Rutsher, A.; Wagner, H.E.

    1996-01-01

    The substance of physical similarity principles is contained in parameters which govern the comparison of different realizations of a model device. Because similarity parameters for non-isothermal plasma chemical reactors are unknown to a great extent, an analysis of relevant equations is given together with some experimental results. Modelling of the reactor and experimental results for the ozone synthesis are presented

  1. Enhanced stimulated Raman scattering by femtosecond ultraviolet plasma grating in water

    Science.gov (United States)

    Liu, Fengjiang; Yuan, Shuai; He, Boqu; Nan, Junyi; Khan, Abdul Qayyum; Ding, Liang'en; Zeng, Heping

    2018-02-01

    Efficient forward stimulated Raman scattering (SRS) was observed along 400-nm femtosecond (fs) laser filaments in water. SRS conversion dominated over self-phase modulation induced continuum generation as the input pulse energy was above 4 μJ (˜30 Pcr), implying that plasma in the aqueous filamentation channel played an important role in compensating for the group velocity walk-off between the pump and Stokes pulses. By overlapping two synchronous fs 400-nm filaments to form plasma grating in water, significant enhancement of SRS conversion was observed. Such a SRS enhancement originated from the ultrahigh plasma density in the intersection region of the preformed plasma grating.

  2. Destruction of chemical warfare surrogates using a portable atmospheric pressure plasma jet

    Science.gov (United States)

    Škoro, Nikola; Puač, Nevena; Živković, Suzana; Krstić-Milošević, Dijana; Cvelbar, Uroš; Malović, Gordana; Petrović, Zoran Lj.

    2018-01-01

    Today's reality is connected with mitigation of threats from the new chemical and biological warfare agents. A novel investigation of cold plasmas in contact with liquids presented in this paper demonstrated that the chemically reactive environment produced by atmospheric pressure plasma jet (APPJ) is potentially capable of rapid destruction of chemical warfare agents in a broad spectrum. The decontamination of three different chemical warfare agent surrogates dissolved in liquid is investigated by using an easily transportable APPJ. The jet is powered by a kHz signal source connected to a low-voltage DC source and with He as working gas. The detailed investigation of electrical properties is performed for various plasmas at different distances from the sample. The measurements of plasma properties in situ are supported by the optical spectrometry measurements, whereas the high performance liquid chromatography measurements before and after the treatment of aqueous solutions of Malathion, Fenitrothion and Dimethyl Methylphosphonate. These solutions are used to evaluate destruction and its efficiency for specific neural agent simulants. The particular removal rates are found to be from 56% up to 96% during 10 min treatment. The data obtained provide basis to evaluate APPJ's efficiency at different operating conditions. The presented results are promising and could be improved with different operating conditions and optimization of the decontamination process.

  3. Atomic and plasma-material interaction data for fusion. V. 7, part B. Particle induced erosion of Be, C and W in fusion plasmas. Part B: Physical sputtering and radiation-enhanced sublimation

    International Nuclear Information System (INIS)

    Eckstein, W.; Stephens, J.A.; Clark, R.E.H.; Davis, J.W.; Haasz, A.A.; Vietzke, E.; Hirooka, Y.

    2001-01-01

    The present volume of Atomic and Plasma-Material Interaction Data for Fusion is devoted to a critical review of the physical sputtering and radiation enhanced sublimation (RES) behaviour of fusion plasma-facing materials, in particular carbon, beryllium and tungsten. The present volume is intended to provide fusion reactor designers a detailed survey and parameterization of existing, critically assessed data for the chemical erosion of plasma-facing materials by particle impact. The survey and data compilation is presented for a variety of materials containing the elements C, Be and W (including dopants in carbon materials) and impacting plasma species. The dependencies of physical sputtering and RES yields on the material temperature, incident projectile energy, and incident flux are considered. The main data compilation is presented as separate data sheets indicating the material, impacting plasma species, experimental conditions, and parameterizations in terms of analytic functions

  4. Ultrasound enhanced plasma surface modification at atmospheric pressure

    DEFF Research Database (Denmark)

    Kusano, Yukihiro; Singh, Shailendra Vikram; Norrman, Kion

    and the material surface, and thus many reactive species generated in the plasma can reach the surface before inactivated, and be efficiently utilized for surface modification. In the present work polyester plates are treated using a dielectric barrier discharge (DBD) and a gliding arc at atmospheric pressure......Atmospheric pressure plasma treatment can be highly enhanced by simultaneous high-power ultrasonic irradiation onto the treating surface. It is because ultrasonic waves with a sound pressure level (SPL) above approximately 140 dB can reduce the thickness of a boundary gas layer between the plasma...... irradiation, the water contact angle dropped markedly, and tended to decrease furthermore at higher power. The ultrasonic irradiation during the plasma treatment consistently improved the wettability. Oxygen containing polar functional groups were introduced at the surface by the plasma treatment...

  5. Effect of thermal, chemical and thermo-chemical pre-treatments to enhance methane production

    Energy Technology Data Exchange (ETDEWEB)

    Rafique, Rashad; Nizami, Abdul-Sattar; Murphy, Jerry D.; Kiely, Gerard [Department of Civil and Environmental Engineering, University College Cork (Ireland); Poulsen, Tjalfe Gorm [Department of Biotechnology, Chemistry and Environmental Engineering, Aalborg University (Denmark); Asam, Zaki-ul-Zaman [Department of Civil Engineering, National University of Ireland Galway (Ireland)

    2010-12-15

    The rise in oil price triggered the exploration and enhancement of various renewable energy sources. Producing biogas from organic waste is not only providing a clean sustainable indigenous fuel to the number of on-farm digesters in Europe, but also reducing the ecological and environmental deterioration. The lignocellulosic substrates are not completely biodegraded in anaerobic digesters operating at commercial scale due to their complex physical and chemical structure, which result in meager energy recovery in terms of methane yield. The focus of this study is to investigate the effect of pre-treatments: thermal, thermo-chemical and chemical pre-treatments on the biogas and methane potential of dewatered pig manure. A laboratory scale batch digester is used for these pre-treatments at different temperature range (25 C-150 C). Results showed that thermo-chemical pretreatment has high effect on biogas and methane potential in the temperature range (25-100 C). Maximum enhancement is observed at 70 C with increase of 78% biogas and 60% methane production. Thermal pretreatment also showed enhancement in the temperature range (50-10 C), with maximum enhancement at 100 C having 28% biogas and 25% methane increase. (author)

  6. Low temperature metal free growth of graphene on insulating substrates by plasma assisted chemical vapor deposition

    Science.gov (United States)

    Muñoz, R.; Munuera, C.; Martínez, J. I.; Azpeitia, J.; Gómez-Aleixandre, C.; García-Hernández, M.

    2017-03-01

    Direct growth of graphene films on dielectric substrates (quartz and silica) is reported, by means of remote electron cyclotron resonance plasma assisted chemical vapor deposition r-(ECR-CVD) at low temperature (650 °C). Using a two step deposition process- nucleation and growth- by changing the partial pressure of the gas precursors at constant temperature, mostly monolayer continuous films, with grain sizes up to 500 nm are grown, exhibiting transmittance larger than 92% and sheet resistance as low as 900 Ω sq-1. The grain size and nucleation density of the resulting graphene sheets can be controlled varying the deposition time and pressure. In additon, first-principles DFT-based calculations have been carried out in order to rationalize the oxygen reduction in the quartz surface experimentally observed. This method is easily scalable and avoids damaging and expensive transfer steps of graphene films, improving compatibility with current fabrication technologies.

  7. Effects of gas residence time of CH4/H2 on sp2 fraction of amorphous carbon films and dissociated methyl density during radical-injection plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Sugiura, Hirotsugu; Jia, Lingyun; Kondo, Hiroki; Ishikawa, Kenji; Tsutsumi, Takayoshi; Hayashi, Toshio; Takeda, Keigo; Sekine, Makoto; Hori, Masaru

    2018-06-01

    Quadruple mass spectrometric measurements of CH3 density during radical-injection plasma-enhanced chemical vapor deposition to consider the sp2 fraction of amorphous carbon (a-C) films were performed. The sp2 fraction of the a-C films reached a minimum of 46%, where the CH3 density was maximum for a residence time of 6 ms. The sp2 fraction of the a-C films was tailored with the gaseous phase CH3 density during the deposition. This knowledge is useful for understanding the formation mechanism of bonding structures in the a-C films, which enables the precise control of their electronic properties.

  8. Using KrF ELA to Improve Gate-Stacked LaAlO₃/ZrO₂ Indium Gallium Zinc Oxide Thin-Film Transistors with Novel Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition Technique.

    Science.gov (United States)

    Wu, Chien-Hung; Chang, Kow-Ming; Chen, Yi-Ming; Huang, Bo-Wen; Zhang, Yu-Xin; Wang, Shui-Jinn

    2018-03-01

    Atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique and KrF excimer laser annealing (ELA) were employed for the fabrication of indium gallium zinc oxide thin-film transistors (IGZO-TFTs). Device with a 150 mJ/cm2 laser annealing densities demonstrated excellent electrical characteristics with improved on/off current ratio of 4.7×107, high channel mobility of 10 cm2/V-s, and low subthreshold swing of 0.15 V/dec. The improvements are attributed to the adjustment of oxygen vacancies in the IGZO channel to an appropriate range of around 28.3% and the reduction of traps at the high-k/IGZO interface.

  9. A two-temperature chemical non-equilibrium modeling of DC arc plasma

    International Nuclear Information System (INIS)

    Qian Haiyang; Wu Bin

    2011-01-01

    To a better understanding of non-equilibrium characteristics of DC arc plasma,a two-dimensional axisymmetric two-temperature chemical non-equilibrium (2T-NCE) model is applied for direct current arc argon plasma generator with water-cooled constrictor at atmospheric pressure. The results show that the electron temperature and heavy particle temperature has a relationship under different working parameters, indicating that DC arc plasma has a strong non-equilibrium characteristic, and the variation is obvious. (authors)

  10. Microwave plasma induced surface modification of diamond-like carbon films

    Science.gov (United States)

    Rao Polaki, Shyamala; Kumar, Niranjan; Gopala Krishna, Nanda; Madapu, Kishore; Kamruddin, Mohamed; Dash, Sitaram; Tyagi, Ashok Kumar

    2017-12-01

    Tailoring the surface of diamond-like carbon (DLC) film is technically relevant for altering the physical and chemical properties, desirable for useful applications. A physically smooth and sp3 dominated DLC film with tetrahedral coordination was prepared by plasma-enhanced chemical vapor deposition technique. The surface of the DLC film was exposed to hydrogen, oxygen and nitrogen plasma for physical and chemical modifications. The surface modification was based on the concept of adsorption-desorption of plasma species and surface entities of films. Energetic chemical species of microwave plasma are adsorbed, leading to desorbtion of the surface carbon atoms due to energy and momentum exchange. The interaction of such reactive species with DLC films enhanced the roughness, surface defects and dangling bonds of carbon atoms. Adsorbed hydrogen, oxygen and nitrogen formed a covalent network while saturating the dangling carbon bonds around the tetrahedral sp3 valency. The modified surface chemical affinity depends upon the charge carriers and electron covalency of the adsorbed atoms. The contact angle of chemically reconstructed surface increases when a water droplet interacts either through hydrogen or van dear Waals bonding. These weak interactions influenced the wetting property of the DLC surface to a great extent.

  11. Spatio-Temporal Super-Resolution Reconstruction of Remote-Sensing Images Based on Adaptive Multi-Scale Detail Enhancement.

    Science.gov (United States)

    Zhu, Hong; Tang, Xinming; Xie, Junfeng; Song, Weidong; Mo, Fan; Gao, Xiaoming

    2018-02-07

    There are many problems in existing reconstruction-based super-resolution algorithms, such as the lack of texture-feature representation and of high-frequency details. Multi-scale detail enhancement can produce more texture information and high-frequency information. Therefore, super-resolution reconstruction of remote-sensing images based on adaptive multi-scale detail enhancement (AMDE-SR) is proposed in this paper. First, the information entropy of each remote-sensing image is calculated, and the image with the maximum entropy value is regarded as the reference image. Subsequently, spatio-temporal remote-sensing images are processed using phase normalization, which is to reduce the time phase difference of image data and enhance the complementarity of information. The multi-scale image information is then decomposed using the L ₀ gradient minimization model, and the non-redundant information is processed by difference calculation and expanding non-redundant layers and the redundant layer by the iterative back-projection (IBP) technique. The different-scale non-redundant information is adaptive-weighted and fused using cross-entropy. Finally, a nonlinear texture-detail-enhancement function is built to improve the scope of small details, and the peak signal-to-noise ratio (PSNR) is used as an iterative constraint. Ultimately, high-resolution remote-sensing images with abundant texture information are obtained by iterative optimization. Real results show an average gain in entropy of up to 0.42 dB for an up-scaling of 2 and a significant promotion gain in enhancement measure evaluation for an up-scaling of 2. The experimental results show that the performance of the AMED-SR method is better than existing super-resolution reconstruction methods in terms of visual and accuracy improvements.

  12. Spatio-Temporal Super-Resolution Reconstruction of Remote-Sensing Images Based on Adaptive Multi-Scale Detail Enhancement

    Science.gov (United States)

    Zhu, Hong; Tang, Xinming; Xie, Junfeng; Song, Weidong; Mo, Fan; Gao, Xiaoming

    2018-01-01

    There are many problems in existing reconstruction-based super-resolution algorithms, such as the lack of texture-feature representation and of high-frequency details. Multi-scale detail enhancement can produce more texture information and high-frequency information. Therefore, super-resolution reconstruction of remote-sensing images based on adaptive multi-scale detail enhancement (AMDE-SR) is proposed in this paper. First, the information entropy of each remote-sensing image is calculated, and the image with the maximum entropy value is regarded as the reference image. Subsequently, spatio-temporal remote-sensing images are processed using phase normalization, which is to reduce the time phase difference of image data and enhance the complementarity of information. The multi-scale image information is then decomposed using the L0 gradient minimization model, and the non-redundant information is processed by difference calculation and expanding non-redundant layers and the redundant layer by the iterative back-projection (IBP) technique. The different-scale non-redundant information is adaptive-weighted and fused using cross-entropy. Finally, a nonlinear texture-detail-enhancement function is built to improve the scope of small details, and the peak signal-to-noise ratio (PSNR) is used as an iterative constraint. Ultimately, high-resolution remote-sensing images with abundant texture information are obtained by iterative optimization. Real results show an average gain in entropy of up to 0.42 dB for an up-scaling of 2 and a significant promotion gain in enhancement measure evaluation for an up-scaling of 2. The experimental results show that the performance of the AMED-SR method is better than existing super-resolution reconstruction methods in terms of visual and accuracy improvements. PMID:29414893

  13. Characteristics of nanosized zirconia prepared by plasma and chemical technique

    International Nuclear Information System (INIS)

    Kuznetsova, L.; Grabis, J.; Heidemane, G.

    2003-01-01

    The studied preparation method of zirconia using the plasma technique, azeotropic distillation and glycine routes ensure obtaining of nano sized powders with close average particle size but different crystallite size and phase composition. The sinterability of nano sized zirconia particles prepared by plasma technique or wet-chemical methods is similar and depends on the green density of pressed powders, improvement of with can be achieved by using of granulated precursors. (authors)

  14. Laser-based instrumentation for the detection of chemical agents

    International Nuclear Information System (INIS)

    Hartford, A. Jr.; Sander, R.K.; Quigley, G.P.; Radziemski, L.J.; Cremers, D.A.

    1982-01-01

    Several laser-based techniques are being evaluated for the remote, point, and surface detection of chemical agents. Among the methods under investigation are optoacoustic spectroscopy, laser-induced breakdown spectroscopy (LIBS), and synchronous detection of laser-induced fluorescence (SDLIF). Optoacoustic detection has already been shown to be capable of extremely sensitive point detection. Its application to remote sensing of chemical agents is currently being evaluated. Atomic emission from the region of a laser-generated plasma has been used to identify the characteristic elements contained in nerve (P and F) and blister (S and Cl) agents. Employing this LIBS approach, detection of chemical agent simulants dispersed in air and adsorbed on a variety of surfaces has been achieved. Synchronous detection of laser-induced fluorescence provides an attractive alternative to conventional LIF, in that an artificial narrowing of the fluorescence emission is obtained. The application of this technique to chemical agent simulants has been successfully demonstrated. 19 figures

  15. Growth and characterization of nanodiamond layers prepared using the plasma-enhanced linear antennas microwave CVD system

    Energy Technology Data Exchange (ETDEWEB)

    Fendrych, Frantisek; Taylor, Andrew; Peksa, Ladislav; Kratochvilova, Irena; Kluiber, Zdenek; Fekete, Ladislav [Institute of Physics, Academy of Sciences of the Czech Republic, v.v.i, Na Slovance 2, CZ-18221 Prague 8 (Czech Republic); Vlcek, Jan [Department of Physics and Measurement, Institute of Chemical Technology Prague, Technicka 5, CZ-16628 Prague 6 (Czech Republic); Rezacova, Vladimira; Petrak, Vaclav [Faculty of Biomedical Engineering, Czech Technical University, Sitna 3105, CZ-27201 Kladno 2 (Czech Republic); Liehr, Michael [Leybold Optics Dresden GmbH, Zur Wetterwarte 50, D-01109 Dresden (Germany); Nesladek, Milos, E-mail: fendrych@fzu.c [IMOMEC division, IMEC, Institute for Materials Research, University Hasselt, Wetenschapspark 1, B-3590 Diepenbeek (Belgium)

    2010-09-22

    Industrial applications of plasma-enhanced chemical vapour deposition (CVD) diamond grown on large area substrates, 3D shapes, at low substrate temperatures and on standard engineering substrate materials require novel plasma concepts. Based on the pioneering work of the group at AIST in Japan, the high-density coaxial delivery type of plasmas has been explored (Tsugawa et al 2006 New Diamond Front. Carbon Technol. 16 337-46). However, an important challenge is to obtain commercially interesting growth rates at very low substrate temperatures. In this work we introduce the concept of novel linear antenna sources, designed at Leybold Optics Dresden, using high-frequency pulsed MW discharge with a high plasma density. This type of pulse discharges leads to the preparation of nanocrystalline diamond (NCD) thin films, compared with ultra-NCD thin films prepared in (Tsugawa et al 2006 New Diamond Front. Carbon Technol. 16 337-46). We present optical emission spectroscopy data for the CH{sub 4}-CO{sub 2}-H{sub 2} gas chemistry and we discuss the basic properties of the NCD films grown.

  16. Numerical simulation of Trichel pulses of negative DC corona discharge based on a plasma chemical model

    Science.gov (United States)

    Chen, Xiaoyue; Lan, Lei; Lu, Hailiang; Wang, Yu; Wen, Xishan; Du, Xinyu; He, Wangling

    2017-10-01

    A numerical simulation method of negative direct current (DC) corona discharge based on a plasma chemical model is presented, and a coaxial cylindrical gap is adopted. There were 15 particle species and 61 kinds of collision reactions electrons involved, and 22 kinds of reactions between ions are considered in plasma chemical reactions. Based on this method, continuous Trichel pulses are calculated on about a 100 us timescale, and microcosmic physicochemical process of negative DC corona discharge in three different periods is discussed. The obtained results show that the amplitude of Trichel pulses is between 1-2 mA, and that pulse interval is in the order of 10-5 s. The positive ions produced by avalanche ionization enhanced the electric field near the cathode at the beginning of the pulse, then disappeared from the surface of cathode. The electric field decreases and the pulse ceases to develop. The negative ions produced by attachment slowly move away from the cathode, and the electric field increases gradually until the next pulse begins to develop. The positive and negative ions with the highest density during the corona discharge process are O4+ and O3- , respectively.

  17. Chemical and physical reactions under thermal plasmas conditions

    International Nuclear Information System (INIS)

    Fauchais, P.; Vardelle, A.; Vardelle, M.; Coudert, J.F.

    1987-01-01

    Basic understanding of the involved phenomena lags far behind industrial development that requires now a better knowledge of the phenomena to achieve a better control of the process allowing to improve the quality of the products. Thus the authors try to precise what is their actual knowledge in the fields of: plasma generators design; plasma flow models with the following key points: laminar or turbulent flow, heat transfer to walls, 2D or 3D models, non equilibrium effects, mixing problems when chemical reactions are to be taken into account with very fast kinetics, electrode regions, data for transport properties and kinetic rates; nucleation problems; plasma flow characteristics measurements: temperature or temperatures and population of excited states (automatized emission spectroscopy, LIF, CARS) as well as flow velocity (LDA with small particles, Doppler effects...); plasma and particles momentum and heat transfer either with models taking into account particles size and injection velocity distributions, heat propagation, vaporization, Kundsen effect, turbulences ... or with measurements: particles velocity and flux distributions (Laser Anemometry) as well as surface temperature distributions (two colour pyrometry in flight statistical or not)

  18. Online Cloud Offloading Using Heterogeneous Enhanced Remote Radio Heads

    KAUST Repository

    Shnaiwer, Yousef N.

    2018-02-12

    This paper studies the cloud offloading gains of using heterogeneous enhanced remote radio heads (eRRHs) and dual-interface clients in fog radio access networks (F-RANs). First, the cloud offloading problem is formulated as a collection of independent sets selection problem over a network coding graph, and its NP-hardness is shown. Therefore, a computationally simple online heuristic algorithm is proposed, that maximizes cloud offloading by finding an efficient schedule of coded file transmissions from the eRRHs and the cloud base station (CBS). Furthermore, a lower bound on the average number of required CBS channels to serve all clients is derived. Simulation results show that our proposed framework that uses both network coding and a heterogeneous F-RAN setting enhances cloud offloading as compared to conventional homogeneous F-RANs with network coding.

  19. Oxygen-plasma-modified biomimetic nanofibrous scaffolds for enhanced compatibility of cardiovascular implants

    Directory of Open Access Journals (Sweden)

    Anna Maria Pappa

    2015-01-01

    Full Text Available Electrospun nanofibrous scaffolds have been extensively used in several biomedical applications for tissue engineering due to their morphological resemblance to the extracellular matrix (ECM. Especially, there is a need for the cardiovascular implants to exhibit a nanostructured surface that mimics the native endothelium in order to promote endothelialization and to reduce the complications of thrombosis and implant failure. Thus, we herein fabricated poly-ε-caprolactone (PCL electrospun nanofibrous scaffolds, to serve as coatings for cardiovascular implants and guide tissue regeneration. Oxygen plasma treatment was applied in order to modify the surface chemistry of the scaffold and its effect on cell attachment and growth was evaluated. The conditions of the surface modification were properly adjusted in order to define those conditions of the treatment that result in surfaces favorable for cell growth, while maintaining morphological integrity and mechanical behavior. Goniometry (contact angle measurements, scanning electron microscopy (SEM, atomic force microscopy (AFM, and X-ray photoelectron spectroscopy (XPS measurements were used to evaluate the morphological and chemical changes induced by the plasma treatment. Moreover, depth-sensing nanoindentation was performed to study the resistance of the plasma-treated scaffolds to plastic deformation. Lastly, the cell studies indicated that all scaffolds were cytocompatible, with the plasma-treated ones expressing a more pronounced cell viability and adhesion. All the above findings demonstrate the great potential of these biomimetic tissue-engineering constructs as efficient coatings for enhanced compatibility of cardiovascular implants.

  20. Chemical Changes in Nonthermal Plasma-Treated N-Acetylcysteine (NAC) Solution and Their Contribution to Bacterial Inactivation.

    Science.gov (United States)

    Ercan, Utku K; Smith, Josh; Ji, Hai-Feng; Brooks, Ari D; Joshi, Suresh G

    2016-02-02

    In continuation of our previous reports on the broad-spectrum antimicrobial activity of atmospheric non-thermal dielectric barrier discharge (DBD) plasma treated N-Acetylcysteine (NAC) solution against planktonic and biofilm forms of different multidrug resistant microorganisms, we present here the chemical changes that mediate inactivation of Escherichia coli. In this study, the mechanism and products of the chemical reactions in plasma-treated NAC solution are shown. UV-visible spectrometry, FT-IR, NMR, and colorimetric assays were utilized for chemical characterization of plasma treated NAC solution. The characterization results were correlated with the antimicrobial assays using determined chemical species in solution in order to confirm the major species that are responsible for antimicrobial inactivation. Our results have revealed that plasma treatment of NAC solution creates predominantly reactive nitrogen species versus reactive oxygen species, and the generated peroxynitrite is responsible for significant bacterial inactivation.

  1. Temperature dependence on plasma-induced damage and chemical reactions in GaN etching processes using chlorine plasma

    Science.gov (United States)

    Liu, Zecheng; Ishikawa, Kenji; Imamura, Masato; Tsutsumi, Takayoshi; Kondo, Hiroki; Oda, Osamu; Sekine, Makoto; Hori, Masaru

    2018-06-01

    Plasma-induced damage (PID) on GaN was optimally reduced by high-temperature chlorine plasma etching. Energetic ion bombardments primarily induced PID involving stoichiometry, surface roughness, and photoluminescence (PL) degradation. Chemical reactions under ultraviolet (UV) irradiation and chlorine radical exposure at temperatures higher than 400 °C can be controlled by taking into account the synergism of simultaneous photon and radical irradiations to effectively reduce PID.

  2. A study of the potential of plasma processing in the chemical industry

    International Nuclear Information System (INIS)

    Estey, P.N.; Connolly, T.J.

    1984-01-01

    This work describes a systematic approach to determine the potential for plasma processing in the United States chemical industry. A model was developed that describes the physical inputs and outputs from a plasma based processing system. Based on these mass flows and the energy flows to the processor an economic assessment of the plasma processing system is made. This economic assessment which also includes the capital costs of the processor, can be used to determine if the plasma system is competitive with the conventional system

  3. Electrically enhanced MBR system for total nutrient removal in remote northern applications.

    Science.gov (United States)

    Wei, V; Elektorowicz, M; Oleszkiewicz, J A

    2012-01-01

    Thousands of sparsely populated communities scatter in the remote areas of northern Canada. It is economically preferable to adopt the decentralized systems to treat the domestic wastewater because of the vast human inhabitant distribution and cold climatic conditions. Electro-technologies such as electrofiltration, elctrofloatation, electrocoagulation and electrokinetic separation have been applied in water and conventional wastewater treatment for decades due to the minimum requirements of chemicals as well as ease of operation. The membrane bioreactor (MBR) is gaining popularity in recent years as an alternative water/wastewater treatment technology. However, few studies have been conducted to hyphenate these two technologies. The purpose of this work is to design a novel electrically enhanced membrane bioreactor (EMBR) as an alternative decentralized wastewater treatment system with improved nutrient removal and reduced membrane fouling. Two identical submerged membranes (GE ZW-1 hollow fiber module) were used for the experiment, with one as a control. The EMBR and control MBR were operated for 4 months at room temperature (20 ± 2 °C) with synthetic feed and 2 months at 10 °C with real sewage. The following results were observed: (1) the transmembrane pressure (TMP) increased significantly more slowly in the EMBR and the interval between the cleaning cycles of the EMBR increased at least twice; (2) the dissolved chemical oxygen demand (COD) or total organic carbon (TOC) in the EMBR biomass was reduced from 30 to 51%, correspondingly, concentrations of the extracellular polymeric substances (EPS), the major suspicious membrane foulants, decreased by 26-46% in the EMBR; (3) both control and EMBR removed >99% of ammonium-N and >95% of dissolved COD, in addition, ortho-P removal in the EMBR was >90%, compared with 47-61% of ortho-P removal in the MBR; and (4) the advantage of the EMBR over the conventional MBR in terms of membrane fouling retardation and

  4. Patterned deposition by atmospheric pressure plasma-enhanced spatial atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Kniknie, B.J.; Branca, A.; Winands, G.J.J.; Roozeboom, F.

    2011-01-01

    An atmospheric pressure plasma enhanced atomic layer deposition reactor has been developed, to deposit Al2O3 films from trimethyl aluminum and an He/O2 plasma. This technique can be used for 2D patterned deposition in a single in-line process by making use of switched localized plasma sources. It

  5. Thermal Plasma decomposition of fluoriated greenhouse gases

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Soo Seok; Watanabe, Takayuki [Tokyo Institute of Technology, Yokohama (Japan); Park, Dong Wha [Inha University, Incheon (Korea, Republic of)

    2012-02-15

    Fluorinated compounds mainly used in the semiconductor industry are potent greenhouse gases. Recently, thermal plasma gas scrubbers have been gradually replacing conventional burn-wet type gas scrubbers which are based on the combustion of fossil fuels because high conversion efficiency and control of byproduct generation are achievable in chemically reactive high temperature thermal plasma. Chemical equilibrium composition at high temperature and numerical analysis on a complex thermal flow in the thermal plasma decomposition system are used to predict the process of thermal decomposition of fluorinated gas. In order to increase economic feasibility of the thermal plasma decomposition process, increase of thermal efficiency of the plasma torch and enhancement of gas mixing between the thermal plasma jet and waste gas are discussed. In addition, noble thermal plasma systems to be applied in the thermal plasma gas treatment are introduced in the present paper.

  6. High temperature divertor plasma operation

    International Nuclear Information System (INIS)

    Ohyabu, Nobuyoshi.

    1991-02-01

    High temperature divertor plasma operation has been proposed, which is expected to enhance the core energy confinement and eliminates the heat removal problem. In this approach, the heat flux is guided through divertor channel to a remote area with a large target surface, resulting in low heat load on the target plate. This allows pumping of the particles escaping from the core and hence maintaining of the high divertor temperature, which is comparable to the core temperature. The energy confinement is then determined by the diffusion coefficient of the core plasma, which has been observed to be much lower than the thermal diffusivity. (author)

  7. Quasi-equilibria and plasma chemical similarity in non-isothermal reactive plasmas

    International Nuclear Information System (INIS)

    Miethke, F.; Rutscher, A.; Wagner, H.E.

    2000-01-01

    With regard to the output of stable products the mode of operation of non-isothermal plasma chemical reactors shows physical and chemical well defined states, which represent limiting cases and may be interpreted as quasi-equilibrium states. The occurrence and the characteristics of these states, meanwhile more than once observed and described, are demonstrated by an instructive model reaction. Within the frame of the so-called Macroscopic Kinetics a central parameter is dominating the reactor operation. This result may be generalized and is linked up to the application of similarity principles for the reactor operation. After the general formulation of such principles, starting from the balance equations of particles and energy, a dimensionless similarity parameter is formulated, characterizing the composition of the effluent gas of the reactor. The applicability of this parameter is demonstrated by experimental examples. (Authors)

  8. Plasma flame for mass purification of contaminated air with chemical and biological warfare agents

    International Nuclear Information System (INIS)

    Uhm, Han S.; Shin, Dong H.; Hong, Yong C.

    2006-01-01

    An elimination of airborne simulated chemical and biological warfare agents was carried out by making use of a plasma flame made of atmospheric plasma and a fuel-burning flame, which can purify the interior air of a large volume in isolated spaces such as buildings, public transportation systems, and military vehicles. The plasma flame generator consists of a microwave plasma torch connected in series to a fuel injector and a reaction chamber. For example, a reaction chamber, with the dimensions of a 22 cm diameter and 30 cm length, purifies an airflow rate of 5000 lpm contaminated with toluene (the simulated chemical agent) and soot from a diesel engine (the simulated aerosol for biological agents). Large volumes of purification by the plasma flame will free mankind from the threat of airborne warfare agents. The plasma flame may also effectively purify air that is contaminated with volatile organic compounds, in addition to eliminating soot from diesel engines as an environmental application

  9. Diffusion in plasma: The Hall effect, compositional waves, and chemical spots

    Energy Technology Data Exchange (ETDEWEB)

    Urpin, V., E-mail: Vadim.urpin@uv.es [Ioffe Institute of Physics and Technology (Russian Federation)

    2017-03-15

    Diffusion caused by a combined influence of the electric current and Hall effect is considered, and it is argued that such diffusion can form inhomogeneities of a chemical composition in plasma. The considered mechanism can be responsible for the formation of element spots in laboratory and astrophysical plasmas. This current-driven diffusion can be accompanied by propagation of a particular type of waves in which the impurity number density oscillates alone. These compositional waves exist if the magnetic pressure in plasma is much greater than the gas pressure.

  10. 2006, REMOTE SENSING AND GIS IN THE REMEDIATION OF CHEMICAL WEAPONS CONTAMINATION IN AN URBAN LANDSCAPE

    Science.gov (United States)

    This presentation will document the use of historical imagery, GIS, photogrammetry and hyperspectral remote sensing in locating and removing chemical weapons such as Mustard Gas, Phosgene, Ricin, and Lewisite from the environment and establishing a risk assessment methodology for...

  11. Novel Miniature Spectrometer For Remote Chemical Detection

    International Nuclear Information System (INIS)

    Pipino, Andrew C.R.

    2000-01-01

    total reflectance (ATR)11 spectroscopy. Many diagnostic problems can be solved by ATR methods that are intractable by ordinary methods, but ATR typically lacks sensitivity for ultra-trace chemical detection. In EWCRDS, the ring-down time of a resonator sensitively responds to chemical species present in the evanescent wave thereby combining the advantages of ATR with the sensitivity of CRDS. Furthermore, EW-CRDS forms the basis for a rugged miniature chemical sensor for which the laser source and photodetector can be located remotely by using optical fiber. Work on EW-CRDS began at NIST with the NRC postdoctoral associateship of the current Principal Investigator during fiscal 1996-1997. Since completion of the NRC associateship, work on EW-CRDS has been majority funded through Project 60231, with some additional funding from the Advanced Technology Program (35K/year in 2000)

  12. Surface chemical changes of atmospheric pressure plasma treated rabbit fibres important for felting process

    Energy Technology Data Exchange (ETDEWEB)

    Štěpánová, Vlasta, E-mail: vstepanova@mail.muni.cz [Department of Physical Electronics, Faculty of Science Masaryk University, Kotlářská 2, 611 37 Brno (Czech Republic); Slavíček, Pavel; Stupavská, Monika; Jurmanová, Jana [Department of Physical Electronics, Faculty of Science Masaryk University, Kotlářská 2, 611 37 Brno (Czech Republic); Černák, Mirko [Department of Physical Electronics, Faculty of Science Masaryk University, Kotlářská 2, 611 37 Brno (Czech Republic); Department of Experimental Physics, Faculty of Mathematics, Physics and Informatics, Comenius University, Mlynská dolina F2, 842 48 Bratislava (Slovakia)

    2015-11-15

    Graphical abstract: - Highlights: • Rabbit fibres plasma treatment is an effective method for fibres modification. • Atmospheric pressure plasma treatment is able to affect fibres properties. • Surface changes on fibres after plasma treatment were analysed via SEM, ATR-FTIR, XPS. • Significant increase of fibres wettability after plasma treatment was observed. • Plasma treatment at atmospheric pressure can replace the chemical treatment of fibres. - Abstract: We introduce the atmospheric pressure plasma treatment as a suitable procedure for in-line industrial application of rabbit fibres pre-treatment. Changes of rabbit fibre properties due to the plasma treatment were studied in order to develop new technology of plasma-based treatment before felting. Diffuse Coplanar Surface Barrier Discharge (DCSBD) in ambient air at atmospheric pressure was used for plasma treatment. Scanning electron microscopy was used for determination of the fibres morphology before and after plasma treatment. X-ray photoelectron spectroscopy and attenuated total reflectance-Fourier transform infrared spectroscopy were used for evaluation of reactive groups. The concentration of carbon decreased and conversely the concentration of nitrogen and oxygen increased after plasma treatment. Aging effect of plasma treated fibres was also investigated. Using Washburn method the significant increase of fibres wettability was observed after plasma treatment. New approach of pre-treatment of fibres before felting using plasma was developed. Plasma treatment of fibres at atmospheric pressure can replace the chemical method which consists of application of strong acids on fibres.

  13. Lightweight Portable Plasma Medical Device - Plasma Engineering Research Laboratory

    Science.gov (United States)

    2014-10-01

    by Remote Exposure of Resistive Barrier Cold Plasma." Biotechnology and Bioengineering, vol. 111, No. 3. p. 565 - 574 (2014). 16. Magesh...remote exposure of resistive barrier cold plasma.” Biotechnology and Bioengineering. (Accepted for publication in the next issue in 2013) 11. Magesh...foes-Safety-and-Security--1945) 4. “University Touts ’Superbug’ Killing Technology”, Quality Assurance and Food Safety Magazine , July 2013. (Link

  14. Spectroscopic properties of nitrogen doped hydrogenated amorphous carbon films grown by radio frequency plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Hayashi, Y.; Yu, G.; Rahman, M. M.; Krishna, K. M.; Soga, T.; Jimbo, T.; Umeno, M.

    2001-01-01

    Nitrogen doped hydrogenated amorphous carbon thin films have been deposited by rf plasma-enhanced chemical vapor deposition using CH 4 as the source of carbon and with different nitrogen flow rates (N 2 /CH 4 gas ratios between 0 and 3), at 300 K. The dependence modifications of the optical and the structural properties on nitrogen incorporation were investigated using different spectroscopic techniques, such as, Raman spectroscopy, Fourier transform infrared spectroscopy, x-ray photoelectron spectroscopy, ultraviolet-visible (UV-VIS) spectroscopy, electron spin resonance (ESR), photoluminescence (PL) and spectroscopic ellipsometry (SE). Raman spectroscopy and IR absorption reveal an increase in sp 2 -bonded carbon or a change in sp 2 domain size with increasing nitrogen flow rate. It is found that the configuration of nitrogen atoms incorporated into an amorphous carbon network gradually changes from nitrogen atoms surrounded by three (σ bonded) to two (π bonded) neighboring carbons with increasing nitrogen flow rate. Tauc optical gap is reduced from 2.6 to 2.0 eV, and the ESR spin density and the peak-to-peak linewidth increase sharply with increasing nitrogen flow rate. Excellent agreement has been found between the measured SE data and modeled spectra, in which an empirical dielectric function of amorphous materials and a linear void distribution along the thickness have been assumed. The influence of nitrogen on the electronic density of states is explained based on the optical properties measured by UV-VIS and PL including nitrogen lone pair band. [copyright] 2001 American Institute of Physics

  15. Modification of optical and electrical properties of chemical bath deposited CdS using plasma treatments

    International Nuclear Information System (INIS)

    Gonzalez, G.; Krishnan, B.; Avellaneda, D.; Castillo, G. Alan; Das Roy, T.K.; Shaji, S.

    2011-01-01

    Cadmium sulphide (CdS) is a well known n-type semiconductor that is widely used in solar cells. Here we report preparation and characterization of chemical bath deposited CdS thin films and modification of their optical and electrical properties using plasma treatments. CdS thin films were prepared from a chemical bath containing Cadmium chloride, Triethanolamine and Thiourea under various deposition conditions. Good quality thin films were obtained during deposition times of 5, 10 and 15 min. CdS thin films prepared for 10 min. were treated using a glow discharge plasma having nitrogen and argon carrier gases. The changes in morphology, optical and electrical properties of these plasma treated CdS thin films were analyzed in detail. The results obtained show that plasma treatment is an effective technique in modification of the optical and electrical properties of chemical bath deposited CdS thin films.

  16. Modification of optical and electrical properties of chemical bath deposited CdS using plasma treatments

    Energy Technology Data Exchange (ETDEWEB)

    Gonzalez, G. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); Krishnan, B. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); CIIDIT, Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico); Avellaneda, D.; Castillo, G. Alan; Das Roy, T.K. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); CIIDIT, Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico)

    2011-08-31

    Cadmium sulphide (CdS) is a well known n-type semiconductor that is widely used in solar cells. Here we report preparation and characterization of chemical bath deposited CdS thin films and modification of their optical and electrical properties using plasma treatments. CdS thin films were prepared from a chemical bath containing Cadmium chloride, Triethanolamine and Thiourea under various deposition conditions. Good quality thin films were obtained during deposition times of 5, 10 and 15 min. CdS thin films prepared for 10 min. were treated using a glow discharge plasma having nitrogen and argon carrier gases. The changes in morphology, optical and electrical properties of these plasma treated CdS thin films were analyzed in detail. The results obtained show that plasma treatment is an effective technique in modification of the optical and electrical properties of chemical bath deposited CdS thin films.

  17. Radio frequency plasma power dependence of the moisture permeation barrier characteristics of Al2O3 films deposited by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Jung, Hyunsoo; Choi, Hagyoung; Lee, Sanghun; Jeon, Heeyoung; Jeon, Hyeongtag

    2013-01-01

    In the present study, we investigated the gas and moisture permeation barrier properties of Al 2 O 3 films deposited on polyethersulfone films (PES) by capacitively coupled plasma (CCP) type Remote Plasma Atomic Layer Deposition (RPALD) at Radio Frequency (RF) plasma powers ranging from 100 W to 400 W in 100 W increments using Trimethylaluminum [TMA, Al(CH 3 ) 3 ] as the Al source and O 2 plasma as the reactant. To study the gas and moisture permeation barrier properties of 100-nm-thick Al 2 O 3 at various plasma powers, the Water Vapor Transmission Rate (WVTR) was measured using an electrical Ca degradation test. WVTR decreased as plasma power increased with WVTR values for 400 W and 100 W of 2.6 × 10 −4 gm −2 day −1 and 1.2 × 10 −3 gm −2 day −1 , respectively. The trends for life time, Al-O and O-H bond, density, and stoichiometry were similar to that of WVTR with improvement associated with increasing plasma power. Further, among plasma power ranging from 100 W to 400 W, the highest power of 400 W resulted in the best moisture permeation barrier properties. This result was attributed to differences in volume and amount of ion and radical fluxes, to join the ALD process, generated by O 2 plasma as the plasma power changed during ALD process, which was determined using a plasma diagnosis technique called the Floating Harmonic Method (FHM). Plasma diagnosis by FHM revealed an increase in ion flux with increasing plasma power. With respect to the ALD process, our results indicated that higher plasma power generated increased ion and radical flux compared with lower plasma power. Thus, a higher plasma power provides the best gas and moisture permeation barrier properties

  18. Novel Cyclosilazane-Type Silicon Precursor and Two-Step Plasma for Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride.

    Science.gov (United States)

    Park, Jae-Min; Jang, Se Jin; Lee, Sang-Ick; Lee, Won-Jun

    2018-03-14

    We designed cyclosilazane-type silicon precursors and proposed a three-step plasma-enhanced atomic layer deposition (PEALD) process to prepare silicon nitride films with high quality and excellent step coverage. The cyclosilazane-type precursor, 1,3-di-isopropylamino-2,4-dimethylcyclosilazane (CSN-2), has a closed ring structure for good thermal stability and high reactivity. CSN-2 showed thermal stability up to 450 °C and a sufficient vapor pressure of 4 Torr at 60 °C. The energy for the chemisorption of CSN-2 on the undercoordinated silicon nitride surface as calculated by density functional theory method was -7.38 eV. The PEALD process window was between 200 and 500 °C, with a growth rate of 0.43 Å/cycle. The best film quality was obtained at 500 °C, with hydrogen impurity of ∼7 atom %, oxygen impurity less than 2 atom %, low wet etching rate, and excellent step coverage of ∼95%. At 300 °C and lower temperatures, the wet etching rate was high especially at the lower sidewall of the trench pattern. We introduced the three-step PEALD process to improve the film quality and the step coverage on the lower sidewall. The sequence of the three-step PEALD process consists of the CSN-2 feeding step, the NH 3 /N 2 plasma step, and the N 2 plasma step. The H radicals in NH 3 /N 2 plasma efficiently remove the ligands from the precursor, and the N 2 plasma after the NH 3 plasma removes the surface hydrogen atoms to activate the adsorption of the precursor. The films deposited at 300 °C using the novel precursor and the three-step PEALD process showed a significantly improved step coverage of ∼95% and an excellent wet etching resistance at the lower sidewall, which is only twice as high as that of the blanket film prepared by low-pressure chemical vapor deposition.

  19. Remote plasma-assisted nitridation (RPN): applications to Zr and Hf silicate alloys and Al2O3

    International Nuclear Information System (INIS)

    Hinkle, Chris; Lucovsky, Gerry

    2003-01-01

    Remote plasma-assisted nitridation or RPN is demonstrated to be a processing pathway for nitridation of Zr and Hf silicate alloys, and for Al 2 O 3 , as well. The dependence of nitrogen incorporation on the process pressure is qualitatively similar to what has been reported for the plasma-assisted nitridation of SiO 2 , the lower the process pressure the greater the nitrogen incorporation in the film. The increased incorporation of nitrogen has been correlated with the penetration of the plasma-glow into the process chamber, and the accompanying increase in the concentration of N 2 + ions that participate in the reactions leading to bulk incorporation. The nitrogen incorporation as been studied by Auger electron spectroscopy (AES), secondary ion mass spectrometry (SIMS) and X-ray absorption spectroscopy (XAS)

  20. Remote maintenance of Compact Ignition Tokamak ex-vessel systems

    International Nuclear Information System (INIS)

    DePew, R.E.; Macdonald, D.

    1989-01-01

    The use of deuterium-tritium (D-T) fuel in the Compact Ignition Tokamak (CIT) will require applying remote handling technology for ex-vessel maintenance and replacement of machine components. Highly activated and contaminated components of the fusion device's auxiliary systems, such as diagnostics and RF heating, must be replaced using remotely operated maintenance equipment in the test cell. Throughout the CIT remote maintenance (RM) studies conducted to date, computer modeling has been used extensively to investigate manipulator access in these complex, tightly packed, and cluttered surroundings. A recent refinement of computer modeling involves the use of an intelligent engineering work station for realtime interactive display of task simulations. This paper discusses the use of three-dimensional (3-D) kinematic computer models of the CIT machines that are proving to be powerful tools in our efforts to evaluate RM requirements. This presentation includes a video-taped simulation of remote replacement of a plasma viewing assembly. The simulation illustrates some of the constraints associated with typical RM activities and the ways in which computer modeling enhances the design process. 1 ref., 3 figs

  1. Support and development for remote collaborations in fusion research

    International Nuclear Information System (INIS)

    Casper, T.A.; Jong, R.A.; Meyer, W.H.; Moller, J.M.

    2000-01-01

    Major fusion experiments and modeling efforts rely on joint research of scientists from several locations around the world. A variety of software tools are in use to provide remote interactive access to facilities and data are routinely available over wide-area-network connections to researchers. Audio and video communications, monitoring of control room information and synchronization of remote sites with experimental operations all enhance participation during experiments. Remote distributed computing capabilities allow utilization of off-site computers that now help support the demands of control room analyses and plasma modeling. A collaborative software development project is currently using object technologies with CORBA-based communications to build a network executable transport code that further demonstrates the ability to utilize geographically dispersed resources. Development to extend these concepts with security and naming services and possible applications to instrumentation systems has been initiated. An Information Technology Initiative is deploying communication systems, ISDN (telephone) and IP (network) audio/video (A/V) and web browser-based, to build the infrastructure needed to support remote physics meetings, seminars and interactive discussions

  2. Support and development for remote collaboration in fusion research

    International Nuclear Information System (INIS)

    Casper, T A; Jong, R A; Meyer, W H; Moller, J M

    1999-01-01

    Major fusion experiments and modeling efforts rely on joint research of scientists from several locations around the world. A variety of software tools are in use to provide remote interactive access to facilities and data are routinely available over wide-area-network connections to researchers. Audio and video communications, monitoring of control room information and synchronization of remote sites with experimental operations all enhance participation during experiments. Remote distributed computing capabilities allow utilization of off-site computers that now help support the demands of control room analyses and plasma modeling. A collaborative software development project is currently using object technologies with CORBA-based communications to build a network executable transport code that further demonstrates the ability to utilize geographically dispersed resources. Development to extend these concepts with security and naming services and possible applications to instrumentation systems has been initiated. An Information Technology Initiative is deploying communication systems, ISDN (telephone) and IP (network) audio/video (A/V) and web browser-based, to build the infrastructure needed to support remote physics meetings, seminars and interactive discussions

  3. Characterization of Pb(Zr, Ti)O3 thin films fabricated by plasma enhanced chemical vapor deposition on Ir-based electrodes

    International Nuclear Information System (INIS)

    Lee, Hee-Chul; Lee, Won-Jong

    2002-01-01

    Structural and electrical characteristics of Pb(Zr, Ti)O 3 (PZT) ferroelectric thin films deposited on various Ir-based electrodes (Ir, IrO 2 , and Pt/IrO 2 ) using electron cyclotron resonance plasma enhanced chemical vapor deposition were investigated. On the Ir electrode, stoichiometric PZT films with pure perovskite phase could be obtained over a very wide range of processing conditions. However, PZT films prepared on the IrO 2 electrode contain a large amount of PbO x phases and exhibited high Pb-excess composition. The deposition characteristics were dependent on the behavior of PbO molecules on the electrode surface. The PZT thin film capacitors prepared on the Ir bottom electrode showed different electrical properties depending on top electrode materials. The PZT capacitors with Ir, IrO 2 , and Pt top electrodes showed good leakage current characteristics, whereas those with the Ru top electrode showed a very high leakage current density. The PZT capacitor exhibited the best fatigue endurance with an IrO 2 top electrode. An Ir top electrode provided better fatigue endurance than a Pt top electrode. The PZT capacitor with an Ir-based electrode is thought to be attractive for the application to ferroelectric random access memory devices because of its wide processing window for a high-quality ferroelectric film and good polarization, fatigue, and leakage current characteristics

  4. Gas Diffusion Barriers Prepared by Spatial Atmospheric Pressure Plasma Enhanced ALD.

    Science.gov (United States)

    Hoffmann, Lukas; Theirich, Detlef; Pack, Sven; Kocak, Firat; Schlamm, Daniel; Hasselmann, Tim; Fahl, Henry; Räupke, André; Gargouri, Hassan; Riedl, Thomas

    2017-02-01

    In this work, we report on aluminum oxide (Al 2 O 3 ) gas permeation barriers prepared by spatial ALD (SALD) at atmospheric pressure. We compare the growth characteristics and layer properties using trimethylaluminum (TMA) in combination with an Ar/O 2 remote atmospheric pressure plasma for different substrate velocities and different temperatures. The resulting Al 2 O 3 films show ultralow water vapor transmission rates (WVTR) on the order of 10 -6 gm -2 d -1 . In notable contrast, plasma based layers already show good barrier properties at low deposition temperatures (75 °C), while water based processes require a growth temperature above 100 °C to achieve equally low WVTRs. The activation energy for the water permeation mechanism was determined to be 62 kJ/mol.

  5. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  6. In vitro percutaneous absorption enhancement of granisetron by chemical penetration enhancers.

    Science.gov (United States)

    Zhao, Nanxi; Cun, Dongmei; Li, Wei; Ma, Xu; Sun, Lin; Xi, Honglei; Li, Li; Fang, Liang

    2013-04-01

    Granisetron (GRN), a potent antiemetic agent, is frequently used to prevent nausea and vomiting induced by cancer cytotoxic chemotherapy and radiation therapy. As part of our efforts to further modify the physicochemical properties of this market drug, with the ultimate goal to formulate a better dosage form for GRN, this work was carried out to improve its permeability in vitro. The permeation behavior of GRN in isopropyl myristate (IPM) was investigated across excised rabbit abdominal skin and the enhancing activities of three novel O-acylmenthol derivatives synthesized in our laboratory as well as five well-known chemical enhancers were evaluated. It was found that the steady-state flux of granisetron free base (GRN-B) was about 26-fold higher than that of granisetron hydrochloride (GRN-H). The novel enhancer, 2-isopropyl-5-methylcyclohexyl heptanoate (M-HEP), was observed to provide the most significant enhancement for the absorption of GRN-B. When incorporated in the donor solution with the optimal enhancer M-HEP, the steady-state flux of GRN-B increased from (196.44 ± 12.03) μg·cm⁻²·h⁻¹ to (1044.95 ± 71.99) μg·cm⁻²·h⁻¹ (P < 0.01). These findings indicated that the application of chemical enhancers was an effective approach to increase the percutaneous absorption of GRN in vitro.

  7. Degradation of sulfur dioxide using plasma technology; Degradacion de dioxido de azufre empleando tecnologia de plasma

    Energy Technology Data Exchange (ETDEWEB)

    Estrada M, N.; Garcia E, R. [Instituto Tecnologico de Toluca, Av. Tecnologico s/n, Ex-Rancho La Virgen, 52140 Metepec, Estado de Mexico (Mexico); Pacheco P, M.; Valdivia B, R.; Pacheco S, J., E-mail: nadiaemz@yahoo.com.mx [ININ, Carretera Mexico-Toluca s/n, 52750 Ocoyoacac, Estado de Mexico (Mexico)

    2013-07-01

    This paper presents the electro-chemical study performed for sulfur dioxide (SO{sub 2}) treatment using non thermal plasma coupled to a nano structured fluid bed enhancing the toxic gas removal and the adsorption of acids formed during plasma treatment, more of 80% of removal was obtained. Non thermal plasma was ignited by dielectric barrier discharge (Dbd). The research was developed through an analysis of the chemical kinetics of the process and experimental study of degradation; in each experiment the electrical parameters and the influence of carbon nano structures were monitored to establish the optimal conditions of degradation. We compared the theoretical and experimental results to conclude whether the proposed model is correct for degradation. (Author)

  8. Metal-boride phase formation on tungsten carbide (WC-Co) during microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Johnston, Jamin M.; Catledge, Shane A., E-mail: catledge@uab.edu

    2016-02-28

    Graphical abstract: - Highlights: • A detailed phase analysis after PECVD boriding shows WCoB, CoB and/or W{sub 2}CoB{sub 2}. • EDS of PECVD borides shows boron diffusion into the carbide grain structure. • Nanoindentation hardness and modulus of borides is 23–27 GPa and 600–780 GPa. • Scratch testing shows hard coating with cracking at 40N and spallation at 70N. - Abstract: Strengthening of cemented tungsten carbide by boriding is used to improve the wear resistance and lifetime of carbide tools; however, many conventional boriding techniques render the bulk carbide too brittle for extreme conditions, such as hard rock drilling. This research explored the variation in metal-boride phase formation during the microwave plasma enhanced chemical vapor deposition process at surface temperatures from 700 to 1100 °C. We showed several well-adhered metal-boride surface layers consisting of WCoB, CoB and/or W{sub 2}CoB{sub 2} with average hardness from 23 to 27 GPa and average elastic modulus of 600–730 GPa. The metal-boride interlayer was shown to be an effective diffusion barrier against elemental cobalt; migration of elemental cobalt to the surface of the interlayer was significantly reduced. A combination of glancing angle X-ray diffraction, electron dispersive spectroscopy, nanoindentation and scratch testing was used to evaluate the surface composition and material properties. An evaluation of the material properties shows that plasma enhanced chemical vapor deposited borides formed at substrate temperatures of 800 °C, 850 °C, 900 °C and 1000 °C strengthen the material by increasing the hardness and elastic modulus of cemented tungsten carbide. Additionally, these boride surface layers may offer potential for adhesion of ultra-hard carbon coatings.

  9. Metal-boride phase formation on tungsten carbide (WC-Co) during microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Johnston, Jamin M.; Catledge, Shane A.

    2016-01-01

    Graphical abstract: - Highlights: • A detailed phase analysis after PECVD boriding shows WCoB, CoB and/or W_2CoB_2. • EDS of PECVD borides shows boron diffusion into the carbide grain structure. • Nanoindentation hardness and modulus of borides is 23–27 GPa and 600–780 GPa. • Scratch testing shows hard coating with cracking at 40N and spallation at 70N. - Abstract: Strengthening of cemented tungsten carbide by boriding is used to improve the wear resistance and lifetime of carbide tools; however, many conventional boriding techniques render the bulk carbide too brittle for extreme conditions, such as hard rock drilling. This research explored the variation in metal-boride phase formation during the microwave plasma enhanced chemical vapor deposition process at surface temperatures from 700 to 1100 °C. We showed several well-adhered metal-boride surface layers consisting of WCoB, CoB and/or W_2CoB_2 with average hardness from 23 to 27 GPa and average elastic modulus of 600–730 GPa. The metal-boride interlayer was shown to be an effective diffusion barrier against elemental cobalt; migration of elemental cobalt to the surface of the interlayer was significantly reduced. A combination of glancing angle X-ray diffraction, electron dispersive spectroscopy, nanoindentation and scratch testing was used to evaluate the surface composition and material properties. An evaluation of the material properties shows that plasma enhanced chemical vapor deposited borides formed at substrate temperatures of 800 °C, 850 °C, 900 °C and 1000 °C strengthen the material by increasing the hardness and elastic modulus of cemented tungsten carbide. Additionally, these boride surface layers may offer potential for adhesion of ultra-hard carbon coatings.

  10. Radioimmunoassay and chemical ionization/mass spectrometry compared for plasma cortisol determination

    International Nuclear Information System (INIS)

    Lindberg, C.; Johnson, S.; Hedner, P.; Gustafsson, A.

    1982-01-01

    A method is described for determination of cortisol in plasma and urine, based on chemical ionization/mass spectrometry with deuterium-labeled cortisol as the internal standard. The within-run precision (CV) was 2.5-5.7%, the between-run precision 4.6%. Results by this method were compared with those by a radioimmunological method (RIANEN Cortisol, New England Nuclear) for 395 plasma samples. The latter method gave significantly higher (approx. 25%) cortisol values

  11. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  12. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  13. Patterned growth of carbon nanotubes obtained by high density plasma chemical vapor deposition

    Science.gov (United States)

    Mousinho, A. P.; Mansano, R. D.

    2015-03-01

    Patterned growth of carbon nanotubes by chemical vapor deposition represents an assembly approach to place and orient nanotubes at a stage as early as when they are synthesized. In this work, the carbon nanotubes were obtained at room temperature by High Density Plasmas Chemical Vapor Deposition (HDPCVD) system. This CVD system uses a new concept of plasma generation, where a planar coil coupled to an RF system for plasma generation was used with an electrostatic shield for plasma densification. In this mode, high density plasmas are obtained. We also report the patterned growth of carbon nanotubes on full 4-in Si wafers, using pure methane plasmas and iron as precursor material (seed). Photolithography processes were used to pattern the regions on the silicon wafers. The carbon nanotubes were characterized by micro-Raman spectroscopy, the spectra showed very single-walled carbon nanotubes axial vibration modes around 1590 cm-1 and radial breathing modes (RBM) around 120-400 cm-1, confirming that high quality of the carbon nanotubes obtained in this work. The carbon nanotubes were analyzed by atomic force microscopy and scanning electron microscopy too. The results showed that is possible obtain high-aligned carbon nanotubes with patterned growth on a silicon wafer with high reproducibility and control.

  14. Silicon nitride films fabricated by a plasma-enhanced chemical vapor deposition method for coatings of the laser interferometer gravitational wave detector

    Science.gov (United States)

    Pan, Huang-Wei; Kuo, Ling-Chi; Huang, Shu-Yu; Wu, Meng-Yun; Juang, Yu-Hang; Lee, Chia-Wei; Chen, Hsin-Chieh; Wen, Ting Ting; Chao, Shiuh

    2018-01-01

    Silicon is a potential substrate material for the large-areal-size mirrors of the next-generation laser interferometer gravitational wave detector operated in cryogenics. Silicon nitride thin films uniformly deposited by a chemical vapor deposition method on large-size silicon wafers is a common practice in the silicon integrated circuit industry. We used plasma-enhanced chemical vapor deposition to deposit silicon nitride films on silicon and studied the physical properties of the films that are pertinent to application of mirror coatings for laser interferometer gravitational wave detectors. We measured and analyzed the structure, optical properties, stress, Young's modulus, and mechanical loss of the films, at both room and cryogenic temperatures. Optical extinction coefficients of the films were in the 10-5 range at 1550-nm wavelength. Room-temperature mechanical loss of the films varied in the range from low 10-4 to low 10-5 within the frequency range of interest. The existence of a cryogenic mechanical loss peak depended on the composition of the films. We measured the bond concentrations of N - H , Si - H , Si - N , and Si - Si bonds in the films and analyzed the correlations between bond concentrations and cryogenic mechanical losses. We proposed three possible two-level systems associated with the N - H , Si - H , and Si - N bonds in the film. We inferred that the dominant source of the cryogenic mechanical loss for the silicon nitride films is the two-level system of exchanging position between a H+ and electron lone pair associated with the N - H bond. Under our deposition conditions, superior properties in terms of high refractive index with a large adjustable range, low optical absorption, and low mechanical loss were achieved for films with lower nitrogen content and lower N - H bond concentration. Possible pairing of the silicon nitride films with other materials in the quarter-wave stack is discussed.

  15. Long-term stable water vapor permeation barrier properties of SiN/SiCN/SiN nanolaminated multilayers grown by plasma-enhanced chemical vapor deposition at extremely low pressures

    International Nuclear Information System (INIS)

    Choi, Bum Ho; Lee, Jong Ho

    2014-01-01

    We investigated the water vapor permeation barrier properties of 30-nm-thick SiN/SiCN/SiN nanolaminated multilayer structures grown by plasma enhanced chemical vapor deposition at 7 mTorr. The derived water vapor transmission rate was 1.12 × 10 −6 g/(m 2 day) at 85 °C and 85% relative humidity, and this value was maintained up to 15 000 h of aging time. The X-ray diffraction patterns revealed that the nanolaminated film was composed of an amorphous phase. A mixed phase was observed upon performing high resolution transmission electron microscope analysis, which indicated that a thermodynamically stable structure was formed. It was revealed amorphous SiN/SiCN/SiN multilayer structures that are free from intermixed interface defects effectively block water vapor permeation into active layer

  16. [Distribution of chemical elements in whole blood and plasma].

    Science.gov (United States)

    Barashkov, G K; Zaĭtseva, L I; Kondakhchan, M A; Konstantinova, E A

    2003-01-01

    The distribution factor (Fd) of 35 elements of plasma and whole blood in 26 healthy men and women was detected by ICP-OES. Usilig this parameter the elements were subdivided in 3 pools. 9 of them have Fd higher than 1.5 ("elements of plasma"-Ag, Ca, Cu, In, Li, Na, Se, Si, Sr); 6 have lower than 0.5 ("elements of blood cells"-Fe, K, Mn, Ni, V, Zn), other 20-about 1 ("blood elements"). Fd of all elements depends on ionic radius. Elements of 2nd sub-groups of all groups of Mendeleev's periodic table ("heavy metals") depend on the similar law: "with growing of ionic radius the concentration of elements in plasma enhances". In alkaline metals Fd depends on the opposite law:" with growing of ionic radius of alkaline metal the quantity of elements in blood cells enhance". Dependence of Fd on the value of atomic mass in periods or in exterior electronic cloud (s-, p-, d-, f-) was not established. The table of distribution of all detected elements in whole blood in relation to 8 macroelements (Ca, Mg, K, Na, S, P, Fe, Zn,) is presented, as a basic diagnostic criteria in metal-ligand homeostasis disturbance.

  17. Plasma assisted fabrication of multi-layer graphene/nickel hybrid film as enhanced micro-supercapacitor electrodes

    Science.gov (United States)

    Ding, Q.; Li, W. L.; Zhao, W. L.; Wang, J. Y.; Xing, Y. P.; Li, X.; Xue, T.; Qi, W.; Zhang, K. L.; Yang, Z. C.; Zhao, J. S.

    2017-03-01

    A facile synthesis strategy has been developed for fabricating multi-layer graphene/nickel hybrid film as micro-supercapacitor electrodes by using plasma enhanced chemical vapor deposition. The as-presented method is advantageous for rapid graphene growth at relatively low temperature of 650 °C. In addition, after pre-treating for the as-deposited nickel film by using argon plasma bombardment, the surface-to-volume ratio of graphene film on the treated nickel substrate is effectively increased by the increasing of surface roughness. This is demonstrated by the characterization results from transmission electron microscopy, scanning electron microscope and atomic force microscopy. Moreover, the electrochemical performance of the resultant graphene/nickel hybrid film as micro-supercapacitor working electrode was investigated by cyclic voltammetry and galvanostatic charge/discharge measurements. It was found that the increase of the surface-to-volume ratio of graphene/nickel hybrid film improved the specific capacitance of 10 times as the working electrode of micro-supercapacitor. Finally, by using comb columnar shadow mask pattern, the micro-supercapacitor full cell device was fabricated. The electrochemical performance measurements of the micro-supercapacitor devices indicate that the method presented in this study provides an effective way to fabricate micro-supercapacitor device with enhanced energy storage property.

  18. RF-plasma vapor deposition of siloxane on paper. Part 2: Chemical evolution of paper surface

    International Nuclear Information System (INIS)

    Sahin, Halil Turgut

    2013-01-01

    Highlights: ► Investigate the detailed RF-cold plasma surface modified paper by XPS and ATR-FTIR. ► Some chemical analysis of RF-cold plasma surface modified paper after RF plasma treatment. ► Identify the connection between RF plasma treatment and the surface chemistry of paper surface. - Abstract: Survey and high-resolution (HR) XPS studies indicate that OMCTSO plasma treatment created a new silicon containing functional groups and changed the hydroxyl content on the surface of paper. Four intense survey XPS spectrum peaks were observed for the OMCTSO plasma treated paper. They were the Si 2p at 100 eV, Si 2s at 160 eV, C 1s at 285 eV, and O 1s at 525 eV for the plasma modified surface. It was realized that the macromolecular chain-breaking mechanisms and plasma-induced etching processes control the number and the availability of OH-functionalities during OMCTSO plasma exposure on paper. The reaction, initiated by these species, depends mainly on the nature of chemicals in the plasma as well as on the energy level of the plasma and the nature of the surface effects in the modification of the paper. The ATR-FTIR spectrum of paper treated with OMCTSO plasma has characteristic absorption bands attributed to the Si-O and Si-O-Si formations on the surface.

  19. Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride

    Energy Technology Data Exchange (ETDEWEB)

    Provine, J., E-mail: jprovine@stanford.edu; Schindler, Peter; Kim, Yongmin; Walch, Steve P.; Kim, Hyo Jin [Department of Mechanical Engineering, Stanford University, Stanford, California 94305 (United States); Kim, Ki-Hyun [Manufacturing Technology Center, Samsung Electronics, Suwon, Gyeonggi-Do (Korea, Republic of); Prinz, Fritz B. [Department of Mechanical Engineering, Stanford University, Stanford, California 94305 (United States); Department of Materials Science and Engineering, Stanford University, Stanford, California 94305 (United States)

    2016-06-15

    The continued scaling in transistors and memory elements has necessitated the development of atomic layer deposition (ALD) of silicon nitride (SiN{sub x}), particularly for use a low k dielectric spacer. One of the key material properties needed for SiN{sub x} films is a low wet etch rate (WER) in hydrofluoric (HF) acid. In this work, we report on the evaluation of multiple precursors for plasma enhanced atomic layer deposition (PEALD) of SiN{sub x} and evaluate the film’s WER in 100:1 dilutions of HF in H{sub 2}O. The remote plasma capability available in PEALD, enabled controlling the density of the SiN{sub x} film. Namely, prolonged plasma exposure made films denser which corresponded to lower WER in a systematic fashion. We determined that there is a strong correlation between WER and the density of the film that extends across multiple precursors, PEALD reactors, and a variety of process conditions. Limiting all steps in the deposition to a maximum temperature of 350 °C, it was shown to be possible to achieve a WER in PEALD SiN{sub x} of 6.1 Å/min, which is similar to WER of SiN{sub x} from LPCVD reactions at 850 °C.

  20. Compression enhancement by current stepping in a multicascade liner gas-puff Z-pinch plasma

    Energy Technology Data Exchange (ETDEWEB)

    Khattak, N A D [Department of Physics, Gomal Unversity, D I Khan (Pakistan); Ahmad, Zahoor; Murtaza, G [National Tokamak Fusion Program, PAEC, Islamabad (Pakistan); Zakaullah, M [Department of Physics, Quaid-i-Azam University, Islamabad 45320 (Pakistan)], E-mail: ktk_nad@yahoo.com

    2008-04-15

    Plasma dynamics of a liner consisting of two or three annular cascade gas-puffs with entrained axial magnetic field is studied using the modified snow-plow model. The current stepping technique (Les 1984 J. Phys. D: Appl. Phys. 17 733) is employed to enhance compression of the imploding plasma. A small-diameter low-voltage-driven system of imploding plasma is considered in order to work out the possibility of the highest gain, in terms of plasma parameters and radiation yield with a relatively simple and compact system. Our numerical results demonstrate that current stepping enhances the plasma compression, yielding high values of the plasma parameters and compressed magnetic field B{sub z} (in magnitudes), if the switching time for the additional current is properly synchronized.

  1. Compression enhancement by current stepping in a multicascade liner gas-puff Z-pinch plasma

    International Nuclear Information System (INIS)

    Khattak, N A D; Ahmad, Zahoor; Murtaza, G; Zakaullah, M

    2008-01-01

    Plasma dynamics of a liner consisting of two or three annular cascade gas-puffs with entrained axial magnetic field is studied using the modified snow-plow model. The current stepping technique (Les 1984 J. Phys. D: Appl. Phys. 17 733) is employed to enhance compression of the imploding plasma. A small-diameter low-voltage-driven system of imploding plasma is considered in order to work out the possibility of the highest gain, in terms of plasma parameters and radiation yield with a relatively simple and compact system. Our numerical results demonstrate that current stepping enhances the plasma compression, yielding high values of the plasma parameters and compressed magnetic field B z (in magnitudes), if the switching time for the additional current is properly synchronized

  2. TPE upgrade for enhancing operational safety and improving in-vessel tritium inventory assessment in fusion nuclear environment

    Energy Technology Data Exchange (ETDEWEB)

    Shimada, M., E-mail: Masashi.Shimada@inl.gov [Fusion Safety Program, Idaho National Laboratory, Idaho Falls, ID 83415 (United States); Taylor, C.N.; Moore-McAteer, L.; Pawelko, R.J. [Fusion Safety Program, Idaho National Laboratory, Idaho Falls, ID 83415 (United States); Kolasinski, R.D.; Buchenauer, D.A. [Sandia National Laboratories, Hydrogen and Materials Science Department, Livermore, CA 94550 (United States); Cadwallader, L.C.; Merrill, B.J. [Fusion Safety Program, Idaho National Laboratory, Idaho Falls, ID 83415 (United States)

    2016-11-01

    The Tritium Plasma Experiment (TPE) is a unique high-flux linear plasma device that can handle beryllium, tritium, and neutron-irradiated plasma facing materials, and is the only existing device dedicated to evaluate in-vessel tritium inventory in the nuclear environment for fusion safety. The electrical upgrade were recently carried out to enhance operational safety and to improve plasma performance. New DC power supplies and a new control center enable remote plasma operations from outside of the contamination area for tritium, minimizing the possible exposure risk with tritium and beryllium and eliminating heat stress issue. In November 2015, the TPE successfully achieved first deuterium plasma via remote operation after a significant three-year upgrade. Simple linear scaling estimate showed that the TPE is expected to achieve Γ{sub i}{sup max} of >1.0 × 10{sup 23} m{sup −2} s{sup −1} and q{sub heat} of >1 MW m{sup −2} with new power supplies. This upgrade not only improves operational safety of the worker, but also enhances plasma performance to better simulate extreme plasma-material conditions expected in ITER, FNSF, and DEMO for improving in-vessel tritium inventory assessment in fusion nuclear environment.

  3. Chemical reactions inside the plasma chamber of the SEAFP reactor plant models

    International Nuclear Information System (INIS)

    Gay, J.M.; Ebert, E.; Mazille, F.

    1995-01-01

    Loss of coolant or loss of vacuum accidents may lead to chemical reactions between the protecting materials of the plasma facing components and air or water. A production of energy, reaction products and hydrogen may be induced. The paper defines the operating conditions and chemical reactions and presents the main results from the underlying studies. (orig.)

  4. Enhancement of the incoherent scattering plasma lines due to precipitating protons and secondary electrons

    International Nuclear Information System (INIS)

    Bjoernaa, N.; Havnes, O.; Jensen, J.O.; Trulsen, J.

    1982-01-01

    Precipitating protons in the energy range 1-100 keV are regularly present in the auroral ionosphere. These protons will produce enhancements in the intensity of the upshifted plasma line of the incoherently scattered spectrum. Similarly, secondary electrons produced by the precipitating protons give rise to enhanced plasma line intensities. For a quantitative discussion of these effects an experimentally measured proton flux is adapted and the corresponding secondary electron flux calculated. These particle fluxes are then applied in connection with the EISCAT radar facility. Both fluxes give rise to enhancements of the order of 20. It is possible to separate between proton and electron contributions to the enhanced plasma lines for scattering heights above the source region of secondary electrons. (Auth.)

  5. Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide

    International Nuclear Information System (INIS)

    Lehnert, Wolfgang; Ruhl, Guenther; Gschwandtner, Alexander

    2012-01-01

    Among many promising high-k dielectrics, TiO 2 is an interesting candidate because of its relatively high k value of over 40 and its easy integration into existing semiconductor manufacturing schemes. The most critical issues of TiO 2 are its low electrical stability and its high leakage current density. However, doping TiO 2 with Al has shown to yield significant improvement of layer quality on Ru electrodes [S. K. Kim et al., Adv. Mater. 20, 1429 (2008)]. In this work we investigated if atomic layer deposition (ALD) of Al doped TiO 2 is feasible in a batch system. Electrical characterizations were done using common electrode materials like TiN, TaN, or W. Additionally, the effect of plasma enhanced processing in this reactor was studied. For this investigation a production batch ALD furnace has been retrofitted with a plasma source which can be used for post deposition anneals with oxygen radicals as well as for directly plasma enhanced ALD. After evaluation of several Ti precursors a deposition process for AlTiO x with excellent film thickness and composition uniformity was developed. The effects of post deposition anneals, Al 2 O 3 interlayers between electrode and TiO 2 , Al doping concentration, plasma enhanced deposition and electrode material type on leakage current density are shown. An optimized AlTiO x deposition process on TaN electrodes yields to leakage current density of 5 x 10 -7 A/cm 2 at 2 V and k values of about 35. Thus, it could be demonstrated that a plasma enhanced batch ALD process for Al doped TiO 2 is feasible with acceptable leakage current density on a standard electrode material.

  6. Surface modification of polyester fabrics by atmospheric-pressure air/He plasma for color strength and adhesion enhancement

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Chunming, E-mail: zcm1229@126.com [College of Textiles and Clothing, Qingdao University, Qingdao 266071 (China); Sunvim Grp Co Ltd, Gaomi 261500 (China); Zhao, Meihua; Wang, Libing; Qu, Lijun [College of Textiles and Clothing, Qingdao University, Qingdao 266071 (China); Men, Yajing [Sunvim Grp Co Ltd, Gaomi 261500 (China)

    2017-04-01

    Highlights: • Air/He plasma gave hydrophilicity on polyester surface and decreased contact angle to 18°. • The roughness of polyester increased and pit-like structures appeared on the surface after plasma treatment. • XPS confirmed the generation of new functional groups on polyester fabric. • The improved pigment color yield and anti-bleeding performance were contributed by the alteration of pigment adhesion. • The air/He plasma was more effective than air plasma at the same treatment time. - Abstract: Surface properties of water-based pigmented inks for ink-jet printed polyester fabrics were modified with atmospheric-pressure air/He plasma to improve the color strength and pigment adhesion of the treated surfaces. The influence of various parameters, including the surface morphology, chemical compositions, surface energy and dynamic contact angles of the control and plasma treated samples was studied. Color strength and edge definition were used to evaluate the ink-jet printing performance of fabrics. The change in pigment adhesion to polyester fibers was analyzed by SEM (scanning electron microscopy). AFM (Atomic force microscope) and XPS (X-ray photoelectron spectroscopy) analyses indicated the increase in surface roughness and the oxygen-containing polar groups(C=O, C−OH and COOH) reinforced the fixation of pigments on the fiber surface. The result from this study suggested that the improved pigment color yield was clearly affected by alteration of pigment adhesion enhanced by plasma surface modification. Polyester fabrics exhibited better surface property and ink-jet printing performance after the air/He mixture plasma treatment comparing with those after air plasma treatment.

  7. Surface modification of polyester fabrics by atmospheric-pressure air/He plasma for color strength and adhesion enhancement

    International Nuclear Information System (INIS)

    Zhang, Chunming; Zhao, Meihua; Wang, Libing; Qu, Lijun; Men, Yajing

    2017-01-01

    Highlights: • Air/He plasma gave hydrophilicity on polyester surface and decreased contact angle to 18°. • The roughness of polyester increased and pit-like structures appeared on the surface after plasma treatment. • XPS confirmed the generation of new functional groups on polyester fabric. • The improved pigment color yield and anti-bleeding performance were contributed by the alteration of pigment adhesion. • The air/He plasma was more effective than air plasma at the same treatment time. - Abstract: Surface properties of water-based pigmented inks for ink-jet printed polyester fabrics were modified with atmospheric-pressure air/He plasma to improve the color strength and pigment adhesion of the treated surfaces. The influence of various parameters, including the surface morphology, chemical compositions, surface energy and dynamic contact angles of the control and plasma treated samples was studied. Color strength and edge definition were used to evaluate the ink-jet printing performance of fabrics. The change in pigment adhesion to polyester fibers was analyzed by SEM (scanning electron microscopy). AFM (Atomic force microscope) and XPS (X-ray photoelectron spectroscopy) analyses indicated the increase in surface roughness and the oxygen-containing polar groups(C=O, C−OH and COOH) reinforced the fixation of pigments on the fiber surface. The result from this study suggested that the improved pigment color yield was clearly affected by alteration of pigment adhesion enhanced by plasma surface modification. Polyester fabrics exhibited better surface property and ink-jet printing performance after the air/He mixture plasma treatment comparing with those after air plasma treatment.

  8. Chemically different non-thermal plasmas target distinct cell death pathways

    Czech Academy of Sciences Publication Activity Database

    Lunov, O.; Zablotskyy, V.; Chrupina, O.; Lunova, M.; Jirsa, M.; Dejneka, A.; Kubinová, Šárka

    2017-01-01

    Roč. 7, apr (2017), s. 600 ISSN 2045-2322 R&D Projects: GA MŠk(CZ) LO1309 Institutional support: RVO:68378041 Keywords : chemically different * non-thermal plasmas * target distinct cell death pathways Subject RIV: FP - Other Medical Disciplines OBOR OECD: Biophysics Impact factor: 4.259, year: 2016

  9. BPX commitment to total remote maintenance

    International Nuclear Information System (INIS)

    Davis, F.C.; Burgess, T.W.

    1991-01-01

    The Burning Plasma Experiment (BPX), to be located at Princeton Plasma Physics Laboratory, is the next major experimental machine in the US Fusion Program. It will be fueled with deuterium-tritium (D-T) that, when burned, will generate high-energy neutrons. This will activate the various materials used in construction of the machine, which will result in high levels of gamma radiation. Any subsequent maintenance activities on the machine or in the test cell area must be performed remotely. The initial criteria for BPX assumed that failure of toroidal field (TF) coil or poloidal field (PF) coil was an unlikely event. Therefore, no provisions were made for remote replacement. Expected failures were limited to the plasma-facing components and the external auxiliary equipment such as heating systems and diagnostics. Recent coil failures experienced at the Tokamak Fusion Test Reactor (TFTR), the Joint European Torus (JET), JT-60, and Tore Supra caused the BPX project staff to reconsider the need for remote replacement. A study was undertaken to investigate how the project would be affected if the capability to recover from a coil failure were required. Potential effects including configuration changes to the machine and facility, project cost, and project operation were considered. The study revealed that it is indeed feasible to design BPX for remote recovery from any coil failure. However, for this to be accomplished effectively, it is imperative to incorporate the necessary remote maintenance features of the components to be remotely replaced into the original design along with all of the other functional features. The remote maintenance capability cannot be retrofitted after the design is complete or the equipment is built. This paper discusses the impacts of the coil remote replacement study and the subsequent changes to the design. 4 figs., 1 tab

  10. Plasma-chemical simulation of negative corona near the inception voltage

    Science.gov (United States)

    Pontiga, Francisco; Duran-Olivencia, Francisco J.; Castellanos, Antonio

    2013-09-01

    The spatiotemporal development of Trichel pulses in oxygen between a spherical electrode and a grounded plane has been simulated using a fluid approximation that incorporates the plasma chemistry of the electrical discharge. Elementary plasma processes, such as ionization, electron attachment, electron detachment, recombination between ions and chemical reactions between neutral species, are all included in a chemical model consisting of 55 reactions between 8 different species (electrons, O2+,O2-,O3-,O-, O2, O, O3). Secondary emission at the cathode by the impact of positive ions and photons is also considered. The spatial distribution of species is computed in three dimensions (2D-axysimmetrical) by solving Poisson's equation for the electric field and the continuity equations for the species, with the inclusion of the chemical gain/loss rate due to the particle interaction. The results of the simulation reveal the interplay between the different negative ions during the development of every Trichel pulse, and the rate of production of atomic oxygen and ozone by the corona discharge. This work was supported by the Consejeria de Innovacion, Ciencia y Empresa (Junta de Andalucia) and by the Ministerio de Ciencia e Innovacion, Spain, within the European Regional Development Fund contracts FQM-4983 and FIS2011-25161.

  11. Low-temperature ({<=}200 Degree-Sign C) plasma enhanced atomic layer deposition of dense titanium nitride thin films

    Energy Technology Data Exchange (ETDEWEB)

    Samal, Nigamananda; Du Hui; Luberoff, Russell; Chetry, Krishna; Bubber, Randhir; Hayes, Alan; Devasahayam, Adrian [Veeco Instruments, 1 Terminal Drive, Plainview, New York 11803 (United States)

    2013-01-15

    Titanium nitride (TiN) has been widely used in the semiconductor industry for its diffusion barrier and seed layer properties. However, it has seen limited adoption in other industries in which low temperature (<200 Degree-Sign C) deposition is a requirement. Examples of applications which require low temperature deposition are seed layers for magnetic materials in the data storage (DS) industry and seed and diffusion barrier layers for through-silicon-vias (TSV) in the MEMS industry. This paper describes a low temperature TiN process with appropriate electrical, chemical, and structural properties based on plasma enhanced atomic layer deposition method that is suitable for the DS and MEMS industries. It uses tetrakis-(dimethylamino)-titanium as an organometallic precursor and hydrogen (H{sub 2}) as co-reactant. This process was developed in a Veeco NEXUS Trade-Mark-Sign chemical vapor deposition tool. The tool uses a substrate rf-biased configuration with a grounded gas shower head. In this paper, the complimentary and self-limiting character of this process is demonstrated. The effects of key processing parameters including temperature, pulse time, and plasma power are investigated in terms of growth rate, stress, crystal morphology, chemical, electrical, and optical properties. Stoichiometric thin films with growth rates of 0.4-0.5 A/cycle were achieved. Low electrical resistivity (<300 {mu}{Omega} cm), high mass density (>4 g/cm{sup 3}), low stress (<250 MPa), and >85% step coverage for aspect ratio of 10:1 were realized. Wet chemical etch data show robust chemical stability of the film. The properties of the film have been optimized to satisfy industrial viability as a Ruthenium (Ru) preseed liner in potential data storage and TSV applications.

  12. Effect of the hydrogen flow rate on the structural and optical properties of hydrogenated amorphous silicon thin films prepared by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ben Amor, Sana; Dimassi, Wissem; Ali Tebai, Mohamed; Ezzaouia, Hatem [Photovoltaic Laboratory Research and Technology Centre of Energy, Borj-Cedria Science and Technology Park, BP 95, 2050 Hammam-Lif (Tunisia)

    2012-10-15

    Hydrogenated amorphous silicon (a-Si:H) thin films were deposited from pure silane (SiH{sub 4}) and hydrogen (H{sub 2}) gas mixture by plasma enhanced chemical vapor deposition (PECVD) method at low temperature (400 C) using high rf power (60 W). The structural and optical properties of these films are systematically investigated as a function of the flow rate of hydrogen (F{sub H2}).The surface morphology is analyzed by atomic force microscopy (AFM). The characterization of these films with low angle X-ray diffraction revealed that the crystallite size in the films tends to decrease with increase in (F{sub H2}). The Fourier transform infrared (FTIR) spectroscopic analysis showed that at low values of (F{sub H2}),the hydrogen bonding in Si:H films shifts from di-hydrogen (Si-H{sub 2}) and (Si-H{sub 2})n complexes to the mono-hydrogen (Si-H) bonding configuration. Finally, for these optimized conditions, the deposition rate decreases with increasing (F{sub H2}). (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Diagnostic study of low-pressure Ar-O2 remote plasma generated in HCD-L 300 system: Relative density of O atom

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.

    2007-01-01

    The relative density of O atom of Ar-O 2 remote plasma excited in a low pressure 13.56 HMz hollow cathode discharge system has been investigated. The measurements were carried out at a total pressure of 0.05 mbar, radiofrequency (RF) power of 200 W and at three different axial distances in the plasma chamber below the outlet of the discharge source. Using optical emission spectroscopy (OES), the relative density of O ground state was determined from intensity ratio of O(844.6 nm) and Ar(750.4 nm) lines. The electron temperature and O 2 + densities have been measured using double langmuir probe measurements. The kinetic study of Ar-O 2 plasma, combined with both spectroscopy and langmuir probe measurements, revealed that the main production mechanism of the excited O(3p 3 P) is direct excitation by electron impact. A maximum of O ground state relative density and correspondingly a minimum of O 2 + density are obtained for the ratio O 2 /Ar: 60/40. The maximum O density in the remote zone is found to be 4.5 times higher than at the outlet of source. (author)

  14. Enhancing gas-phase reaction in a plasma using high intensity and high power ultrasonic acoustic waves

    DEFF Research Database (Denmark)

    2010-01-01

    is absorbed into said plasma (104), and where a sound pressure level of said generated ultrasonic high intensity and high power acoustic waves (102) is at least substantially 140 dB and where an acoustic power of said generated ultrasonic high intensity and high power acoustic waves (102); is at least...... substantially 100 W. In this way, a high sound intensity and power are obtained that efficiently enhances a gas-phase reaction in the plasma, which enhances the plasma process, e.g. enabling more efficient ozone or hydrogen generation using plasma in relation to reaction speed and/or obtained concentration......This invention relates to enhancing a gas-phase reaction in a plasma comprising: creating plasma (104) by at least one plasma source (106), and wherein that the method further comprises: generating ultrasonic high intensity and high power acoustic waves (102) having a predetermined amount...

  15. Mockup testing of remote systems for zirconium fuel dissolution process at the Idaho Chemical Processing Plant

    International Nuclear Information System (INIS)

    Paige, D.M.

    1979-01-01

    A facility is being constructed at the Idaho National Engineering Laboratory for storage and dissolution of spent zirconium reactor fuels. The dissolution is carried out in chemical type equipment contained in a large shielded cell. The design provides for remote operations and maintenance as required. Equipment predicted to fail within 5 years is designed for remote maintenance. Each system was fabricated for mockup testing using readily available materials. The mockups were tested, redesigned, and retested until satisfactory remote designs were achieved. Records were made of all the work. All design changes were then incorporated into the ongoing detailed design for the actual equipment. Several of these systems are discussed and they include valve replacement, pump replacement, waste solids handling, mechanism operations and others. The mockup program has saved time and money by eliminating many future problems. In addition, the mockup program will continue through construction, cold startup, and hot operations

  16. Chemicals to enhance microalgal growth and accumulation of high-value bioproducts

    Directory of Open Access Journals (Sweden)

    Xinheng eYu

    2015-02-01

    Full Text Available Photosynthetic microalgae have attracted significant attention as they can serve as important sources for cosmetic, food and pharmaceutical products, industrial materials and even biofuel biodiesels. However, current productivity of microalga-based processes is still very low, which has restricted their scale-up application. In addition to various efforts in strain improvement and cultivation optimization, it was proposed that the productivity of microalga-based processes can also be increased using various chemicals to trigger or enhance cell growth and accumulation of bioproducts. Herein, we summarized recent progresses in applying chemical triggers or enhancers to improve cell growth and accumulation of bioproducts in algal cultures. Based on their enhancing mechanisms, these chemicals can be classified into four categories:chemicals regulating biosynthetic pathways, chemicals inducing oxidative stress responses, phytohormones and analogues regulating multiple aspects of microalgal metabolism, and chemicals directly as metabolic precursors. Taken together, the early researches demonstrated that the use of chemical stimulants could be a very effective and economical way to improve cell growth and accumulation of high-value bioproducts in large-scale cultivation of microalgae.

  17. Control of ordered mesoporous titanium dioxide nanostructures formed using plasma enhanced glancing angle deposition

    Energy Technology Data Exchange (ETDEWEB)

    Gibson, Des [Institute of Thin Films, Sensors & Imaging, Scottish Universities Physics Alliance, University of West of Scotland, Paisley, PA1 2BE (United Kingdom); Child, David, E-mail: david.child@uws.ac.uk [Institute of Thin Films, Sensors & Imaging, Scottish Universities Physics Alliance, University of West of Scotland, Paisley, PA1 2BE (United Kingdom); Song, Shigeng; Zhao, Chao [Institute of Thin Films, Sensors & Imaging, Scottish Universities Physics Alliance, University of West of Scotland, Paisley, PA1 2BE (United Kingdom); Alajiani, Yahya [Institute of Thin Films, Sensors & Imaging, Scottish Universities Physics Alliance, University of West of Scotland, Paisley, PA1 2BE (United Kingdom); Department of Physics, Faculty of Science, Jazan University, Jazan (Saudi Arabia); Waddell, Ewan [Thin Film Solutions Ltd, West of Scotland Science Park, Glasgow, G20 0TH (United Kingdom)

    2015-10-01

    Three dimensional nanostructures of mesoporous (pore diameter between 2-50 nm) nanocrystalline titania (TiO{sub 2}) were produced using glancing angle deposition combined with plasma ion assisted deposition, providing plasma enhanced glancing angle deposition eliminating the need for post-annealing to achieve film crystallinity. Electron beam evaporation was chosen to deposit nanostructures at various azimuthal angles, achieving designed variation in three dimensional nanostructure. A thermionic broad beam hollow cathode plasma source was used to enhance electron beam deposition, with ability to vary in real time ion fluxes and energies providing a means to modify and control TiO{sub 2} nanostructure real time with controlled density and porosity along and lateral to film growth direction. Plasma ion assisted deposition was carried out at room temperature using a hollow cathode plasma source, ensuring low heat loading to the substrate during deposition. Plasma enhanced glancing angle TiO{sub 2} structures were deposited onto borosilicate microscope slides and used to characterise the effects of glancing angle and plasma ion energy distribution function on the optical and nanostructural properties. Variation in TiO{sub 2} refractive index from 1.40 to 2.45 (@ 550 nm) using PEGLAD is demonstrated. Results and analysis of the influence of plasma enhanced glancing angle deposition on evaporant path and resultant glancing angle deviation from standard GLAD are described. Control of mesoporous morphology is described, providing a means of optimising light trapping features and film porosity, relevant to applications such as fabrication of dye sensitised solar cells. - Highlights: • Plasma assistance during glancing angle deposition enables control of morphology. • Ion energy variation during glancing angle deposition varies columnar angle • Column thickness of glancing angle deposition dependant on ion current density • Ion current density variation during

  18. Chemical and microstructural characterizations of plasma polymer films by time-of-flight secondary ion mass spectrometry and principal component analysis

    Science.gov (United States)

    Cossement, Damien; Renaux, Fabian; Thiry, Damien; Ligot, Sylvie; Francq, Rémy; Snyders, Rony

    2015-11-01

    It is accepted that the macroscopic properties of functional plasma polymer films (PPF) are defined by their functional density and their crosslinking degree (χ) which are quantities that most of the time behave in opposite trends. If the PPF chemistry is relatively easy to evaluate, it is much more challenging for χ. This paper reviews the recent work developed in our group on the application of principal component analysis (PCA) to time-of-flight secondary ion mass spectrometric (ToF-SIMS) positive spectra data in order to extract the relative cross-linking degree (χ) of PPF. NH2-, COOR- and SH-containing PPF synthesized in our group by plasma enhanced chemical vapor deposition (PECVD) varying the applied radiofrequency power (PRF), have been used as model surfaces. For the three plasma polymer families, the scores of the first computed principal component (PC1) highlighted significant differences in the chemical composition supported by X-Ray photoelectron spectroscopy (XPS) data. The most important fragments contributing to PC1 (loadings > 90%) were used to compute an average C/H ratio index for samples synthesized at low and high PRF. This ratio being an evaluation of χ, these data, accordingly to the literature, indicates an increase of χ with PRF excepted for the SH-PPF. These results have been cross-checked by the evaluation of functional properties of the plasma polymers namely a linear correlation with the stability of NH2-PPF in ethanol and a correlation with the mechanical properties of the COOR-PPF. For the SH-PPF family, the peculiar evolution of χ is supported by the understanding of the growth mechanism of the PPF from plasma diagnostic. The whole set of data clearly demonstrates the potential of the PCA method for extracting information on the microstructure of plasma polymers from ToF-SIMS measurements.

  19. Physical-morphological and chemical changes leading to an increase in adhesion between plasma treated polyester fibres and a rubber matrix

    International Nuclear Information System (INIS)

    Krump, H.; Hudec, I.; Jasso, M.; Dayss, E.; Luyt, A.S.

    2006-01-01

    The effects of plasma treatment, used to increase adhesion strength between poly(ethylene terephtalate) (PET) fibres and a rubber matrix, were investigated and compared. Morphological changes as a result of atmospheric plasma treatment were observed using scanning electron microscopy (SEM) and atomic force microscopy (AFM). Wettability analysis using a surface energy evaluation system (SEE system) suggested that the plasma treated fibre was more wetting towards a polar liquid. When treated, these fibres showed a new lamellar crystallization, as shown by a new melting peak using differential scanning calorimetry (DSC). X-ray photoelectron spectroscopy (XPS) has been used to study the chemical effect of inert (argon), active and reactive (nitrogen and oxygen) microwave-plasma treatments of a PET surface. Reactive oxygen plasma treatment by a de-convolution method shows new chemical species that drastically alter the chemical reactivity of the PET surface. These studies have also shown that the surface population of chemical species formed after microwave-plasma treatment is dependent on the plasma gas. All these changes cause better adhesion strength of the PET fibres to the rubber matrix

  20. Degradation of sulfur dioxide using plasma technology

    International Nuclear Information System (INIS)

    Estrada M, N.; Garcia E, R.; Pacheco P, M.; Valdivia B, R.; Pacheco S, J.

    2013-01-01

    This paper presents the electro-chemical study performed for sulfur dioxide (SO 2 ) treatment using non thermal plasma coupled to a nano structured fluid bed enhancing the toxic gas removal and the adsorption of acids formed during plasma treatment, more of 80% of removal was obtained. Non thermal plasma was ignited by dielectric barrier discharge (Dbd). The research was developed through an analysis of the chemical kinetics of the process and experimental study of degradation; in each experiment the electrical parameters and the influence of carbon nano structures were monitored to establish the optimal conditions of degradation. We compared the theoretical and experimental results to conclude whether the proposed model is correct for degradation. (Author)

  1. Enhanced oxidation of naphthalene using plasma activation of TiO2/diatomite catalyst.

    Science.gov (United States)

    Wu, Zuliang; Zhu, Zhoubin; Hao, Xiaodong; Zhou, Weili; Han, Jingyi; Tang, Xiujuan; Yao, Shuiliang; Zhang, Xuming

    2018-04-05

    Non-thermal plasma technology has great potential in reducing polycyclic aromatic hydrocarbons (PAHs) emission. But in plasma-alone process, various undesired by-products are produced, which causes secondary pollutions. Here, a dielectric barrier discharge (DBD) reactor has been developed for the oxidation of naphthalene over a TiO 2 /diatomite catalyst at low temperature. In comparison to plasma-alone process, the combination of plasma and TiO 2 /diatomite catalyst significantly enhanced naphthalene conversion (up to 40%) and CO x selectivity (up to 92%), and substantially reduced the formation of aerosol (up to 90%) and secondary volatile organic compounds (up to near 100%). The mechanistic study suggested that the presence of the TiO 2 /diatomite catalyst intensified the electron energy in the DBD. Meantime, the energized electrons generated in the discharge activated TiO 2 , while the presence of ozone enhanced the activity of the TiO 2 /diatomite catalyst. This plasma-catalyst interaction led to the synergetic effect resulting from the combination of plasma and TiO 2 /diatomite catalyst, consequently enhanced the oxidation of naphthalene. Importantly, we have demonstrated the effectiveness of plasma to activate the photocatalyst for the deep oxidation of PAH without external heating, which is potentially valuable in the development of cost-effective gas cleaning process for the removal of PAHs in vehicle applications during cold start conditions. Copyright © 2017 Elsevier B.V. All rights reserved.

  2. The material balance of process of plasma-chemical conversion of polymer wastes into synthesis gas

    International Nuclear Information System (INIS)

    Tazmeev, A Kh; Tazmeeva, R N

    2017-01-01

    The process of conversion of polymer wastes in the flow of water-steam plasma which are created by the liquid electrodes plasma generators was experimentally studied. The material balance was calculated. The regularities of the participating of hydrogen and oxygen which contained in the water-steam plasma, in formation of chemical compounds in the final products were revealed. (paper)

  3. The material balance of process of plasma-chemical conversion of polymer wastes into synthesis gas

    Science.gov (United States)

    Tazmeev, A. Kh; Tazmeeva, R. N.

    2017-01-01

    The process of conversion of polymer wastes in the flow of water-steam plasma which are created by the liquid electrodes plasma generators was experimentally studied. The material balance was calculated. The regularities of the participating of hydrogen and oxygen which contained in the water-steam plasma, in formation of chemical compounds in the final products were revealed.

  4. Characterization of physical and biochemical changes in plasma treated spinach seed during germination

    Science.gov (United States)

    Hye Ji, Sang; Ki, Se Hoon; Kang, Min Ho; Choi, Jin Sung; Park, Yeunsoo; Oh, Jaesung; Kim, Seong Bong; Yoo, Suk Jae; Choi, Eun Ha; Park, Gyungsoon

    2018-04-01

    Despite the accumulating data on the effect of plasma on seed germination, mechanisms of plasma action need more extensive research. In a previous study, we observed that high voltage nanosecond pulsed plasma enhanced the germination of spinach seeds and subsequent seedling growth. As a follow-up study, we investigated the physico-chemical, biochemical, and molecular changes in seed after plasma treatment, focusing on the early germination stage, to elucidate mechanism(s) for the stimulating effects of plasma on seed germination. The primary radicle protruded from seeds exposed to high voltage nanosecond pulsed plasma (one shot) slightly faster than the control seeds. The hydrophilicity of the seed surface significantly increased after treatment with high voltage nanosecond pulsed plasma (one shot). However, a very subtle increase in water uptake by plasma treated seeds was observed. Raman and FTIR spectroscopy analyses on chloroform extract of seed coats demonstrated no significant chemical etching on the surface of plasma treated seeds. This may be related to no dramatic increase in water absorption by seeds. The level of GA hormone and starch hydrolysis inside the plasma treated seeds was significantly elevated within 24 h. Taken together, our results suggest that high voltage nanosecond pulsed plasma may not only enhance hydrophilicity of the seed surface but also stimulate biochemical and molecular processes inside seed, leading to enhanced embryonic development.

  5. Hydrogen plasma treatment of silicon dioxide for improved silane deposition.

    Science.gov (United States)

    Gupta, Vipul; Madaan, Nitesh; Jensen, David S; Kunzler, Shawn C; Linford, Matthew R

    2013-03-19

    We describe a method for plasma cleaning silicon surfaces in a commercial tool that removes adventitious organic contamination and enhances silane deposition. As shown by wetting, ellipsometry, and XPS, hydrogen, oxygen, and argon plasmas effectively clean Si/SiO2 surfaces. However, only hydrogen plasmas appear to enhance subsequent low-pressure chemical vapor deposition of silanes. Chemical differences between the surfaces were confirmed via (i) deposition of two different silanes: octyldimethylmethoxysilane and butyldimethylmethoxysilane, as evidenced by spectroscopic ellipsometry and wetting, and (ii) a principal components analysis (PCA) of TOF-SIMS data taken from the different plasma-treated surfaces. AFM shows no increase in surface roughness after H2 or O2 plasma treatment of Si/SiO2. The effects of surface treatment with H2/O2 plasmas in different gas ratios, which should allow greater control of surface chemistry, and the duration of the H2 plasma (complete surface treatment appeared to take place quickly) are also presented. We believe that this work is significant because of the importance of silanes as surface functionalization reagents, and in particular because of the increasing importance of gas phase silane deposition.

  6. Enhancing Electrochemical Performance of Graphene Fiber-Based Supercapacitors by Plasma Treatment.

    Science.gov (United States)

    Meng, Jie; Nie, Wenqi; Zhang, Kun; Xu, Fujun; Ding, Xin; Wang, Shiren; Qiu, Yiping

    2018-04-25

    Graphene fiber-based supercapacitors (GFSCs) hold high power density, fast charge-discharge rate, ultralong cycling life, exceptional mechanical/electrical properties, and safe operation conditions, making them very promising to power small wearable electronics. However, the electrochemical performance is still limited by the severe stacking of graphene sheets, hydrophobicity of graphene fibers, and complex preparation process. In this work, we develop a facile but robust strategy to easily enhance electrochemical properties of all-solid-state GFSCs by simple plasma treatment. We find that 1 min plasma treatment under an ambient condition results in 33.1% enhancement of areal specific capacitance (36.25 mF/cm 2 ) in comparison to the as-prepared GFSC. The energy density reaches 0.80 μW h/cm 2 in polyvinyl alcohol/H 2 SO 4 gel electrolyte and 18.12 μW h/cm 2 in poly(vinylidene difluoride)/ethyl-3-methylimidazolium tetrafluoroborate electrolyte, which are 22 times of that of as-prepared ones. The plasma-treated GFSCs also exhibit ultrahigh rate capability (69.13% for 40 s plasma-treated ones) and superior cycle stability (96.14% capacitance retention after 20 000 cycles for 1 min plasma-treated ones). This plasma strategy can be extended to mass-manufacture high-performance carbonaceous fiber-based supercapacitors, such as graphene and carbon nanotube-based ones.

  7. Activation of peroxydisulfate by gas-liquid pulsed discharge plasma to enhance the degradation of p-nitrophenol

    Science.gov (United States)

    Shang, Kefeng; Wang, Hao; Li, Jie; Lu, Na; Jiang, Nan; Wu, Yan

    2017-06-01

    Pulsed discharge in water and over water surfaces generates ultraviolet radiation, local high temperature, shock waves, and chemical reactive species, including hydroxyl radicals, hydrogen peroxide, and ozone. Pulsed discharge plasma (PDP) can oxidize and mineralize pollutants very efficiently, but high energy consumption restricts its application for industrial wastewater treatment. A novel method for improving the energy efficiency of wastewater treatment by PDP was proposed, in which peroxydisulfate (PDS) was added to wastewater and PDS was activated by PDP to produce more strong oxidizing radicals, including sulfate radicals and hydroxyl radicals, leading to a higher oxidation capacity for the PDP system. The experimental results show that the increase in solution conductivity slightly decreased the discharge power of the pulse discharge over the water surface. An increase in the discharge intensity improved the activation of PDS and therefore the degradation efficiency and energy efficiency of p-nitrophenol (PNP). An increase in the addition dosage of PDS greatly facilitated the degradation of PNP at a molar ratio of PDS to PNP of lower than 80:1, but the performance enhancement was no longer obvious at a dosage of more than 80:1. Under an applied voltage of 20 kV and a gas discharge gap of 2 mm, the degradation efficiency and energy efficiency of the PNP reached 90.7% and 45.0 mg kWh-1 for the plasma/PDS system, respectively, which was 34% and 18.0 mg kWh-1 higher than for the discharge plasma treatment alone. Analysis of the physical and chemical effects indicated that ozone and hydrogen peroxide were important for PNP degradation and UV irradiation and heat from the discharge plasma might be the main physical effects for the activation of PDS.

  8. Chemical stability and osteogenic activity of plasma-sprayed boron-modified calcium silicate-based coatings.

    Science.gov (United States)

    Lu, Xiang; Li, Kai; Xie, Youtao; Huang, Liping; Zheng, Xuebin

    2016-11-01

    In recent years, CaSiO 3 bio-ceramic coatings have attracted great attention because of their good bioactivity. However, their high degradation rates in physiological environment restrict their practical applications. In this work, boron-modified CaSiO 3 ceramic (Ca 11 Si 4 B 2 O 22 , B-CS) coating was developed on Ti substrates by plasma-spraying technique attempting to obtain enhanced chemical stability and osteogenic activity. The B-CS coating possessed significantly increased chemical stability due to the introduction of boron and consequently the modified crystal structure, while maintaining good bioactivity. Scanning electron microscope and immunofluorescence studies showed that better cellular adhesion and extinctive filopodia-like processes were observed on the B-CS coating. Compared with the pure CaSiO 3 (CS) coating, the B-CS coating promoted MC3T3-E1 cells attachment and proliferation. In addition, enhanced collagen I (COL-I) secretion, alkaline phosphatase activity, and extracellular matrix mineralization levels were detected from the B-CS coating. According to RT-PCR results, notable up-regulation expressions of mineralized tissue-related genes, such as runt-related transcription factor 2 (Runx2), bone sialoprotein and osteocalcin, and bone morphogenetic protein 7 (BMP-7) were observed on the B-CS coating compared with the CS coating. The above results suggested that Ca 11 Si 4 B 2 O 22 coatings possess excellent osteogenic activity and might be a promising candidate for orthopedic applications.

  9. TPX in-vessel remote maintenance tooling

    International Nuclear Information System (INIS)

    Rennich, M.J.; Silke, G.W.

    1995-01-01

    The Tokamak Physics Experiment (TPX) has used the lessons learned from successful remote maintenance and remote handling facilities to develop both a concept and philosophy for incorporation of remote design from the earliest phases of the project. Initiation of mockup testing during the conceptual design phase leads to significant improvements in the basic maintenance equipment configuration. In addition, remote handling features and capabilities have been incorporated into the design of the plasma-facing components (PFCs) as part of the total PFC design effort

  10. Enhanced Field Emission from Argon Plasma-Treated Ultra-sharp α-Fe2O3Nanoflakes

    Directory of Open Access Journals (Sweden)

    Zhang JX

    2009-01-01

    Full Text Available Abstract Hematite nanoflakes have been synthesized by a simple heat oxide method and further treated by Argon plasmas. The effects of Argon plasma on the morphology and crystal structures of nanoflakes were investigated. Significant enhancement of field-induced electron emission from the plasma-treated nanoflakes was observed. The transmission electron microscopy investigation shows that the plasma treatment effectively removes amorphous coating and creates plenty of sub-tips at the surface of the nanoflakes, which are believed to contribute the enhancement of emission. This work suggests that plasma treatment technique could be a direct means to improve field-emission properties of nanostructures.

  11. Energization of the Ring Current through Convection of Substorm Enhancements of the Plasma Sheet Source.

    Science.gov (United States)

    Menz, A.; Kistler, L. M.; Mouikis, C.; Spence, H. E.; Henderson, M. G.; Matsui, H.

    2017-12-01

    It has been shown that electric field strength and night-side plasma sheet density are the two best predictors of the adiabatic energy gain of the ring current during geomagnetic storms (Liemohn and Khazanov, 2005). While H+ dominates the ring current during quiet times, O+ can contribute substantially during geomagnetic storms. Substorm activity provides a mechanism to enhance the energy density of O+ in the plasma sheet during geomagnetic storms, which is then convected adiabatically into the inner-magnetosphere. Using the Van Allen Probes data in the the plasma sheet source region (defined as L>5.5 during storms) and the inner magnetosphere, along with LANL-GEO data to identify substorm injection times, we show that adiabatic convection of O+ enhancements in the source region can explain the observed enhancements in the inner magnetosphere. We use the UNH-IMEF electric field model to calculate drift times from the source region to the inner magnetosphere to test whether enhancements in the inner-magnetosphere can be explained by dipolarization driven enhancements in the plasma sheet source hours before.

  12. Enhanced formulations for neutralization of chemical, biological and industrial toxants

    Science.gov (United States)

    Tucker, Mark D [Albuqueque, NM

    2008-06-24

    An enhanced formulation and method of making that neutralizes the adverse health effects of both chemical and biological compounds, especially chemical warfare (CW) and biological warfare (BW) agents, and toxic industrial chemicals. The enhanced formulation according to the present invention is non-toxic and non-corrosive and can be delivered by a variety of means and in different phases. The formulation provides solubilizing compounds that serve to effectively render the chemical and biological compounds, particularly CW and BW compounds, susceptible to attack, and at least one reactive compound that serves to attack (and detoxify or kill) the compound. The formulation includes at least one solubilizing agent, a reactive compound, a bleaching activator and water.

  13. Frozen blood products: clinically effective and potentially ideal for remote Australia.

    Science.gov (United States)

    Holley, A; Marks, D C; Johnson, L; Reade, M C; Badloe, J F; Noorman, F

    2013-01-01

    The development of effective cryopreservation techniques for both red blood cells and platelets, which maintain ex vivo biological activity, in combination with frozen plasma, provides for a unique blood banking strategy. This technology greatly enhances the storage life of these products. The rationale and potential advantages of using cryopreservation techniques for the provision of blood products to remote and military environments have been effectively demonstrated in several conflicts over the last decade. Current haemostatic resuscitation doctrine for the exsanguinating patient supports the use of red blood cells, platelets and frozen plasma early in the resuscitation. We believe an integrated fresh-frozen blood bank inventory could facilitate provision of blood products, not only in the military setting but also in regional Australia, by overcoming many logistic and geographical challenges. The processes involved in production and point of care thawing are sufficiently well developed and achievable to make this technology a viable option. The potential limitations of cryopreservation and subsequent product thawing need to be considered if such a strategy is to be developed. A substantial body of international experience using cryopreserved products in remote settings has already been accrued. This experience provides a template for the possible creation of an Australian integrated fresh-frozen blood bank inventory that could conceivably enhance the care of patients in both regional Australia and in the military setting.

  14. Toroidal plasma enhanced CVD of diamond films

    International Nuclear Information System (INIS)

    Zvanya, John; Cullen, Christopher; Morris, Thomas; Krchnavek, Robert R.; Holber, William; Basnett, Andrew; Basnett, Robert; Hettinger, Jeffrey

    2014-01-01

    An inductively coupled toroidal plasma source is used as an alternative to microwave plasmas for chemical vapor deposition of diamond films. The source, operating at a frequency of 400 kHz, synthesizes diamond films from a mixture of argon, methane, and hydrogen. The toroidal design has been adapted to create a highly efficient environment for diamond film deposition: high gas temperature and a short distance from the sample to the plasma core. Using a toroidal plasma geometry operating in the medium frequency band allows for efficient (≈90%) coupling of AC line power to the plasma and a scalable path to high-power and large-area operation. In test runs, the source generates a high flux of atomic hydrogen over a large area, which is favorable for diamond film growth. Using a deposition temperature of 900–1050 °C and a source to sample distance of 0.1–2.0 cm, diamond films are deposited onto silicon substrates. The results showed that the deposition rate of the diamond films could be controlled using the sample temperature and source to sample spacing. The results also show the films exhibit good-quality polycrystalline diamond as verified by Raman spectroscopy, x-ray diffraction, and scanning electron microscopy. The scanning electron microscopy and x-ray diffraction results show that the samples exhibit diamond (111) and diamond (022) crystallites. The Raman results show that the sp 3 peak has a narrow spectral width (FWHM 12 ± 0.5 cm −1 ) and that negligible amounts of the sp 2 band are present, indicating good-quality diamond films

  15. Remote-controlling chemical reactions by light: towards chemistry with high spatio-temporal resolution.

    Science.gov (United States)

    Göstl, Robert; Senf, Antti; Hecht, Stefan

    2014-03-21

    The foundation of the chemical enterprise has always been the creation of new molecular entities, such as pharmaceuticals or polymeric materials. Over the past decades, this continuing effort of designing compounds with improved properties has been complemented by a strong effort to render their preparation (more) sustainable by implementing atom as well as energy economic strategies. Therefore, synthetic chemistry is typically concerned with making specific bonds and connections in a highly selective and efficient manner. However, to increase the degree of sophistication and expand the scope of our work, we argue that the modern aspiring chemist should in addition be concerned with attaining (better) control over when and where chemical bonds are being made or broken. For this purpose, photoswitchable molecular systems, which allow for external modulation of chemical reactions by light, are being developed and in this review we are covering the current state of the art of this exciting new field. These "remote-controlled synthetic tools" provide a remarkable opportunity to perform chemical transformations with high spatial and temporal resolution and should therefore allow regulating biological processes as well as material and device performance.

  16. Chemical compositions of spherical titanium powders prepared by RF induction plasma

    International Nuclear Information System (INIS)

    Gu Zhongtao; Jin Yuping; Ye Gaoying

    2012-01-01

    Spherical titanium powders were prepared by RF induction plasma technology. The particle size is essentially un- changed, while the particle size distribution is relatively narrow after spheroidization processing. X-ray diffraction (XRD) random testing of the spherical titanium powders shows no structure and phase changes. The content of O, H, N and C decreases, while the content of Ti increases slightly. It indicates that spheroidization with RF plasma can enhance powder purity. (authors)

  17. Low-Pressure H2, NH3 Microwave Plasma Treatment of Polytetrafluoroethylene (PTFE) Powders: Chemical, Thermal and Wettability Analysis

    Science.gov (United States)

    Hunke, Harald; Soin, Navneet; Shah, Tahir H.; Kramer, Erich; Pascual, Alfons; Karuna, Mallampalli Sri Lakshmi; Siores, Elias

    2015-01-01

    Functionalization of Polytetrafluoroethylene (PTFE) powders of ~6 μm particle size is carried out using low-pressure 2.45 GHz H2, NH3 microwave plasmas for various durations (2.5, 10 h) to chemically modify their surface and alter their surface energy. The X-ray Photoelectron Spectroscopy (XPS) analyses reveal that plasma treatment leads to significant defluorination (F/C atomic ratio of 1.13 and 1.30 for 10 h NH3 and H2 plasma treatments, respectively vs. 1.86 for pristine PTFE), along with the incorporation of functional polar moieties on the surface, resulting in enhanced wettability. Analysis of temperature dependent XPS revealed a loss of surface moieties above 200 °C, however, the functional groups are not completely removable even at higher temperatures (>300 °C), thus enabling the use of plasma treated PTFE powders as potential tribological fillers in high temperature engineering polymers. Ageing studies carried over a period of 12 months revealed that while the surface changes degenerate over time, again, they are not completely reversible. These functionalised PTFE powders can be further used for applications into smart, high performance materials such as tribological fillers for engineering polymers and bio-medical, bio-material applications.

  18. Characterization of Si:O:C:H films fabricated using electron emission enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Durrant, Steven F. [Laboratorio de Plasmas Tecnologicos, Campus Experimental de Sorocaba, Universidade Estadual Paulista-UNESP, Avenida Tres de Marco, 511, Alto da Boa Vista, 18087-180, Soracaba, SP (Brazil)], E-mail: steve@sorocaba.unesp.br; Rouxinol, Francisco P.M.; Gelamo, Rogerio V. [Instituto de Fisica Gleb Wataghin, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil); Trasferetti, B. Claudio [Present address: Superintendencia Regional da Policia Federal em Sao Paulo, Setor Tecnico-Cientifico, Rua Hugo d' Antola 95/10o Andar, Lapa de Baixo, 05038-090 Sao Paulo, SP (Brazil); Davanzo, C.U. [Instituto de Quimica, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil); Bica de Moraes, Mario A. [Instituto de Fisica Gleb Wataghin, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil)

    2008-01-15

    Silicon-based polymers and oxides may be formed when vapours of oxygen-containing organosilicone compounds are exposed to energetic electrons drawn from a hot filament by a bias potential applied to a second electrode in a controlled atmosphere in a vacuum chamber. As little deposition occurs in the absence of the bias potential, electron impact fragmentation is the key mechanism in film fabrication using electron-emission enhanced chemical vapour deposition (EEECVD). The feasibility of depositing amorphous hydrogenated carbon films also containing silicon from plasmas of tetramethylsilane or hexamethyldisiloxane has already been shown. In this work, we report the deposition of diverse films from plasmas of tetraethoxysilane (TEOS)-argon mixtures and the characterization of the materials obtained. The effects of changes in the substrate holder bias (V{sub S}) and of the proportion of TEOS in the mixture (X{sub T}) on the chemical structure of the films are examined by infrared-reflection absorption spectroscopy (IRRAS) at near-normal and oblique incidence using unpolarised and p-polarised, light, respectively. The latter is particularly useful in detecting vibrational modes not observed when using conventional near-normal incidence. Elemental analyses of the film were carried out by X-ray photoelectron spectroscopy (XPS), which was also useful in complementary structural investigations. In addition, the dependencies of the deposition rate on V{sub S} and X{sub T} are presented.

  19. Characterization of Si:O:C:H films fabricated using electron emission enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Durrant, Steven F.; Rouxinol, Francisco P.M.; Gelamo, Rogerio V.; Trasferetti, B. Claudio; Davanzo, C.U.; Bica de Moraes, Mario A.

    2008-01-01

    Silicon-based polymers and oxides may be formed when vapours of oxygen-containing organosilicone compounds are exposed to energetic electrons drawn from a hot filament by a bias potential applied to a second electrode in a controlled atmosphere in a vacuum chamber. As little deposition occurs in the absence of the bias potential, electron impact fragmentation is the key mechanism in film fabrication using electron-emission enhanced chemical vapour deposition (EEECVD). The feasibility of depositing amorphous hydrogenated carbon films also containing silicon from plasmas of tetramethylsilane or hexamethyldisiloxane has already been shown. In this work, we report the deposition of diverse films from plasmas of tetraethoxysilane (TEOS)-argon mixtures and the characterization of the materials obtained. The effects of changes in the substrate holder bias (V S ) and of the proportion of TEOS in the mixture (X T ) on the chemical structure of the films are examined by infrared-reflection absorption spectroscopy (IRRAS) at near-normal and oblique incidence using unpolarised and p-polarised, light, respectively. The latter is particularly useful in detecting vibrational modes not observed when using conventional near-normal incidence. Elemental analyses of the film were carried out by X-ray photoelectron spectroscopy (XPS), which was also useful in complementary structural investigations. In addition, the dependencies of the deposition rate on V S and X T are presented

  20. Physical and chemical contributions of a plasma treatment in the growth of ZnO nanorods

    Energy Technology Data Exchange (ETDEWEB)

    Jang, J.T. [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Ryu, H., E-mail: hhryu@inje.ac.kr [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Lee, W.J. [Department of Materials and Components Engineering, Dong-Eui University, 995 Eomgwangno, Busanjin-gu, Busan 614-714 (Korea, Republic of); Yun, J. [Department of Nano Science and Engineering, Kyungnam University, Changwon, Gyeongnam 631-701 (Korea, Republic of)

    2013-11-15

    Highlights: •ZnO nanorods were grown by hydrothermal synthesis. •Oxygen plasma was done on the surface of seed ZnO nanorods. •The ZnO nanorods with and without plasma treatment were characterized. •The results showed that the optical and structural properties of ZnO nanorods with plasma treatment were enhanced. -- Abstract: We analyzed the enhancement of optical and structural properties of ZnO nanorods by using a plasma treatment. In this study, seed ZnO nanorods were grown by hydrothermal synthesis for 1 h on a ZnO buffered Si substrate. The seed ZnO nanorods were then treated with an oxygen plasma. Next, ZnO was grown for an additional 4 h by hydrothermal synthesis. The resultant ZnO nanorods were characterized by scanning electron microscopy (SEM), transmission electron microscopy (TEM), scanning transmission electron microscopy (STEM), electron energy loss spectroscopy (EELS), X-ray diffraction (XRD) and photoluminescence (PL). The measurements showed that the plasma treatment of the seed ZnO nanorods increased the roughness of the buffer layer and the concentration of oxygen ions on the surfaces of the seed ZnO nanorods and the buffer layer, leading to improved optical and structural properties. In this study, we found that the plasma treatment on the seed ZnO nanorods enhanced the optical and structural properties of the ZnO nanorods.

  1. Modelling chemical reactions in dc plasma inside oxygen bubbles in water

    International Nuclear Information System (INIS)

    Takeuchi, N; Ishii, Y; Yasuoka, K

    2012-01-01

    Plasmas generated inside oxygen bubbles in water have been developed for water purification. Zero-dimensional numerical simulations were used to investigate the chemical reactions in plasmas driven by dc voltage. The numerical and experimental results of the concentrations of hydrogen peroxide and ozone in the solution were compared with a discharge current between 1 and 7 mA. Upon increasing the water vapour concentration inside bubbles, we saw from the numerical results that the concentration of hydrogen peroxide increased with discharge current, whereas the concentration of ozone decreased. This finding agreed with the experimental results. With an increase in the discharge current, the heat flux from the plasma to the solution increased, and a large amount of water was probably vaporized into the bubbles.

  2. Modelling chemical reactions in dc plasma inside oxygen bubbles in water

    Science.gov (United States)

    Takeuchi, N.; Ishii, Y.; Yasuoka, K.

    2012-02-01

    Plasmas generated inside oxygen bubbles in water have been developed for water purification. Zero-dimensional numerical simulations were used to investigate the chemical reactions in plasmas driven by dc voltage. The numerical and experimental results of the concentrations of hydrogen peroxide and ozone in the solution were compared with a discharge current between 1 and 7 mA. Upon increasing the water vapour concentration inside bubbles, we saw from the numerical results that the concentration of hydrogen peroxide increased with discharge current, whereas the concentration of ozone decreased. This finding agreed with the experimental results. With an increase in the discharge current, the heat flux from the plasma to the solution increased, and a large amount of water was probably vaporized into the bubbles.

  3. Modeling of magnetically enhanced capacitively coupled plasma sources: Ar discharges

    International Nuclear Information System (INIS)

    Kushner, Mark J.

    2003-01-01

    Magnetically enhanced capacitively coupled plasma sources use transverse static magnetic fields to modify the performance of low pressure radio frequency discharges. Magnetically enhanced reactive ion etching (MERIE) sources typically use magnetic fields of tens to hundreds of Gauss parallel to the substrate to increase the plasma density at a given pressure or to lower the operating pressure. In this article results from a two-dimensional hybrid-fluid computational investigation of MERIE reactors with plasmas sustained in argon are discussed for an industrially relevant geometry. The reduction in electron cross field mobility as the magnetic field increases produces a systematic decrease in the dc bias (becoming more positive). This decrease is accompanied by a decrease in the energy and increase in angular spread of the ion flux to the substrate. Similar trends are observed when decreasing pressure for a constant magnetic field. Although for constant power the magnitudes of ion fluxes to the substrate increase with moderate magnetic fields, the fluxes decreased at larger magnetic fields. These trends are due, in part, to a reduction in the contributions of more efficient multistep ionization

  4. Terahertz-Radiation-Enhanced Emission of Fluorescence from Gas Plasma

    International Nuclear Information System (INIS)

    Liu Jingle; Zhang, X.-C.

    2009-01-01

    We report the study of femtosecond laser-induced air plasma fluorescence under the illumination of terahertz (THz) pulses. Semiclassical modeling and experimental verification indicate that time-resolved THz radiation-enhanced emission of fluorescence is dominated by the electron kinetics and the electron-impact excitation of gas molecules or ions. We demonstrate that the temporal waveform of the THz field could be retrieved from the transient enhanced fluorescence, making omnidirectional, coherent detection available for THz time-domain spectroscopy.

  5. Chemically different non-thermal plasmas target distinct cell death pathways

    Czech Academy of Sciences Publication Activity Database

    Lunov, Oleg; Zablotskyy, Vitaliy A.; Churpita, Olexandr; Lunova, M.; Jirsa, M.; Dejneka, Alexandr; Kubinová, Šárka

    2017-01-01

    Roč. 7, č. 1 (2017), s. 1-17, č. článku 600. ISSN 2045-2322 Grant - others:AV ČR(CZ) Fellowship J. E. Purkyně Institutional support: RVO:68378271 Keywords : chemically different * non-thermal plasmas * target distinct cell death pathways Subject RIV: BO - Biophysics OBOR OECD: Biophysics Impact factor: 4.259, year: 2016

  6. Microwave plasma-assisted photoluminescence enhancement in nitrogen-doped ultrananocrystalline diamond film

    Directory of Open Access Journals (Sweden)

    Yu Lin Liu

    2012-06-01

    Full Text Available Optical properties and conductivity of nitrogen-doped ultrananocrystal diamond (UNCD films were investigated following treatment with low energy microwave plasma at room temperature. The plasma also generated vacancies in UNCD films and provided heat for mobilizing the vacancies to combine with the impurities, which formed the nitrogen-vacancy defect centers. The generated color centers were distributed uniformly in the samples. The conductivity of nitrogen-doped UNCD films treated by microwave plasma was found to decrease slightly due to the reduced grain boundaries. The photoluminescence emitted by the plasma treated nitrogen-doped UNCD films was enhanced significantly compared to the untreated films.

  7. Aluminum metal surface cleaning and activation by atmospheric-pressure remote plasma

    Energy Technology Data Exchange (ETDEWEB)

    Muñoz, J., E-mail: jmespadero@uco.es; Bravo, J.A.; Calzada, M.D.

    2017-06-15

    Highlights: • Atmospheric-pressure postdischarges have been applied on aluminium surfaces. • The outer hydrocarbon layer is reduced by the action of the postdischarge. • The treatment promotes the appearance of hydrophilic OH radicals in the surface. • Effectivity for distances up to 5 cm allows for treating irregular surfaces. • Ageing in air due to the disappearance of OH radicals has been reported. - Abstract: The use of the remote plasma (postdischarge) of argon and argon-nitrogen microwave plasmas for cleaning and activating the surface of metallic commercial aluminum samples has been studied. The influence of the nitrogen content and the distance between the treated samples and the end of the discharge on the hydrophilicity and the surface energy has been analyzed by means of the sessile drop technique and the Owens-Wendt method. A significant increase in the hydrophilicity has been noted in the treated samples, together with an increase in the surface energy from values around 37 mJ/m{sup 2} to 77 mJ/m{sup 2}. Such increase weakly depends on the nitrogen content of the discharge, and the effectivity of the treatment extends to distances up to 5 cm from the end of the discharge, much longer than those reported in other plasma-based treatments. The analysis of the treated samples using X-ray photoelectron spectroscopy reveals that such increase in the surface energy takes place due to a reduction of the carbon content and an increase in the amount of OH radicals in the surface. These radicals tend to disappear within 24–48 h after the treatment when the samples are stored in contact with ambient air, resulting in the ageing of the treated surface and a partial retrieval of the hydrophobicity of the surface.

  8. Direct Fabrication of Carbon Nanotubes STM Tips by Liquid Catalyst-Assisted Microwave Plasma-Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Fa-Kuei Tung

    2009-01-01

    Full Text Available Direct and facile method to make carbon nanotube (CNT tips for scanning tunneling microscopy (STM is presented. Cobalt (Co particles, as catalysts, are electrochemically deposited on the apex of tungsten (W STM tip for CNT growth. It is found that the quantity of Co particles is well controlled by applied DC voltage, concentration of catalyst solution, and deposition time. Using optimum growth condition, CNTs are successfully synthesized on the tip apex by catalyst-assisted microwave-enhanced chemical vapor deposition (CA-MPECVD. A HOPG surface is clearly observed at an atomic scale using the present CNT-STM tip.

  9. Effect of corona discharge plasma jet on surface-borne microorganisms and sprouting of broccoli seeds.

    Science.gov (United States)

    Kim, Je-Wook; Puligundla, Pradeep; Mok, Chulkyoon

    2017-01-01

    Different pathogenic microorganisms have been reported to cause sprouts-associated outbreaks. In order to sterilise and enhance the germination of seeds, non-thermal plasma has been increasingly investigated in the field of agricultural science as an alternative to the traditional pre-sowing seed treatments. This work aimed to evaluate the effect of corona discharge plasma jet (CDPJ) on disinfection of the natural bio-contaminants of broccoli seed and also studied the plasma effect on sprout seed germination rate and physico-chemical properties of sprouts. Aerobic bacteria, moulds and yeasts, B. cereus, E. coli, Salmonella spp. were detected on the broccoli seed surface. After 0-3 min treatment using CDPJ, the detected microorganisms were reduced in the range of 1.2-2.3 log units. Inactivation patterns were better explained using pseudo-first-order kinetics. The plasma treatment of seeds up to 2 min exhibited a positive effect on germination rate, seedling growth. The physico-chemical and sensory characteristics of sprouts were unaffected due to the CDPJ treatment of their respective seeds. Corona discharge plasma jet can potentially be used for microbial decontamination of broccoli seeds. In addition, the plasma treatment of broccoli sprout seeds has enabled a significant enhancement in their germination rate and seedling growth without compromising physico-chemical and sensory characteristics of their corresponding sprouts. © 2016 Society of Chemical Industry. © 2016 Society of Chemical Industry.

  10. Enhanced field emission from carbon nanotubes by hydrogen plasma treatment

    International Nuclear Information System (INIS)

    Zhi, C.Y.; Bai, X.D.; Wang, E.G.

    2002-01-01

    The field emission capability of the carbon nanotubes (CNTs) has been improved by hydrogen plasma treatment, and the enhanced emission mechanism has been studied systematically using Fourier-transform infrared spectroscopy, Raman, and transmission electron microscopy. The hydrogen concentration in the samples increases with increasing plasma treatment duration. A C δ- -H δ+ dipole layer may form on CNTs' surface and a high density of defects results from the plasma treatment, which is likely to make the external surface of CNTs more active to emit electrons after treatment. In addition, the sharp edge of CNTs' top, after removal of the catalyst particles, may increase the local electronic field more effectively. The present study suggests that hydrogen plasma treatment is a useful method for improving the field electron emission property of CNTs

  11. Chemical and microstructural characterizations of plasma polymer films by time-of-flight secondary ion mass spectrometry and principal component analysis

    International Nuclear Information System (INIS)

    Cossement, Damien; Renaux, Fabian; Thiry, Damien; Ligot, Sylvie; Francq, Rémy; Snyders, Rony

    2015-01-01

    Graphical abstract: - Highlights: • Plasma polymer films have a chemical selectivity and a cross-linking degree which are known to vary in opposite trends. • Three plasma polymers families were used as model organic layers for cross-linking evaluation by ToF-SIMS and principal component analysis. • The data were cross-checked with related functional properties that are known to depend on the cross-linking degree (stability in solvent, mechanical properties, …). • The suggested cross-linking evaluation method was validated for different families of plasma polymers demonstrating that it can be seen as a “general” method. - Abstract: It is accepted that the macroscopic properties of functional plasma polymer films (PPF) are defined by their functional density and their crosslinking degree (χ) which are quantities that most of the time behave in opposite trends. If the PPF chemistry is relatively easy to evaluate, it is much more challenging for χ. This paper reviews the recent work developed in our group on the application of principal component analysis (PCA) to time-of-flight secondary ion mass spectrometric (ToF-SIMS) positive spectra data in order to extract the relative cross-linking degree (χ) of PPF. NH_2-, COOR- and SH-containing PPF synthesized in our group by plasma enhanced chemical vapor deposition (PECVD) varying the applied radiofrequency power (P_R_F), have been used as model surfaces. For the three plasma polymer families, the scores of the first computed principal component (PC1) highlighted significant differences in the chemical composition supported by X-Ray photoelectron spectroscopy (XPS) data. The most important fragments contributing to PC1 (loadings > 90%) were used to compute an average C/H ratio index for samples synthesized at low and high P_R_F. This ratio being an evaluation of χ, these data, accordingly to the literature, indicates an increase of χ with P_R_F excepted for the SH-PPF. These results have been cross

  12. Chemical and microstructural characterizations of plasma polymer films by time-of-flight secondary ion mass spectrometry and principal component analysis

    Energy Technology Data Exchange (ETDEWEB)

    Cossement, Damien, E-mail: damien.cossement@materianova.be [Materia Nova Research Center, Parc Initialis, 1, Avenue Nicolas Copernic, B-7000 Mons (Belgium); Renaux, Fabian [Materia Nova Research Center, Parc Initialis, 1, Avenue Nicolas Copernic, B-7000 Mons (Belgium); Thiry, Damien; Ligot, Sylvie [Chimie des Interactions Plasma-Surface (ChIPS), CIRMAP, Université de Mons, 23 Place du Parc, B-7000 Mons (Belgium); Francq, Rémy; Snyders, Rony [Materia Nova Research Center, Parc Initialis, 1, Avenue Nicolas Copernic, B-7000 Mons (Belgium); Chimie des Interactions Plasma-Surface (ChIPS), CIRMAP, Université de Mons, 23 Place du Parc, B-7000 Mons (Belgium)

    2015-11-15

    Graphical abstract: - Highlights: • Plasma polymer films have a chemical selectivity and a cross-linking degree which are known to vary in opposite trends. • Three plasma polymers families were used as model organic layers for cross-linking evaluation by ToF-SIMS and principal component analysis. • The data were cross-checked with related functional properties that are known to depend on the cross-linking degree (stability in solvent, mechanical properties, …). • The suggested cross-linking evaluation method was validated for different families of plasma polymers demonstrating that it can be seen as a “general” method. - Abstract: It is accepted that the macroscopic properties of functional plasma polymer films (PPF) are defined by their functional density and their crosslinking degree (χ) which are quantities that most of the time behave in opposite trends. If the PPF chemistry is relatively easy to evaluate, it is much more challenging for χ. This paper reviews the recent work developed in our group on the application of principal component analysis (PCA) to time-of-flight secondary ion mass spectrometric (ToF-SIMS) positive spectra data in order to extract the relative cross-linking degree (χ) of PPF. NH{sub 2}-, COOR- and SH-containing PPF synthesized in our group by plasma enhanced chemical vapor deposition (PECVD) varying the applied radiofrequency power (P{sub RF}), have been used as model surfaces. For the three plasma polymer families, the scores of the first computed principal component (PC1) highlighted significant differences in the chemical composition supported by X-Ray photoelectron spectroscopy (XPS) data. The most important fragments contributing to PC1 (loadings > 90%) were used to compute an average C/H ratio index for samples synthesized at low and high P{sub RF}. This ratio being an evaluation of χ, these data, accordingly to the literature, indicates an increase of χ with P{sub RF} excepted for the SH-PPF. These results have

  13. Comparison of hollow cathode discharge plasma configurations

    International Nuclear Information System (INIS)

    Farnell, Casey C; Farnell, Cody C; Williams, John D

    2011-01-01

    Hollow cathodes used in plasma contactor and electric propulsion devices provide electrons for sustaining plasma discharges and enabling plasma bridge neutralization. Life tests show erosion on hollow cathodes exposed to the plasma environment produced in the region downstream of these devices. To explain the observed erosion, plasma flow field measurements are presented for hollow cathode generated plasmas using both directly immersed probes and remotely located plasma diagnostics. Measurements on two cathode discharge configurations are presented: (1) an open, no magnetic field configuration and (2) a setup simulating the discharge chamber environment of an ion thruster. In the open cathode configuration, large amplitude plasma potential oscillations, ranging from 20 to 85 V within a 34 V discharge, were observed using a fast response emissive probe. These oscillations were observed over a dc potential profile that included a well-defined potential hill structure. A remotely located electrostatic analyzer (ESA) was used to measure the energy of ions produced within the plasma, and energies were detected that met, and in some cases exceeded, the peak oscillatory plasma potentials detected by the emissive probe. In the ion thruster discharge chamber configuration, plasma potentials from the emissive probe again agreed with ion energies recorded by the remotely located ESA; however, much lower ion energies were detected compared with the open configuration. A simplified ion-transit model that uses temporal and spatial plasma property measurements is presented and used to predict far-field plasma streaming properties. Comparisons between the model and remote measurements are presented.

  14. Enhancing Cold Atmospheric Plasma Treatment Efficiency for Cancer Therapy

    Science.gov (United States)

    Cheng, Xiaoqian

    To improve efficiency and safety of anti-cancer therapies the researchers and clinicians alike are prompted to develop targeted combined therapies that especially minimize damage to healthy tissues while eradicating the body of cancerous tissues. Previous research in cold atmospheric plasma (CAP) and cancer cell interaction has repeatedly proven that cold plasma induced cell death. In this study, we seek to integrate the medical application of CAP. We proposed and implemented 3 novel ideas to enhance efficacy and selectivity of cancer therapy. It is postulated that the reactive oxygen species (ROS) and reactive nitrogen species (RNS) play a major role in the CAP cancer therapy. We determined a mechanism of CAP therapy on glioblastoma cells (U87) through an understanding of the composition of CAP, including output voltage, treatment time, and gas flow-rate. We varied the characteristics of the cold plasma in order to obtain different major species (such as O, OH, N2+, and N2 lines). "plasma dosage" D ~ Q * V * t. is defined, where D is the entire "plasma dosage"; Q is the flow rate of feeding gas; V is output voltage; t is treatment time. The proper CAP dosage caused 3-fold cell death in the U87 cells compared to the normal human astrocytes E6/E7 cells. We demonstrated there is a synergy between AuNPS and CAP in cancer therapy. Specifically, the concentration of AuNPs plays an important role on plasma therapy. At an optimal concentration, gold nanoparticles can significantly induce U87 cell death up to a 30% overall increase compared to the control group with the same plasma dosage but no AuNPs applied. The ROS intensity of the corresponding conditions has a reversed trend compared to cell viability. This matches with the theory that intracellular ROS accumulation results in oxidative stress, which further changes the intracellular pathways, causing damage to the proteins, lipids and DNA. Our results show that this synergy has great potential in improving the

  15. Strong white and blue photoluminescence from silicon nanocrystals in SiNx grown by remote PECVD using SiCl4/NH3

    International Nuclear Information System (INIS)

    Benami, A; Santana, G; Ortiz, A; Ponce, A; Romeu, D; Aguilar-Hernandez, J; Contreras-Puente, G; Alonso, J C

    2007-01-01

    Strong white and blue photoluminescence (PL) from as-grown silicon nanocrystals (nc-Si) in SiN x films prepared by remote plasma enhanced chemical vapour deposition using SiCl 4 /NH 3 mixtures is reported. The colour and intensity of the PL could be controlled by adjusting the NH 3 flow rate. Samples with white emission were annealed at 1000 deg. C, obtaining a strong improvement of the PL intensity with a blue colour. The PL can be attributed to quantum confinement effect in nc-Si embedded in SiN x matrix, which is improved when a better passivation of nc-Si surface with chlorine and nitrogen atoms is obtained. The size, density and structure of the nc-Si in the as-grown and annealed films were confirmed and measured by high-resolution transmission electron microscopy

  16. Defect properties of ZnO nanopowders and their modifications induced by remote plasma treatments

    Energy Technology Data Exchange (ETDEWEB)

    Paramo, J A; Peters, R M; Quarles, C A; Strzhemechny, Y M [Physics Department, Texas Christian University, Fort Worth, TX 76129 (United States); Vallejo, H [North Side High School, Fort Worth, TX 79129 (United States)

    2009-11-15

    Photoluminescence (PL) and positron lifetime (LT) measurements were used on several commercial ZnO nanopowders. We observed that sample-to-sample differences in the quality of the powders overshadow any observation of probable size effects. However, the average LT for all nanocrystals is longer than in a bulk sample, consistent with the hypothesis of crystals with surface and subsurface layers rich in defects. Temperature-dependent PL spectra from the ZnO nanopowders were analyzed in detail for the bound-exciton (BEx) range and the numerical fits of the peak positions yielded activation energies that suggested different channels of recombination for the BEx. Also, fits for the full width at half maximum (FWHM) show nonlinear behavior, indicating contribution from surface phonons. We, also, used remote nitrogen and hydrogen plasma treatment on the ZnO nanosystems to manipulate their surface and subsurface defect states. We demonstrated that those plasma species induce a variety of changes in the deep defect visible emission as well as in the BEx luminescence, most likely associated with the surface/subsurface states.

  17. Defect properties of ZnO nanopowders and their modifications induced by remote plasma treatments

    International Nuclear Information System (INIS)

    Paramo, J A; Peters, R M; Quarles, C A; Strzhemechny, Y M; Vallejo, H

    2009-01-01

    Photoluminescence (PL) and positron lifetime (LT) measurements were used on several commercial ZnO nanopowders. We observed that sample-to-sample differences in the quality of the powders overshadow any observation of probable size effects. However, the average LT for all nanocrystals is longer than in a bulk sample, consistent with the hypothesis of crystals with surface and subsurface layers rich in defects. Temperature-dependent PL spectra from the ZnO nanopowders were analyzed in detail for the bound-exciton (BEx) range and the numerical fits of the peak positions yielded activation energies that suggested different channels of recombination for the BEx. Also, fits for the full width at half maximum (FWHM) show nonlinear behavior, indicating contribution from surface phonons. We, also, used remote nitrogen and hydrogen plasma treatment on the ZnO nanosystems to manipulate their surface and subsurface defect states. We demonstrated that those plasma species induce a variety of changes in the deep defect visible emission as well as in the BEx luminescence, most likely associated with the surface/subsurface states.

  18. Remote memories are enhanced by COMT activity through dysregulation of the endocannabinoid system in the prefrontal cortex.

    Science.gov (United States)

    Scheggia, D; Zamberletti, E; Realini, N; Mereu, M; Contarini, G; Ferretti, V; Managò, F; Margiani, G; Brunoro, R; Rubino, T; De Luca, M A; Piomelli, D; Parolaro, D; Papaleo, F

    2018-04-01

    The prefrontal cortex (PFC) is a crucial hub for the flexible modulation of recent memories (executive functions) as well as for the stable organization of remote memories. Dopamine in the PFC is implicated in both these processes and genetic variants affecting its neurotransmission might control the unique balance between cognitive stability and flexibility present in each individual. Functional genetic variants in the catechol-O-methyltransferase (COMT) gene result in a different catabolism of dopamine in the PFC. However, despite the established role played by COMT genetic variation in executive functions, its impact on remote memory formation and recall is still poorly explored. Here we report that transgenic mice overexpressing the human COMT-Val gene (COMT-Val-tg) present exaggerated remote memories (>50 days) while having unaltered recent memories (remote memories as silencing COMT Val overexpression starting from 30 days after the initial aversive conditioning normalized remote memories. COMT genetic overactivity produced a selective overdrive of the endocannabinoid system within the PFC, but not in the striatum and hippocampus, which was associated with enhanced remote memories. Indeed, acute pharmacological blockade of CB1 receptors was sufficient to rescue the altered remote memory recall in COMT-Val-tg mice and increased PFC dopamine levels. These results demonstrate that COMT genetic variations modulate the retrieval of remote memories through the dysregulation of the endocannabinoid system in the PFC.

  19. A combination of CO2 laser and plasma surface modification of poly(etheretherketone) to enhance osteoblast response

    International Nuclear Information System (INIS)

    Zheng, Yanyan; Xiong, Chengdong; Wang, Zhecun; Li, Xiaoyu; Zhang, Lifang

    2015-01-01

    Highlights: • COOH and microgrooves containing micropores or microcraters structure were constructed on PEEK surface by a combination of CO 2 laser and plasma treatment. • The mechanical properties of PEEK are maintained after single or dual surface treatment. • Pre-osteoblast cells (MC3T3-E1) adhesion, spreading and proliferation were improved remarkably on dual treated PEEK surface. • Cell pseudopodia protrude into the micropores or microcraters, in favor of forming firmer bone-implant integration. - Abstract: Poly(etheretherketone) (PEEK) is a rigid semicrystalline polymer that combines excellent mechanical properties, broad chemical resistance and bone-like stiffness and is widely used in biomedical fields. However, the bio-inert surface of PEEK tends to hinder its biomedical applications when direct osteointegration between the implants and the host tissue is desired. In this work, we demonstrate a dual modification method, which combines the laser and plasma surface treatment to combine advantages of both chemical states and microstructures for osteoblasts responses. While the plasma treatment introduces surface carboxyl groups (−COOH) onto PEEK surface, the laser treatment constructs microstructures over the PEEK surface. Our results indicated that −COOH as well as microgrooves containing micropores or microcraters structure are constructed on PEEK surface and plasma treatment has no apparent effect on the morphology of microstructures produced by laser micromachining. Unexpectedly, the superior mechanical properties of PEEK were maintained irrespective of the treatment used. Compared to native PEEK and single treated PEEK, dual modified PEEK is more favorable for pre-osteoblasts (MC3T3-E1) adhesion, spreading and proliferation. Moreover, cell pseudopodia protrude into the micropores or microcraters, in favor of forming firmer bone-implant integration. Our study illustrates enhanced osteoblasts responses to dual treated PEEK surface, which gives

  20. Plasma-polymerized hexamethyldisilazane treated by nitrogen plasma immersion ion implantation technique

    Energy Technology Data Exchange (ETDEWEB)

    Honda, R Y; Mota, R P; Batocki, R G S; Santos, D C R; Nicoleti, T; Kostov, K G; Kayama, M E; Algatti, M A [Laboratorio de Plasma, Faculdade de Engenharia, UNESP, Av. Dr Ariberto Pereira da Cunha-333, 12516-410, Guaratingueta, SP (Brazil); Cruz, N C [Laboratorio de Plasmas Tecnologicos, Unidade Diferenciada, UNESP, Av. Tres de Marco-511, 18085-180, Sorocaba, SP (Brazil); Ruggiero, L, E-mail: honda@feg.unesp.b [Faculdade de Ciencias, UNESP, Av. Luis E. Carrijo Coube 14-1, 17033-360, Bauru, SP (Brazil)

    2009-05-01

    This paper describes the effect of nitrogen Plasma Immersion Ion Implantation (PIII) on chemical structure, refraction index and surface hardness of plasma-polymerized hexamethyldisilazane (PPHMDSN) thin films. Firstly, polymeric films were deposited at 13.56 MHz radiofrequency (RF) Plasma Enhanced Chemical Vapour Deposition (PECVD) and then, were treated by nitrogen PIII from 15 to 60 min. Fourier Transformed Infrared (FTIR) spectroscopy was employed to analyse the molecular structure of the samples, and it revealed that vibrations modes at 3350 cm{sup -1}, 2960 cm{sup -1}, 1650 cm{sup -1}, 1250 cm{sup -1} and 1050 cm{sup -1} were altered by nitrogen PIII. Visible-ultraviolet (vis-UV) spectroscopy was used to evaluate film refractive index and the results showed a slight increase from 1.6 to 1.8 following the implantation time. Nanoindentation revealed a surface hardness rise from 0.5 to 2.3 GPa as PIII treatment time increased. These results indicate nitrogen PIII is very promising in improving optical and mechanical properties of PPHMDSN films.

  1. Microwave plasma-assisted chemical vapor deposition of porous carbon film as supercapacitive electrodes

    Science.gov (United States)

    Wu, Ai-Min; Feng, Chen-Chen; Huang, Hao; Paredes Camacho, Ramon Alberto; Gao, Song; Lei, Ming-Kai; Cao, Guo-Zhong

    2017-07-01

    Highly porous carbon film (PCF) coated on nickel foam was prepared successfully by microwave plasma-assisted chemical vapor deposition (MPCVD) with C2H2 as carbon source and Ar as discharge gas. The PCF is uniform and dense with 3D-crosslinked nanoscale network structure possessing high degree of graphitization. When used as the electrode material in an electrochemical supercapacitor, the PCF samples verify their advantageous electrical conductivity, ion contact and electrochemical stability. The test results show that the sample prepared under 1000 W microwave power has good electrochemical performance. It displays the specific capacitance of 62.75 F/g at the current density of 2.0 A/g and retains 95% of its capacitance after 10,000 cycles at the current density of 2.0 A/g. Besides, its near-rectangular shape of the cyclic voltammograms (CV) curves exhibits typical character of an electric double-layer capacitor, which owns an enhanced ionic diffusion that can fit the requirements for energy storage applications.

  2. Remote sensing of soybean stress as an indicator of chemical concentration of biosolid amended surface soils

    Science.gov (United States)

    Sridhar, B. B. Maruthi; Vincent, Robert K.; Roberts, Sheila J.; Czajkowski, Kevin

    2011-08-01

    The accumulation of heavy metals in the biosolid amended soils and the risk of their uptake into different plant parts is a topic of great concern. This study examines the accumulation of several heavy metals and nutrients in soybeans grown on biosolid applied soils and the use of remote sensing to monitor the metal uptake and plant stress. Field and greenhouse studies were conducted with soybeans grown on soils applied with biosolids at varying rates. The plant growth was monitored using Landsat TM imagery and handheld spectroradiometer in field and greenhouse studies, respectively. Soil and plant samples were collected and then analyzed for several elemental concentrations. The chemical concentrations in soils and roots increased significantly with increase in applied biosolid concentrations. Copper (Cu) and Molybdenum (Mo) accumulated significantly in the shoots of the metal-treated plants. Our spectral and Landsat TM image analysis revealed that the Normalized Difference Vegetative Index (NDVI) can be used to distinguish the metal stressed plants. The NDVI showed significant negative correlation with increase in soil Cu concentrations followed by other elements. This study suggests the use of remote sensing to monitor soybean stress patterns and thus indirectly assess soil chemical characteristics.

  3. Interactive Computer-Enhanced Remote Viewing System (ICERVS): Final report, November 1994--September 1996

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1997-05-01

    The Interactive Computer-Enhanced Remote Viewing System (ICERVS) is a software tool for complex three-dimensional (3-D) visualization and modeling. Its primary purpose is to facilitate the use of robotic and telerobotic systems in remote and/or hazardous environments, where spatial information is provided by 3-D mapping sensors. ICERVS provides a robust, interactive system for viewing sensor data in 3-D and combines this with interactive geometric modeling capabilities that allow an operator to construct CAD models to match the remote environment. Part I of this report traces the development of ICERVS through three evolutionary phases: (1) development of first-generation software to render orthogonal view displays and wireframe models; (2) expansion of this software to include interactive viewpoint control, surface-shaded graphics, material (scalar and nonscalar) property data, cut/slice planes, color and visibility mapping, and generalized object models; (3) demonstration of ICERVS as a tool for the remediation of underground storage tanks (USTs) and the dismantlement of contaminated processing facilities. Part II of this report details the software design of ICERVS, with particular emphasis on its object-oriented architecture and user interface.

  4. Interactive Computer-Enhanced Remote Viewing System (ICERVS): Final report, November 1994--September 1996

    International Nuclear Information System (INIS)

    1997-01-01

    The Interactive Computer-Enhanced Remote Viewing System (ICERVS) is a software tool for complex three-dimensional (3-D) visualization and modeling. Its primary purpose is to facilitate the use of robotic and telerobotic systems in remote and/or hazardous environments, where spatial information is provided by 3-D mapping sensors. ICERVS provides a robust, interactive system for viewing sensor data in 3-D and combines this with interactive geometric modeling capabilities that allow an operator to construct CAD models to match the remote environment. Part I of this report traces the development of ICERVS through three evolutionary phases: (1) development of first-generation software to render orthogonal view displays and wireframe models; (2) expansion of this software to include interactive viewpoint control, surface-shaded graphics, material (scalar and nonscalar) property data, cut/slice planes, color and visibility mapping, and generalized object models; (3) demonstration of ICERVS as a tool for the remediation of underground storage tanks (USTs) and the dismantlement of contaminated processing facilities. Part II of this report details the software design of ICERVS, with particular emphasis on its object-oriented architecture and user interface

  5. Remote Systems Design & Deployment

    Energy Technology Data Exchange (ETDEWEB)

    Bailey, Sharon A.; Baker, Carl P.; Valdez, Patrick LJ

    2009-08-28

    The Pacific Northwest National Laboratory (PNNL) was tasked by Washington River Protection Solutions, LLC (WRPS) to provide information and lessons learned relating to the design, development and deployment of remote systems, particularly remote arm/manipulator systems. This report reflects PNNL’s experience with remote systems and lays out the most important activities that need to be completed to successfully design, build, deploy and operate remote systems in radioactive and chemically contaminated environments. It also contains lessons learned from PNNL’s work experiences, and the work of others in the national laboratory complex.

  6. Numerical analysis of the effect of plasma flow control on enhancing the aerodynamic characteristics of stratospheric screw propeller

    International Nuclear Information System (INIS)

    Cheng Yufeng; Nie Wansheng

    2012-01-01

    Based on the body force aerodynamic actuation mechanism of dielectric barrier discharge (DBD) plasma, the effect of plasma flow control on enhancing the aerodynamic characteristics of ten blade elements equably along the stratospheric screw propeller blade was numerical studied. Then the effect of plasma flow control enhancing the aerodynamic characteristics of stratospheric screw propeller was compared that by the blade element theory method. The results show that the flow separate phenomena will easily happen in the root region and top end region of screw propeller, and the blade elements in the root region of screw propeller may work on the negative attack angle condition. DBD plasma flow control can entirely restrain the faintish flow separate phenomena in middle region of screw propeller. Although DBD plasma flow control can not entirely restrain the badly flow separate phenomena in top end region of screw propeller, it also can enhance the aerodynamic characteristics of blade elements in these regions in same degree. But effect of DBD plasma flow control on enhancing the aerodynamic characteristics of the blade elements working on the negative attack angle condition is ineffectively. It can be concluded that DBD plasma flow control can enhance the aerodynamic characteristics of stratospheric screw propeller, the thrust of the whole propeller and the propeller efficiency in the case of plasma on will increases by a factor of 28.27% and 12.3% respectively compared with that in the case of plasma off studied. (authors)

  7. Enhanced electromagnetic emission from plasmas containing positive dust grains and electrons

    International Nuclear Information System (INIS)

    Shukla, P.K.; Shukla, Nitin; Stenflo, L.

    2007-01-01

    Large amplitude high-frequency (HF) electromagnetic (EM) waves can scatter off dust-acoustic waves in plasmas containing positive dust grains and electrons, and can thus be responsible for HF enhanced electromagnetic emissions (EEE). An expression for the ensemble average of the squared HF-EEE vector potential is therefore derived, following the standard parametric interaction formalism and adopting the Rostoker superposition principle. The results should be useful for deducing the dust plasma parameters (e.g. the dust number density and dust charge) in situ, and HF intense EM beams can thus be used for diagnosis of positive dust-electron plasmas in space and laboratories

  8. Method of plasma enhanced chemical vapor deposition of diamond using methanol-based solutions

    Science.gov (United States)

    Tzeng, Yonhua (Inventor)

    2009-01-01

    Briefly described, methods of forming diamond are described. A representative method, among others, includes: providing a substrate in a reaction chamber in a non-magnetic-field microwave plasma system; introducing, in the absence of a gas stream, a liquid precursor substantially free of water and containing methanol and at least one carbon and oxygen containing compound having a carbon to oxygen ratio greater than one, into an inlet of the reaction chamber; vaporizing the liquid precursor; and subjecting the vaporized precursor, in the absence of a carrier gas and in the absence in a reactive gas, to a plasma under conditions effective to disassociate the vaporized precursor and promote diamond growth on the substrate in a pressure range from about 70 to 130 Torr.

  9. Remote sensing of auroral E region plasma structures by radio, radar, and UV techniques at solar minimum

    International Nuclear Information System (INIS)

    Basu, S.; Valladares, C.E.; Basu, S.; Eastes, R.; Huffman, R.E.; Daniell, R.E.; Chaturvedi, P.K.; Livingston, R.C.

    1993-01-01

    The unique capability of the Polar BEAR satellite to simultaneously image auroral luminosities at multiple ultraviolet (UV) wavelengths and to remote sense large-scale (hundreds to tens of kilometers) and small-scale (kilometers to hundreds of meters) plasma density structures with its multifrequency beacon package is utilized to probe the auroral E region in the vicinity of the incoherent scatter radar (ISR) facility near Sondrestrom. In particular, we present coordinated observations on two nights obtained during the sunspot minimum (sunspot number < 10) January-February 1987 period when good spatial and temporal conjunction was obtained between Polar BEAR overflights and Sondrestrom ISR measurements. With careful coordinated observations we were able to confirm that the energetic particle precipitation responsible for the UV emissions causes the electron density increases in the E region. The integrations up to the topside of these ISR electron density profiles were consistent with the total electron content (TEC) measured by the Polar BEAR satellite. An electron transport model was utilized to determine quantitatively the electron density profiles which could be produced by the particle precipitation, which also produced multiple UV emissions measured by the imager; these profiles were found to be in good agreement with the observed ISR profiles in the E region. This outer scale size is also consistent with the measured phase to amplitude scintillation ratio. An estimate of the linear growth rate of the gradient-drift instability in the E region shows that these plasma density irregularities could have been generated by this process. The mutual consistency of these different sets of measurements provides confidence in the ability of the different techniques to remote sense large- and small-scale plasma density structures in the E region at least during sunspot minimum when the convection-dominated high-latitude F region is fairly weak. 56 refs., 16 figs

  10. Enhancement of the Laser Transmission Weldability between Polyethylene and Polyoxymethylene by Plasma Surface Treatment

    Directory of Open Access Journals (Sweden)

    Huixia Liu

    2017-12-01

    Full Text Available Due to their large compatibility difference, polyethylene (PE and polyoxymethylene (POM cannot be welded together by laser transmission welding. In this study, PE and POM are pretreated using plasma that significantly enhances their laser transmission welding strength. To understand the mechanism underlying the laser welding strength enhancement, surface modification is analyzed using contact angle measurements, atomic force microscopy (AFM, optical microscopy, and X-ray photoelectron spectroscopy (XPS. Characterization results show that the plasma surface treatment improves the surface free energy, significantly enhancing the wettability of the materials. The increase in surface roughness and the generation of homogeneous bubbles contribute to the formation of mechanical micro-interlocking. The oxygen-containing groups introduced by the oxygen plasma treatment improve the compatibility of PE and POM, and facilitate the diffusion and entanglement of molecular chains and the formation of van der Waals force.

  11. Sensing signatures mediated by chemical structure of molecular solids in laser-induced plasmas.

    Science.gov (United States)

    Serrano, Jorge; Moros, Javier; Laserna, J Javier

    2015-03-03

    Laser ablation of organic compounds has been investigated for almost 30 years now, either in the framework of pulse laser deposition for the assembling of new materials or in the context of chemical sensing. Various monitoring techniques such as atomic and molecular fluorescence, time-of-flight mass spectrometry, and optical emission spectroscopy have been used for plasma diagnostics in an attempt to understand the spectral signature and potential origin of gas-phase ions and fragments from organic plasmas. Photochemical and photophysical processes occurring within these systems are generally much more complex than those suggested by observation of optical emission features. Together with laser ablation parameters, the structural and chemical-physical properties of molecules seem to be closely tied to the observed phenomena. The present manuscript, for the first time, discusses the role of molecular structure in the optical emission of organic plasmas. Factors altering the electronic distribution within the organic molecule have been found to have a direct impact on its ensuing optical emissions. The electron structure of an organic molecule, resulting from the presence, nature, and position of its atoms, governs the breakage of the molecule and, as a result, determines the extent of atomization and fragmentation that has proved to directly impact the emissions of CN radicals and C2 dimers. Particular properties of the molecule respond more positively depending on the laser irradiation wavelength, thereby redirecting the ablation process through photochemical or photothermal decomposition pathways. It is of paramount significance for chemical identification purposes how, despite the large energy stored and dissipated by the plasma and the considerable number of transient species formed, the emissions observed never lose sight of the original molecule.

  12. EM-31 Alternative and Enhanced Chemical Cleaning Program

    International Nuclear Information System (INIS)

    King, Bill

    2010-01-01

    King's introduction to his presentation made 5 important points: (1) Numerous SRS tanks scheduled for closure (contract commitments); (2) Cannot remove all sludge by mechanical means due to obstructions; (3) Chemical removal technology needed (likely oxalic acid); (4) Post - dissolution neutralization required prior to transfer to compliant tanks; (5) Sodium oxalate salts precipitate on neutralization and have negative downstream impacts. There were three SRS chemical cleaning programs in 2010: Baseline: 8wt percent OA batch contact, ECC: 1-3 wt. percent OA with oxalate destruction, and the Alternative/Enhanced Chemical Cleaning (EM-31). This talk is on the EM-31 program.

  13. Amorphous hydrogenated carbon films treated by SF{sub 6} plasma

    Energy Technology Data Exchange (ETDEWEB)

    Marins, N M S; Mota, R P; Santos, D C R; Honda, R Y; Kayama, M E; Kostov, K G; Algatti, M A [Laboratorio de Plasma, Faculdade de Engenharia, UNESP, Av. Dr. Ariberto Pereira da Cunha-333, 12516-410, Guaratingueta, SP (Brazil); Cruz, N C; Rangel, E C, E-mail: nazir@feg.unesp.b [Laboratorio de Plasmas Tecnologicos, Unidade Diferenciada Sorocaba/Ipero, UNESP, Av. Tres de Marco-511, 18085-180, Sorocaba, SP (Brazil)

    2009-05-01

    This work was performed to verify the chemical structure, mechanical and hydrophilic properties of amorphous hydrogenated carbon films prepared by plasma enhanced chemical vapor deposition, using acetylene/argon mixture as monomer. Films were prepared in a cylindrical quartz reactor, fed by 13.56 MHz radiofrequency. The films were grown during 5 min, for power varying from 25 to 125 W at a fixed pressure of 9.5 Pa. After deposition, all samples were treated by SF{sub 6} plasma with the aim of changing their hydrophilic character. Film chemical structure investigated by Raman spectroscopy, revealed the increase of sp{sup 3} hybridized carbon bonds as the plasma power increases. Hardness measurements performed by the nanoindentation technique showed an improvement from 5 GPa to 14 GPa following the increase discharge power. The untreated films presented a hydrophilic character, which slightly diminished after SF{sub 6} plasma treatment.

  14. Interactive computer-enhanced remote viewing system with data fusion capabilities

    International Nuclear Information System (INIS)

    Walter, T.J.

    1997-01-01

    Robotic missions will increasingly involve sending autonomous and semiautonomous vehicles into unstructured work environments. Mission success will often depend on the ability to accurately map scenes, to combine information from a variety of sensor types, to convey the three-dimensional (3-D) characteristics of these spaces to operators, and to construct geometric model task planning and collision avoidance. To meet these needs, an interactive computer-enhanced remote viewing system (ICERVS) has been developed with general-purpose capabilities for data visualization and geometric modeling. ICERVS has been augmented with software that enables fusing data from multiple mapping sensors and poses to reduce the error effects in individual data sets and improve the mapping accuracy of a work space

  15. Combustion Enhancement Via Stabilized Piecewise Nonequilibrium Gliding Arc Plasma Discharge (Postprint)

    National Research Council Canada - National Science Library

    Ombrello, Timothy; Qin, Xiao; Ju, Yiguang; Gutsol, Alexander; Fridman, Alexander; Carter, Campbell

    2006-01-01

    ... enhancement of methane-air diffusion flames. The results showed that the new system provided a well-defined flame geometry for the understanding of the basic mechanism of the plasma-flame interaction...

  16. Low temperature growth of gallium oxide thin films via plasma enhanced atomic layer deposition

    NARCIS (Netherlands)

    O'Donoghue, R.; Rechmann, J.; Aghaee, M.; Rogalla, D.; Becker, H.-W.; Creatore, M.; Wieck, A.D.; Devi, A.P.K.

    2017-01-01

    Herein we describe an efficient low temperature (60–160 °C) plasma enhanced atomic layer deposition (PEALD) process for gallium oxide (Ga2O3) thin films using hexakis(dimethylamido)digallium [Ga(NMe2)3]2 with oxygen (O2) plasma on Si(100). The use of O2 plasma was found to have a significant

  17. Chemical Evolution of Strongly Interacting Quark-Gluon Plasma

    International Nuclear Information System (INIS)

    Pan, Ying-Hua; Zhang, Wei-Ning

    2014-01-01

    At very initial stage of relativistic heavy ion collisions a wave of quark-gluon matter is produced from the break-up of the strong color electric field and then thermalizes at a short time scale (~1 fm/c). However, the quark-gluon plasma (QGP) system is far out of chemical equilibrium, especially for the heavy quarks which are supposed to reach chemical equilibrium much late. In this paper a continuing quark production picture for strongly interacting QGP system is derived, using the quark number susceptibilities and the equation of state; both of them are from the results calculated by the Wuppertal-Budapest lattice QCD collaboration. We find that the densities of light quarks increase by 75% from the temperature T=400 MeV to T=150 MeV, while the density of strange quark annihilates by 18% in the temperature region. We also offer a discussion on how this late production of quarks affects the final charge-charge correlations

  18. Strangeness chemical equilibration in a quark-gluon plasma

    International Nuclear Information System (INIS)

    Letessier, Jean; Rafelski, Johann

    2007-01-01

    We study, in the dynamically evolving quark-gluon plasma (QGP) fireball formed in relativistic heavy ion collisions at the BNL Relativistic Heavy Ion Collider (RHIC) and CERN Large Hadron Collider (LHC), the growth of strangeness yield toward and beyond the chemical equilibrium. We account for the contribution of the direct strangeness production and evaluate the thermal-QCD strangeness production mechanisms. The specific yield of strangeness per entropy, s/S, is the primary target variable. We explore the effect of collision impact parameter, i.e., fireball size, on kinetic strangeness chemical equilibration in QGP. Insights gained in studying the RHIC data with regard to the dynamics of the fireball are applied to the study of strangeness production at the LHC. We use these results and consider the strange hadron relative particle yields at RHIC and LHC in a systematic fashion. We consider both the dependence on s/S and the direct dependence on the participant number

  19. Comparison of some effects of modification of a polylactide surface layer by chemical, plasma, and laser methods

    Energy Technology Data Exchange (ETDEWEB)

    Moraczewski, Krzysztof, E-mail: kmm@ukw.edu.pl [Department of Materials Engineering, Kazimierz Wielki University, Department of Materials Engineering, ul. Chodkiewicza 30, 85-064 Bydgoszcz (Poland); Rytlewski, Piotr [Department of Materials Engineering, Kazimierz Wielki University, Department of Materials Engineering, ul. Chodkiewicza 30, 85-064 Bydgoszcz (Poland); Malinowski, Rafał [Institute for Engineering of Polymer Materials and Dyes, ul. M. Skłodowskiej–Curie 55, 87-100 Toruń (Poland); Żenkiewicz, Marian [Department of Materials Engineering, Kazimierz Wielki University, Department of Materials Engineering, ul. Chodkiewicza 30, 85-064 Bydgoszcz (Poland)

    2015-08-15

    Highlights: • We modified polylactide surface layer with chemical, plasma or laser methods. • We tested selected properties and surface structure of modified samples. • We stated that the plasma treatment appears to be the most beneficial. - Abstract: The article presents the results of studies and comparison of selected properties of the modified PLA surface layer. The modification was carried out with three methods. In the chemical method, a 0.25 M solution of sodium hydroxide in water and ethanol was utilized. In the plasma method, a 50 W generator was used, which produced plasma in the air atmosphere under reduced pressure. In the laser method, a pulsed ArF excimer laser with fluency of 60 mJ/cm{sup 2} was applied. Polylactide samples were examined by using the following techniques: scanning electron microscopy (SEM), atomic force microscopy (AFM), goniometry and X-ray photoelectron spectroscopy (XPS). Images of surfaces of the modified samples were recorded, contact angles were measured, and surface free energy was calculated. Qualitative and quantitative analyses of chemical composition of the PLA surface layer were performed as well. Based on the survey it was found that the best modification results are obtained using the plasma method.

  20. Effects of thermal annealing on the structural, mechanical, and tribological properties of hard fluorinated carbon films deposited by plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Maia da Costa, M. E. H.; Baumvol, I. J. R.; Radke, C.; Jacobsohn, L. G.; Zamora, R. R. M.; Freire, F. L.

    2004-11-01

    Hard amorphous fluorinated carbon films (a-C:F) deposited by plasma enhanced chemical vapor deposition were annealed in vacuum for 30 min in the temperature range of 200-600 °C. The structural and compositional modifications were followed by several analytical techniques: Rutherford backscattering spectrometry (RBS), elastic recoil detection analysis (ERDA), x-ray photoelectron spectroscopy (XPS) and Raman spectroscopy. Nanoidentation measurements and lateral force microscopy experiments were carried out in order to provide the film hardness and the friction coefficient, respectively. The internal stress and contact angle were also measured. RBS, ERDA, and XPS results indicate that both fluorine and hydrogen losses occur for annealing temperatures higher than 300 °C. Raman spectroscopy shows a progressive graphitization upon annealing, while the surface became slightly more hydrophobic as revealed by the increase of the contact angle. Following the surface wettability reduction, a decrease of the friction coefficient was observed. These results highlight the influence of the capillary condensation on the nanoscale friction. The film hardness and the internal stress are constant up to 300 °C and decrease for higher annealing temperatures, showing a direct correlation with the atomic density of the films. Since the thickness variation is negligible, the mass loss upon thermal treatment results in amorphous structures with a lower degree of cross-linking, explaining the deterioration of the mechanical properties of the a-C:F films.

  1. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  2. Enhancement of quality in chemical inquiry by pre-university students.

    NARCIS (Netherlands)

    van Rens, L.; Pilot, A.; van Dijk, H.

    2005-01-01

    Our pre-university chemistry students face problems achieving sufficient quality in chemical inquiry. To try to enhance the quality of student performance in chemical inquiry, Dutch pre-university chemistry students (age 17) carried out an authentic research project on 'Diffusion of ions in

  3. Enhancement of quality in chemical inquiry by pre-university students

    NARCIS (Netherlands)

    van Rens, L.; Pilot, A.; van Dijk, H.

    2004-01-01

    Our pre-university chemistry students face problems achieving sufficient quality in chemical inquiry. To try to enhance the quality of student performance in chemical inquiry, Dutch pre-university chemistry students (age 17) carried out an authentic research project on 'Diffusion of ions in

  4. Dry etching of ITO by magnetic pole enhanced inductively coupled plasma for display and biosensing devices

    Energy Technology Data Exchange (ETDEWEB)

    Meziani, T. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Vatican City State, Holy See,) (Italy)]. E-mail: tarik.meziani@jrc.it; Colpo, P. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Va) (Italy)]. E-mail: pascal.colpo@jrc.it; Lambertini, V. [Centro Ricerche Fiat, Strada Torino 50, 10043 Orbassano (TO) (Italy); Ceccone, G. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Va) (Italy); Rossi, F. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Va) (Italy)

    2006-03-15

    The dry etching of indium tin oxide (ITO) layers deposited on glass substrates was investigated in a high density inductively coupled plasma (ICP) source. This innovative low pressure plasma source uses a magnetic core in order to concentrate the electromagnetic energy on the plasma and thus provides for higher plasma density and better uniformity. Different gas mixtures were tested containing mainly hydrogen, argon and methane. In Ar/H{sub 2} mixtures and at constant bias voltage (-100 V), the etch rate shows a linear dependence with input power varying the same way as the ion density, which confirms the hypothesis that the etching process is mainly physical. In CH{sub 4}/H{sub 2} mixtures, the etch rate goes through a maximum for 10% CH{sub 4} indicating a participation of the radicals to the etching process. However, the etch rate remains quite low with this type of gas mixture (around 10 nm/min) because the etching mechanism appears to be competing with a deposition process. With CH{sub 4}/Ar mixtures, a similar feature appeared but the etch rate was much higher, reaching 130 nm/min at 10% of CH{sub 4} in Ar. The increase in etch rate with the addition of a small quantity of methane indicates that the physical etching process is enhanced by a chemical mechanism. The etching process was monitored by optical emission spectroscopy that appeared to be a valuable tool for endpoint detection.

  5. Energy Considerations for Plasma-Assisted N-Fixation Reactions

    Directory of Open Access Journals (Sweden)

    Aikaterini Anastasopoulou

    2014-09-01

    Full Text Available In a time of increasing concerns about the immense energy consumption and poor environmental performance of contemporary processes in the chemical industry, there is great need to develop novel sustainable technologies that enhance energy efficiency. There is abundant chemical literature on process innovations (laboratory-scale around the plasma reactor itself, which, naturally, is the essential part to be intensified to achieve a satisfactory process. In essence, a plasma process needs attention beyond reaction engineering towards the process integration side and also with strong electrical engineering focus. In this mini-review, we have detailed our future focus on the process and energy intensification of plasma-based N-fixation. Three focal points are mainly stressed throughout the review: (I the integration of renewable energy; (II the power supply system of plasma reactors and (III process design of industrial plasma-assisted nitrogen fixation. These different enabling strategies will be set in a holistic and synergetic picture so as to improve process performance.

  6. Distributed least-squares estimation of a remote chemical source via convex combination in wireless sensor networks.

    Science.gov (United States)

    Cao, Meng-Li; Meng, Qing-Hao; Zeng, Ming; Sun, Biao; Li, Wei; Ding, Cheng-Jun

    2014-06-27

    This paper investigates the problem of locating a continuous chemical source using the concentration measurements provided by a wireless sensor network (WSN). Such a problem exists in various applications: eliminating explosives or drugs, detecting the leakage of noxious chemicals, etc. The limited power and bandwidth of WSNs have motivated collaborative in-network processing which is the focus of this paper. We propose a novel distributed least-squares estimation (DLSE) method to solve the chemical source localization (CSL) problem using a WSN. The DLSE method is realized by iteratively conducting convex combination of the locally estimated chemical source locations in a distributed manner. Performance assessments of our method are conducted using both simulations and real experiments. In the experiments, we propose a fitting method to identify both the release rate and the eddy diffusivity. The results show that the proposed DLSE method can overcome the negative interference of local minima and saddle points of the objective function, which would hinder the convergence of local search methods, especially in the case of locating a remote chemical source.

  7. Distributed Least-Squares Estimation of a Remote Chemical Source via Convex Combination in Wireless Sensor Networks

    Directory of Open Access Journals (Sweden)

    Meng-Li Cao

    2014-06-01

    Full Text Available This paper investigates the problem of locating a continuous chemical source using the concentration measurements provided by a wireless sensor network (WSN. Such a problem exists in various applications: eliminating explosives or drugs, detecting the leakage of noxious chemicals, etc. The limited power and bandwidth of WSNs have motivated collaborative in-network processing which is the focus of this paper. We propose a novel distributed least-squares estimation (DLSE method to solve the chemical source localization (CSL problem using a WSN. The DLSE method is realized by iteratively conducting convex combination of the locally estimated chemical source locations in a distributed manner. Performance assessments of our method are conducted using both simulations and real experiments. In the experiments, we propose a fitting method to identify both the release rate and the eddy diffusivity. The results show that the proposed DLSE method can overcome the negative interference of local minima and saddle points of the objective function, which would hinder the convergence of local search methods, especially in the case of locating a remote chemical source.

  8. A combination of CO{sub 2} laser and plasma surface modification of poly(etheretherketone) to enhance osteoblast response

    Energy Technology Data Exchange (ETDEWEB)

    Zheng, Yanyan [Chengdu Institute of Organic Chemistry, Chinese Academy of Sciences, Chengdu 610041 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Xiong, Chengdong [Chengdu Institute of Organic Chemistry, Chinese Academy of Sciences, Chengdu 610041 (China); Wang, Zhecun [Chengdu Institute of Organic Chemistry, Chinese Academy of Sciences, Chengdu 610041 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Li, Xiaoyu [State Key Laboratory of Oral Diseases, West China Hospital of Stomatology, Sichuan University, Chengdu 610041 (China); Zhang, Lifang, E-mail: zhanglfcioc@163.com [Chengdu Institute of Organic Chemistry, Chinese Academy of Sciences, Chengdu 610041 (China)

    2015-07-30

    Highlights: • COOH and microgrooves containing micropores or microcraters structure were constructed on PEEK surface by a combination of CO{sub 2} laser and plasma treatment. • The mechanical properties of PEEK are maintained after single or dual surface treatment. • Pre-osteoblast cells (MC3T3-E1) adhesion, spreading and proliferation were improved remarkably on dual treated PEEK surface. • Cell pseudopodia protrude into the micropores or microcraters, in favor of forming firmer bone-implant integration. - Abstract: Poly(etheretherketone) (PEEK) is a rigid semicrystalline polymer that combines excellent mechanical properties, broad chemical resistance and bone-like stiffness and is widely used in biomedical fields. However, the bio-inert surface of PEEK tends to hinder its biomedical applications when direct osteointegration between the implants and the host tissue is desired. In this work, we demonstrate a dual modification method, which combines the laser and plasma surface treatment to combine advantages of both chemical states and microstructures for osteoblasts responses. While the plasma treatment introduces surface carboxyl groups (−COOH) onto PEEK surface, the laser treatment constructs microstructures over the PEEK surface. Our results indicated that −COOH as well as microgrooves containing micropores or microcraters structure are constructed on PEEK surface and plasma treatment has no apparent effect on the morphology of microstructures produced by laser micromachining. Unexpectedly, the superior mechanical properties of PEEK were maintained irrespective of the treatment used. Compared to native PEEK and single treated PEEK, dual modified PEEK is more favorable for pre-osteoblasts (MC3T3-E1) adhesion, spreading and proliferation. Moreover, cell pseudopodia protrude into the micropores or microcraters, in favor of forming firmer bone-implant integration. Our study illustrates enhanced osteoblasts responses to dual treated PEEK surface, which

  9. Impacts of chemical enhancers on skin permeation and deposition of terbinafine.

    Science.gov (United States)

    Erdal, Meryem Sedef; Peköz, Ayca Yıldız; Aksu, Buket; Araman, Ahmet

    2014-08-01

    The addition of chemical enhancers into formulations is the most commonly employed approach to overcome the skin barrier. The objective of this work was to evaluate the effect of vehicle and chemical enhancers on the skin permeation and accumulation of terbinafine, an allylamine antifungal drug. Terbinafine (1% w/w) was formulated as a Carbopol 934 P gel formulation in presence and absence of three chemical enhancers, nerolidol, dl-limonene and urea. Terbinafine distribution and deposition in stratum corneum (SC) and skin following 8-h ex vivo permeation study was determined using a sequential tape stripping procedure. The conformational order of SC lipids was investigated by ATR-FTIR spectroscopy. Nerolidol containing gel formulation produced significantly higher enhancement in terbinafine permeation through skin and its skin accumulation was increased. ATR-FTIR results showed enhancer induced lipid bilayer disruption in SC. Urea resulted in enhanced permeation of terbinafine across the skin and a balanced distribution to the SC was achieved. But, dl-limonene could not minimize the accumulation of terbinafine in the upper SC. Nerolidol dramatically improved the skin permeation and deposition of terbinafine in the skin that might help to optimize targeting of the drug to the epidermal sites as required for both of superficial and deep cutaneous fungal infections.

  10. Enhancement and stabilization of plasma using collinear long-short double-pulse laser-induced breakdown spectroscopy

    Science.gov (United States)

    Cui, Minchao; Deguchi, Yoshihiro; Wang, Zhenzhen; Fujita, Yuki; Liu, Renwei; Shiou, Fang-Jung; Zhao, Shengdun

    2018-04-01

    A collinear long-short dual-pulse laser-induced breakdown spectroscopy (DP-LIBS) method was employed to enhance and stabilize the laser-induced plasma from steel sample. The long-pulse-width laser beam with the pulse width of 60 μs was generated by a Nd: YAG laser which was operated at FR (free running) mode. The comparative experiments were carried out between single pulse LIBS (SP-LIBS) and long-short DP-LIBS. The recorded results showed that the emission intensities and the temperature of plasma were enhanced by long-short DP-LIBS. The plasma images showed that the plasma was bigger and had a longer lifetime in long-short DP-LIBS situation. Through the calculation of time-resolved plasma temperature and intensity ratio, it can be concluded that the plasma was stabilized by the long-pulse-width laser beam. The long-short DP-LIBS method also generated the stable plasma condition from the samples with different initial temperatures, which overcame the difficulties of LIBS in the online measurement for steel production line.

  11. Fabrication of aligned carbon nanotubes on Cu catalyst by dc plasma-enhanced catalytic decomposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhang Zhejuan [Department of Physics, Engineering Research Center for Nanophotonics and Advanced Instrument, East China Normal University, North Zhongshan Road 3663, 200062 Shanghai (China); Shakerzadeh, Maziar; Tay, Beng Kang; Li Xiaocheng; Tan Chongwei [School of Electrical and Electronic Engineering, Nanyang Technological University, Nanyang Avenue 50, 639798 Singapore (Singapore); Lin Lifeng; Guo Pingsheng; Feng Tao [Department of Physics, Engineering Research Center for Nanophotonics and Advanced Instrument, East China Normal University, North Zhongshan Road 3663, 200062 Shanghai (China); Sun Zhuo, E-mail: zsun@phy.ecnu.edu.cn [Department of Physics, Engineering Research Center for Nanophotonics and Advanced Instrument, East China Normal University, North Zhongshan Road 3663, 200062 Shanghai (China)

    2009-04-01

    Aligned multi-walled carbon nanotubes (ACNTs) are deposited using copper (Cu) catalyst on Chromium (Cr)-coated substrate by plasma-enhanced chemical vapor deposition at temperature of 700 deg. C. Acetylene gas has been used as the carbon source while ammonia is used for diluting and etching. The thicknesses of Cu films on Cr-coated Si (100) substrates are controlled by deposition time of magnetron sputtering. The growth behaviors and quality of ACNTs are investigated by scanning electron microscopy (SEM) and transmission electron microscopy. The different performance of ACNTs on various Cu films is explained by referring to the graphitic order as detected by Raman spectroscopy. The results indicate that the ACNTs are formed in tip-growth model where Cu is used as a novel catalyst, and the thickness of Cu films is responsible to the diameter and quality of synthesized CNTs.

  12. Plasma-Enhanced Chemical Vapor Deposition (PE-CVD) yields better Hydrolytical Stability of Biocompatible SiOx Thin Films on Implant Alumina Ceramics compared to Rapid Thermal Evaporation Physical Vapor Deposition (PVD).

    Science.gov (United States)

    Böke, Frederik; Giner, Ignacio; Keller, Adrian; Grundmeier, Guido; Fischer, Horst

    2016-07-20

    Densely sintered aluminum oxide (α-Al2O3) is chemically and biologically inert. To improve the interaction with biomolecules and cells, its surface has to be modified prior to use in biomedical applications. In this study, we compared two deposition techniques for adhesion promoting SiOx films to facilitate the coupling of stable organosilane monolayers on monolithic α-alumina; physical vapor deposition (PVD) by thermal evaporation and plasma enhanced chemical vapor deposition (PE-CVD). We also investigated the influence of etching on the formation of silanol surface groups using hydrogen peroxide and sulfuric acid solutions. The film characteristics, that is, surface morphology and surface chemistry, as well as the film stability and its adhesion properties under accelerated aging conditions were characterized by means of X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), scanning electron microscopy (SEM), inductively coupled plasma-optical emission spectroscopy (ICP-OES), and tensile strength tests. Differences in surface functionalization were investigated via two model organosilanes as well as the cell-cytotoxicity and viability on murine fibroblasts and human mesenchymal stromal cells (hMSC). We found that both SiOx interfaces did not affect the cell viability of both cell types. No significant differences between both films with regard to their interfacial tensile strength were detected, although failure mode analyses revealed a higher interfacial stability of the PE-CVD films compared to the PVD films. Twenty-eight day exposure to simulated body fluid (SBF) at 37 °C revealed a partial delamination of the thermally deposited PVD films whereas the PE-CVD films stayed largely intact. SiOx layers deposited by both PVD and PE-CVD may thus serve as viable adhesion-promoters for subsequent organosilane coupling agent binding to α-alumina. However, PE-CVD appears to be favorable for long-term direct film exposure to aqueous

  13. Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies.

    Science.gov (United States)

    Faraz, Tahsin; Knoops, Harm C M; Verheijen, Marcel A; van Helvoirt, Cristian A A; Karwal, Saurabh; Sharma, Akhil; Beladiya, Vivek; Szeghalmi, Adriana; Hausmann, Dennis M; Henri, Jon; Creatore, Mariadriana; Kessels, Wilhelmus M M

    2018-04-18

    Oxide and nitride thin-films of Ti, Hf, and Si serve numerous applications owing to the diverse range of their material properties. It is therefore imperative to have proper control over these properties during materials processing. Ion-surface interactions during plasma processing techniques can influence the properties of a growing film. In this work, we investigated the effects of controlling ion characteristics (energy, dose) on the properties of the aforementioned materials during plasma-enhanced atomic layer deposition (PEALD) on planar and 3D substrate topographies. We used a 200 mm remote PEALD system equipped with substrate biasing to control the energy and dose of ions by varying the magnitude and duration of the applied bias, respectively, during plasma exposure. Implementing substrate biasing in these forms enhanced PEALD process capability by providing two additional parameters for tuning a wide range of material properties. Below the regimes of ion-induced degradation, enhancing ion energies with substrate biasing during PEALD increased the refractive index and mass density of TiO x and HfO x and enabled control over their crystalline properties. PEALD of these oxides with substrate biasing at 150 °C led to the formation of crystalline material at the low temperature, which would otherwise yield amorphous films for deposition without biasing. Enhanced ion energies drastically reduced the resistivity of conductive TiN x and HfN x films. Furthermore, biasing during PEALD enabled the residual stress of these materials to be altered from tensile to compressive. The properties of SiO x were slightly improved whereas those of SiN x were degraded as a function of substrate biasing. PEALD on 3D trench nanostructures with biasing induced differing film properties at different regions of the 3D substrate. On the basis of the results presented herein, prospects afforded by the implementation of this technique during PEALD, such as enabling new routes for

  14. Chemically reactive species in liquids generated by atmospheric-pressure plasmas and their roles in plasma medicine

    International Nuclear Information System (INIS)

    Hamaguchi, Satoshi

    2013-01-01

    Plasmas whose gas temperatures are close to room temperature may be generated in ambient air or a gas at atmospheric pressure with the use of low-frequency high voltage or low-power radio-frequency (RF) or microwave power applied to electrodes. Such plasmas can serve as a powerful source of free radicals and/or chemically reactive species that arise from atoms and molecules of the ambient gas. Recently use of such plasmas for medical purposes has attracted much attention as they can be implemented in possible medical devices that can cause blood coagulation, heal wounds, facilitate angiogenesis, sterilize surgical devices as well as living tissues without harming healthy cells, and selectively inactivate cancer cells. Especially of interest among reactive species generated by atmospheric-pressure plasmas (APP) are reactive oxygen species (ROS) and reactive nitrogen species (RNS) that are generated in liquid phase. Since most living tissues and cells are immersed in liquids (such as blood or culture media), reactive species generated by APPs in the gas phase are transported to the liquid phase and possibly converted to different types of reactive species therein before causing some influence on the tissues or cells. In this study, the rate equations are solved to evaluate concentrations of various reactive species in pure water that are originated by plasma reactions in atmosphere and possible effects of such species (including ROS/RNS) on living tissues and cells are discussed

  15. Chemically reactive species in liquids generated by atmospheric-pressure plasmas and their roles in plasma medicine

    Energy Technology Data Exchange (ETDEWEB)

    Hamaguchi, Satoshi [Center for Atomic and Molecular Technologies, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan)

    2013-07-11

    Plasmas whose gas temperatures are close to room temperature may be generated in ambient air or a gas at atmospheric pressure with the use of low-frequency high voltage or low-power radio-frequency (RF) or microwave power applied to electrodes. Such plasmas can serve as a powerful source of free radicals and/or chemically reactive species that arise from atoms and molecules of the ambient gas. Recently use of such plasmas for medical purposes has attracted much attention as they can be implemented in possible medical devices that can cause blood coagulation, heal wounds, facilitate angiogenesis, sterilize surgical devices as well as living tissues without harming healthy cells, and selectively inactivate cancer cells. Especially of interest among reactive species generated by atmospheric-pressure plasmas (APP) are reactive oxygen species (ROS) and reactive nitrogen species (RNS) that are generated in liquid phase. Since most living tissues and cells are immersed in liquids (such as blood or culture media), reactive species generated by APPs in the gas phase are transported to the liquid phase and possibly converted to different types of reactive species therein before causing some influence on the tissues or cells. In this study, the rate equations are solved to evaluate concentrations of various reactive species in pure water that are originated by plasma reactions in atmosphere and possible effects of such species (including ROS/RNS) on living tissues and cells are discussed.

  16. Plasma-enhanced atomic layer deposition of silicon dioxide films using plasma-activated triisopropylsilane as a precursor

    International Nuclear Information System (INIS)

    Jeon, Ki-Moon; Shin, Jae-Su; Yun, Ju-Young; Jun Lee, Sang; Kang, Sang-Woo

    2014-01-01

    The plasma-enhanced atomic layer deposition (PEALD) process was developed as a growth technique of SiO 2 thin films using a plasma-activated triisopropylsilane [TIPS, ((iPr) 3 SiH)] precursor. TIPS was activated by an argon plasma at the precursor injection stage of the process. Using the activated TIPS, it was possible to control the growth rate per cycle of the deposited films by adjusting the plasma ignition time. The PEALD technique allowed deposition of SiO 2 films at temperatures as low as 50 °C without carbon impurities. In addition, films obtained with plasma ignition times of 3 s and 10 s had similar values of root-mean-square surface roughness. In order to evaluate the suitability of TIPS as a precursor for low-temperature deposition of SiO 2 films, the vapor pressure of TIPS was measured. The thermal stability and the reactivity of the gas-phase TIPS with respect to water vapor were also investigated by analyzing the intensity changes of the C–H and Si–H peaks in the Fourier-transform infrared spectrum of TIPS

  17. Brightness enhancement of plasma ion source by utilizing anode spot for nano applications

    International Nuclear Information System (INIS)

    Park, Yeong-Shin; Lee, Yuna; Chung, Kyoung-Jae; Hwang, Y. S.; Kim, Yoon-Jae; Park, Man-Jin; Moon, Dae Won

    2012-01-01

    Anode spots are known as additional discharges on positively biased electrode immersed in plasmas. The anode spot plasma ion source (ASPIS) has been investigated as a high brightness ion source for nano applications such as focused ion beam (FIB) and nano medium energy ion scattering (nano-MEIS). The generation of anode spot is found to enhance brightness of ion beam since the anode spot increases plasma density near the extraction aperture. Brightness of the ASPIS has been estimated from measurement of emittance for total ion beam extracted through sub-mm aperture. The ASPIS is installed to the FIB system. Currents and diameters of the focused beams with/without anode spot are measured and compared. As the anode spot is turned on, the enhancement of beam current is observed at fixed diameter of the focused ion beam. Consequently, the brightness of the focused ion beam is enhanced as well. For argon ion beam, the maximum normalized brightness of 12 300 A/m 2 SrV is acquired. The ASPIS is applied to nano-MEIS as well. The ASPIS is found to increase the beam current density and the power efficiency of the ion source for nano-MEIS. From the present study, it is shown that the ASPIS can enhance the performance of devices for nano applications.

  18. Experimental investigation of lift enhancement for flying wing aircraft using nanosecond DBD plasma actuators

    Science.gov (United States)

    Yao, Junkai; Zhou, Danjie; He, Haibo; He, Chengjun; Shi, Zhiwei; Du, Hai

    2017-04-01

    The effects of the arrangement position and control parameters of nanosecond dielectric barrier discharge (NS-DBD) plasma actuators on lift enhancement for flying wing aircraft were investigated through wind tunnel experiments at a flow speed of 25 m s-1. The aerodynamic forces and moments were obtained by a six-component balance at angles of attack ranging from -4° to 28°. The lift, drag and pitching moment coefficients were compared for the cases with and without plasma control. The results revealed that the maximum control effect was achieved by placing the actuator at the leading edge of the inner and middle wing, for which the maximum lift coefficient increased by 37.8% and the stall angle of attack was postponed by 8° compared with the plasma-off case. The effects of modulation frequency and discharge voltage were also investigated. The results revealed that the lift enhancement effect of the NS-DBD plasma actuators was strongly influenced by the modulation frequency. Significant control effects were obtained at f = 70 Hz, corresponding to F + ≈ 1. The result for the pitching moment coefficient demonstrated that the plasma actuator can induce the reattachment of the separation flows when it is actuated. However, the results indicated that the discharge voltage had a negligible influence on the lift enhancement effect.

  19. Ge/Si (100) heterojunction photodiodes fabricated from material grown by low-energy plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Osmond, Johann; Isella, Giovanni; Chrastina, Daniel; Kaufmann, Rolf; Kaenel, Hans von

    2008-01-01

    We have fabricated a series of p-i-n Ge/Si heterojunction photodetectors with different thicknesses of the intrinsic Ge layer, different doping levels of the p and n layers and different diode diameters. Epitaxial Ge was deposited on Si(100) using low-energy plasma-enhanced CVD (LEPECVD) followed by cyclic annealing. Dark current values as low as 0.04 mA/cm 2 were achieved for 1 μm thick p-i-n photodiodes on lightly doped substrates at - 1 V bias, and external quantum efficiencies of 56% at 1.30 μm and 44% at 1.55 μm for 3 μm thick p + -i-n + photodiodes on highly doped substrates under 0.5 V reverse bias. For a 30 μm diameter diode a RC frequency of 21 GHz is obtained at a reverse bias of 1 V. With such characteristics, these diodes are attractive for telecommunication and optoelectronic applications

  20. Cyanobacterial chassis engineering for enhancing production of biofuels and chemicals.

    Science.gov (United States)

    Gao, Xinyan; Sun, Tao; Pei, Guangsheng; Chen, Lei; Zhang, Weiwen

    2016-04-01

    To reduce dependence on fossil fuels and curb greenhouse effect, cyanobacteria have emerged as an important chassis candidate for producing biofuels and chemicals due to their capability to directly utilize sunlight and CO2 as the sole energy and carbon sources, respectively. Recent progresses in developing and applying various synthetic biology tools have led to the successful constructions of novel pathways of several dozen green fuels and chemicals utilizing cyanobacterial chassis. Meanwhile, it is increasingly recognized that in order to enhance productivity of the synthetic cyanobacterial systems, optimizing and engineering more robust and high-efficient cyanobacterial chassis should not be omitted. In recent years, numerous research studies have been conducted to enhance production of green fuels and chemicals through cyanobacterial chassis modifications involving photosynthesis, CO2 uptake and fixation, products exporting, tolerance, and cellular regulation. In this article, we critically reviewed recent progresses and universal strategies in cyanobacterial chassis engineering to make it more robust and effective for bio-chemicals production.

  1. Plasma-treated polystyrene film that enhances binding efficiency for sensitive and label-free protein biosensing

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Bihong [National Center for NanoScience and Technology, No. 11 Beiyitiao, Zhongguancun, Beijing 100190 (China); Li, Shaopeng [National Center for NanoScience and Technology, No. 11 Beiyitiao, Zhongguancun, Beijing 100190 (China); Department of Chemistry, Tsinghua University, Beijing 100084 (China); Song, Lusheng [National Center for NanoScience and Technology, No. 11 Beiyitiao, Zhongguancun, Beijing 100190 (China); Yang, Mo; Zhou, Wenfei; Tyagi, Deependra [National Center for NanoScience and Technology, No. 11 Beiyitiao, Zhongguancun, Beijing 100190 (China); University of Chinese Academy of Sciences, Yuquan Rd., 19(A), Beijing 100049 (China); Zhu, Jinsong, E-mail: jizhu88@gmail.com [National Center for NanoScience and Technology, No. 11 Beiyitiao, Zhongguancun, Beijing 100190 (China)

    2015-08-01

    Highlights: • A simple and robust plasma-treated ultrathin polystyrene film surface was developed for protein biosensing. • The surface was optimized by evaluating up to 120 types of fabrication parameters with high-throughput analytical methods. • The optimized surface showed a 620% improvement of the protein detection signal and 210% protein binding per immobilized protein ligand compared with a self-assembled monolayer surface. - Abstract: A plasma-treated ultrathin polystyrene (PS) film surface was explored as a simple, robust, and low-cost surface chemistry solution for protein biosensing applications. This surface could dramatically improve the binding efficiency of the protein–protein interactions, which is defined as the binding signal per immobilized ligand. The PS-modified protein biosensor was readily fabricated by spin coating and plasma treatment. Various parameters for fabrication, including the concentration of the PS solution, rate of spin coating, and duration of plasma treatment, were systematically optimized based on the improvement of fluorescence signal yielded by the microfluidic network-aided fluorescence immunoassay. The performance of the label-free protein detection on the optimized surfaces was further evaluated by surface plasmon resonance imaging (SPRi). PS surfaces with optimal fabrication parameters exhibited up to an 620% enhancement of the protein binding response and approximately 210% of the protein binding per immobilized protein ligand compared with a self-assembled monolayer (SAM) surface of 11-mercapto undecanoic acid (MUA). The relationship between the fabrication parameters used and changes to the surface chemistry and the morphological properties were characterized with atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and Fourier transform infrared spectroscopy (FTIR). It was revealed that the morphological changes observed in the plasma-treated PS film were the dominant factor for the

  2. Industrialization of hot wire chemical vapor deposition for thin film applications

    NARCIS (Netherlands)

    Schropp, Ruud

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical

  3. Observation of abnormal mobility enhancement in multilayer MoS2 transistor by synergy of ultraviolet illumination and ozone plasma treatment

    Science.gov (United States)

    Guo, Junjie; Yang, Bingchu; Zheng, Zhouming; Jiang, Jie

    2017-03-01

    Mobility engineering through physical or chemical process is a fruitful approach for the atomically-layered two-dimensional electronic applications. Unfortunately, the usual process with either illumination or oxygen treatment would greatly deteriorate the mobility in two-dimensional MoS2 field-effect transistor (FET). Here, in this work, we report that the mobility can be abnormally enhanced to an order of magnitude by the synergy of ultraviolet illumination (UV) and ozone plasma treatment in multilayer MoS2 FET. This abnormal mobility enhancement is attributed to the trap passivation due to the photo-generated excess carriers during UV/ozone plasma treatment. An energy band model based on Schottky barrier modulation is proposed to understand the underlying mechanism. Raman spectra results indicate that the oxygen ions are incorporated into the surface of MoS2 (some of them are in the form of ultra-thin Mo-oxide) and can further confirm this proposed mechanism. Our results can thus provide a simple approach for mobility engineering in MoS2-based FET and can be easily expanded to other 2D electronic devices, which represents a significant step toward applications of 2D layered materials in advanced cost-effective electronics.

  4. Observation of non-chemical equilibrium effect on Ar-CO2-H2 thermal plasma model by changing pressure

    International Nuclear Information System (INIS)

    Al-Mamun, Sharif Abdullah; Tanaka, Yasunori; Uesugi, Yoshihiko

    2009-01-01

    The authors developed a two-dimensional one-temperature chemical non-equilibrium (1T-NCE) model of Ar-CO 2 -H 2 inductively coupled thermal plasmas (ICTP) to investigate the effect of pressure variation. The basic concept of one-temperature model is the assumption and treatment of the same energy conservation equation for electrons and heavy particles. The energy conservation equations consider reaction heat effects and energy transfer among the species produced as well as enthalpy flow resulting from diffusion. Assuming twenty two (22) different particles in this model and by solving mass conservation equations for each particle, considering diffusion, convection and net production terms resulting from hundred and ninety eight (198) chemical reactions, chemical non-equilibrium effects were taken into account. Transport and thermodynamic properties of Ar-CO 2 -H 2 thermal plasmas were self-consistently calculated using the first-order approximation of the Chapman-Enskog method. Finally results obtained at atmospheric pressure (760 Torr) and at reduced pressure (500, 300 Torr) were compared with results from one-temperature chemical equilibrium (1T-CE) model. And of course, this comparison supported discussion of chemical non-equilibrium effects in the inductively coupled thermal plasmas (ICTP).

  5. Surface modification of thermoplastic poly(vinyl alcohol)/saponite nanocomposites via surface-initiated atom transfer radical polymerization enhanced by air dielectric discharges barrier plasma treatment

    International Nuclear Information System (INIS)

    Zhen Weijun; Lu Canhui

    2012-01-01

    To improve the water resistance of thermoplastic poly(vinyl alcohol)/saponite nanocomposites (TPVA), a simple two-step method was developed for the covalent immobilization of atom transfer radical polymerization (ATRP) initiators on the TPVA surfaces enhanced by air dielectric barrier discharges (DBD) plasma treatment, and hydrophobic poly(methyl methacrylate) (PMMA) brushes were then grafted onto the surface of TPVA via surface-initiated atom transfer radical polymerization (SI-ATRP). The chemical composition, morphology and hydrophobicity of the modified TPVA surfaces were characterized by X-ray photoelectron spectroscopy (XPS) and scanning electron microscopy (SEM), respectively. The water resistance of the surface-functionalized PMMA was evaluated by the contact angle and water adsorption method. It was shown that air DBD plasma treatment activated the TPVA surface and accelerated the immobilization of ATRP initiator on the TPVA surface. Compared with TPVA control, TPVA modified by SI-ATRP can be grafted well-defined and covalently tethered network PMMA brushes onto the surface and the hydrophobicity of TPVA were significantly enhanced.

  6. Simulation and Experimental Study of Photogeneration and Recombination in Amorphous-Like Silicon Thin Films Deposited by 27.12 MHz Plasma-Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Chia-Hsun Hsu

    2013-01-01

    Full Text Available Amorphous-like silicon (a-Si:H-like thin films are prepared by 27.12 MHz plasma-enhanced chemical vapor deposition technique. The films are applied to p-i-n single junction thin film solar cells with varying i-layer thickness to observe the effects on the short-circuit current density, as well as the open-circuit voltage, fill factor, and conversion efficiency. The most significant experimental result is that Jsc has two different behaviors with increasing the i-layer thickness, which can be related to carrier collection efficiency in the long wavelength region. Furthermore, technology computer-aided design simulation software is used to gain better insight into carrier generation and recombination of the solar cells, showing that for the i-layer thickness of 200 to 300 nm the generation dominates the carrier density and thus Jsc, whereas for the i-layer thickness of 300 to 400 nm the recombination becomes the leading factor. The simulation results of cell performances are in good agreement with experimental data, indicating that our simulation has great reliability. In addition, the a-Si:H-like solar cells have low light-induced degradation, which in turn can have a great potential to be used for stable and high-efficiency solar cells.

  7. Inactivation of Escherichia coli on blueberries using cold plasma with chemical augmentation inside a partial vacuum

    Science.gov (United States)

    Justification: The mechanism by which cold plasma inactivates pathogens is through the production of free reactive chemical species. Unfortunately, the most reactive chemical species have the shortest half-life. In a vacuum their half-life is believed to be prolonged. Additionally, these reactive sp...

  8. Neutron degradation of UV enhanced optical fibers for fusion installation plasma diagnostics

    International Nuclear Information System (INIS)

    Sporea, D.; Vata, I.; Dudu, D.; Danis, Ana

    2004-01-01

    The remote diagnostics of plasmas in fusion installations requires adequate connection links to transfer the measured signals in media subjected to high electromagnetic disturbances. We evaluated the neutron irradiation induced optical absorption in several commercially available optical fibers, as they were assessed for their possible use in fusion installations. Optical fiber samples were subjected to subsequent irradiation with fluences from 6 x 10 11 to 6 x 10 13 n/cm 2 . Significant radiation induced absorption was observed in the 220-260 nm spectral band, mainly for small core diameter optical fibers (200/220 μm), independent of the cladding material used

  9. Chemical Potential Tuning and Enhancement of Thermoelectric Properties in Indium Selenides.

    Science.gov (United States)

    Rhyee, Jong-Soo; Kim, Jin Hee

    2015-03-20

    Researchers have long been searching for the materials to enhance thermoelectric performance in terms of nano scale approach in order to realize phonon-glass-electron-crystal and quantum confinement effects. Peierls distortion can be a pathway to enhance thermoelectric figure-of-merit ZT by employing natural nano-wire-like electronic and thermal transport. The phonon-softening known as Kohn anomaly, and Peierls lattice distortion decrease phonon energy and increase phonon scattering, respectively, and, as a result, they lower thermal conductivity. The quasi-one-dimensional electrical transport from anisotropic band structure ensures high Seebeck coefficient in Indium Selenide. The routes for high ZT materials development of In₄Se₃ - δ are discussed from quasi-one-dimensional property and electronic band structure calculation to materials synthesis, crystal growth, and their thermoelectric properties investigations. The thermoelectric properties of In₄Se₃ - δ can be enhanced by electron doping, as suggested from the Boltzmann transport calculation. Regarding the enhancement of chemical potential, the chlorine doped In₄Se₃ - δ Cl 0.03 compound exhibits high ZT over a wide temperature range and shows state-of-the-art thermoelectric performance of ZT = 1.53 at 450 °C as an n -type material. It was proven that multiple elements doping can enhance chemical potential further. Here, we discuss the recent progress on the enhancement of thermoelectric properties in Indium Selenides by increasing chemical potential.

  10. Hydrogen desorption from hydrogen fluoride and remote hydrogen plasma cleaned silicon carbide (0001) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    King, Sean W., E-mail: sean.king@intel.com; Tanaka, Satoru; Davis, Robert F. [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Nemanich, Robert J. [Department of Physics, North Carolina State University, Raleigh, North Carolina 27695 (United States)

    2015-09-15

    Due to the extreme chemical inertness of silicon carbide (SiC), in-situ thermal desorption is commonly utilized as a means to remove surface contamination prior to initiating critical semiconductor processing steps such as epitaxy, gate dielectric formation, and contact metallization. In-situ thermal desorption and silicon sublimation has also recently become a popular method for epitaxial growth of mono and few layer graphene. Accordingly, numerous thermal desorption experiments of various processed silicon carbide surfaces have been performed, but have ignored the presence of hydrogen, which is ubiquitous throughout semiconductor processing. In this regard, the authors have performed a combined temperature programmed desorption (TPD) and x-ray photoelectron spectroscopy (XPS) investigation of the desorption of molecular hydrogen (H{sub 2}) and various other oxygen, carbon, and fluorine related species from ex-situ aqueous hydrogen fluoride (HF) and in-situ remote hydrogen plasma cleaned 6H-SiC (0001) surfaces. Using XPS, the authors observed that temperatures on the order of 700–1000 °C are needed to fully desorb C-H, C-O and Si-O species from these surfaces. However, using TPD, the authors observed H{sub 2} desorption at both lower temperatures (200–550 °C) as well as higher temperatures (>700 °C). The low temperature H{sub 2} desorption was deconvoluted into multiple desorption states that, based on similarities to H{sub 2} desorption from Si (111), were attributed to silicon mono, di, and trihydride surface species as well as hydrogen trapped by subsurface defects, steps, or dopants. The higher temperature H{sub 2} desorption was similarly attributed to H{sub 2} evolved from surface O-H groups at ∼750 °C as well as the liberation of H{sub 2} during Si-O desorption at temperatures >800 °C. These results indicate that while ex-situ aqueous HF processed 6H-SiC (0001) surfaces annealed at <700 °C remain terminated by some surface C–O and

  11. Informing the Human Plasma Protein Binding of Environmental Chemicals by Machine Learning in the Pharmaceutical Space: Applicability Domain and Limits of Predictability

    Science.gov (United States)

    The free fraction of a xenobiotic in plasma (Fub) is an important determinant of chemical adsorption, distribution, metabolism, elimination, and toxicity, yet experimental plasma protein binding data is scarce for environmentally relevant chemicals. The presented work explores th...

  12. Large plasma density enhancements occurring in the northern polar region during the 6 April 2000 superstorm

    Science.gov (United States)

    Horvath, Ildiko; Lovell, Brian C.

    2014-06-01

    We focus on the ionospheric response of northern high-latitude region to the 6 April 2000 superstorm and aim to investigate how the storm-enhanced density (SED) plume plasma became distributed in the regions of auroral zone and polar cap plus to study the resultant ionospheric features and their development. Multi-instrument observational results combined with model-generated, two-cell convection maps permitted identifying the high-density plasma's origin and the underlying plasma transportation processes. Results show the plasma density feature of polar cap enhancement (PCE; 600 × 103 i+/cm3) appearing for 7 h during the main phase and characterized by increases reaching up to 6 times of the quiet time values. Meanwhile, strong westward convections ( 17,500 m/s) created low plasma densities in a wider region of the dusk cell. Oppositely, small ( 750 m/s) but rigorous westward drifts drove the SED plume plasma through the auroral zone, wherein plasma densities doubled. As the SED plume plasma traveled along the convection streamlines and entered the polar cap, a continuous enhancement of the tongue of ionization (TOI) developed under steady convection conditions. However, convection changes caused slow convections and flow stagnations and thus segmented the TOI feature by locally depleting the plasma in the affected regions of the auroral zone and polar cap. From the strong correspondence of polar cap potential drop and subauroral polarization stream (SAPS), we conclude that the SAPS E-field strength remained strong, and under its prolonged influence, the SED plume provided a continuous supply of downward flowing high-density plasma for the development and maintenance of PCEs.

  13. Enhanced chemical weapon warning via sensor fusion

    Science.gov (United States)

    Flaherty, Michael; Pritchett, Daniel; Cothren, Brian; Schwaiger, James

    2011-05-01

    Torch Technologies Inc., is actively involved in chemical sensor networking and data fusion via multi-year efforts with Dugway Proving Ground (DPG) and the Defense Threat Reduction Agency (DTRA). The objective of these efforts is to develop innovative concepts and advanced algorithms that enhance our national Chemical Warfare (CW) test and warning capabilities via the fusion of traditional and non-traditional CW sensor data. Under Phase I, II, and III Small Business Innovative Research (SBIR) contracts with DPG, Torch developed the Advanced Chemical Release Evaluation System (ACRES) software to support non real-time CW sensor data fusion. Under Phase I and II SBIRs with DTRA in conjunction with the Edgewood Chemical Biological Center (ECBC), Torch is using the DPG ACRES CW sensor data fuser as a framework from which to develop the Cloud state Estimation in a Networked Sensor Environment (CENSE) data fusion system. Torch is currently developing CENSE to implement and test innovative real-time sensor network based data fusion concepts using CW and non-CW ancillary sensor data to improve CW warning and detection in tactical scenarios.

  14. Enhanced photoluminescence from porous silicon by hydrogen-plasma etching

    International Nuclear Information System (INIS)

    Wang, Q.; Gu, C.Z.; Li, J.J.; Wang, Z.L.; Shi, C.Y.; Xu, P.; Zhu, K.; Liu, Y.L.

    2005-01-01

    Porous silicon (PS) was etched by hydrogen plasma. On the surface a large number of silicon nanocone arrays and nanocrystallites were formed. It is found that the photoluminescence of the H-etched porous silicon is highly enhanced. Correspondingly, three emission centers including red, green, and blue emissions are shown to contribute to the enhanced photoluminescence of the H-etched PS, which originate from the recombination of trapped electrons with free holes due to Si=O bonding at the surface of the silicon nanocrystallites, the quantum size confinement effect, and oxygen vacancy in the surface SiO 2 layer, respectively. In particular, the increase of SiO x (x<2) formed on the surface of the H-etched porous silicon plays a very important role in enhancing the photoluminescence properties

  15. Atmospheric-pressure-plasma-enhanced fabrication of nonfouling nanocoatings for 316 stainless steel biomaterial interfaces

    Science.gov (United States)

    Huang, Chun; Lin, Jin-He; Li, Chi-Heng; Yu, I.-Chun; Chen, Ting-Lun

    2018-03-01

    Atmospheric-pressure plasma, which was generated with electrical RF power, was fed to a tetramethyldisiloxane/argon gas mixture to prepare bioinert organosilicon coatings for 316 stainless steel. The surface characteristics of atmospheric-pressure-plasma-deposited nanocoatings were evaluated as a function of RF plasma power, precursor gas flow, and plasma working distance. After surface deposition, the chemical features, elemental compositions, and surface morphologies of the organosilicon nanocoatings were examined. It was found that RF plasma power and plasma working distance are the essential factors that affect the formation of plasma-deposited nanocoatings. Fourier transform infrared spectroscopy spectra indicate that the atmospheric-pressure-plasma-deposited nanocoatings formed showed inorganic features. Atomic force microscopy analysis showed the surface roughness variation of the plasma-deposited nanocoating at different RF plasma powers and plasma working distances during surface treatment. From these surface analyses, it was found that the plasma-deposited organosilicon nanocoatings under specific operational conditions have relatively hydrophobic and inorganic characteristics, which are essential for producing an anti-biofouling interface on 316 stainless steel. The experimental results also show that atmospheric-pressure-plasma-deposited nanocoatings have potential use as a cell-resistant layer on 316 stainless steel.

  16. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kumar, A.; Voevodin, A.A.; Paul, R.; Altfeder, I.; Zemlyanov, D.; Zakharov, D.N.; Fisher, T.S.

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface

  17. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, A., E-mail: kumar50@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Voevodin, A.A. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Paul, R. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Altfeder, I. [Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Zemlyanov, D.; Zakharov, D.N. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Fisher, T.S., E-mail: tsfisher@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States)

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface.

  18. Heteroepitaxial growth of Ba1 - xSrxTiO3/YBa2Cu3O7 - x by plasma-enhanced metalorganic chemical vapor deposition

    Science.gov (United States)

    Chern, C. S.; Liang, S.; Shi, Z. Q.; Yoon, S.; Safari, A.; Lu, P.; Kear, B. H.; Goodreau, B. H.; Marks, T. J.; Hou, S. Y.

    1994-06-01

    Epitaxial Ba1-xSrxTiO3(BST)/YBa2Cu3O7-x heterostructures with superior electrical and dielectric properties have been fabricated by plasma-enhanced metalorganic chemical vapor deposition (PE-MOCVD). Data of x-ray diffraction and high resolution transmission electron microscopy showed that oriented Ba1-xSrxTiO3 layers were epitaxially deposited on epitaxial (001) YBa2Cu3O7-x layers. The leakage current density through the Ba1-xSrxTiO3 films was about 10-7 A/cm2 at 2 V (about 2×105 V/cm) operation. Moreover, the results of capacitance-temperature measurements showed that the PE-MOCVD Ba1-xSrxTiO3 films had Curie temperatures of about 30 °C and a peak dielectric constant of 600 at zero bias voltage. The Rutherford backscattering spectrometry and x-ray diffraction results showed that the BST film composition was controlled between Ba0.75Sr0.25TiO3 and Ba0.8Sr0.2TiO3. The structural and electrical properties of the Ba1-xSrxTiO3/YBa2Cu3O7-x heterostructure indicated that conductive oxide materials with close lattice to Ba1-xSrxTiO3 can be good candidates for the bottom electrode.

  19. Role of plasma enhanced atomic layer deposition reactor wall conditions on radical and ion substrate fluxes

    Energy Technology Data Exchange (ETDEWEB)

    Sowa, Mark J., E-mail: msowa@ultratech.com [Ultratech/Cambridge NanoTech, 130 Turner Street, Building 2, Waltham, Massachusetts 02453 (United States)

    2014-01-15

    Chamber wall conditions, such as wall temperature and film deposits, have long been known to influence plasma source performance on thin film processing equipment. Plasma physical characteristics depend on conductive/insulating properties of chamber walls. Radical fluxes depend on plasma characteristics as well as wall recombination rates, which can be wall material and temperature dependent. Variations in substrate delivery of plasma generated species (radicals, ions, etc.) impact the resulting etch or deposition process resulting in process drift. Plasma enhanced atomic layer deposition is known to depend strongly on substrate radical flux, but film properties can be influenced by other plasma generated phenomena, such as ion bombardment. In this paper, the chamber wall conditions on a plasma enhanced atomic layer deposition process are investigated. The downstream oxygen radical and ion fluxes from an inductively coupled plasma source are indirectly monitored in temperature controlled (25–190 °C) stainless steel and quartz reactors over a range of oxygen flow rates. Etch rates of a photoresist coated quartz crystal microbalance are used to study the oxygen radical flux dependence on reactor characteristics. Plasma density estimates from Langmuir probe ion saturation current measurements are used to study the ion flux dependence on reactor characteristics. Reactor temperature was not found to impact radical and ion fluxes substantially. Radical and ion fluxes were higher for quartz walls compared to stainless steel walls over all oxygen flow rates considered. The radical flux to ion flux ratio is likely to be a critical parameter for the deposition of consistent film properties. Reactor wall material, gas flow rate/pressure, and distance from the plasma source all impact the radical to ion flux ratio. These results indicate maintaining chamber wall conditions will be important for delivering consistent results from plasma enhanced atomic layer deposition

  20. Multi-mode remote participation on the GOLEM tokamak

    International Nuclear Information System (INIS)

    Svoboda, V.; Huang, B.; Mlynar, J.; Pokol, G.I.; Stoeckel, J.; Vondrasek, G.

    2011-01-01

    The GOLEM tokamak (formerly CASTOR) at Czech Technical University is demonstrated as an educational tokamak device for domestic and foreign students. Remote participation of several foreign universities (in Hungary, Belgium, Poland and Costa Rica) has been successfully performed. A unique feature of the GOLEM device is functionality which enables complete remote participation and control, solely through Internet access. Basic remote control is possible either in online mode via WWW/SSH interface or offline mode using batch processing code. Discharge parameters are set in each case to configure the tokamak for a plasma discharge. Using the X11 protocol it is possible to control in an advanced mode many technological aspects of the tokamak operation, including: i) vacuum pump initialization, ii) chamber baking, iii) charging of power supplies, iv) plasma discharge scenario, v) data acquisition system.

  1. Chemical compatibility and properties of suspension plasma-sprayed SrTiO3-based anodes for intermediate-temperature solid oxide fuel cells

    Science.gov (United States)

    Zhang, Shan-Lin; Li, Cheng-Xin; Li, Chang-Jiu

    2014-10-01

    La-doped strontium titanate (LST) is a promising, redox-stable perovskite material for direct hydrocarbon oxidation anodes in intermediate-temperature solid oxide fuel cells (IT-SOFCs). In this study, nano-sized LST and Sm-doped ceria (SDC) powders are produced by the sol-gel and glycine-nitrate processes, respectively. The chemical compatibility between LST and electrolyte materials is studied. A LST-SDC composite anode is prepared by suspension plasma spraying (SPS). The effects of annealing conditions on the phase structure, microstructure, and chemical stability of the LST-SDC composite anode are investigated. The results indicate that the suspension plasma-sprayed LST-SDC anode has the same phase structure as the original powders. LST exhibits a good chemical compatibility with SDC and Mg/Sr-doped lanthanum gallate (LSGM). The anode has a porosity of ∼40% with a finely porous structure that provides high gas permeability and a long three-phase boundary for the anode reaction. Single cells assembled with the LST-SDC anode, La0.8Sr0.2Ga0.8Mg0.2O3 electrolyte, and La0.8Sr0.2CoO3-SDC cathode show a good performance at 650-800 °C. The annealing reduces the impedances due to the enhancement in the bonding between the particles in the anode and interface of anode and LSGM electrolyte, thus improving the output performance of the cell.

  2. The kinetics of nonequilibrium chain plasma-chemical oxidation in heterogeneous media

    International Nuclear Information System (INIS)

    Deminskii, M.A.; Potapkin, B.V.; Rusanov, V.D.

    1994-01-01

    The kinetics of oxidation of low-impurity components in air mixtures under heterogeneous conditions was studied. The principal kinetic features of the process were determined on the basis of theoretical analysis of plasma-chemical oxidation in heterogeneous media. The analysis also showed that low concentrations of impurities in liquid aerosol particles can be efficiently oxidized via a chain process induced by reactive species formed in the gas

  3. Brightness enhancement of plasma ion source by utilizing anode spot for nano applications

    Energy Technology Data Exchange (ETDEWEB)

    Park, Yeong-Shin; Lee, Yuna; Chung, Kyoung-Jae; Hwang, Y. S. [Department of Nuclear Engineering, Seoul National University, Seoul 151-742 (Korea, Republic of); Kim, Yoon-Jae [Samsung Electronics Co. Ltd., Gyeonggi 445-701 (Korea, Republic of); Park, Man-Jin [Research Institute of Nano Manufacturing System, Seoul National University of Science and Technology, Seoul 139-743 (Korea, Republic of); Moon, Dae Won [Nanobio Fusion Research Center, Korea Research Institute of Standards and Science, Daejeon 305-600 (Korea, Republic of)

    2012-02-15

    Anode spots are known as additional discharges on positively biased electrode immersed in plasmas. The anode spot plasma ion source (ASPIS) has been investigated as a high brightness ion source for nano applications such as focused ion beam (FIB) and nano medium energy ion scattering (nano-MEIS). The generation of anode spot is found to enhance brightness of ion beam since the anode spot increases plasma density near the extraction aperture. Brightness of the ASPIS has been estimated from measurement of emittance for total ion beam extracted through sub-mm aperture. The ASPIS is installed to the FIB system. Currents and diameters of the focused beams with/without anode spot are measured and compared. As the anode spot is turned on, the enhancement of beam current is observed at fixed diameter of the focused ion beam. Consequently, the brightness of the focused ion beam is enhanced as well. For argon ion beam, the maximum normalized brightness of 12 300 A/m{sup 2} SrV is acquired. The ASPIS is applied to nano-MEIS as well. The ASPIS is found to increase the beam current density and the power efficiency of the ion source for nano-MEIS. From the present study, it is shown that the ASPIS can enhance the performance of devices for nano applications.

  4. Model of enhanced energy deposition in a Z-pinch plasma

    International Nuclear Information System (INIS)

    Velikovich, A. L.; Davis, J.; Thornhill, J. W.; Giuliani, J. L. Jr.; Rudakov, L. I.; Deeney, C.

    2000-01-01

    In numerous experiments, magnetic energy coupled to strongly radiating Z-pinch plasmas exceeds the thermalized kinetic energy, sometimes by a factor of 2-3. An analytical model describing this additional energy deposition based on the concept of macroscopic magnetohydrodynamic (MHD) turbulent pinch heating proposed by Rudakov and Sudan [Phys. Reports 283, 253 (1997)] is presented. The pinch plasma is modeled as a foam-like medium saturated with toroidal ''magnetic bubbles'' produced by the development of surface m=0 Rayleigh-Taylor and MHD instabilities. As the bubbles converge to the pinch axis, their magnetic energy is converted to thermal energy of the plasma through pdV work. Explicit formulas for the average dissipation rate of this process and the corresponding contribution to the resistance of the load, which compare favorably to the experimental data and simulation results, are presented. The possibility of using this enhanced (relative to Ohmic heating) dissipation mechanism to power novel plasma radiation sources and produce high K-shell yields using long current rise time machines is discussed. (c) 2000 American Institute of Physics

  5. Epitaxial growth of SrTiO3/YBa2Cu3O7 - x heterostructures by plasma-enhanced metalorganic chemical vapor deposition

    Science.gov (United States)

    Liang, S.; Chern, C. S.; Shi, Z. Q.; Lu, P.; Safari, A.; Lu, Y.; Kear, B. H.; Hou, S. Y.

    1994-06-01

    We report heteroepitaxial growth of SrTiO3 on YBa2Cu3O7-x/LaAlO3 substrates by plasma-enhanced metalorganic chemical vapor deposition. X-ray diffraction results indicated that SrTiO3 films were epitaxially grown on a (001) YBa2Cu3O7-x surface with [100] orientation perpendicular to the surface. The film composition, with Sr/Ti molar ratio in the range of 0.9 to 1.1, was determined by Rutherford backscattering spectrometry and energy dispersive spectroscopy. The thickness of the SrTiO3 films is 0.1-0.2 μm. The epitaxial growth was further evidenced by high-resolution transmission electron microscopy and selected area diffraction. Atomically abrupt SrTiO3/YBa2Cu3O7-x interface and epitaxial growth with [100]SrTiO3∥[001]YBa2Cu3O7-x were observed in this study. The superconducting transition temperature of the bottom YBa2Cu3O7-x layer, as measured by ac susceptometer, did not significantly degrade after the growth of overlayer SrTiO3. The capacitance-voltage measurements showed that the dielectric constant of the SrTiO3 films was as high as 315 at a signal frequency of 100 KHz. The leakage current density through the SrTiO3 films is about 1×10-6 A/cm2 at 2-V operation. Data analysis on the current-voltage characteristic indicated that the conduction process is related to bulk-limited Poole-Frenkel emission.

  6. Gas diffusion ultrabarriers on polymer substrates using Al2O3 atomic layer deposition and SiN plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Carcia, P. F.; McLean, R. S.; Groner, M. D.; Dameron, A. A.; George, S. M.

    2009-01-01

    Thin films grown by Al 2 O 3 atomic layer deposition (ALD) and SiN plasma-enhanced chemical vapor deposition (PECVD) have been tested as gas diffusion barriers either individually or as bilayers on polymer substrates. Single films of Al 2 O 3 ALD with thicknesses of ≥10 nm had a water vapor transmission rate (WVTR) of ≤5x10 -5 g/m 2 day at 38 deg. C/85% relative humidity (RH), as measured by the Ca test. This WVTR value was limited by H 2 O permeability through the epoxy seal, as determined by the Ca test for the glass lid control. In comparison, SiN PECVD films with a thickness of 100 nm had a WVTR of ∼7x10 -3 g/m 2 day at 38 deg. C/85% RH. Significant improvements resulted when the SiN PECVD film was coated with an Al 2 O 3 ALD film. An Al 2 O 3 ALD film with a thickness of only 5 nm on a SiN PECVD film with a thickness of 100 nm reduced the WVTR from ∼7x10 -3 to ≤5x10 -5 g/m 2 day at 38 deg. C/85% RH. The reduction in the permeability for Al 2 O 3 ALD on the SiN PECVD films was attributed to either Al 2 O 3 ALD sealing defects in the SiN PECVD film or improved nucleation of Al 2 O 3 ALD on SiN.

  7. SiO2 films deposited on silicon at low temperature by plasma-enhanced decomposition of hexamethyldisilazane: Defect characterization

    International Nuclear Information System (INIS)

    Croci, S.; Pecheur, A.; Autran, J.L.; Vedda, A.; Caccavale, F.; Martini, M.; Spinolo, G.

    2001-01-01

    Silicon dioxide films have been deposited by plasma-enhanced chemical vapor deposition at low substrate temperature (50 deg. C) in a parallel-plate reactor using hexamethyldisilazane (HMDS), diluted in He, and O 2 as Si and O precursors. The effect of the O 2 /(HMDS+He) flow rate ratio on the oxide properties has been investigated in the range of 0.05-1.25 by means of deposition rate, wet etching rate, secondary ion mass spectrometry, thermally stimulated luminescence, and high frequency capacitance-voltage measurements. Both the deposition rate and the etching rate increase by increasing the O 2 /(HMDS+He) flow rate ratio and reach a constant value at flow rate ratios higher than 0.6. The strong increase and saturation in the deposition rate can be attributed to the impinging oxide atoms flux and to the consumption of silyl radicals at the deposition surface, respectively. The Si/SiO 2 interface state density and the positive fixed charge density are in the range 1x10 11 -1x10 12 eV -1 cm -2 and 6x10 11 -1.5x10 12 C cm -2 , respectively. These concentrations are comparable with literature data concerning SiO 2 films obtained by plasma enhanced chemical vapor deposition at temperatures higher than 200 deg. C using other Si precursors. Moreover, the interface state density decreases while the fixed oxide charge increases by increasing the O 2 /(HMDS+He) flow rate ratio. A correlation has been found between defects monitored by thermally stimulated luminescence and fixed oxide charges. From a comparison with secondary ion mass spectrometry results, the fixed oxide charges can be preliminarily attributed to intrinsic defects

  8. Antifouling enhancement of polysulfone/TiO2 nanocomposite separation membrane by plasma etching

    Science.gov (United States)

    Chen, Z.; Yin, C.; Wang, S.; Ito, K.; Fu, Q. M.; Deng, Q. R.; Fu, P.; Lin, Z. D.; Zhang, Y.

    2017-01-01

    A polysulfone/TiO2 nanocomposite membrane was prepared via casting method, followed by the plasma etching of the membrane surface. Doppler broadened energy spectra vs. positron incident energy were employed to elucidate depth profiles of the nanostructure for the as-prepared and treated membranes. The results confirmed that the near-surface of the membrane was modified by the plasma treatment. The antifouling characteristics for the membranes, evaluated using the degradation of Rhodamin B, indicated that the plasma treatment enhances the photo catalytic ability of the membrane, suggesting that more TiO2 nanoparticles are exposed at the membrane surface after the plasma treatment as supported by the positron result.

  9. Antifouling enhancement of polysulfone/TiO2 nanocomposite separation membrane by plasma etching

    International Nuclear Information System (INIS)

    Chen, Z; Yin, C; Wang, S; Fu, Q M; Deng, Q R; Fu, P; Lin, Z D; Zhang, Y; Ito, K

    2017-01-01

    A polysulfone/TiO 2 nanocomposite membrane was prepared via casting method, followed by the plasma etching of the membrane surface. Doppler broadened energy spectra vs. positron incident energy were employed to elucidate depth profiles of the nanostructure for the as-prepared and treated membranes. The results confirmed that the near-surface of the membrane was modified by the plasma treatment. The antifouling characteristics for the membranes, evaluated using the degradation of Rhodamin B, indicated that the plasma treatment enhances the photo catalytic ability of the membrane, suggesting that more TiO 2 nanoparticles are exposed at the membrane surface after the plasma treatment as supported by the positron result. (paper)

  10. Vertically aligned Si nanocrystals embedded in amorphous Si matrix prepared by inductively coupled plasma chemical vapor deposition (ICP-CVD)

    Energy Technology Data Exchange (ETDEWEB)

    Nogay, G. [Department of Physics, Middle East Technical University (METU), Ankara 06800 (Turkey); Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey); Saleh, Z.M., E-mail: zaki.saleh@aauj.edu [Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey); Department of Physics, Arab American University–Jenin (AAUJ), Jenin, Palestine (Country Unknown); Özkol, E. [Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey); Department of Chemical Engineering, Middle East Technical University (METU), Ankara 06800 (Turkey); Turan, R. [Department of Physics, Middle East Technical University (METU), Ankara 06800 (Turkey); Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey)

    2015-06-15

    Highlights: • Inductively-coupled plasma is used for nanostructured silicon at room temperature. • Low temperature deposition allows device processing on various substrates. • Deposition pressure is the most effective parameter in controlling nanostructure. • Films consist of quantum dots in a-Si matrix and exhibit columnar vertical growth. • Films are porous to oxygen infusion along columnar grain boundaries. - Abstract: Vertically-aligned nanostructured silicon films are deposited at room temperature on p-type silicon wafers and glass substrates by inductively-coupled, plasma-enhanced chemical vapor deposition (ICPCVD). The nanocrystalline phase is achieved by reducing pressure and increasing RF power. The crystalline volume fraction (X{sub c}) and the size of the nanocrystals increase with decreasing pressure at constant power. Columnar growth of nc-Si:H films is observed by high resolution transmission electron microscopy (HRTEM) and scanning electron microscopy (SEM). The films exhibit cauliflower-like structures with high porosity that leads to slow but uniform oxidation after exposure to air at room temperature. Films deposited at low pressures exhibit photoluminescence (PL) signals that may be deconvoluted into three distinct Gaussian components: 760–810, 920–935, and 990–1000 nm attributable to the quantum confinement and interface defect states. Hydrogen dilution is manifested in significant enhancement of the PL, but it has little effect on the nanocrystal size and X{sub c}.

  11. Plasma Assisted Chemical Vapour Deposition – Technological Design Of Functional Coatings

    Directory of Open Access Journals (Sweden)

    Januś M.

    2015-06-01

    Full Text Available Plasma Assisted Chemical Vapour Deposition (PA CVD method allows to deposit of homogeneous, well-adhesive coatings at lower temperature on different substrates. Plasmochemical treatment significantly impacts on physicochemical parameters of modified surfaces. In this study we present the overview of the possibilities of plasma processes for the deposition of diamond-like carbon coatings doped Si and/or N atoms on the Ti Grade2, aluminum-zinc alloy and polyetherketone substrate. Depending on the type of modified substrate had improved the corrosion properties including biocompatibility of titanium surface, increase of surface hardness with deposition of good adhesion and fine-grained coatings (in the case of Al-Zn alloy and improving of the wear resistance (in the case of PEEK substrate.

  12. Plasma enhanced atomic layer deposited MoOx emitters for silicon heterojunction solar cells

    OpenAIRE

    Ziegler, J.; Mews, M.; Kaufmann, K.; Schneider, T.; Sprafke, A.N.; Korte, L.; Wehrsporn, R.B

    2015-01-01

    A method for the deposition of molybdenum oxide MoOx with high growth rates at temperatures below 200 C based on plasma enhanced atomic layer deposition is presented. The stoichiometry of the overstoichiometric MoOx films can be adjusted by the plasma parameters. First results of these layers acting as hole selective contacts in silicon heterojunction solar cells are presented and discussed

  13. Interactive computer-enhanced remote viewing system

    International Nuclear Information System (INIS)

    Tourtellott, J.A.; Wagner, J.F.

    1995-01-01

    Remediation activities such as decontamination and decommissioning (D ampersand D) typically involve materials and activities hazardous to humans. Robots are an attractive way to conduct such remediation, but for efficiency they need a good three-dimensional (3-D) computer model of the task space where they are to function. This model can be created from engineering plans and architectural drawings and from empirical data gathered by various sensors at the site. The model is used to plan robotic tasks and verify that selected paths am clear of obstacles. This need for a task space model is most pronounced in the remediation of obsolete production facilities and underground storage tanks. Production facilities at many sites contain compact process machinery and systems that were used to produce weapons grade material. For many such systems, a complex maze of pipes (with potentially dangerous contents) must be removed, and this represents a significant D ampersand D challenge. In an analogous way, the underground storage tanks at sites such as Hanford represent a challenge because of their limited entry and the tumbled profusion of in-tank hardware. In response to this need, the Interactive Computer-Enhanced Remote Viewing System (ICERVS) is being designed as a software system to: (1) Provide a reliable geometric description of a robotic task space, and (2) Enable robotic remediation to be conducted more effectively and more economically than with available techniques. A system such as ICERVS is needed because of the problems discussed below

  14. Lifting bloody footwear impressions using alginate casts followed by chemical enhancement.

    Science.gov (United States)

    Wiesner, Sarena; Izraeli, Elad; Shor, Yaron; Domb, Avi

    2013-05-01

    A method for lifting bloody footwear impressions using alginate casts and enhancing the lifted impressions with amido black is presented. On rough or dark substrates, background interferences may conceal significant details of footwear impressions. Illumination with alternative light sources and chemically enhancing the bloody footwear impressions may reveal additional details, but sometimes, lifting footwear impressions prior to enhancing is the only way to expose hidden details (by using blood reagents not adequate on the original). Several cast formulations were tested for lifting the footwear impressions. The best results were achieved using Aroma fine®. Enhancement of the footwear impressions was attempted with several reagents prior to lifting, during the casting process, and on the lifted footwear impressions. Applying amido black to footwear impressions lifted with alginate produced the sharpest and most detailed footwear impressions. Alginate castings followed by chemical enhancement with amido black may produce high-quality footwear impressions for comparison. © 2013 American Academy of Forensic Sciences.

  15. Enhanced Electroluminescence from Silicon Quantum Dots Embedded in Silicon Nitride Thin Films Coupled with Gold Nanoparticles in Light Emitting Devices

    Directory of Open Access Journals (Sweden)

    Ana Luz Muñoz-Rosas

    2018-03-01

    Full Text Available Nowadays, the use of plasmonic metal layers to improve the photonic emission characteristics of several semiconductor quantum dots is a booming tool. In this work, we report the use of silicon quantum dots (SiQDs embedded in a silicon nitride thin film coupled with an ultra-thin gold film (AuNPs to fabricate light emitting devices. We used the remote plasma enhanced chemical vapor deposition technique (RPECVD in order to grow two types of silicon nitride thin films. One with an almost stoichiometric composition, acting as non-radiative spacer; the other one, with a silicon excess in its chemical composition, which causes the formation of silicon quantum dots imbibed in the silicon nitride thin film. The ultra-thin gold film was deposited by the direct current (DC-sputtering technique, and an aluminum doped zinc oxide thin film (AZO which was deposited by means of ultrasonic spray pyrolysis, plays the role of the ohmic metal-like electrode. We found that there is a maximum electroluminescence (EL enhancement when the appropriate AuNPs-spacer-SiQDs configuration is used. This EL is achieved at a moderate turn-on voltage of 11 V, and the EL enhancement is around four times bigger than the photoluminescence (PL enhancement of the same AuNPs-spacer-SiQDs configuration. From our experimental results, we surmise that EL enhancement may indeed be due to a plasmonic coupling. This kind of silicon-based LEDs has the potential for technology transfer.

  16. Chemical Potential Tuning and Enhancement of Thermoelectric Properties in Indium Selenides

    Directory of Open Access Journals (Sweden)

    Jong-Soo Rhyee

    2015-03-01

    Full Text Available Researchers have long been searching for the materials to enhance thermoelectric performance in terms of nano scale approach in order to realize phonon-glass-electron-crystal and quantum confinement effects. Peierls distortion can be a pathway to enhance thermoelectric figure-of-merit ZT by employing natural nano-wire-like electronic and thermal transport. The phonon-softening known as Kohn anomaly, and Peierls lattice distortion decrease phonon energy and increase phonon scattering, respectively, and, as a result, they lower thermal conductivity. The quasi-one-dimensional electrical transport from anisotropic band structure ensures high Seebeck coefficient in Indium Selenide. The routes for high ZT materials development of In4Se3−δ are discussed from quasi-one-dimensional property and electronic band structure calculation to materials synthesis, crystal growth, and their thermoelectric properties investigations. The thermoelectric properties of In4Se3−δ can be enhanced by electron doping, as suggested from the Boltzmann transport calculation. Regarding the enhancement of chemical potential, the chlorine doped In4Se3−δCl0.03 compound exhibits high ZT over a wide temperature range and shows state-of-the-art thermoelectric performance of ZT = 1.53 at 450 °C as an n-type material. It was proven that multiple elements doping can enhance chemical potential further. Here, we discuss the recent progress on the enhancement of thermoelectric properties in Indium Selenides by increasing chemical potential.

  17. Enhancement of the neutral-beam stopping cross section in fusion plasmas due to multistep collision processes

    International Nuclear Information System (INIS)

    Boley, C.D.; Janev, R.K.; Post, D.E.

    1983-10-01

    Multistep processes involving excited atomic states are found to produce a substantial increase in the stopping cross section for a neutral hydrogen beam injected into a plasma, and thus to reduce the beam penetration. For typical plasma and beam parameters of current large tokamak experiments, the stopping cross-sectional enhancement is found to vary from 25% to 50% depending on the beam energy, plasma density, and impurity level. For neutral hydrogen beams with energies greater than or equal to 500 keV, envisioned in tokamak amd mirror reactor designs, the enhancement can be as large as 80 to 90%

  18. Enhanced coagulation activation in preeclampsia: the role of APC resistance, microparticles and other plasma constituents

    NARCIS (Netherlands)

    VanWijk, Marja J.; Boer, Kees; Berckmans, René J.; Meijers, Joost C. M.; van der Post, Joris A. M.; Sturk, Augueste; VanBavel, Ed; Nieuwland, Rienk

    2002-01-01

    Coagulation activation in pregnancy is further enhanced in preeclampsia. We investigated whether this results from increased thrombin generation by the plasma itself or its cell-derived microparticles. Plasma samples were obtained from preeclamptic, normal pregnant and nonpregnant women (each n =

  19. Remote sampling and analysis of highly radioactive samples in shielded boxes

    International Nuclear Information System (INIS)

    Kirpikov, D.A.; Miroshnichenko, I.V.; Pykhteev, O.Yu.

    2010-01-01

    The sampling procedure used for highly radioactive coolant water is associated with high risk of personnel irradiation and uncontrolled radioactive contamination. Remote sample manipulation with provision for proper radiation shielding is intended for safety enhancement of the sampling procedure. The sampling lines are located in an isolated compartment, a shielded box. Various equipment which enables remote or automatic sample manipulation is used for this purpose. The main issues of development of the shielded box equipment intended for a wider ranger of remote chemical analyses and manipulation techniques for highly radioactive water samples are considered in the paper. There were three principal directions of work: Transfer of chemical analysis performed in the laboratory inside the shielded box; Prevalence of computer-aided and remote techniques of highly radioactive sample manipulation inside the shielded box; and, Increase in control over sampling and determination of thermal-hydraulic parameters of the coolant water in the sampling lines. The developed equipment and solutions enable remote chemical analysis in the restricted volume of the shielded box by using ion-chromatographic, amperometrical, fluorimetric, flow injection, phototurbidimetric, conductometric and potentiometric methods. Extent of control performed in the shielded box is determined taking into account the requirements of the regulatory documents as well as feasibility and cost of the technical adaptation of various methods to the shielded box conditions. The work resulted in highly precise determination of more than 15 indexes of the coolant water quality performed in on-line mode in the shielded box. It averages to 80% of the total extent of control performed at the prototype reactor plants. The novel solutions for highly radioactive sample handling are implemented in the shielded box (for example, packaging, sample transportation to the laboratory, volume measurement). The shielded box is

  20. Graphene Synthesis by Plasma-Enhanced CVD Growth with Ethanol

    OpenAIRE

    Campo, T.; Cotto, M.; Márquez, F.; Elizalde, E.; Morant, C.

    2016-01-01

    A modified route to synthesize graphene flakes is proposed using the Chemical Vapor Deposition (CVD) technique, by using copper substrates as supports. The carbon source used was ethanol, the synthesis temperature was 950°C and the pressure was controlled along the whole process. In this CVD synthesis process the incorporation of the carbon source was produced at low pressure and 950°C inducing the appearance of a plasma blue flash inside the quartz tube. Apparently, the presence of this plas...

  1. Improved adhesion of Ag NPs to the polyethylene terephthalate surface via atmospheric plasma treatment and surface functionalization

    Science.gov (United States)

    Shen, Tao; Liu, Yong; Zhu, Yan; Yang, De-Quan; Sacher, Edward

    2017-07-01

    Ag nanoparticles (NPs) have been widely applied, as important antibacterial materials, on textile and polymer surfaces. However, their adhesion to nonreactive polymer surfaces is generally too weak for many applications. Here, we propose a two-step process, atmospheric plasma treatment followed by a surface chemical modification process, which enhances their adhesion to polyethylene terephthalate (PET) surfaces. We found that, compared to either plasma treatments or surface chemical functionalizations, alone, this combination greatly enhanced their adhesion. The plasma treatment resulted in an increase of active sites (sbnd OH, sbnd CHdbnd O and COOH) at the PET surface, permitting increased bonding to 3-aminopropyltriethoxysilane (APTES), whose sbnd NH2 groups were then able to form a bonding complex with the Ag NPs.

  2. Physics of plasma-wall interactions in controlled fusion

    International Nuclear Information System (INIS)

    Post, D.E.; Behrisch, R.

    1984-01-01

    In the areas of plasma physics, atomic physics, surface physics, bulk material properties and fusion experiments and theory, the following topics are presented: the plasma sheath; plasma flow in the sheath and presheath of a scrape-off layer; probes for plasma edge diagnostics in magnetic confinement fusion devices; atomic and molecular collisions in the plasma boundary; physical sputtering of solids at ion bombardment; chemical sputtering and radiation enhanced sublimation of carbon; ion backscattering from solid surfaces; implantation, retention and release of hydrogen isotopes; surface erosion by electrical arcs; electron emission from solid surfaces;l properties of materials; plasma transport near material boundaries; plasma models for impurity control experiments; neutral particle transport; particle confinement and control in existing tokamaks; limiters and divertor plates; advanced limiters; divertor tokamak experiments; plasma wall interactions in heated plasmas; plasma-wall interactions in tandem mirror machines; and impurity control systems for reactor experiments

  3. Plasma Etching of superconducting radio frequency cavity by Ar/Cl2 capacitively coupled Plasma

    Science.gov (United States)

    Upadhyay, Janardan; Popovic, Svetozar; Valente-Feliciano, Anne-Marie; Phillips, Larry; Vuskovic, Lepsha

    2016-09-01

    We are developing plasma processing technology of superconducting radio frequency (SRF) cavities. The formation of dc self-biases due to surface area asymmetry in this type of plasma and its variation on the pressure, rf power and gas composition was measured. Enhancing the surface area of the inner electrode to reduce the asymmetry was studied by changing the contour of the inner electrode. The optimized contour of the electrode based on these measurements was chosen for SRF cavity processing. To test the effect of the plasma etching on the cavity rf performance, a 1497 MHz single cell SRF cavity is used, which previously mechanically polished, buffer chemically etched afterwards and rf tested at cryogenic temperatures for a baseline test. Plasma processing was accomplished by moving axially the inner electrode and the gas flow inlet in a step-wise manner to establish segmented plasma processing. The cavity is rf tested afterwards at cryogenic temperatures. The rf test and surface condition results are presented.

  4. Composition and partition functions of partially ionized hydrogen plasma in Non-Local Thermal Equilibrium (Non-LThE) and Non-Local Chemical Equilibrium (Non-LChE)

    International Nuclear Information System (INIS)

    Chen Kuan; Eddy, T.L.

    1993-01-01

    A GTME (Generalized MultiThermodynamic Equilibrium) plasma model is developed for plasmas in both Non-LThE (Non-Local Thermal Equilibrium) and Non-LChE (Non-Local Chemical Equilibrium). The model uses multitemperatures for thermal nonequilibrium and non-zero chemical affinities as a measure of the deviation from chemical equilibrium. The plasma is treated as an ideal gas with the Debye-Hueckel approximation employed for pressure correction. The proration method is used when the cutoff energy level is between two discrete levels. The composition and internal partition functions of a hydrogen plasma are presented for electron temperatures ranging from 5000 to 35000 K and pressures from 0.1 to 1000 kPa. Number densities of 7 different species of hydrogen plasma and internal partition functions of different energy modes (rotational, vibrational, and electronic excitation) are computed for three affinity values. The results differ from other plasma properties in that they 1) are not based on equilibrium properties; and 2) are expressed as a function of different energy distribution parameters (temperatures) within each energy mode of each species as appropriate. The computed number densities and partition functions are applicable to calculating the thermodynamic, transport, and radiation properties of a hydrogen plasma not in thermal and chemical equilibria. The nonequilibrium plasma model and plasma compositions presented in this paper are very useful to the diagnosis of high-speed and/or low-pressure plasma flows in which the assumptions of local thermal and chemical equilibrium are invalid. (orig.)

  5. Transdermal and transbuccal drug delivery systems: enhancement using iontophoretic and chemical approaches.

    Science.gov (United States)

    Hu, Longsheng; Silva, Sérgio M C; Damaj, Bassam B; Martin, Richard; Michniak-Kohn, Bozena B

    2011-12-12

    We investigated the enhancement effect of chemical enhancers and iontophoresis on the in vitro transdermal and transbuccal delivery of lidocaine HCl (LHCl), nicotine hydrogen tartrate (NHT), and diltiazem HCl (DHCl) using porcine skin and buccal tissues. Dodecyl 2-(N,N-dimethylamino) propionate (DDAIP), dodecyl-2-(N,N-dimethylamino) propionate hydrochloride (DDAIP HCl), N-(4-bromobenzoyl)-S,S-dimethyliminosulfurane (Br-iminosulfurane), and azone (laurocapram) were used as chemical enhancers. The study results showed that the application of iontophoresis at either 0.1 mA or 0.3 mA significantly enhanced transdermal and transmucosal delivery of LHCl, NHT and DHCl. It was also demonstrated that iontophoresis had a more pronounced enhancement effect on transdermal delivery than on transbuccal delivery of LHCl, NHT and DHCl. In addition, DDAIP HCl was found to be the most effective enhancer for transbuccal delivery of LHCl and NHT. Copyright © 2011 Elsevier B.V. All rights reserved.

  6. The annealing effect on work function variation of WN{sub x}C{sub y} films deposited by remote plasma atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hyunjung; Shin, Changhee; Lim, Heewoo; Kim, Manseok [Department of Nano-Scale Semiconductor Engineering, Hanyang University, Seoul (Korea, Republic of); Jang, Woochool; Lee, Kunyoung [Division of Materials Science and Engineering, Hanyang University, Seoul (Korea, Republic of); Yuh, Junhan [Division of Steel Solution, POSCO, Seoul (Korea, Republic of); Jeon, Hyeongtag [Department of Nano-Scale Semiconductor Engineering, Hanyang University, Seoul (Korea, Republic of); Division of Materials Science and Engineering, Hanyang University, Seoul (Korea, Republic of)

    2017-07-15

    Tungsten-nitrogen-carbide (WN{sub x}C{sub y}) thin films were investigated as the metal gate of complementary metal-oxide-semiconductor (CMOS) devices. WN{sub x}C{sub y} thin films were deposited by employing the remote plasma atomic layer deposition (RPALD) using a bis(tert-butylimido) bis (dimethylamido) tungsten (BTBMW) precursor and hydrogen plasma as a reactant. The growth rate of the WN{sub x}C{sub y} films was about 0.12 nm/cycle. X-ray diffraction (XRD) analysis indicated that the films consisted of a mixture of tungsten carbide and tungsten nitride phases. The atomic force microscope (AFM) analysis further confirmed that the WN{sub x}C{sub y} film surfaces deposited by RPALD were smooth. In addition, the chemical bonding state analysis showed that the WN{sub x}C{sub y} films consisted of WN, WC, and WO phases. To measure the work function of the WN{sub x}C{sub y} film, a MOSCAP (metal oxide semiconductor capacitor) stack was fabricated and the flat band voltage was measured by current-voltage (C-V) measurements. A WN{sub x}C{sub y} work function value of 4.91 eV was suitable for p-MOS and the work function of the WN{sub x}C{sub y} films varied depending on the annealing treatment, and was higher than the work function of the as-deposited WN{sub x}C{sub y} film. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  7. Plasma for Electrification of Chemical Industry: a Case Study on CO2 Reduction

    NARCIS (Netherlands)

    van Rooij, G. J.; Akse, H.; Bongers, W.; van de Sanden, M. C. M.

    2018-01-01

    Significantly increasing the share of (intermittent) renewable power in the chemical industry is imperative to meet increasingly stricter limits on CO2 exhaust that are being implemented within Europe. This paper aims to evaluate the potential of a plasma process that converts input CO2 into a pure

  8. Enhanced Detection of Human Plasma Proteins on Nanostructured Silver Surfaces

    Directory of Open Access Journals (Sweden)

    Zuzana Orságová Králová

    2013-08-01

    enhancement factor of 3.6×102 was achieved for a band with a Raman shift of 2104cm‐1 for globulin deposited onto silver nanostructured film on unpolished stainless steel substrate. The detection limit was 400g/mL. Plasma or serum could present a preferable material for non‐ invasive cancer disease diagnosis using the SERS method.

  9. Development of a remote tank inspection robotic system

    International Nuclear Information System (INIS)

    Knape, B.P.; Bares, L.C.

    1990-01-01

    RedZone Robotics is currently developing a remote tank inspection (RTI) robotic system for Westinghouse Idaho Nuclear Company (WINCO). WINCO intends to use the RTI robotic system at the Idaho Chemical Processing Plant, a facility that contains a tank farm of several 1,135,500-ell (300,000-gal), 15.2-m (50-ft)-diam, high-level liquid waste storage tanks. The primary purpose of the RTI robotic system is to inspect the interior of these tanks for corrosion that may have been caused by the combined effects of radiation, high temperature, and caustic by the combined effects of radiation, high temperature, and caustic chemicals present inside the tanks. The RTI robotic system features a vertical deployment unit, a robotic arm, and a remote control console and computer [located up to 30.5 m (100 ft) away from the tank site]. All actuators are high torque, electric dc brush motors that are servocontrolled with absolute position feedback. The control system uses RedZone's standardized intelligent controller for enhanced telerobotics, which provides a high speed, multitasking environment on a VME bus. Currently, the robot is controlled in a manual, job-button, control mode; however, control capability is available to develop preprogrammed, automated modes of operation

  10. Properties of nanostructured undoped ZrO{sub 2} thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Gu Young; Noh, Seungtak; Lee, Yoon Ho; Cha, Suk Won, E-mail: ybkim@hanyang.ac.kr, E-mail: swcha@snu.ac.kr [Department of Mechanical and Aerospace Engineering, Seoul National University, 1 Gwanak-ro, Gwanak-gu, Seoul 151-744 (Korea, Republic of); Ji, Sanghoon [Graduate School of Convergence Science and Technology, Seoul National University, Iui-dong, Yeongtong-gu, Suwon 443-270 (Korea, Republic of); Hong, Soon Wook; Koo, Bongjun; Kim, Young-Beom, E-mail: ybkim@hanyang.ac.kr, E-mail: swcha@snu.ac.kr [Department of Mechanical Engineering, Hanyang University, 222 Wangsimni-ro, Seongdong-gu, Seoul 133-791 (Korea, Republic of); An, Jihwan [Manufacturing Systems and Design Engineering Programme, Seoul National University of Science and Technology, 232 Gongneung-ro, Nowon-gu, Seoul 139-743 (Korea, Republic of)

    2016-01-15

    Nanostructured ZrO{sub 2} thin films were prepared by thermal atomic layer deposition (ALD) and by plasma-enhanced atomic layer deposition (PEALD). The effects of the deposition conditions of temperature, reactant, plasma power, and duration upon the physical and chemical properties of ZrO{sub 2} films were investigated. The ZrO{sub 2} films by PEALD were polycrystalline and had low contamination, rough surfaces, and relatively large grains. Increasing the plasma power and duration led to a clear polycrystalline structure with relatively large grains due to the additional energy imparted by the plasma. After characterization, the films were incorporated as electrolytes in thin film solid oxide fuel cells, and the performance was measured at 500 °C. Despite similar structure and cathode morphology of the cells studied, the thin film solid oxide fuel cell with the ZrO{sub 2} thin film electrolyte by the thermal ALD at 250 °C exhibited the highest power density (38 mW/cm{sup 2}) because of the lowest average grain size at cathode/electrolyte interface.

  11. Real time ellipsometry for monitoring plasma-assisted epitaxial growth of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Losurdo, Maria [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy)]. E-mail: maria.losurdo@ba.imip.cnr.it; Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Capezzuto, Pio [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Brown, April S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Kim, Tong-Ho [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Choi, Soojeong [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States)

    2006-10-31

    GaN is grown on Si-face 4H-SiC(0 0 0 1) substrates using remote plasma-assisted methods including metalorganic chemical vapour deposition (RP-MOCVD) and molecular beam epitaxy (MBE). Real time spectroscopic ellipsometry is used for monitoring all the steps of substrate pre-treatments and the heteroepitaxial growth of GaN on SiC. Our characterization emphasis is on understanding the nucleation mechanism and the GaN growth mode, which depend on the SiC surface preparation.

  12. Plasma position and current control system enhancements for the JET ITER-like wall

    Energy Technology Data Exchange (ETDEWEB)

    De Tommasi, G. [Associazione EURATOM-ENEA-CREATE, Univ. di Napoli Federico II, Via Claudio 21, 80125 Napoli (Italy); Maviglia, F. [Associazione EURATOM-ENEA-CREATE, Via Claudio 21, 80125 Napoli (Italy); Neto, A.C. [Ass. EURATOM-IST, Instituto de Plasmas e Fusão Nuclear, IST, 1049-001 Lisboa (Portugal); Lomas, P.J.; McCullen, P.; Rimini, F.G. [Euratom-CCFE, Culham Science Centre, OX14 3DB Abingdon (United Kingdom)

    2014-03-15

    Highlights: • JET plasma position and current control system enhanced for the JET ITER like wall. • Vertical stabilization system enhanced to speed up its response and to withstand larger perturbations. • Improved termination management system. • Implementation of the current limit avoidance system. • Implementation of PFX-on-early-task. - Abstract: The upgrade of Joint European Torus (JET) to a new all-metal wall, the so-called ITER-like wall (ILW), has posed a set of new challenges regarding both machine operation and protection. The plasma position and current control (PPCC) system plays a crucial role in minimizing the possibility that the plasma could permanently damage the ILW. The installation of the ILW has driven a number of upgrades of the two PPCC components, namely the Vertical Stabilization (VS) system and the Shape Controller (SC). The VS system has been enhanced in order to speed up its response and to withstand larger perturbations. The SC upgrade includes three new features: an improved termination management system, the current limit avoidance system, and the PFX-on-early-task. This paper describes the PPCC upgrades listed above, focusing on the implementation issues and on the experimental results achieved during the 2011–12 JET experimental campaigns.

  13. Comparative evaluation of remote maintenance schemes for fusion DEMO reactor

    Energy Technology Data Exchange (ETDEWEB)

    Utoh, Hiroyasu, E-mail: uto.hiroyasu@jaea.go.jp; Tobita, Kenji; Someya, Youji; Asakura, Nobuyuki; Sakamoto, Yoshiteru; Hoshino, Kazuo; Nakamura, Makoto

    2015-10-15

    Highlights: • Various remote maintenance schemes for DEMO were comparatively assessed based on requirements for DEMO remote maintenance. • The banana shape segment transport using all vertical maintenance ports would be more probable DEMO reactor maintenance scheme. • The key engineering issues are in-vessel transferring mechanism of segment, pipe connection and conducting shell design for plasma vertical stability. - Abstract: Maintenance schemes are one of the critical issues in DEMO design, significantly affecting the configuration of in-vessel components, the size of toroidal field (TF) coil, the arrangement of poloidal field (PF) coils, reactor building, hot cell and so forth. Therefore, the maintenance schemes should satisfy many design requirements and criteria to assure reliable and safe plant operation and to attain reasonable plant availability. The plant availability depends on reliability of remote maintenance scheme, inspection of pipe connection and plasma operation. In this paper, various remote maintenance schemes for DEMO were comparatively assessed based on requirements for DEMO remote maintenance. From the view points of the reliability of inspection on hot cell, TF coil size, stored energy of PF coil and portability of segment, the banana shape segment transport using all vertical maintenance ports would be more probable DEMO reactor maintenance scheme, and it has key engineering issues such as in-vessel transferring mechanism of segment, pipe connection and conducting shell design for plasma vertical stability.

  14. Comparative evaluation of remote maintenance schemes for fusion DEMO reactor

    International Nuclear Information System (INIS)

    Utoh, Hiroyasu; Tobita, Kenji; Someya, Youji; Asakura, Nobuyuki; Sakamoto, Yoshiteru; Hoshino, Kazuo; Nakamura, Makoto

    2015-01-01

    Highlights: • Various remote maintenance schemes for DEMO were comparatively assessed based on requirements for DEMO remote maintenance. • The banana shape segment transport using all vertical maintenance ports would be more probable DEMO reactor maintenance scheme. • The key engineering issues are in-vessel transferring mechanism of segment, pipe connection and conducting shell design for plasma vertical stability. - Abstract: Maintenance schemes are one of the critical issues in DEMO design, significantly affecting the configuration of in-vessel components, the size of toroidal field (TF) coil, the arrangement of poloidal field (PF) coils, reactor building, hot cell and so forth. Therefore, the maintenance schemes should satisfy many design requirements and criteria to assure reliable and safe plant operation and to attain reasonable plant availability. The plant availability depends on reliability of remote maintenance scheme, inspection of pipe connection and plasma operation. In this paper, various remote maintenance schemes for DEMO were comparatively assessed based on requirements for DEMO remote maintenance. From the view points of the reliability of inspection on hot cell, TF coil size, stored energy of PF coil and portability of segment, the banana shape segment transport using all vertical maintenance ports would be more probable DEMO reactor maintenance scheme, and it has key engineering issues such as in-vessel transferring mechanism of segment, pipe connection and conducting shell design for plasma vertical stability.

  15. On the enhancement of pervaporation properties of plasma-deposited hybrid silica membranes

    Energy Technology Data Exchange (ETDEWEB)

    Ngamou, P.H.T.; Creatore, M. [Department of Applied Physics, Eindhoven University of Technology, 5600 MB Eindhoven (Netherlands); Overbeek, J.P.; Kreiter, R.; Van Veen, H.M.; Vente, J.F. [ECN, Energy research Centre of the Netherlands, Petten (Netherlands); Cuperus, P.F. [SolSep BV, Apeldoorn (Netherlands)

    2013-06-24

    The separation performance of a polymeric-supported hybrid silica membrane in the dehydration process of a butanol-water mixture at 95C has been enhanced by applying a bias to the substrate during the plasma deposition.

  16. Mathematical modeling of quartz particle melting process in plasma-chemical reactor

    Energy Technology Data Exchange (ETDEWEB)

    Volokitin, Oleg, E-mail: volokitin-oleg@mail.ru; Volokitin, Gennady, E-mail: vgg-tomsk@mail.ru; Skripnikova, Nelli, E-mail: nks2003@mai.ru; Shekhovtsov, Valentin, E-mail: shehovcov2010@yandex.ru [Tomsk State University of Architecture and Building, 2, Solyanaya Sq., 634003, Tomsk (Russian Federation); Vlasov, Viktor, E-mail: rector@tsuab.ru [Tomsk State University of Architecture and Building, 2, Solyanaya Sq., 634003, Tomsk (Russian Federation); National Research Tomsk Polytechnic University, 30, Lenin Ave., 634050, Tomsk (Russian Federation)

    2016-01-15

    Among silica-based materials vitreous silica has a special place. The paper presents the melting process of a quartz particle under conditions of low-temperature plasma. A mathematical model is designed for stages of melting in the experimental plasma-chemical reactor. As calculation data show, quartz particles having the radius of 0.21≤ r{sub p} ≤0.64 mm completely melt at W = 0.65 l/s particle feed rate depending on the Nusselt number, while 0.14≤ r{sub p} ≤0.44 mm particles melt at W = 1.4 l/s. Calculation data showed that 2 mm and 0.4 mm quartz particles completely melted during and 0.1 s respectively. Thus, phase transformations occurred in silicon dioxide play the important part in its heating up to the melting temperature.

  17. Determination of clebopride in plasma by capillary gas chromatography-negative-ion chemical ionization mass spectrometry.

    Science.gov (United States)

    Robinson, P R; Jones, M D; Maddock, J

    1988-11-18

    A procedure for the analysis of clebopride in plasma using capillary gas chromatography-negative-ion chemical ionization mass spectrometry has been developed. Employing an ethoxy analogue as internal standard, the two compounds were extracted from basified plasma using dichloromethane. Subsequent reaction with heptafluorobutyryl imidazole produced volatile monoheptafluorobutyryl derivatives whose ammonia negative-ion mass spectra proved ideal for selected-ion monitoring. The recovery of clebopride from plasma at 0.536 nmol/l was found to be 85.5 +/- 0.9% (n = 3) whilst measurement down to 0.268 nmol/l was possible with a coefficient of variation of 7.9%. Plasma levels of the compound are reported in two volunteers following ingestion of 1 mg of clebopride as the malate salt.

  18. Fusing Mobile In Situ Observations and Satellite Remote Sensing of Chemical Release Emissions to Improve Disaster Response

    Directory of Open Access Journals (Sweden)

    Ira Leifer

    2016-09-01

    Full Text Available Chemical release disasters have serious consequences, disrupting ecosystems, society, and causing significant loss of life. Mitigating the destructive impacts relies on identification and mapping, monitoring, and trajectory forecasting. Improvements in sensor capabilities are enabling airborne and spacebased remote sensing to support response activities. Key applications are improving transport models in complex terrain and improved disaster response.Chemical release disasters have serious consequences, disrupting ecosystems, society, and causing significant loss of life. Mitigating the destructive impacts relies on identification and mapping, monitoring, and trajectory forecasting. Improvements in sensor capabilities are enabling airborne and space-based remote sensing to support response activities. Key applications are improving transport models in complex terrain and improved disaster response.Understanding urban atmospheric transport in the Los Angeles Basin, where topographic influences on transport patterns are significant, was improved by leveraging the Aliso Canyon leak as an atmospheric tracer. Plume characterization data was collected by the AutoMObile trace Gas (AMOG Surveyor, a commuter car modified for science. Mobile surface in situ CH4 and winds were measured by AMOG Surveyor under Santa Ana conditions to estimate an emission rate of 365±30% Gg yr-1. Vertical profiles were collected by AMOG Surveyor by leveraging local topography for vertical profiling to identify the planetary boundary layer at ~700 m. Topography significantly constrained plume dispersion by up to a factor of two. The observed plume trajectory was used to validate satellite aerosol optical depth-inferred atmospheric transport, which suggested the plume first was driven offshore, but then veered back towards land. Numerical long-range transport model predictions confirm this interpretation. This study demonstrated a novel application of satellite aerosol remote

  19. Enhancement of flame development by microwave-assisted spark ignition in constant volume combustion chamber

    KAUST Repository

    Wolk, Benjamin; DeFilippo, Anthony; Chen, Jyh-Yuan; Dibble, Robert; Nishiyama, Atsushi; Ikeda, Yuji

    2013-01-01

    -thermal chemical kinetic enhancement from energy deposition to free electrons in the flame front and (2) induced flame wrinkling from excitation of flame (plasma) instability. The enhancement of flame development by microwaves diminishes as the initial pressure

  20. Tailoring the surface properties of polypropylene films through cold atmospheric pressure plasma (CAPP) assisted polymerization and immobilization of biomolecules for enhancement of anti-coagulation activity

    International Nuclear Information System (INIS)

    Navaneetha Pandiyaraj, K.; Ram Kumar, M.C.; Arun Kumar, A.; Padmanabhan, P.V.A.; Deshmukh, R.R.; Bah, M.; Ismat Shah, S.; Su, Pi-Guey; Halleluyah, M.; Halim, A.S.

    2016-01-01

    Graphical abstract: - Highlights: • Developed low cost cold atmospheric plasma reactor for plasma polymerization technique. • Surface of the PP film was modified by grafting of AAc and PEG by CAPP polymerization. • Biomolecules of chitosan, insulin and heparin were immobilized on surface of PEG-AAc grafted PP films. • The surface modified PP films were characterized by various techniques. • The plasma polymerized and immobilized film reveals substantial blood compatibility. - Abstract: Enhancement of anti-thrombogenic properties of polypropylene (PP) to avert the adsorption of plasma proteins (fibrinogen and albumin), adhesion and activation of the platelets are very important for vast biomedical applications. The cold atmospheric pressure plasma (CAPP) assisted polymerization has potential to create the specific functional groups such as O−C=O, C=O, C−N and S−S. on the surface of polymeric films using selective precursor in vapour phase to enhance anti-thrombogenic properties. Such functionalized polymeric surfaces would be suitable for various biomedical applications especially to improve the blood compatibility. The eventual aspiration of the present investigation is to develop the biofunctional coating onto the surface of PP films using acrylic acid (AAc) and polyethylene glycol (PEG) as a precursor in a vapour phase by incorporating specific functional groups for immobilization of biomolecules such as heparin (HEP), chitosan (CHI) and insulin (INS) on the surface of plasma modified PP films. The surface properties such as hydrophilicity, chemical composition, surface topography of the surface modified PP films were analyzed by contact angle (CA), Fourier transform infrared spectroscopy (FTIR), X-ray photo electron spectroscopy (XPS) and atomic force microscopy (AFM). Furthermore the anti-thrombogenic properties of the surface modified PP films were studied by in vitro tests which include platelet adhesion and protein adsorption analysis. It was