WorldWideScience

Sample records for remote plasma treatment

  1. Study of plasma-material surface interaction using langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2012-01-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisiloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  2. Study of plasma-material surface interaction using Langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2009-06-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisoloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  3. Studies on surface modification of poly(tetrafluoroethylene) film by remote and direct Ar plasma

    International Nuclear Information System (INIS)

    Wang Chen; Chen Jierong; Li Ru

    2008-01-01

    Poly(tetrafluoroethylene) (PTFE) surfaces are modified with remote and direct Ar plasma, and the effects of the modification on the hydrophilicity of PTFE are investigated. The surface microstructures and compositions of the PTFE film were characterized with the goniometer, scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS). Results show that the remote and direct plasma treatments modify the PTFE surface in morphology and composition, and both modifications cause surface oxidation of PTFE films, in the forming of some polar functional groups enhancing polymer wettability. When the remote and direct Ar plasma treats PTFE film, the contact angles decrease from the untreated 108-58 o and 65.2 o , respectively. The effect of the remote Ar plasma is more noticeable. The role of all kinds of active species, e.g. electrons, ions and free radicals involved in plasma surface modification is further evaluated. This shows that remote Ar plasma can restrain the ion and electron etching reaction and enhance radical reaction

  4. Remote operation of the vertical plasma stabilization @ the GOLEM tokamak for the plasma physics education

    Energy Technology Data Exchange (ETDEWEB)

    Svoboda, V., E-mail: svoboda@fjfi.cvut.cz [Faculty of Nuclear Sciences and Physical Engineering CTU Prague, CZ-115 19 (Czech Republic); Kocman, J.; Grover, O. [Faculty of Nuclear Sciences and Physical Engineering CTU Prague, CZ-115 19 (Czech Republic); Krbec, J.; Stöckel, J. [Faculty of Nuclear Sciences and Physical Engineering CTU Prague, CZ-115 19 (Czech Republic); Institute of Plasma Physics AS CR, CZ-182 21 Prague (Czech Republic)

    2015-10-15

    Graphical abstract: * Understandable remote operation of a vertical plasma position control system in the tokamak GOLEM for educational purposes.* Two combinable modes of real-time plasma position control: position based feedback and a pre-defined waveform.* More than 20% plasma life prolongation with plasma position control in feedback mode. - Highlights: • Understandable remote operation of a vertical plasma position control system in the tokamak GOLEM for educational purposes. • Two combinable modes of real-time plasma position control: position based feedback and a pre-defined waveform. • More than 20% plasma life prolongation with plasma position control in feedback mode. - Abstract: The GOLEM tokamak at the Czech Technical University has been established as an educational tokamak device for domestic and foreign students. Remote participation in the scope of several laboratory practices, plasma physics schools and workshops has been successfully performed from abroad. A new enhancement allowing understandable remote control of vertical plasma position in two modes (i) predefined and (ii) feedback control is presented. It allows to drive the current in the stabilization coils in any time-dependent scenario, which can include as a parameter the actual plasma position measured by magnetic diagnostics. Arbitrary movement of the plasma column in a vertical direction, stabilization of the plasma column in the center of the tokamak vessel as well as prolongation/shortening of plasma life according to the remotely defined request are demonstrated.

  5. PTFE treatment by remote atmospheric Ar/O2 plasmas : a simple reaction scheme model proposal

    NARCIS (Netherlands)

    Carbone, E.A.D.; Verhoeven, M.W.G.M.; Keuning, W.; van der Mullen, J.J.A.M.

    2016-01-01

    Polytetrafluoroethylene (PTFE) samples were treated by a remote atmospheric pressure microwave plasma torch and analyzed by water contact angle (WCA) and X-ray photoelectron spectroscopy (XPS). In the case of pure argon plasma a decrease of WCA is observed meanwhile an increase of hydrophobicity was

  6. Stages of polymer transformation during remote plasma oxidation (RPO) at atmospheric pressure

    Science.gov (United States)

    Luan, P.; Oehrlein, G. S.

    2018-04-01

    The interaction of cold temperature plasma sources with materials can be separated into two types: ‘direct’ and ‘remote’ treatments. Compared to the ‘direct’ treatment which involves energetic charged species along with short-lived, strongly oxidative neutral species, ‘remote’ treatment by the long-lived weakly oxidative species is less invasive and better for producing uniformly treated surfaces. In this paper, we examine the prototypical case of remote plasma oxidation (RPO) of polymer materials by employing a surface micro-discharge (in a N2/O2 mixture environment) treatment on polystyrene. Using material characterization techniques including real-time ellipsometry, x-ray photoelectron spectroscopy, and Fourier-transform infrared spectroscopy, the time evolution of polymer film thickness, refractive index, surface, and bulk chemical composition were evaluated. These measurements revealed three consecutive stages of polymer transformation, i.e. surface adsorption and oxidation, bulk film permeation and thickness expansion followed by the material removal as a result of RPO. By correlating the observed film thickness changes with simultaneously obtained chemical information, we found that the three stages were due to the three effects of weakly oxidative species on polymers: (1) surface oxidation and nitrate (R-ONO2) chemisorption, (2) bulk oxidation, and (3) etching. Our results demonstrate that surface adsorption and oxidation, bulk oxidation, and etching can all happen during one continuous plasma treatment. We show that surface nitrate is only adsorbed on the top few nanometers of the polymer surface. The polymer film expansion also provided evidence for the diffusion and reaction of long-lived plasma species in the polymer bulk. Besides, we found that the remote plasma etched surface was relatively rich in O-C=O (ester or carboxylic acid). These findings clarify the roles of long-lived weakly oxidative plasma species on polymers and advance

  7. Diagnostics of N2 Ar plasma mixture excited in a 13.56 MHz hollow cathode discharge system: application to remote plasma treatment of polyamide surface

    Science.gov (United States)

    Saloum, S.; Naddaf, M.; Alkhaled, B.

    2008-02-01

    N2-x% Ar plasma gas mixture, generated in a hollow cathode RF discharge system, has been characterized by both optical emission spectroscopy (OES) and double Langmuir probe, as a function of experimental parameters: total pressure (5-33 Pa), and different fractions of argon (7 <= x <= 80), at a constant applied RF power of 300 W. N2 dissociation degree has been investigated qualitatively by both the actinometry method and the ratio I_N/I_{N_2} of the atomic nitrogen line emission intensity at 672.3 nm to the vibrational band (0-0) of the N2 second positive system at 337.1 nm. Both methods showed that the increase in argon fraction enhances the dissociation of N2, with a maximum at x = 50 for the pressure of 5 Pa, although the two methods give two opposite trends as a function of total pressure. Spectroscopic measurements showed that the vibrational temperature of the N2 second positive system increases with both argon fraction and total pressure increase, it lies between 4900 and 12 300 K. Langmuir probe measurements showed that, in the remote zone, the electron temperature falls in the range 1.57-1.75 eV, the N_{2}^{+} density varies between 5 × 109 and 1.4 × 1010 cm-3 and that both the plasma ionization degree and electron temperature increase towards the source. In addition, the process of plasma-polyamide (PA) surface interaction, in the remote plasma zone, has been studied through OES analysis during plasma treatment of PA to monitor the possible emissions due to the polymer etching. An increase in atomic nitrogen line (672.3 nm) intensity is obtained, atomic carbon line (833.52 nm) and the band emission (0-0) from the CN (B 2Σ+-X 2Σ+) violet system were observed. The PA surface modification has been confirmed through the improvement of its hydrophilic character as the water contact angle measured after the plasma treatment significantly decreased.

  8. Diagnostics of N2-Ar plasma mixture excited in A 13.56 MHz hollow cathode discharge system: Application to remote plasma treatment of polyamide surface

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.; Al-khaled, B.

    2009-01-01

    N 2 -x % Ar plasma gas mixture, generated in a hollow cathode RF discharge system, has been characterized by both optical emission spectroscopy (OES) and double langmuir probe, as a function of experimental parameters: Total pressure (5-33 Pa), and different fractions of argon (7≤ x ≤ 80), at a constant applied RF power of 300 W. N 2 dissociation degree has been investigated qualitatively by both actinometry method and the ratio of the atomic nitrogen line emission intensity at 672.3 nm to the vibrational band (0-0) of the N 2 second positive system at 337.1 nm. Both methods showed that the increase of argon fraction enhances the dissociation of N 2 , with a maximum at x=50 for the pressure of 5 Pa, although the two methods give two opposite trends as a function of total pressure. Spectroscopic measurements showed that the vibrational temperature of N 2 second positive system increases with both argon fraction and total pressure increase, it lies between 4900 K and 12300 K. Langmuir probe measurements showed that, in the remote zone, the electron temperature falls in the range 1.57-1.75 eV, the N 2 + density varies between 5.10 9 cm-3 and 1.4 10 10 cm -3 , and that both the plasma ionization degree and electron temperature increase towards the source. In addition, the process of plasma-polyamide surface interaction, in the remote plasma zone, has been studied through optical emission spectroscopy analysis during plasma treatment of polyamide to monitor the possible emissions due to the polymer etching. An increase of atomic nitrogen line (672.3 nm) intensity is obtained, atomic carbon line (833.52 nm) and the band emission (0-0) from CN (B 2 Σ + -X 2 Σ + ) violet system were observed. The polyamide surface modification has been confirmed through the improvement of its hydrophilic character as the water contact angle measured after the plasma treatment significantly decreased. (author)

  9. Diagnostics of N2-Ar plasma mixture excited in a 13.56 MHz hollow cathode discharge system: application to remote plasma treatment of polyamide surface

    International Nuclear Information System (INIS)

    Saloum, S; Naddaf, M; Alkhaled, B

    2008-01-01

    N 2 -x% Ar plasma gas mixture, generated in a hollow cathode RF discharge system, has been characterized by both optical emission spectroscopy (OES) and double Langmuir probe, as a function of experimental parameters: total pressure (5-33 Pa), and different fractions of argon (7 ≤ x ≤ 80), at a constant applied RF power of 300 W. N 2 dissociation degree has been investigated qualitatively by both the actinometry method and the ratio I N /I N 2 of the atomic nitrogen line emission intensity at 672.3 nm to the vibrational band (0-0) of the N 2 second positive system at 337.1 nm. Both methods showed that the increase in argon fraction enhances the dissociation of N 2 , with a maximum at x = 50 for the pressure of 5 Pa, although the two methods give two opposite trends as a function of total pressure. Spectroscopic measurements showed that the vibrational temperature of the N 2 second positive system increases with both argon fraction and total pressure increase, it lies between 4900 and 12 300 K. Langmuir probe measurements showed that, in the remote zone, the electron temperature falls in the range 1.57-1.75 eV, the N 2 + density varies between 5 x 10 9 and 1.4 x 10 10 cm -3 and that both the plasma ionization degree and electron temperature increase towards the source. In addition, the process of plasma-polyamide (PA) surface interaction, in the remote plasma zone, has been studied through OES analysis during plasma treatment of PA to monitor the possible emissions due to the polymer etching. An increase in atomic nitrogen line (672.3 nm) intensity is obtained, atomic carbon line (833.52 nm) and the band emission (0-0) from the CN (B 2 Σ + -X 2 Σ + ) violet system were observed. The PA surface modification has been confirmed through the improvement of its hydrophilic character as the water contact angle measured after the plasma treatment significantly decreased

  10. Defect properties of ZnO nanopowders and their modifications induced by remote plasma treatments

    Energy Technology Data Exchange (ETDEWEB)

    Paramo, J A; Peters, R M; Quarles, C A; Strzhemechny, Y M [Physics Department, Texas Christian University, Fort Worth, TX 76129 (United States); Vallejo, H [North Side High School, Fort Worth, TX 79129 (United States)

    2009-11-15

    Photoluminescence (PL) and positron lifetime (LT) measurements were used on several commercial ZnO nanopowders. We observed that sample-to-sample differences in the quality of the powders overshadow any observation of probable size effects. However, the average LT for all nanocrystals is longer than in a bulk sample, consistent with the hypothesis of crystals with surface and subsurface layers rich in defects. Temperature-dependent PL spectra from the ZnO nanopowders were analyzed in detail for the bound-exciton (BEx) range and the numerical fits of the peak positions yielded activation energies that suggested different channels of recombination for the BEx. Also, fits for the full width at half maximum (FWHM) show nonlinear behavior, indicating contribution from surface phonons. We, also, used remote nitrogen and hydrogen plasma treatment on the ZnO nanosystems to manipulate their surface and subsurface defect states. We demonstrated that those plasma species induce a variety of changes in the deep defect visible emission as well as in the BEx luminescence, most likely associated with the surface/subsurface states.

  11. Defect properties of ZnO nanopowders and their modifications induced by remote plasma treatments

    International Nuclear Information System (INIS)

    Paramo, J A; Peters, R M; Quarles, C A; Strzhemechny, Y M; Vallejo, H

    2009-01-01

    Photoluminescence (PL) and positron lifetime (LT) measurements were used on several commercial ZnO nanopowders. We observed that sample-to-sample differences in the quality of the powders overshadow any observation of probable size effects. However, the average LT for all nanocrystals is longer than in a bulk sample, consistent with the hypothesis of crystals with surface and subsurface layers rich in defects. Temperature-dependent PL spectra from the ZnO nanopowders were analyzed in detail for the bound-exciton (BEx) range and the numerical fits of the peak positions yielded activation energies that suggested different channels of recombination for the BEx. Also, fits for the full width at half maximum (FWHM) show nonlinear behavior, indicating contribution from surface phonons. We, also, used remote nitrogen and hydrogen plasma treatment on the ZnO nanosystems to manipulate their surface and subsurface defect states. We demonstrated that those plasma species induce a variety of changes in the deep defect visible emission as well as in the BEx luminescence, most likely associated with the surface/subsurface states.

  12. The design of remote participation platform for EAST plasma control

    Energy Technology Data Exchange (ETDEWEB)

    Yuan, Q.P., E-mail: qpyuan@ipp.ac.cn [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei (China); Xiao, B.J. [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei (China); University of Science & Technology of China, Hefei (China); Zhang, R.R. [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei (China); Chai, W.T.; Liu, J.; Xiao, R.; Zhou, Z.C.; Pei, X.F. [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei (China); University of Science & Technology of China, Hefei (China)

    2016-11-15

    Highlights: • The remote participation platform for EAST plasma control is composed of real time control service and scenario management. • The web based interface has been developed for supporting remote participation. • The functionality module has been designed and assistant tools have been developed. - Abstract: EAST has become a physics experimental platform for high parameter and steady-state long-pulse plasma operation. A new remote participation platform for EAST plasma control is designed, which is composed of gatekeeper system, web-based user interface system, discharge scenario management system, online simulation system and data interface with on-site plasma control system (PCS). The identification and access privilege of remote participator is validated by the gatekeeper system. Only authorized users can set control parameters for next shot plasma control or making discharge scenario for future shot through WebPCS which is a web-based user interface and designed based on B/S structure. The systematic architecture design and preliminary deployment of such remote platform will be presented in this paper.

  13. Diagnostics of N{sub 2}-Ar plasma mixture excited in a 13.56 MHz hollow cathode discharge system: application to remote plasma treatment of polyamide surface

    Energy Technology Data Exchange (ETDEWEB)

    Saloum, S; Naddaf, M; Alkhaled, B [Atomic Energy Commission of Syria (AECS), Physics Department, PO Box 6091, Damascus (Syrian Arab Republic)], E-mail: scientific@aec.org.sy

    2008-02-21

    N{sub 2}-x% Ar plasma gas mixture, generated in a hollow cathode RF discharge system, has been characterized by both optical emission spectroscopy (OES) and double Langmuir probe, as a function of experimental parameters: total pressure (5-33 Pa), and different fractions of argon (7 {<=} x {<=} 80), at a constant applied RF power of 300 W. N{sub 2} dissociation degree has been investigated qualitatively by both the actinometry method and the ratio I{sub N}/I{sub N{sub 2}} of the atomic nitrogen line emission intensity at 672.3 nm to the vibrational band (0-0) of the N{sub 2} second positive system at 337.1 nm. Both methods showed that the increase in argon fraction enhances the dissociation of N{sub 2}, with a maximum at x = 50 for the pressure of 5 Pa, although the two methods give two opposite trends as a function of total pressure. Spectroscopic measurements showed that the vibrational temperature of the N{sub 2} second positive system increases with both argon fraction and total pressure increase, it lies between 4900 and 12 300 K. Langmuir probe measurements showed that, in the remote zone, the electron temperature falls in the range 1.57-1.75 eV, the N{sub 2}{sup +} density varies between 5 x 10{sup 9} and 1.4 x 10{sup 10} cm{sup -3} and that both the plasma ionization degree and electron temperature increase towards the source. In addition, the process of plasma-polyamide (PA) surface interaction, in the remote plasma zone, has been studied through OES analysis during plasma treatment of PA to monitor the possible emissions due to the polymer etching. An increase in atomic nitrogen line (672.3 nm) intensity is obtained, atomic carbon line (833.52 nm) and the band emission (0-0) from the CN (B {sup 2}{sigma}{sup +}-X {sup 2}{sigma}{sup +}) violet system were observed. The PA surface modification has been confirmed through the improvement of its hydrophilic character as the water contact angle measured after the plasma treatment significantly decreased.

  14. Developments in remote participation in plasma physics experiments

    International Nuclear Information System (INIS)

    Blackwell, B.

    1999-01-01

    Recent growth in the size of plasma experiments and developments in network based software have contributed to a high level of interest in remote participation. Highlights of the recent conferences on this subject, and the ensuing 'white paper' are presented, with demonstrations of various Data Server/Web/Java based remote access techniques. These not only allow AINSE/AFRG users convenient access to H-1NF data from their home laboratory, but are (or soon will be) available to and from many overseas laboratories with similar systems. Many large plasma laboratories predict a large increase in remote access in the next two years. Several demonstrations of remote experiment control have been performed over medium speed networks, and several new experiments are planning on remote access from the beginning. In this paper we consider data access rights and security, access to common documents, and access to processed and raw data. The full version of this document can be viewed on the ANU's H-1NF web page at: http://rsphysse.anu.edu.au/

  15. Physics-electrical hybrid model for real time impedance matching and remote plasma characterization in RF plasma sources.

    Science.gov (United States)

    Sudhir, Dass; Bandyopadhyay, M; Chakraborty, A

    2016-02-01

    Plasma characterization and impedance matching are an integral part of any radio frequency (RF) based plasma source. In long pulse operation, particularly in high power operation where plasma load may vary due to different reasons (e.g. pressure and power), online tuning of impedance matching circuit and remote plasma density estimation are very useful. In some cases, due to remote interfaces, radio activation and, due to maintenance issues, power probes are not allowed to be incorporated in the ion source design for plasma characterization. Therefore, for characterization and impedance matching, more remote schemes are envisaged. Two such schemes by the same authors are suggested in these regards, which are based on air core transformer model of inductive coupled plasma (ICP) [M. Bandyopadhyay et al., Nucl. Fusion 55, 033017 (2015); D. Sudhir et al., Rev. Sci. Instrum. 85, 013510 (2014)]. However, the influence of the RF field interaction with the plasma to determine its impedance, a physics code HELIC [D. Arnush, Phys. Plasmas 7, 3042 (2000)] is coupled with the transformer model. This model can be useful for both types of RF sources, i.e., ICP and helicon sources.

  16. An engineering and economic analysis: Inductively coupled plasma mobile treatment of hazardous waste

    International Nuclear Information System (INIS)

    Detering, B.A.; McLlwain, M.E.

    1997-10-01

    This analysis considers the engineering and economic viability of an rf-plasma, mobile treatment process for remediation of hazardous waste located at remote sites in Alaska. A simple engineering process flowsheet is used to define the elements associated with the process and to identify major pieces of equipment. The proposed flowsheet and equipment are used to estimate capital and operational costs for four separate processing cases. These cases explore various operational situations, including moving equipment to a remote site, transporting wastes to a base site, and varying operational periods. Some cases consider variations in fuel costs known to exist across Alaska. Operational costs, capital equipment costs, and revenues are used to calculate pro-forma income statements. These income statements are used to predict economic viability. Based on the economic viability, the analysis suggests that processing of hydrocarbon-contaminated soils is more profitable when performed at remote sites as compared to at a home base. Processing of poly-chloro-biphenyl (PCB)-contaminated oil at a stationary site is more profitable as compared to remote treatment due to the cost of transporting the equipment. Over the range of fuel prices considered, higher fuel costs increase the per unit treatment price by ten percent. Based on the results of this analysis, an rf-plasma based process appears to be economically viable for remote treatment of hydrocarbon-contaminated soil, but less viable for treatment of PCB-contaminated oil

  17. High degree reduction and restoration of graphene oxide on SiO2 at low temperature via remote Cu-assisted plasma treatment

    Science.gov (United States)

    Obata, Seiji; Sato, Minoru; Akada, Keishi; Saiki, Koichiro

    2018-06-01

    A high throughput synthesis method of graphene has been required for a long time to apply graphene to industrial applications. Of the various synthesis methods, the chemical exfoliation of graphite via graphene oxide (GO) is advantageous as far as productivity is concerned; however, the quality of the graphene produced by this method is far inferior to that synthesized by other methods, such as chemical vapor deposition on metals. Developing an effective reduction and restoration method for GO on dielectric substrates has been therefore a key issue. Here, we present a method for changing GO deposited on a dielectric substrate into high crystallinity graphene at 550 °C this method uses CH4/H2 plasma and a Cu catalyst. We found that Cu remotely catalyzed the high degree reduction and restoration of GO on SiO2 and the effect ranged over at least 8 mm. With this method, field-effect transistor devices can be fabricated without any post treatment such as a transfer process. This plasma treatment increased electron and hole mobilities of GO to 480 cm2 V‑1 s‑1 and 460 cm2 V‑1 s‑1 respectively; these values were more than 50 times greater than that of conventional reduced GO. Furthermore, the on-site conversion ensured that the shape of the GO sheets remained unchanged after the treatment. This plasma treatment realizes the high throughput synthesis of a desired shaped graphene on any substrate without any residue and damage being caused by the transfer process; as such, it expands the potential applicability of graphene.

  18. Development and verification test on remote plasma cutting of large metallic waste

    International Nuclear Information System (INIS)

    Ozawa, Tamotsu; Yamada, Kunitaka; Abe, Tadashi

    1979-01-01

    Plasma cutting is the cutting method to melt and scatter cut objects by generating arc between an electrode in a nozzle and the cut objects and making working gas fed to surround it into high temperature, high speed plasma jet. In case of remote plasma cutting, a torch for the plasma cutting is operated remotely with a manipulator from the outside of a cell. At the time of planning the method of breaking up solid wastes, the type of cutting machines and the method of remote operation of the cutting machines and cut objects were examined. It was decided to adopt plasma cutting machines, because their cutting capability such as materials, thickness and cutting speed is excellent, and the construction and handling are simple. The form of the solid wastes to be cut is not uniform, accordingly the method of manipulator operation was adopted to respond to various forms flexibly. Cut objects are placed on a turntable to change the position successively. In case of remote plasma cutting, the controls of torch speed and gap must be made with a manipulator. The use of light-shielding glasses reduces largely the watchability of cut objects and becomes hindrance in the operation. As for the safety aspect, the suitable gas for cutting, which does not contain hydrogen, must be selected. The tests carried out for two years since November, 1977, are reported in this paper, and most of the problems have been solved. (Kako, I.)

  19. Epitaxial growth and processing of InP films in a ``novel`` remote plasma-MOCVD apparatus

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, G. [Bari Univ. (Italy). Centro di Studio per la Chimica; Losurdo, M. [Bari Univ. (Italy). Centro di Studio per la Chimica; Capezzuto, P. [Bari Univ. (Italy). Centro di Studio per la Chimica; Capozzi, V. [Bari Univ. (Italy). Ist. di Fisica; Lorusso, F.G. [Bari Univ. (Italy). Ist. di Fisica; Minafra, A. [Bari Univ. (Italy). Ist. di Fisica

    1996-06-01

    A new remote plasma MOCVD apparatus for the treatment and deposition of III-V materials and, specifically, of indium phosphide, has been developed. The plasma source is used to produce hydrogen atoms and to predissociate phosphine for, respectively, the reduction of native oxide on InP substrate surface and the InP deposition. In situ diagnostics by optical emission spectroscopy, mass spectrometry, and spectroscopic ellipsometry are used to fingerprint the gas phase and the growth surface. The plasma cleaning process effectively reduce the InP oxide layer without surface damage. Indium phosphide epilayers deposited from trimethylindium and plasma activated PH{sub 3} show singular photoluminescence spectra with signal intensity higher than that of the best InP film deposited under conventional MOCVD condition (without PH{sub 3} plasma preactivation). (orig.)

  20. Remote-LIBS characterization of ITER-like plasma facing materials

    International Nuclear Information System (INIS)

    Almaviva, S.; Caneve, L.; Colao, F.; Fantoni, R.; Maddaluno, G.

    2012-01-01

    Graphical abstract: Display Omitted Highlights: ► Description of a LIBS set-up as remote diagnostics in new generation fusion machines. ► Identification of the atomic composition of samples simulating plasma facing components. ► Submicrometric resolution in depth profiling the elemental composition of the samples. ► Identification of elements present in traces or as impurities on the sample surface. ► Discussion on the applicability of the Calibration Free method for quantitative analysis. - Abstract: The occurrence of several plasma-wall interaction processes, eventually affecting the overall system performances, is expected in a working fusion device chamber. Monitoring the changes in the composition of the plasma facing component (PFC) surface layer, as a result of erosion and redeposition mechanisms, can provide useful information on the possible plasma pollution and fuel retention. To this aim, suitable diagnostic techniques able to perform depth profiling analysis of the superficial layers on the PFCs have been developed. Due to the constraints commonly found in fusion devices, the measuring apparatus must be non invasive, remote and sensitive to light elements. These requirements make LIBS (Laser Induced Breakdown Spectroscopy) an ideal candidate for on-line monitoring the walls of current and of next generation (as ITER) fusion devices. LIBS is a well established tool for qualitative, semi-quantitative and quantitative analysis of surfaces, with micro-destructive characteristics and some capabilities for stratigraphy. In this work, LIBS depth profiling capability has been verified for the determination of the composition of multilayer structures simulating plasma facing components covered with deposited impurity layers. A new experimental setup has been designed and realized in order to optimize the characteristics of a LIBS system working in vacuum conditions and remotely, two noticeable properties for an ITER-relevant diagnostics. A quantitative

  1. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber.

    Science.gov (United States)

    Dechana, A; Thamboon, P; Boonyawan, D

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films-analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques-will be discussed.

  2. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Energy Technology Data Exchange (ETDEWEB)

    Dechana, A. [Program of Physics and General Science, Faculty of Science and Technology, Songkhla Rajabhat University, Songkhla 90000 (Thailand); Thamboon, P. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Boonyawan, D., E-mail: dheerawan.b@cmu.ac.th [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand)

    2014-10-15

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al{sub 2}O{sub 3} layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al{sub 2}O{sub 3} films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  3. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Science.gov (United States)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  4. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    International Nuclear Information System (INIS)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-01-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al 2 O 3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al 2 O 3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed

  5. Remote automatic control scheme for plasma arc cutting of contaminated waste

    International Nuclear Information System (INIS)

    Dudar, A.M.; Ward, C.R.; Kriikku, E.M.

    1993-01-01

    Plasma arc cutting is a popular technique used for size reduction of radioactively contaminated metallic waste such as glove boxes, vessels, and ducts. It is a very aggressive process and is capable of cutting metal objects up to 3 in. thick. The crucial control criteria in plasma cutting is maintaining a open-quotes stand-offclose quotes distance between the plasma torch tip and the material being cut. Manual plasma cutting techniques in radioactive environments require the operator to wear a plastic suit covered by a metallic suit. This is very cumbersome, time-consuming, and also generates additional waste (plastic and metallic suits). Teleoperated remote cutting is preferable to manual cutting, but our experience has shown that remote control of the stand-off distance is particularly difficult because of the brightness of the plasma arc and inadequate viewing angles. Also, the heat generated by the torch causes the sheet metal to deform and warp during plasma cutting, creating a dynamically changing metal surface. The aforementioned factors make it extremely difficult, if not impossible, to perform plasma cuts of waste with a variety of shapes and sizes in a teleoperated fashion with an operator in the loop. Automating the process is clearly desirable

  6. Remote handling needs of the Princeton Plasma Physics Laboratory

    International Nuclear Information System (INIS)

    Smiltnieks, V.

    1982-07-01

    This report is the result of a Task Force study commissioned by the Canadian Fusion Fuels Technology Project (CFFTP) to investigate the remote handling requirements at the Princeton Plasma Physics Laboratory (PPPL) and identify specific areas where CFFTP could offer a contractual or collaborative participation, drawing on the Canadian industrial expertise in remote handling technology. The Task Force reviewed four areas related to remote handling requirements; the TFTR facility as a whole, the service equipment required for remote maintenance, the more complex in-vessel components, and the tritium systems. Remote maintenance requirements both inside the vacuum vessel and around the periphery of the machine were identified as the principal areas where Canadian resources could effectively provide an input, initially in requirement definition, concept evaluation and feasibility design, and subsequently in detailed design and manufacture. Support requirements were identified in such areas as the mock-up facility and a variety of planning studies relating to reliability, availability, and staff training. Specific tasks are described which provide an important data base to the facility's remote handling requirements. Canadian involvement in the areas is suggested where expertise exists and support for the remote handling work is warranted. Reliability, maintenance operations, inspection strategy and decommissioning are suggested for study. Several specific components are singled out as needing development

  7. Aluminum metal surface cleaning and activation by atmospheric-pressure remote plasma

    Energy Technology Data Exchange (ETDEWEB)

    Muñoz, J., E-mail: jmespadero@uco.es; Bravo, J.A.; Calzada, M.D.

    2017-06-15

    Highlights: • Atmospheric-pressure postdischarges have been applied on aluminium surfaces. • The outer hydrocarbon layer is reduced by the action of the postdischarge. • The treatment promotes the appearance of hydrophilic OH radicals in the surface. • Effectivity for distances up to 5 cm allows for treating irregular surfaces. • Ageing in air due to the disappearance of OH radicals has been reported. - Abstract: The use of the remote plasma (postdischarge) of argon and argon-nitrogen microwave plasmas for cleaning and activating the surface of metallic commercial aluminum samples has been studied. The influence of the nitrogen content and the distance between the treated samples and the end of the discharge on the hydrophilicity and the surface energy has been analyzed by means of the sessile drop technique and the Owens-Wendt method. A significant increase in the hydrophilicity has been noted in the treated samples, together with an increase in the surface energy from values around 37 mJ/m{sup 2} to 77 mJ/m{sup 2}. Such increase weakly depends on the nitrogen content of the discharge, and the effectivity of the treatment extends to distances up to 5 cm from the end of the discharge, much longer than those reported in other plasma-based treatments. The analysis of the treated samples using X-ray photoelectron spectroscopy reveals that such increase in the surface energy takes place due to a reduction of the carbon content and an increase in the amount of OH radicals in the surface. These radicals tend to disappear within 24–48 h after the treatment when the samples are stored in contact with ambient air, resulting in the ageing of the treated surface and a partial retrieval of the hydrophobicity of the surface.

  8. Post-CMP cleaning for metallic contaminant removal by using a remote plasma and UV/ozone

    International Nuclear Information System (INIS)

    Lim, Jong Min; Jeon, Bu Yong; Lee, Chong Mu

    2000-01-01

    For the chemical mechanical polishing (CMP) process to be successful, it is important to establish a good post-CMP cleaning process that will remove not only slurry and particles but also metallic impurities from the polished surface. The common metallic contaminants found after oxide CMP and Cu CMP include Cu, K, and Fe. Scrubbing, a popular method for post-CMP cleaning, is effective in removing particles, but removal of metallic contaminants using this method is not so effective. In this study, the removal of Fe metallic contaminants like Fe, which are commonly found on the wafer surface after CMP processes, was investigated using remote-hydrogen-plasma and UV/O 3 cleaning techniques. Our results show that metal contaminants, including Fe, can be effectively removed by using a hydrogen-plasma or UV/O 3 cleaning technique performed under optimal process conditions. In remote plasma H 2 cleaning, contaminant removal is enhanced with decreasing plasma exposure time and increasing rf-power. The optimal process condition for the removal of the Fe impurities existing on the wafer surface is an rf-power of 100 W. Plasma cleaning for 5 min or less is effective in removing Fe contaminants, but a plasma exposure time of 1 min is more appropriate than 5 min in view of the process time, The surface roughness decreased by 30∼50 % after remote-H 2 -plasma cleaning. On the other hand, the highest efficiency of Fe-impurity removal was achieved for an UV exposure time of 30 s. The removal mechanism for the Fe contaminants in the remote-H 2 -plasma and the UV/O 3 cleaning processes is considered to be the liftoff of Fe atoms when the SiO is removed by evaporation after the chemical or native SiO 2 formed underneath the metal atoms reacts with H + and e - to form SiO

  9. Remote network control plasma diagnostic system for Tokamak T-10

    International Nuclear Information System (INIS)

    Troynov, V I; Zimin, A M; Krupin, V A; Notkin, G E; Nurgaliev, M R

    2016-01-01

    The parameters of molecular plasma in closed magnetic trap is studied in this paper. Using the system of molecular diagnostics, which was designed by the authors on the «Tokamak T-10» facility, the radiation of hydrogen isotopes at the plasma edge is investigated. The scheme of optical radiation registration within visible spectrum is described. For visualization, identification and processing of registered molecular spectra a new software is developed using MatLab environment. The software also includes electronic atlas of electronic-vibrational-rotational transitions for molecules of protium and deuterium. To register radiation from limiter cross-section a network control system is designed using the means of the Internet/Intranet. Remote control system diagram and methods are given. The examples of web-interfaces for working out equipment control scenarios and viewing of results are provided. After test run in Intranet, the remote diagnostic system will be accessible through Internet. (paper)

  10. Studies on surface graft polymerization of acrylic acid onto PTFE film by remote argon plasma initiation

    International Nuclear Information System (INIS)

    Wang Chen; Chen Jierong

    2007-01-01

    The graft polymerization of acrylic acid (AAc) was carried out onto poly(tetrafluoroethylene) (PTFE) films that had been pretreated with remote argon plasma and subsequently exposed to oxygen to create peroxides. Peroxides are known to be the species responsible for initiating the graft polymerization when PTFE reacts with AAc. We chose different parameters of remote plasma treatment to get the optimum condition for introducing maximum peroxides (2.87 x 10 -11 mol/cm 2 ) on the surface. The influence of grafted reaction conditions on the grafting degree was investigated. The maximum grafting degree was 25.2 μg/cm 2 . The surface microstructures and compositions of the AAc grafted PTFE film were characterized with the water contact angle meter, Fourier-transform infrared spectroscopy (ATR-FTIR) and X-ray photoelectron spectroscopy (XPS). Contact angle measurements revealed that the water contact angle decreased from 108 o to 41 o and the surface free energy increased from 22.1 x 10 -5 to 62.1 x 10 -5 N cm -1 by the grafting of the AAc chains. The hydrophilicity of the PTFE film surface was greatly enhanced. The time-dependent activity of the grafted surface was better than that of the plasma treated film

  11. Remote Metrology, Mapping, and Motion Sensing of Plasma Facing Components Using FM Coherent Laser Radar

    International Nuclear Information System (INIS)

    Menon, M.M.; Barry, R.E.; Slotwinsky, A.; Kugel, H.W.; Skinner, C.H.

    2000-01-01

    Metrology inside a D/T burning fusion reactor must necessarily be conducted remotely since the in-vessel environment would be highly radioactive due to neutron activation of the torus walls. A technique based on frequency modulated coherent laser radar (FM CLR) for such remote metrology is described. Since the FM CLR relies on frequency shift to measure distances, the results are largely insensitive to surface reflectance characteristics. Results of measurements in TFTR and NSTX fusion devices using a prototype FM CLR unit, capable of remotely measuring distances (range) up to 22 m with better than 0.1-mm precision, are provided. These results illustrate that the FM CLR can be used for precision remote metrology as well as viewing. It is also shown that by conducting Doppler corrected range measurements using the CLR, the motion of objects can be tracked. Thus, the FM CLR has the potential to remotely measure the motion of plasma facing components (PFCs) during plasma disruptions

  12. TELEMATICS APPLICATIONS REMOT: Description of the intended plasma physics demonstrator

    NARCIS (Netherlands)

    Kemmerling, G.; van der Meer, E.; Ephraïm, M.; Balke, C.; Lourens, W.; Korten, M.

    2012-01-01

    This document presents the intended plasma physics demonstator in the REMOT Project. Due to the complexity of the system the demonstrator should be kept as simple as possible without sacrificing flexibility. The demonstrator should be made in such a way that it can easily be modified and expanded.

  13. Thermal plasma waste treatment

    International Nuclear Information System (INIS)

    Heberlein, Joachim; Murphy, Anthony B

    2008-01-01

    Plasma waste treatment has over the past decade become a more prominent technology because of the increasing problems with waste disposal and because of the realization of opportunities to generate valuable co-products. Plasma vitrification of hazardous slags has been a commercial technology for several years, and volume reduction of hazardous wastes using plasma processes is increasingly being used. Plasma gasification of wastes with low negative values has attracted interest as a source of energy and spawned process developments for treatment of even municipal solid wastes. Numerous technologies and approaches exist for plasma treatment of wastes. This review summarizes the approaches that have been developed, presents some of the basic physical principles, provides details of some specific processes and considers the advantages and disadvantages of thermal plasmas in waste treatment applications. (topical review)

  14. Improved size distribution control of silicon nanocrystals in a spatially confined remote plasma

    NARCIS (Netherlands)

    Dogan, I.; Westerman, R. H. J.; M. C. M. van de Sanden,

    2015-01-01

    This work demonstrates how to improve the size distribution of silicon nanocrystals (Si-NCs) synthesized in a remote plasma, in which the flow dynamics and the particular chemistry initially resulted in the formation of small (2-10 nm) and large (50-120 nm) Si-NCs. Plasma consists of two regions: an

  15. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  16. Permanent magnet electron cyclotron resonance plasma source with remote window

    International Nuclear Information System (INIS)

    Berry, L.A.; Gorbatkin, S.M.

    1995-01-01

    An electron cyclotron resonance (ECR) plasma has been used in conjunction with a solid metal sputter target for Cu deposition over 200 mm diameters. The goal is to develop a deposition system and process suitable for filling submicron, high-aspect ratio ULSI features. The system uses a permanent magnet for creation of the magnetic field necessary for ECR, and is significantly more compact than systems equipped with electromagnets. A custom launcher design allows remote microwave injection with the microwave entrance window shielded from the copper flux. When microwaves are introduced at an angle with respect to the plasma, high electron densities can be produced with a plasma frequency significantly greater than the electron cyclotron frequency. Copper deposition rates of 1000 A/min have been achieved

  17. Ex-vessel remote maintenance development plans for the Burning Plasma Experiment

    International Nuclear Information System (INIS)

    Burgess, T.W.; Davis, F.C.

    1991-01-01

    Remote maintenance (RM) is fundamental to the basic design requirements of the Burning Plasma Experiment (BPX), and an extensive RM development and demonstration program is planned to meet these requirements. The program first draws from the experience base that exists in the fission community and Europe's Joint European Torus (JET) Project. Successful solutions are applied where possible and, in many cases, improved in order to achieve the performance demanded by a multiyear program that must be capable of efficiently executing RM procedures. Early, concurrent efforts in the design and fabrication of prototype remote handling (RH) equipment, remote tooling, and maintainable machine components will precede an extensive use of mock-up equipment in order to test, develop, and demonstrate the technology. 7 refs,. 5 figs

  18. Evaluation of remote maintenance schemes by plasma equilibrium analysis in Tokamak DEMO reactor

    International Nuclear Information System (INIS)

    Utoh, Hiroyasu; Tobita, Kenji; Asakura, Nobuyuki; Sakamoto, Yoshiteru

    2014-01-01

    Highlights: • The remote maintenance schemes in DEMO reactor were evaluated by the plasma equilibrium analysis. • Horizontal sector transport maintenance scheme requires the largest total PF coil current. • The difference of total PF coil current for MHD equilibrium in between the large segmented divertor maintenance and the segmentalized divertor maintenance was about 10%. - Abstract: The remote maintenance schemes in a DEMO reactor are categorized by insertion direction, blanket segmentation, and divertor maintenance scheme, and are quantitatively evaluated by analysing the plasma equilibrium. The positions of the poloidal field (PF) coil are limited by the size of the toroidal field (TF) coil and the maintenance port layout of each remote maintenance scheme. Because the PF coils are located near the larger TF coil and far from the plasma surface, the horizontal sector transport maintenance scheme requires the largest part of total PF coil current, 25% larger than that required for separated sector transport using vertical maintenance ports with segmented divertor maintenance (SDM). In the unsegmented divertor maintenance (UDM) scheme, the total magnetic stored energy in the PF coils at plasma equilibrium is about 30% larger than that stored in the SDM scheme, but the time required for removal and installation of all the divertor cassettes in the UDM scheme is roughly a third of that required in the SDM scheme because the number of divertor cassettes in the UDM scheme is a third of that in the SDM scheme. From the viewpoint of simple maintenance operations, the merit of the UDM scheme has more merit than the SDM scheme

  19. Plasma density remote control system of experimental advanced superconductive tokamak

    International Nuclear Information System (INIS)

    Zhang Mingxin; Luo Jiarong; Li Guiming; Wang Hua; Zhao Dazheng; Xu Congdong

    2007-01-01

    In Tokamak experiments, experimental data and information on the density control are stored in the local computer system. Therefore, the researchers have to be in the control room for getting the data. Plasma Density Remote Control System (DRCS), which is implemented by encapsulating the business logic on the client in the B/S module, conducts the complicated science computation and realizes the synchronization with the experimental process on the client. At the same time, Web Services and Data File Services are deployed for the data exchange. It is proved in the experiments that DRCS not only meets the requirements for the remote control, but also shows an enhanced capability on the data transmission. (authors)

  20. Role of plasma activation in tailoring the nanostructure of multifunctional oxides thin films

    Energy Technology Data Exchange (ETDEWEB)

    Giangregorio, Maria M.; Losurdo, Maria; Capezzuto, Pio [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, and Department of Chemistry, University of Bari, via Orabona, 4-70125 Bari (Italy); Bruno, Giovanni [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, and Department of Chemistry, University of Bari, via Orabona, 4-70125 Bari (Italy)], E-mail: giovanni.bruno@ba.imip.cnr.it

    2009-03-01

    Potential of O{sub 2} remote plasmas for improving structural, morphological and optical properties of various multifunctional oxides thin films both during plasma assisted growth as well as by post-growth treatments is discussed. In particular, an O{sub 2} remote plasma metalorganic chemical vapor deposition (RP-MOCVD) route is presented for tailoring the structural, morphological and optical properties of Er{sub 2}O{sub 3} and ZnO films. Furthermore, post-growth room-temperature remote O{sub 2} plasma treatments of indium-tin-oxides (ITO) films are demonstrated to be effective in improving morphology of ITO films.

  1. Remote operation of the vertical plasma stabilization @ the GOLEM tokamak for the plasma physics education

    Czech Academy of Sciences Publication Activity Database

    Svoboda, V.; Kocman, J.; Grover, O.; Krbec, Jaroslav; Stöckel, Jan

    96-97, October (2015), s. 974-979 ISSN 0920-3796. [Symposium on Fusion Technology 2014(SOFT-28)/28./. San Sebastián, 29.09.2014-03.10.2014] Institutional support: RVO:61389021 Keywords : tokamak technology * remote participation * plasma stabilization Subject RIV: JF - Nuclear Energetics OBOR OECD: Nuclear related engineering Impact factor: 1.301, year: 2015 http://dx.doi.org/10.1016/j.fusengdes.2015.06.044

  2. Plasma treatment of crane rails

    Directory of Open Access Journals (Sweden)

    Владислав Олександрович Мазур

    2016-07-01

    Full Text Available Crane operation results in wear and tear of rails and crane wheels. Renovation and efficiency of these details is therefore relevant. Modern technologies of wheels and rails restoration use surfacing or high-frequency currents treatment. Surface treatment with highly concentrated streams of energy- with a laser beam, plasma jet- is a promising direction.. It is proposed to increase the efficiency of crane rails by means of surface plasma treatment. The modes of treatment have been chosen.. Modelling of plasma jet thermal impact on a solid body of complex shape has been made. Plasma hardening regimes that meet the requirements of production have been defined. Structural transformation of the material in the crane rails on plasma treatment has been investigated. It has been concluded that for carbon and low alloy crane steels the plasma exposure zone is characterized by a high degree of hardened structure dispersion and higher hardness as compared to the hardness after high-frequency quenching. As this takes place phase transformations are both shift (in the upper zone of plasma influence and fluctuation (in the lower zone of the plasma. With high-speed plasma heating granular or lamellar pearlite mainly transforms into austenite. The level of service characteristics of hardened steel, which is achieved in this case is determined by the kinetics and completeness of pearlite → austenite transformation. For carbon and low alloy rail steels plasma hardening can replace bulk hardening, hardening by high-frequency currents, or surfacing. The modes for plasma treatment which make it possible to obtain a surface layer with a certain service characteristics have been defined

  3. Plasma treatment of onychomycosis

    Science.gov (United States)

    Xiong, Zilan; Roe, Jeff; Grammer, Tim; Him, Yeon-Ho; Graves, David B.

    2015-09-01

    Onychomycosis or fungal infection of the toenail or fingernail is a common affliction. Approximately 10% of the world's adult population is estimated to suffer from onychomycosis. Current treatment options such as topical creams, oral drugs, or laser treatments are generally limited by a variety of problems. We present results for an alternative onychomycosis treatment scheme using atmospheric pressure cold air plasmas. Using thinned cow hoof as a model nail material, we tested the ability of various plasma sources to act through the model nail to eradicate either bacteria or fungus deposited on the opposite side. Following 20 minute exposure to a surface microdischarge (SMD) device operating in room air, we observed a ~ 2 log reduction of E. coli. A similar result was obtained against T. rubrum after 45 min plasma treatment. NOx species concentration penetrating through the model nail as well as uptake into the nail were measured as a function of nail thickness. We propose that these plasma-generated species, or perhaps their reaction products, are responsible for at least part of the observed anti-microbial effect. We also explore the use of ultraviolet light acting in synergy with plasma-generated chemical species.

  4. The receptiveness toward remotely supported myofeedback treatment

    NARCIS (Netherlands)

    Huis in 't Veld, M.H.A.; Voerman, Gerlienke; Hermens, Hermanus J.; Vollenbroek-Hutten, Miriam Marie Rosé

    Remotely supported myofeedback treatment (RSMT) is considered to be a potentially valuable alternative to the conventional myofeedback treatment, as it might increase efficiency of care. This study was aimed at examining the receptiveness of potential end users (patients and professionals) with

  5. Computers in plasma physics: remote data access and magnetic configuration design

    International Nuclear Information System (INIS)

    Blackwell, B.D.; McMillan, B.F.; Searle, A.C.; Gardner, H.J.; Price, D.M.; Fredian, T.W.

    2000-01-01

    Full text: Two graphically intensive examples of the application of computers in plasma physics are described remote data access for plasma confinement experiments, and a code for real-time magnetic field tracing and optimisation. The application for both of these is the H-1NF National Plasma Fusion Research Facility, a Commonwealth Major National Research Facility within the Research School of Physical Science, Institute of Advanced Studies, ANU. It is based on the 'flexible' heliac stellarator H-1, a plasma confinement device in which the confining fields are generated solely by external conductors. These complex, fully three dimensional magnetic fields are used as examples for the magnetic design application, and data from plasma physics experiments are used to illustrate the remote access techniques. As plasma fusion experiments grow in size, increased remote access allows physicists to participate in experiments and data analysis from their home base. Three types of access will be described and demonstrated - a simple Java-based web interface, an example TCP client-server built around the widely used MDSPlus data system and the visualisation package IDL (RSI Inc), and a virtual desktop Environment (VNC: AT and T Research) that simulates terminals local to the plasma facility. A client server TCP/IP - web interface to the programmable logic controller that provides user interface to the programmable high power magnet power supplies is described. A very general configuration file allows great flexibility, and allows new displays and interfaces to be created (usually) without changes to the underlying C++ and Java code. The magnetic field code BLINE provides accurate calculation of complex magnetic fields, and 3D visualisation in real time, using a low cost multiprocessor computer and an OpenGL-compatible graphics accelerator. A fast, flexible multi-mesh interpolation method is used for tracing vacuum magnetic field lines created by arbitrary filamentary

  6. Remote plasma sputtering of indium tin oxide thin films for large area flexible electronics

    International Nuclear Information System (INIS)

    Yeadon, A.D.; Wakeham, S.J.; Brown, H.L.; Thwaites, M.J.; Whiting, M.J.; Baker, M.A.

    2011-01-01

    Indium tin oxide (ITO) thin films with a specific resistivity of 3.5 × 10 −4 Ω cm and average visible light transmission (VLT) of 90% have been reactively sputtered onto A4 Polyethylene terephthalate (PET), glass and silicon substrates using a remote plasma sputtering system. This system offers independent control of the plasma density and the target power enabling the effect of the plasma on ITO properties to be studied. Characterization of ITO on glass and silicon has shown that increasing the plasma density gives rise to a decrease in the specific resistivity and an increase in the optical band gap of the ITO films. Samples deposited at plasma powers of 1.5 kW, 2.0 kW and 2.5 kW and optimized oxygen flow rates exhibited specific resistivity values of 3.8 × 10 −4 Ω cm, 3.7 × 10 −4 Ω cm and 3.5 × 10 −4 Ω cm and optical gaps of 3.48 eV, 3.51 eV and 3.78 eV respectively. The increase in plasma density also influenced the crystalline texture and the VLT increased from 70 to 95%, indicating that more oxygen is being incorporated into the growing film. It has been shown that the remote plasma sputter technique can be used in an in-line process to produce uniform ITO coatings on PET with specific resistivities of between 3.5 × 10 −4 and 4.5 × 10 −4 Ω cm and optical transmission of greater than 85% over substrate widths of up to 30 cm.

  7. Remote plasma enhanced chemical deposition of non-crystalline GeO2 on Ge and Si substrates.

    Science.gov (United States)

    Lucovsky, Gerald; Zeller, Daniel

    2011-09-01

    Non-crystalline GeO2 films remote were plasma deposited at 300 degrees C onto Ge substrates after a final rinse in NH4OH. The reactant precursors gas were: (i) down-stream injected 2% GeH4 in He as the Ge precursor, and (ii) up-stream, plasma excited O2-He mixtures as the O precursor. Films annealed at 400 degrees C displayed no evidence for loss of O resulting in Ge sub-oxide formation, and for a 5-6 eV mid-gap absorption associated with formation of GeOx suboxide bonding, x deposited on Ge and annealed at 600 degrees C and 700 degrees C display spectra indicative of loss of O-atoms, accompanied with a 5.5 eV absorption. X-ray absorption spectroscopy and many-electron theory are combined to describe symmetries and degeneracies for O-vacancy bonding defects. These include comparisons with remote plasma-deposited non-crystalline SiO2 on Si substrates with SiON interfacial layers. Three different properties of remote plasma GeO2 films are addressed comparisons between (i) conduction band and band edge states of GeO2 and SiO2, and (ii) electronic structure of O-atom vacancy defects in GeO2 and SiO2, and differences between (iii) annealing of GeO2 films on Ge substrates, and Si substrates passivated with SiON interfacial transition regions important for device applications.

  8. Plasma assisted heat treatment: annealing

    International Nuclear Information System (INIS)

    Brunatto, S F; Guimaraes, N V

    2009-01-01

    This work comprises a new dc plasma application in the metallurgical-mechanical field, called plasma assisted heat treatment, and it presents the first results for annealing. Annealing treatments were performed in 90% reduction cold-rolled niobium samples at 900 deg. C and 60 min, in two different heating ways: (a) in a hollow cathode discharge (HCD) configuration and (b) in a plasma oven configuration. The evolution of the samples' recrystallization was determined by means of the microstructure, microhardness and softening rate characterization. The results indicate that plasma species (ions and neutrals) bombardment in HCD plays an important role in the recrystallization process activation and could lead to technological and economical advantages considering the metallic materials' heat treatment application. (fast track communication)

  9. High Throughput Plasma Water Treatment

    Science.gov (United States)

    Mujovic, Selman; Foster, John

    2016-10-01

    The troublesome emergence of new classes of micro-pollutants, such as pharmaceuticals and endocrine disruptors, poses challenges for conventional water treatment systems. In an effort to address these contaminants and to support water reuse in drought stricken regions, new technologies must be introduced. The interaction of water with plasma rapidly mineralizes organics by inducing advanced oxidation in addition to other chemical, physical and radiative processes. The primary barrier to the implementation of plasma-based water treatment is process volume scale up. In this work, we investigate a potentially scalable, high throughput plasma water reactor that utilizes a packed bed dielectric barrier-like geometry to maximize the plasma-water interface. Here, the water serves as the dielectric medium. High-speed imaging and emission spectroscopy are used to characterize the reactor discharges. Changes in methylene blue concentration and basic water parameters are mapped as a function of plasma treatment time. Experimental results are compared to electrostatic and plasma chemistry computations, which will provide insight into the reactor's operation so that efficiency can be assessed. Supported by NSF (CBET 1336375).

  10. On the intrinsic moisture permeation rate of remote microwave plasma-deposited silicon nitride layers

    NARCIS (Netherlands)

    van Assche, F. J. H.; Unnikrishnan, S.; Michels, J. J.; van Mol, A. M. B.; van de Weijer, P.; M. C. M. van de Sanden,; Creatore, M.

    2014-01-01

    We report on a low substrate temperature (110 °C) remote microwave plasma-enhanced chemical vapor deposition (PECVD) process of silicon nitride barrier layers against moisture permeation for organic light emitting diodes (OLEDs) and other moisture sensitive devices such as organic

  11. Plasma treatment: A Novel Medical Application

    International Nuclear Information System (INIS)

    Boonyawan, Dheerawan

    2015-01-01

    Cold atmospheric plasma (CAP) for the medical treatment is a new field in plasma application, called plasma medicine. CAP contrains mix of excited atoms and molecules, UV photons, charged particles as well as reactive oxygen species (ROS) and reactive nitrogen species (RNS). Typical species in air CAPs are O 3 , OH, N x , and HNO x . Two cold atomospheric plasma devices were utiized (either in an indirect or a direct way) for the treatment of physiologically healthy volunterrs, The results show that CAP is effective againts chronic wound infections and/ or for skin treatment in clinical trials. The current developments in this field have fuelled the hope that CAP could be, and interesting new therapeutic apptoach in the treatment of cancer.

  12. Oxygen Plasma Treatment of Rubber Surface by the Atmospheric Pressure Cold Plasma Torch

    DEFF Research Database (Denmark)

    Lee, Bong-ju; Kusano, Yukihiro; Kato, Nobuko

    1997-01-01

    adhesive. The adhesion property was improved by treatment of the rubber compound with plasma containing oxygen radicals. Physical and chemical changes of the rubber surface as a result of the plasma treatment were analyzed by field emission scanning electron microscopy (FE-SEM) and fourier transform......A new application of the atmospheric cold plasma torch has been investigated. Namely, the surface treatment of an air-exposed vulcanized rubber compound. The effect of plasma treatment was evaluated by the bondability of the treated rubber compound with another rubber compound using a polyurethane...

  13. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    Science.gov (United States)

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  14. A web-based remote radiation treatment planning system using the remote desktop function of a computer operating system: a preliminary report.

    Science.gov (United States)

    Suzuki, Keishiro; Hirasawa, Yukinori; Yaegashi, Yuji; Miyamoto, Hideki; Shirato, Hiroki

    2009-01-01

    We developed a web-based, remote radiation treatment planning system which allowed staff at an affiliated hospital to obtain support from a fully staffed central institution. Network security was based on a firewall and a virtual private network (VPN). Client computers were installed at a cancer centre, at a university hospital and at a staff home. We remotely operated the treatment planning computer using the Remote Desktop function built in to the Windows operating system. Except for the initial setup of the VPN router, no special knowledge was needed to operate the remote radiation treatment planning system. There was a time lag that seemed to depend on the volume of data traffic on the Internet, but it did not affect smooth operation. The initial cost and running cost of the system were reasonable.

  15. Plasmas for the low-temperature growth of high-quality GaN films by molecular beam epitaxy and remote plasma MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Capezzuto, P.; Bruno, G. [Plasmachemistry Research Center, CNR, Bari (Italy); Namkoong, G.; Doolittle, W.A.; Brown, A.S. [Georgia Inst. of Tech., Atlanta (United States). School of Electrical and Computer Engineering, Microelectronic Research Center

    2002-03-16

    GaN heteroepitaxial growth on sapphire (0001) substrates was carried out by both radio-frequency (rf) remote plasma metalorganic chemical vapor deposition (RP-MOCVD) and molecular beam epitaxy (MBE). A multistep growth process including substrate plasma cleaning and nitridation, buffer growth, its subsequent annealing and epilayer growth was used. In order to achieve a better understanding of the GaN growth, in-situ real time investigation of the surface chemistry is performed for all the steps using the conventional reflection high-energy electron spectroscopy (RHEED) during the MBE process, while laser reflectance interferometry (LRI) and spectroscopic ellipsometry (SE), which do not require UHV conditions, are used for the monitoring of the RP-MOCVD process. The chemistry of the rf N{sub 2} plasma sapphire nitridation and its effect on the epilayer growth and quality are discussed in both MBE and RP-MOCVD. (orig.)

  16. Plasma technology for waste treatment

    International Nuclear Information System (INIS)

    Cohn, D.R.

    1995-01-01

    Improved environmental cleanup technology is needed to meet demanding goals for remediation and treatment of future waste streams. Plasma technology has unique features which could provide advantages of reduced secondary waste, lower cost, and onsite treatment for a wide variety of applications. Plasma technology can provide highly controllable processing without the need for combustion heating. It can be used to provide high temperature processing (∼10,000 degrees C). Plasma technology can also be employed for low temperature processing (down to room temperature range) through selective plasma chemistry. A graphite electrode arc plasma furnace at MIT has been used to investigate high temperature processing of simulated solid waste for Department of Energy environmental cleanup applications. Stable, non-leachable glass has been produced. To ensure reliable operation and to meet environmental objectives, new process diagnostics have been developed to measure furnace temperature and to determine metals emissions in the gaseous effluent. Selective plasma destruction of dilute concentrations of hazardous compounds in gaseous waste streams has been investigated using electron beam generated plasmas. Selective destruction makes it possible to treat the gas steam at relatively low temperatures in the 30-300 degrees C range. On-line infrared measurements have been used in feedback operation to maximize efficiency and ensure desired performance. Plasma technology and associated process diagnostics will be used in future studies of a wide range of waste streams

  17. Structural characterization of H plasma-doped ZnO single crystals by positron annihilation spectroscopies

    Energy Technology Data Exchange (ETDEWEB)

    Anwand, Wolfgang; Brauer, Gerhard; Cowan, Thomas E. [Institut fuer Strahlenphysik, Forschungszentrum Dresden-Rossendorf, P.O. Box 510 119, 01314 Dresden (Germany); Grambole, Dieter; Skorupa, Wolfgang [Institut fuer Ionenstrahlphysik und Materialforschung, Forschungszentrum Dresden-Rossendorf, P.O. Box 510 119, 01314 Dresden (Germany); Cizek, Jakub; Kuriplach, Jan; Prochazka, Ivan [Department of Low Temperature Physics, Charles University, V Holesovickach 2, 18000 Prague (Czech Republic); Egger, Werner; Sperr, Peter [Institut fuer Angewandte Physik und Messtechnik, Fakultaet fuer Luft- und Raumfahrttechnik, Universitaet der Bundeswehr, Heisenbergweg 39, 85579 Neubiberg (Germany)

    2010-11-15

    Nominally undoped, hydrothermally grown ZnO single crystals have been investigated before and after exposure to remote H plasma. Structural characterizations have been made by various positron annihilation spectroscopies (continuous and pulsed slow positron beams, conventional lifetime). The content of bound hydrogen (H-b) before and after the remote H plasma treatment at the polished side of the crystals was determined at depths of 100 and 600 nm, respectively, using nuclear reaction analysis. At a depth of 100 nm, H-b increased from (11.8{+-}2.5) to (48.7{+-}7.6) x 10{sup 19} cm{sup -3} after remote H plasma treatment, whereas at 600 nm no change in H-b was observed. (Copyright copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  18. Plasma treatment of polymers for improved adhesion

    International Nuclear Information System (INIS)

    Kelber, J.A.

    1988-01-01

    A variety of plasma treatments of polymer sufaces for improved adhesion are reviewed: noble and reactive has treatment of fluoropolymers; noble and reactive treatment of polyolefins, and plasma-induced amination of polymer fibers. The plasma induced surface chemical and morphological changer are discussed, as are the mechanisms of adhersion to polymeric adhesives, particularly epoxy. Noble has plasma eching of fluoropolymers produces a partially defluorinated, textured surface. The mechanical interlocking of this textured surface is the primary cause of improved adhsion to epoxy. Reactive has plasma also induce defluorination, but oxygen containing gases cause continual ablation of the fluoropolymer surface. Noble and reactive gas (except for hydrogen) etching of polyolefins results in surface oxidation and imrprove adhesion via hydrogen bonding of these exygen containing groups across the interface. The introduction of amine groups to a polymer surface by ammonia or amine plasma treatment generally results in improved adhesion to epoxy. However, amine-epoxy ring interactions can be severely effected by steric factors due to chemical group surrounding the amine

  19. Crystalline phase control and growth selectivity of β-MnO{sub 2} thin films by remote plasma assisted pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Abi-Akl, M.; Tabbal, M., E-mail: malek.tabbal@aub.edu.lb; Kassem, W.

    2016-08-01

    In this paper, we exploit the effect of coupling an oxygen remote plasma source to Pulsed Laser Deposition (PLD) for the growth of pure and well crystallized β-MnO{sub 2} films. Films were grown on Si substrates by laser ablation of a MnO target in oxygen ambient and remote plasma. X-Ray Diffraction, Fourier Transform Infra-Red spectroscopy and Raman scattering were used to determine the crystalline structure and bonding in the grown layers, whereas Atomic Force Microscopy was used to study their morphology and surface roughness. Deposition at 500 °C and high oxygen pressure (33.3–66.6 Pa) resulted in the formation of films with roughness of 12 nm consisting of nsutite γ-MnO{sub 2}, a structure characterized by the intergrowth of the pyrolusite β-MnO{sub 2} in a ramsdellite R-MnO{sub 2} matrix. Deposition at the same temperature but low pressure (1.33–3.33 Pa) in oxygen ambient lead to the formation of Mn{sub 2}O{sub 3} whereas plasma activation within the same pressure range induced the growth of single phase highly crystalline β-MnO{sub 2} having smooth surfaces with a roughness value of 0.6 nm. Such results underline the capability of remote plasma assisted PLD in selecting and controlling the crystalline phase of manganese oxide layers. - Highlights: • MnO{sub 2} films were grown by Remote Plasma Assisted Pulsed Laser Deposition. • Crystalline MnO{sub 2} is formed at a substrate temperature of 500 °C. • Smooth crystalline single phase β-MnO{sub 2} films were obtained at 1.33–3.33 Pa. • Deposition at 1.33–3.33 Pa without plasma activation lead to the growth of Mn{sub 2}O{sub 3}. • Without plasma, mixed phases of MnO{sub 2} polymorphs are obtained at 33.3 Pa and above.

  20. A remote in-vessel and ex-vessel force-reflecting telerobotic system for the burning plasma experiment

    International Nuclear Information System (INIS)

    Kuban, D.P.; Busko, N.

    1992-01-01

    The Burning Plasma Experiment (BPX) has made an applaudable commitment to total remote maintenance which will undoubtedly move fusion energy closer to commercial reality. This commitment poses new and formidable challenges due to the dimensional constraints, diversity of maintenance operations, and the geometrically intricate equipment arrangements. These challenges must be addressed for successful hot operation of the Princeton Plasma Physics Laboratory BPX. This paper reports on a new manipulator system, called the TeleMate, which is under development to contribute to this needed capability. This system combines enhancements to a proven mechanical design with state-of-the-art controls technology, to produce a flexible system that can be configured to address the numerous remote fusion applications. The mechanical portion of the system has many years of operation in existing radioactive facilities. This paper presents a system description, the development status, initial test data, and control system performance

  1. Plasma Onco-Immunotherapy: Novel Approach to Cancer Treatment

    Science.gov (United States)

    Fridman, Alexander

    2015-09-01

    Presentation is reviewing the newest results obtained by researchers of A.J. Drexel Plasma Institute on direct application of non-thermal plasma for direct treatment of different types of cancer by means of specific stimulation of immune system in the frameworks of the so-called onco-immunotherapy. Especial attention is paid to analysis of depth of penetration of different plasma-medical effects, from ROS, RNS, and ions to special biological signaling and immune system related processes. General aspects of the plasma-stimulation of immune system are discussed, pointing out specific medical applications. Most of experiments have been carried out using nanosecond pulsed DBD at low power and relatively low level of treatment doses, guaranteeing non-damage no-toxicity treatment regime. The nanosecond pulsed DBD physics is discussed mostly regarding its space uniformity and control of plasma parameters relevant to plasma medical treatment, and especially relevant to depth of penetration of different plasma medical effects. Detailed mechanism of the plasma-induced onco-immunotherapy has been suggested based upon preliminary in-vitro experiments with DBD treatment of different cancer cells. Sub-elements of this mechanism related to activation of macrophages and dendritic cells, specific stressing of cancer cells and the immunogenic cell death (ICD) are to be discussed based on results of corresponding in-vitro experiments. In-vivo experiments focused on the plasma-induced onco-immunotherapy were carried out in collaboration with medical doctors from Jefferson University hospital of Philadelphia. Todays achievements and nearest future prospective of clinical test focused on plasma-controlled cancer treatment are discussed in conclusion.

  2. Startup of the remote laboratory-scale waste-treatment facility

    International Nuclear Information System (INIS)

    Knox, C.A.; Siemens, D.H.; Berger, D.N.

    1981-01-01

    The Remote Laboratory-Scale Waste-Treatment Facility was designed as a system to solidify small volumes of radioactive liquid wastes. The objectives in operating this facility are to evaluate solidification processes, determine the effluents generated, test methods for decontaminating the effluents, and provide radioactive solidified waste products for evaluation. The facility consists of a feed-preparation module, a waste-solidification module and an effluent-treatment module. The system was designed for remote installation and operation. Several special features for remotely handling radioactive materials were incorporated into the design. The equipment was initially assembled outside of a radiochemical cell to size and fabricate the connecting jumpers between the modules and to complete some preliminary design-verification tests. The equipment was then disassembled and installed in the radiochemical cell. When installation was completed the entire system was checked out with water and then with a nonradioactive simulated waste solution. The purpose of these operations was to start up the facility, find and solve operational problems, verify operating procedures and train personnel. The major problems experienced during these nonradioactive runs were plugging of the spray calciner nozzle and feed tank pumping failures. When these problems were solved, radioactive operations were started. This report describes the installation of this facility, its special remote design feature and the startup operations

  3. Modification of glassy carbon surfaces by atmospheric pressure cold plasma torch

    DEFF Research Database (Denmark)

    Mortensen, Henrik Junge; Kusano, Yukihiro; Leipold, Frank

    2006-01-01

    The effect of plasma treatment on glassy carbon (GC) surfaces was studied with adhesion improvement in mind. A newly constructed remote plasma source was used to treat GC plates. Pure He and a dilute NH3/He mixture were used as feed gases. Optical emission spectroscopy was performed for plasma to...

  4. Device performance of in situ steam generated gate dielectric nitrided by remote plasma nitridation

    International Nuclear Information System (INIS)

    Al-Shareef, H. N.; Karamcheti, A.; Luo, T. Y.; Bersuker, G.; Brown, G. A.; Murto, R. W.; Jackson, M. D.; Huff, H. R.; Kraus, P.; Lopes, D.

    2001-01-01

    In situ steam generated (ISSG) oxides have recently attracted interest for use as gate dielectrics because of their demonstrated reliability improvement over oxides formed by dry oxidation. [G. Minor, G. Xing, H. S. Joo, E. Sanchez, Y. Yokota, C. Chen, D. Lopes, and A. Balakrishna, Electrochem. Soc. Symp. Proc. 99-10, 3 (1999); T. Y. Luo, H. N. Al-Shareef, G. A. Brown, M. Laughery, V. Watt, A. Karamcheti, M. D. Jackson, and H. R. Huff, Proc. SPIE 4181, 220 (2000).] We show in this letter that nitridation of ISSG oxide using a remote plasma decreases the gate leakage current of ISSG oxide by an order of magnitude without significantly degrading transistor performance. In particular, it is shown that the peak normalized transconductance of n-channel devices with an ISSG oxide gate dielectric decreases by only 4% and the normalized drive current by only 3% after remote plasma nitridation (RPN). In addition, it is shown that the reliability of the ISSG oxide exhibits only a small degradation after RPN. These observations suggest that the ISSG/RPN process holds promise for gate dielectric applications. [copyright] 2001 American Institute of Physics

  5. Activating basal-plane catalytic activity of two-dimensional MoS2 monolayer with remote hydrogen plasma

    KAUST Repository

    Cheng, Chia-Chin

    2016-09-10

    Two-dimensional layered transition metal dichalcogenide (TMD) materials such as Molybdenum disufide (MoS2) have been recognized as one of the low-cost and efficient electrocatalysts for hydrogen evolution reaction (HER). The crystal edges that account for a small percentage of the surface area, rather than the basal planes, of MoS2 monolayer have been confirmed as their active catalytic sites. As a result, extensive efforts have been developing in activating the basal planes of MoS2 for enhancing their HER activity. Here, we report a simple and efficient approach-using a remote hydrogen-plasma process-to creating S-vacancies on the basal plane of monolayer crystalline MoS2; this process can generate high density of S-vacancies while mainly maintaining the morphology and structure of MoS2 monolayer. The density of S-vacancies (defects) on MoS2 monolayers resulted from the remote hydrogen-plasma process can be tuned and play a critical role in HER, as evidenced in the results of our spectroscopic and electrical measurements. The H2-plasma treated MoS2 also provides an excellent platform for systematic and fundamental study of defect-property relationships in TMDs, which provides insights for future applications including electrical, optical and magnetic devices. © 2016 Elsevier Ltd.

  6. A novel cupping-assisted plasma treatment for skin disinfection

    Science.gov (United States)

    Xiong, Zilan; Graves, David B.

    2017-02-01

    A novel plasma treatment method/plasma source called cupping-assisted plasma treatment/source for skin disinfection is introduced. The idea combines ancient Chinese ‘cupping’ technology with plasma sources to generate active plasma inside an isolated, pressure-controlled chamber attached to the skin. Advantages of lower pressure include reducing the threshold voltage for plasma ignition and improving the spatial uniformity of the plasma treatment. In addition, with reduced pressure inside the cup, skin pore permeability might be increased and it improves attachment of the plasma device to the skin. Moreover, at a given pressure, plasma-generated active species are restricted inside the cup, raising local reactive species concentration and enhancing the measured surface disinfection rate. A surface micro-discharge (SMD) device is used as an example of a working plasma source. We report discharge characteristics and disinfection efficiency as a function of pressure and applied voltage.

  7. Modification of ink-jet paper by oxygen-plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Vesel, A [Jozef Stefan Institute, Jamova 39, Ljubljana 1000 (Slovenia); Mozetic, M [Jozef Stefan Institute, Jamova 39, Ljubljana 1000 (Slovenia); Hladnik, A [Pulp and Paper Institute, Bogisiceva 8, Ljubljana 1001 (Slovenia); Dolenc, J [Pulp and Paper Institute, Bogisiceva 8, Ljubljana 1001 (Slovenia); Zule, J [Pulp and Paper Institute, Bogisiceva 8, Ljubljana 1001 (Slovenia); Milosevic, S [Institute of Physics, Bijenicka 46, Zagreb 10000 (Croatia); Krstulovic, N [Institute of Physics, Bijenicka 46, Zagreb 10000 (Croatia); Klanjsek-Gunde, M [National Institute of Chemistry, Hajdrihova 19, Ljubljana 1000 (Slovenia); Hauptmann, N [National Institute of Chemistry, Hajdrihova 19, Ljubljana 1000 (Slovenia)

    2007-06-21

    A study on oxygen-plasma treatment of ink-jet paper is presented. Paper was exposed to a weakly ionized, highly dissociated oxygen plasma with an electron temperature of 5 eV, a positive-ion density of 8 x 10{sup 15} m{sup -3} and a density of neutral oxygen atoms of 5 x 10{sup 21} m{sup -3}. Optical emission spectroscopy (OES) was applied as a method for detection of the reaction products during the plasma treatment of the paper. OES spectra between 250 and 1000 nm were measured continuously during the plasma treatment. The wettability of the samples before and after the plasma treatment was determined by measuring the contact angle of a water drop. The appearance of the surface-functional groups was determined by using high-resolution x-ray photoelectron spectroscopy (XPS), while changes in the surface morphology were monitored with scanning electron microscopy (SEM). Already after 1 s of the plasma treatment the surface, which was originally hydrophobic, changed to hydrophilic, as indicated by a high absorption rate of a water drop into the paper. The OES showed a rapid increase of the CO and OH bands for the first few seconds of the plasma treatment, followed by a slow decrease during the next 40 s. The intensity of the O atom line showed reversed behaviour. The XPS analyses showed a gradual increase of oxygen-rich functional groups on the surface, while SEM analyses did not show significant modification of the morphology during the first 10 s of the plasma treatment. The results were explained by degradation of the alkyl ketene dimer sizing agent during the first few seconds of the oxygen-plasma treatment.

  8. Modification of ink-jet paper by oxygen-plasma treatment

    International Nuclear Information System (INIS)

    Vesel, A; Mozetic, M; Hladnik, A; Dolenc, J; Zule, J; Milosevic, S; Krstulovic, N; Klanjsek-Gunde, M; Hauptmann, N

    2007-01-01

    A study on oxygen-plasma treatment of ink-jet paper is presented. Paper was exposed to a weakly ionized, highly dissociated oxygen plasma with an electron temperature of 5 eV, a positive-ion density of 8 x 10 15 m -3 and a density of neutral oxygen atoms of 5 x 10 21 m -3 . Optical emission spectroscopy (OES) was applied as a method for detection of the reaction products during the plasma treatment of the paper. OES spectra between 250 and 1000 nm were measured continuously during the plasma treatment. The wettability of the samples before and after the plasma treatment was determined by measuring the contact angle of a water drop. The appearance of the surface-functional groups was determined by using high-resolution x-ray photoelectron spectroscopy (XPS), while changes in the surface morphology were monitored with scanning electron microscopy (SEM). Already after 1 s of the plasma treatment the surface, which was originally hydrophobic, changed to hydrophilic, as indicated by a high absorption rate of a water drop into the paper. The OES showed a rapid increase of the CO and OH bands for the first few seconds of the plasma treatment, followed by a slow decrease during the next 40 s. The intensity of the O atom line showed reversed behaviour. The XPS analyses showed a gradual increase of oxygen-rich functional groups on the surface, while SEM analyses did not show significant modification of the morphology during the first 10 s of the plasma treatment. The results were explained by degradation of the alkyl ketene dimer sizing agent during the first few seconds of the oxygen-plasma treatment

  9. Downstream microwave ammonia plasma treatment of polydimethylsiloxane

    International Nuclear Information System (INIS)

    Pruden, K.G.; Beaudoin, S.P.

    2005-01-01

    To control the interactions between surfaces and biological systems, it is common to attach polymers, proteins, and other species to the surfaces of interest. In this case, surface modification of polydimethylsiloxane (PDMS) was performed by exposing PDMS films to the effluent from a microwave ammonia plasma, with a goal of creating primary amine groups on the PDMS. These amine sites were to be used as binding sites for polymer attachment. Chemical changes to the surface of the PDMS were investigated as a function of treatment time, microwave power, and PDMS temperature during plasma treatment. Functional groups resulting from this treatment were characterized using attenuated total reflectance infrared spectroscopy. Plasma treatment resulted in the incorporation of oxygen- and nitrogen-containing groups, including primary amine groups. In general, increasing the treatment time, plasma power and substrate temperature increased the level of oxidation of the films, and led to the formation of imines and nitriles. PDMS samples treated at 100 W and 23 deg. C for 120 s were chosen for proof-of-concept dextran coating. Samples treated at this condition contained primary amine groups and few oxygen-containing groups. To test the viability of the primary amines for attachment of biopolymers, functionalized dextran was successfully attached to primary amine sites on the PDMS films

  10. Layer-dependent fluorination and doping of graphene via plasma treatment

    International Nuclear Information System (INIS)

    Chen Minjiang; Zhou Haiqing; Qiu Caiyu; Yang Huaichao; Yu Fang; Sun Lianfeng

    2012-01-01

    In this work, the fluorination of n-layer graphene is systematically investigated using CHF 3 and CF 4 plasma treatments. The G and 2D Raman peaks of graphene show upshifts after each of the two kinds of plasma treatment, indicating p-doping to the graphene. Meanwhile, D, D′ and D + G peaks can be clearly observed for monolayer graphene, whereas these peaks are weaker for thicker n-layer graphene (n ≥ 2) at the same experimental conditions. The upshifts of the G and 2D peaks and the ratio of I(2D)/I(G) for CF 4 plasma treated graphene are larger than those of CHF 3 plasma treated graphene. The ratio of I(D)/I(G) of the Raman spectra is notably small in CF 4 plasma treated graphene. These facts indicate that CF 4 plasma treatment introduces more p-doping and fewer defects for graphene. Moreover, the fluorination of monolayer graphene by CF 4 plasma treatment is reversible through thermal annealing while that by CHF 3 plasma treatment is irreversible. These studies explore the information on the surface properties of graphene and provide an optimal method of fluorinating graphene through plasma techniques. (paper)

  11. Production and transport chemistry of atomic fluorine in remote plasma source and cylindrical reaction chamber

    International Nuclear Information System (INIS)

    Gangoli, S P; Johnson, A D; Fridman, A A; Pearce, R V; Gutsol, A F; Dolgopolsky, A

    2007-01-01

    Increasingly, NF 3 -based plasmas are being used in semiconductor manufacturing to clean chemical vapour deposition (CVD) chambers. With advantages such as faster clean times, substantially lower emissions of gases having high global warming potentials, and reduced chamber damage, NF 3 plasmas are now favoured over fluorocarbon-based processes. Typically, a remote plasma source (RPS) is used to dissociate the NF 3 gas and produce atomic fluorine that etches the CVD residues from the chamber surfaces. However, it is important to efficiently transport F atoms from the plasma source into the process chamber. The current work is aimed at understanding and improving the key processes involved in the production and transport of atomic fluorine atoms. A zero-dimensional model of NF 3 dissociation and F production chemistry in the RPS is developed based on various known and derived plasma parameters. Additionally, a model describing the transport of atomic fluorine is proposed that includes both physical (diffusion, adsorption and desorption) and chemical processes (surface and three-body volume recombination). The kinetic model provides an understanding of the impact of chamber geometry, gas flow rates, pressure and temperature on fluorine recombination. The plasma-kinetic model is validated by comparing model predictions (percentage F atom density) with experimental results (etch rates)

  12. Dielectric barrier discharge plasma treatment of cellulose nanofibre surfaces

    DEFF Research Database (Denmark)

    Kusano, Yukihiro; Madsen, Bo; Berglund, Linn

    2017-01-01

    on the nanofibre surface. Ultrasonic irradiation further enhanced the wetting and oxidation of the nanofibre coating. Scanning electron microscopic observations showed skeleton-like features on the plasma-treated surface, indicating preferential etching of weaker domains, such as low-molecular weight domains......Dielectric barrier discharge plasma treatment was applied to modify cellulose nanofibre (CNF) surfaces with and without ultrasonic irradiation. The plasma treatment improved the wetting by deionised water and glycerol, and increased the contents of oxygen, carbonyl group, and carboxyl group...... and amorphous phases. Ultrasonic irradiation also improved the uniformity of the treatment. Altogether, it is demonstrated that atmospheric pressure plasma treatment is a promising technique to modify the CNF surface before composite processing....

  13. Using atmospheric pressure plasma treatment for treating grey cotton fabric.

    Science.gov (United States)

    Kan, Chi-Wai; Lam, Chui-Fung; Chan, Chee-Kooi; Ng, Sun-Pui

    2014-02-15

    Conventional wet treatment, desizing, scouring and bleaching, for grey cotton fabric involves the use of high water, chemical and energy consumption which may not be considered as a clean process. This study aims to investigate the efficiency of the atmospheric pressure plasma (APP) treatment on treating grey cotton fabric when compared with the conventional wet treatment. Grey cotton fabrics were treated with different combinations of plasma parameters with helium and oxygen gases and also through conventional desizing, scouring and bleaching processes in order to obtain comparable results. The results obtained from wicking and water drop tests showed that wettability of grey cotton fabrics was greatly improved after plasma treatment and yielded better results than conventional desizing and scouring. The weight reduction of plasma treated grey cotton fabrics revealed that plasma treatment can help remove sizing materials and impurities. Chemical and morphological changes in plasma treated samples were analysed by FTIR and SEM, respectively. Finally, dyeability of the plasma treated and conventional wet treated grey cotton fabrics was compared and the results showed that similar dyeing results were obtained. This can prove that plasma treatment would be another choice for treating grey cotton fabrics. Copyright © 2013 Elsevier Ltd. All rights reserved.

  14. Effects of plasma treatment on wounds

    NARCIS (Netherlands)

    Tipa, R.S.; Stoffels - Adamowicz, E.; Lim, C.T.; Goh, J.C.H.

    2009-01-01

    Cold plasma treatment of wounds is gaining much interest, because it will offer a non-contact, painless and harmless therapy to manage large-area lesions (burn wounds, chronic ulcerations). One of the important issues in plasma wound healing is the safety of the method. In this work we study in

  15. Surface Modification of Polymeric Materials by Plasma Treatment

    Directory of Open Access Journals (Sweden)

    E.F. Castro Vidaurre

    2002-03-01

    Full Text Available Low-temperature plasma treatment has been used in the last years as a useful tool to modify the surface properties of different materials, in special of polymers. In the present work low temperature plasma was used to treat the surface of asymmetric porous substrates of polysulfone (PSf membranes. The main purpose of this work was to study the influence of the exposure time and the power supplied to argon plasma on the permeability properties of the membranes. Three rf power levels, respectively 5, 10 and 15 W were used. Treatment time ranged from 1 to 50 min. Reduction of single gas permeability was observed with Ar plasma treatments at low energy bombardment (5 W and short exposure time (20 min. Higher power and/or higher plasma exposition time causes a degradation process begins. The chemical and structural characterization of the membranes before and after the surface modification was done by AFM, SEM and XPS.

  16. Plasma technology for treatment of waste

    Energy Technology Data Exchange (ETDEWEB)

    Cohn, D [Massachusetts Inst. of Technology, Cambridge, MA (United States). Plasma Fusion Center

    1997-12-31

    Meeting goals for waste cleanup will require new technology with improved environmental attractiveness and reduced cost. Plasma technology appears promising because of the high degree of controllability; capability to process waste without the adverse effects of combustion; and a very wide temperature range of operation. At the Plasma Fusion Center at the Massachusetts Institute of Technology, a range of plasma technologies are being investigated. `Hot` thermal plasmas produced by DC arc technology are being examined for treatment of solid waste. In conjunction with this activity, new diagnostics are being developed for monitoring arc furnace operating parameters and gaseous emissions. Electron-beam generated plasma technology is being investigated as a means of producing non-thermal `cold` plasmas for selective processing of dilute concentrations of gaseous waste. (author). 4 figs., 5 refs.

  17. Effect of silane/hydrogen ratio on microcrystalline silicon thin films by remote inductively coupled plasma

    Science.gov (United States)

    Guo, Y. N.; Wei, D. Y.; Xiao, S. Q.; Huang, S. Y.; Zhou, H. P.; Xu, S.

    2013-05-01

    Hydrogenated microcrystalline silicon (μc-Si:H) thin films were prepared by remote low frequency inductively coupled plasma (ICP) chemical vapor deposition system, and the effect of silane/hydrogen ratio on the microstructure and electrical properties of μc-Si:H films was systematically investigated. As silane/hydrogen ratio increases, the crystalline volume fraction Fc decreases and the ratio of the intensity of (220) peak to that of (111) peak drops as silane flow rate is increased. The FTIR result indicates that the μc-Si:H films prepared by remote ICP have a high optical response with a low hydrogen content, which is in favor of reducing light-induced degradation effect. Furthermore, the processing window of the phase transition region for remote ICP is much wider than that for typical ICP. The photosensitivity of μc-Si:H films can exceed 100 at the transition region and this ensures the possibility of the fabrication of microcrystalline silicon thin film solar cells with a open-circuit voltage of about 700 mV.

  18. Nonvolatile memory effect of tungsten nanocrystals under oxygen plasma treatments

    International Nuclear Information System (INIS)

    Chen, Shih-Cheng; Chang, Ting-Chang; Chen, Wei-Ren; Lo, Yuan-Chun; Wu, Kai-Ting; Sze, S.M.; Chen, Jason; Liao, I.H.; Yeh, Fon-Shan

    2010-01-01

    In this work, an oxygen plasma treatment was used to improve the memory effect of nonvolatile W nanocrystal memory, including memory window, retention and endurance. To investigate the role of the oxygen plasma treatment in charge storage characteristics, the X-ray photon-emission spectra (XPS) were performed to analyze the variation of chemical composition for W nanocrystal embedded oxide both with and without the oxygen plasma treatment. In addition, the transmission electron microscopy (TEM) analyses were also used to identify the microstructure in the thin film and the size and density of W nanocrystals. The device with the oxygen plasma treatment shows a significant improvement of charge storage effect, because the oxygen plasma treatment enhanced the quality of silicon oxide surrounding the W nanocrystals. Therefore, the data retention and endurance characteristics were also improved by the passivation.

  19. Water Treatment Using Plasma Discharge with Variation of Electrode Materials

    Science.gov (United States)

    Chanan, N.; Kusumandari; Saraswati, T. E.

    2018-03-01

    This research studied water treatment using plasma discharge. Plasma generated in this study produced active species that played a role in organic compound decomposition. The plasma reactor consisted of two needle electrodes made from stainless steel, tungsten, aluminium and grafit. It placed approximately 2 mm above the solution and connected with high-AC voltage. A solution of methylene blue used as an organic solution model. Plasma treatment times were 2, 4, 6, 8 and 10 min. The absorbance, temperature and pH of the solution were measured before and after treatment using various electrodes. The best electrode used in plasma discharging for methylene blue absorbance reduction was the graphite electrode, which provided the highest degradation efficiency of 98% at 6 min of treatment time.

  20. Lightweight Portable Plasma Medical Device - Plasma Engineering Research Laboratory

    Science.gov (United States)

    2014-10-01

    by Remote Exposure of Resistive Barrier Cold Plasma." Biotechnology and Bioengineering, vol. 111, No. 3. p. 565 - 574 (2014). 16. Magesh...remote exposure of resistive barrier cold plasma.” Biotechnology and Bioengineering. (Accepted for publication in the next issue in 2013) 11. Magesh...foes-Safety-and-Security--1945) 4. “University Touts ’Superbug’ Killing Technology”, Quality Assurance and Food Safety Magazine , July 2013. (Link

  1. Radio frequency plasma power dependence of the moisture permeation barrier characteristics of Al2O3 films deposited by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Jung, Hyunsoo; Choi, Hagyoung; Lee, Sanghun; Jeon, Heeyoung; Jeon, Hyeongtag

    2013-01-01

    In the present study, we investigated the gas and moisture permeation barrier properties of Al 2 O 3 films deposited on polyethersulfone films (PES) by capacitively coupled plasma (CCP) type Remote Plasma Atomic Layer Deposition (RPALD) at Radio Frequency (RF) plasma powers ranging from 100 W to 400 W in 100 W increments using Trimethylaluminum [TMA, Al(CH 3 ) 3 ] as the Al source and O 2 plasma as the reactant. To study the gas and moisture permeation barrier properties of 100-nm-thick Al 2 O 3 at various plasma powers, the Water Vapor Transmission Rate (WVTR) was measured using an electrical Ca degradation test. WVTR decreased as plasma power increased with WVTR values for 400 W and 100 W of 2.6 × 10 −4 gm −2 day −1 and 1.2 × 10 −3 gm −2 day −1 , respectively. The trends for life time, Al-O and O-H bond, density, and stoichiometry were similar to that of WVTR with improvement associated with increasing plasma power. Further, among plasma power ranging from 100 W to 400 W, the highest power of 400 W resulted in the best moisture permeation barrier properties. This result was attributed to differences in volume and amount of ion and radical fluxes, to join the ALD process, generated by O 2 plasma as the plasma power changed during ALD process, which was determined using a plasma diagnosis technique called the Floating Harmonic Method (FHM). Plasma diagnosis by FHM revealed an increase in ion flux with increasing plasma power. With respect to the ALD process, our results indicated that higher plasma power generated increased ion and radical flux compared with lower plasma power. Thus, a higher plasma power provides the best gas and moisture permeation barrier properties

  2. Remote plasma-assisted nitridation (RPN): applications to Zr and Hf silicate alloys and Al2O3

    International Nuclear Information System (INIS)

    Hinkle, Chris; Lucovsky, Gerry

    2003-01-01

    Remote plasma-assisted nitridation or RPN is demonstrated to be a processing pathway for nitridation of Zr and Hf silicate alloys, and for Al 2 O 3 , as well. The dependence of nitrogen incorporation on the process pressure is qualitatively similar to what has been reported for the plasma-assisted nitridation of SiO 2 , the lower the process pressure the greater the nitrogen incorporation in the film. The increased incorporation of nitrogen has been correlated with the penetration of the plasma-glow into the process chamber, and the accompanying increase in the concentration of N 2 + ions that participate in the reactions leading to bulk incorporation. The nitrogen incorporation as been studied by Auger electron spectroscopy (AES), secondary ion mass spectrometry (SIMS) and X-ray absorption spectroscopy (XAS)

  3. Enhancing Cold Atmospheric Plasma Treatment Efficiency for Cancer Therapy

    Science.gov (United States)

    Cheng, Xiaoqian

    To improve efficiency and safety of anti-cancer therapies the researchers and clinicians alike are prompted to develop targeted combined therapies that especially minimize damage to healthy tissues while eradicating the body of cancerous tissues. Previous research in cold atmospheric plasma (CAP) and cancer cell interaction has repeatedly proven that cold plasma induced cell death. In this study, we seek to integrate the medical application of CAP. We proposed and implemented 3 novel ideas to enhance efficacy and selectivity of cancer therapy. It is postulated that the reactive oxygen species (ROS) and reactive nitrogen species (RNS) play a major role in the CAP cancer therapy. We determined a mechanism of CAP therapy on glioblastoma cells (U87) through an understanding of the composition of CAP, including output voltage, treatment time, and gas flow-rate. We varied the characteristics of the cold plasma in order to obtain different major species (such as O, OH, N2+, and N2 lines). "plasma dosage" D ~ Q * V * t. is defined, where D is the entire "plasma dosage"; Q is the flow rate of feeding gas; V is output voltage; t is treatment time. The proper CAP dosage caused 3-fold cell death in the U87 cells compared to the normal human astrocytes E6/E7 cells. We demonstrated there is a synergy between AuNPS and CAP in cancer therapy. Specifically, the concentration of AuNPs plays an important role on plasma therapy. At an optimal concentration, gold nanoparticles can significantly induce U87 cell death up to a 30% overall increase compared to the control group with the same plasma dosage but no AuNPs applied. The ROS intensity of the corresponding conditions has a reversed trend compared to cell viability. This matches with the theory that intracellular ROS accumulation results in oxidative stress, which further changes the intracellular pathways, causing damage to the proteins, lipids and DNA. Our results show that this synergy has great potential in improving the

  4. Influence of Chemical Precleaning on the Plasma Treatment Efficiency of Aluminum by RF Plasma Pencil

    International Nuclear Information System (INIS)

    Prysiazhnyi, Vadym; Slavicek, Pavel; Klima, Milos; Mikmekova, Eliska

    2016-01-01

    This paper is aimed to show the influence of initial chemical pretreatment prior to subsequent plasma activation of aluminum surfaces. The results of our study showed that the state of the topmost surface layer (i.e. the surface morphology and chemical groups) of plasma modified aluminum significantly depends on the chemical precleaning. Commonly used chemicals (isopropanol, trichlorethane, solution of NaOH in deionized water) were used as precleaning agents. The plasma treatments were done using a radio frequency driven atmospheric pressure plasma pencil developed at Masaryk University, which operates in Ar, Ar/O 2 gas mixtures. The effectiveness of the plasma treatment was estimated by the wettability measurements, showing high wettability improvement already after 0.3 s treatment. The effects of surface cleaning (hydrocarbon removal), surface oxidation and activation (generation of OH groups) were estimated using infrared spectroscopy. The changes in the surface morphology were measured using scanning electron microscopy. Optical emission spectroscopy measurements in the near-to-surface region with temperature calculations showed that plasma itself depends on the sample precleaning procedure. (paper)

  5. Influence of Chemical Precleaning on the Plasma Treatment Efficiency of Aluminum by RF Plasma Pencil

    Science.gov (United States)

    Vadym, Prysiazhnyi; Pavel, Slavicek; Eliska, Mikmekova; Milos, Klima

    2016-04-01

    This paper is aimed to show the influence of initial chemical pretreatment prior to subsequent plasma activation of aluminum surfaces. The results of our study showed that the state of the topmost surface layer (i.e. the surface morphology and chemical groups) of plasma modified aluminum significantly depends on the chemical precleaning. Commonly used chemicals (isopropanol, trichlorethane, solution of NaOH in deionized water) were used as precleaning agents. The plasma treatments were done using a radio frequency driven atmospheric pressure plasma pencil developed at Masaryk University, which operates in Ar, Ar/O2 gas mixtures. The effectiveness of the plasma treatment was estimated by the wettability measurements, showing high wettability improvement already after 0.3 s treatment. The effects of surface cleaning (hydrocarbon removal), surface oxidation and activation (generation of OH groups) were estimated using infrared spectroscopy. The changes in the surface morphology were measured using scanning electron microscopy. Optical emission spectroscopy measurements in the near-to-surface region with temperature calculations showed that plasma itself depends on the sample precleaning procedure.

  6. Plasma treatment of polymers for modifying haemocompatibility

    International Nuclear Information System (INIS)

    Wilson, D.J.

    2000-03-01

    The primary objective of this study was to investigate changes in the thrombogenicity of four materials, PTFE, PDMS, PEU and UHMW-PE induced by plasma treatments. In particular, correlations were sought between the chemical and topographical alterations to the materials surface caused by exposure to plasmas and the observed changes of blood response. Each material was treated in O 2 , Ar, N 2 and NH 3 discharges, the system pressure, treatment times, gas flow rates and plasma power ( 51 Cr labelled platelets and (ii) platelet aggregation and release of microparticles by flow cytometry, after labelling with anti-CD62 and anti-CD41 antibodies, in whole blood perfused in a cone and plate viscometer at a physiologically relevant shear rate (500 s -1 ). In addition, quasi-static evaluation was carried out by contact phase activation and assessed by PTT assays. Contact with the 'as-received' materials resulted in activation of the blood. Moreover, plasma treatment resulted in further modifications of both the surface and fluid phase responses for example, a reduction in the number of adhered platelets and a expression of p-selectin compared with the as-received surfaces attributed to changes in surface chemistry. (author)

  7. Plasma treatment of mammalian vascular cells : A quantitative description

    NARCIS (Netherlands)

    Kieft, IE; Darios, D; Roks, AJM; Stoffels, E

    For the first time, quantitative data was obtained on plasma treatment of living mammalian cells. The nonthermal atmospheric discharge produced by the plasma needle was used for treatment of mammalian endothelial and smooth muscle cells. The influence of several experimental parameters on cell

  8. Plasma treatment of mammalian vascular cells: a quantitative description

    NARCIS (Netherlands)

    Kieft, I.E.; Darios, D.; Roks, A.J.M.; Stoffels - Adamowicz, E.

    2005-01-01

    For the first time, quantitative data was obtained on plasma treatment of living mammalian cells. The nonthermal atmospheric discharge produced by the plasma needle was used for treatment of mammalian endothelial and smooth muscle cells. The influence of several experimental parameters on cell

  9. Enhanced field emission from carbon nanotubes by hydrogen plasma treatment

    International Nuclear Information System (INIS)

    Zhi, C.Y.; Bai, X.D.; Wang, E.G.

    2002-01-01

    The field emission capability of the carbon nanotubes (CNTs) has been improved by hydrogen plasma treatment, and the enhanced emission mechanism has been studied systematically using Fourier-transform infrared spectroscopy, Raman, and transmission electron microscopy. The hydrogen concentration in the samples increases with increasing plasma treatment duration. A C δ- -H δ+ dipole layer may form on CNTs' surface and a high density of defects results from the plasma treatment, which is likely to make the external surface of CNTs more active to emit electrons after treatment. In addition, the sharp edge of CNTs' top, after removal of the catalyst particles, may increase the local electronic field more effectively. The present study suggests that hydrogen plasma treatment is a useful method for improving the field electron emission property of CNTs

  10. Synthesis of N-graphene using microwave plasma-based methods

    Science.gov (United States)

    Dias, Ana; Tatarova, Elena; Henriques, Julio; Dias, Francisco; Felizardo, Edgar; Abrashev, Miroslav; Bundaleski, Nenad; Cvelbar, Uros

    2016-09-01

    In this work a microwave atmospheric plasma driven by surface waves is used to produce free-standing graphene sheets (FSG). Carbonaceous precursors are injected into a microwave plasma environment, where decomposition processes take place. The transport of plasma generated gas-phase carbon atoms and molecules into colder zones of plasma reactor results in carbon nuclei formation. The main part of the solid carbon is gradually carried from the ``hot'' plasma zone into the outlet plasma stream where carbon nanostructures assemble and grow. Subsequently, the graphene sheets have been N-doped using a N2-Ar large-scale remote plasma treatment, which consists on placing the FSG on a substrate in a remote zone of the N2-Ar plasma. The samples were treated with different compositions of N2-Ar gas mixtures, while maintaining 1 mbar pressure in the chamber and a power applied of 600 W. The N-doped graphene sheets were characterized by scanning and by high-resolution transmission electron microscopy, X-ray photoelectron spectroscopy and Raman spectroscopy. Plasma characterization was also performed by optical emission spectroscopy. Work partially funded by Portuguese FCT - Fundacao para a Ciencia e a Tecnologia, under grant SFRH/BD/52413/2013 (PD-F APPLAuSE).

  11. Concept for individualized patient allocation: ReCompare—remote comparison of particle and photon treatment plans

    International Nuclear Information System (INIS)

    Lühr, Armin; Baumann, Michael; Löck, Steffen; Roth, Klaus; Helmbrecht, Stephan; Jakobi, Annika; Petersen, Jørgen B; Just, Uwe; Krause, Mechthild; Enghardt, Wolfgang

    2014-01-01

    Identifying those patients who have a higher chance to be cured with fewer side effects by particle beam therapy than by state-of-the-art photon therapy is essential to guarantee a fair and sufficient access to specialized radiotherapy. The individualized identification requires initiatives by particle as well as non-particle radiotherapy centers to form networks, to establish procedures for the decision process, and to implement means for the remote exchange of relevant patient information. In this work, we want to contribute a practical concept that addresses these requirements. We proposed a concept for individualized patient allocation to photon or particle beam therapy at a non-particle radiotherapy institution that bases on remote treatment plan comparison. We translated this concept into the web-based software tool ReCompare (REmote COMparison of PARticlE and photon treatment plans). We substantiated the feasibility of the proposed concept by demonstrating remote exchange of treatment plans between radiotherapy institutions and the direct comparison of photon and particle treatment plans in photon treatment planning systems. ReCompare worked with several tested standard treatment planning systems, ensured patient data protection, and integrated in the clinical workflow. Our concept supports non-particle radiotherapy institutions with the patient-specific treatment decision on the optimal irradiation modality by providing expertise from a particle therapy center. The software tool ReCompare may help to improve and standardize this personalized treatment decision. It will be available from our website when proton therapy is operational at our facility

  12. Low Temperature Plasma for the Treatment of Epithelial Cancer Cells

    Science.gov (United States)

    Mohades, Soheila

    Biomedical applications of low temperature plasmas (LTP) may lead to a paradigm shift in treating various diseases by conducting fundamental research on the effects of LTP on cells, tissues, organisms (plants, insects, and microorganisms). This is a rapidly growing interdisciplinary research field that involves engineering, physics, life sciences, and chemistry to find novel solutions for urgent medical needs. Effects of different LTP sources have shown the anti-tumor properties of plasma exposure; however, there are still many unknowns about the interaction of plasma with eukaryotic cells which must be elucidated in order to evaluate the practical potential of plasma in cancer treatment. Plasma, the fourth state of matter, is composed of electrons, ions, reactive molecules (radicals and non-radicals), excited species, radiation, and heat. A sufficient dose (time) of plasma exposure can induce death in cancer cells. The plasma pencil is employed to study the anti-tumor properties of this treatment on epithelial cells. The plasma pencil has been previously used for the inactivation of bacteria, destroying amyloid fibrils, and the killing of various cancer cells. Bladder cancer is the 9th leading cause of cancer. In this dissertation, human urinary bladder tissue with the squamous cell carcinoma disease (SCaBER cells) is treated with LTP utilizing two different approaches: direct plasma exposure and Plasma Activated Media (PAM) as an advancement to the treatment. PAM is produced by exposing a liquid cell culture medium to the plasma pencil. Direct LTP treatment of cancer cells indicates a dose-dependent killing effect at post-treatment times. Similarly, PAM treatment shows an anti-cancer effect by inducing substantial cell death. Reactive oxygen species (ROS) and reactive nitrogen species (RNS) have an important role in the biomedical effects of LTP treatment. This study demonstrates the capability of the plasma pencil to transport ROS/RNS into cell culture media

  13. Treatment of hazardous wastes by DC thermal plasma arc discharge

    International Nuclear Information System (INIS)

    Toru, Iwao; Yafang, Liu; Furuta, N.; Tsuginori, Inaba

    2001-01-01

    The temperature of the DC thermal plasma arc discharge is discussed, and examples of the waste treatment for the inorganic compounds such as fly ash, asbestos, and for the organic compounds such as the toxic dioxines and TBT by using the DC plasma arc discharge are shown. In addition, the plasma treatment by using a radiant power emitted from the DC plasma arc discharge is also shown as another new kind of ones. (authors)

  14. Ambient plasma treatment of silicon wafers for surface passivation recovery

    Science.gov (United States)

    Ge, Jia; Prinz, Markus; Markert, Thomas; Aberle, Armin G.; Mueller, Thomas

    2017-08-01

    In this work, the effect of an ambient plasma treatment powered by compressed dry air on the passivation quality of silicon wafers coated with intrinsic amorphous silicon sub-oxide is investigated. While long-time storage deteriorates the effective lifetime of all samples, a short ambient plasma treatment improves their passivation qualities. By studying the influence of the plasma treatment parameters on the passivation layers, an optimized process condition was identified which even boosted the passivation quality beyond its original value obtained immediately after deposition. On the other hand, the absence of stringent requirement on gas precursors, vacuum condition and longtime processing makes the ambient plasma treatment an excellent candidate to replace conventional thermal annealing in industrial heterojunction solar cell production.

  15. HIV diversity and drug resistance from plasma and non-plasma analytes in a large treatment programme in western Kenya.

    Science.gov (United States)

    Kantor, Rami; DeLong, Allison; Balamane, Maya; Schreier, Leeann; Lloyd, Robert M; Injera, Wilfred; Kamle, Lydia; Mambo, Fidelis; Muyonga, Sarah; Katzenstein, David; Hogan, Joseph; Buziba, Nathan; Diero, Lameck

    2014-01-01

    Antiretroviral resistance leads to treatment failure and resistance transmission. Resistance data in western Kenya are limited. Collection of non-plasma analytes may provide additional resistance information. We assessed HIV diversity using the REGA tool, transmitted resistance by the WHO mutation list and acquired resistance upon first-line failure by the IAS-USA mutation list, at the Academic Model Providing Access to Healthcare (AMPATH), a major treatment programme in western Kenya. Plasma and four non-plasma analytes, dried blood-spots (DBS), dried plasma-spots (DPS), ViveST(TM)-plasma (STP) and ViveST-blood (STB), were compared to identify diversity and evaluate sequence concordance. Among 122 patients, 62 were treatment-naïve and 60 treatment-experienced; 61% were female, median age 35 years, median CD4 182 cells/µL, median viral-load 4.6 log10 copies/mL. One hundred and ninety-six sequences were available for 107/122 (88%) patients, 58/62 (94%) treatment-naïve and 49/60 (82%) treated; 100/122 (82%) plasma, 37/78 (47%) attempted DBS, 16/45 (36%) attempted DPS, 14/44 (32%) attempted STP from fresh plasma and 23/34 (68%) from frozen plasma, and 5/42 (12%) attempted STB. Plasma and DBS genotyping success increased at higher VL and shorter shipment-to-genotyping time. Main subtypes were A (62%), D (15%) and C (6%). Transmitted resistance was found in 1.8% of plasma sequences, and 7% combining analytes. Plasma resistance mutations were identified in 91% of treated patients, 76% NRTI, 91% NNRTI; 76% dual-class; 60% with intermediate-high predicted resistance to future treatment options; with novel mutation co-occurrence patterns. Nearly 88% of plasma mutations were identified in DBS, 89% in DPS and 94% in STP. Of 23 discordant mutations, 92% in plasma and 60% in non-plasma analytes were mixtures. Mean whole-sequence discordance from frozen plasma reference was 1.1% for plasma-DBS, 1.2% plasma-DPS, 2.0% plasma-STP and 2.3% plasma-STB. Of 23 plasma

  16. Burns first aid treatment in remote Northern Australia.

    Science.gov (United States)

    Read, David J; Tan, Swee Chin; Ward, Linda; McDermott, Kathleen

    2018-03-01

    It is well demonstrated that adequate burns first aid treatment (BFAT) improves clinical outcomes for the injured but adequacy remains low in many studies. This study presents a twelve month assessment of the adequacy of burns first aid treatment for patients managed by the Burns Service, Royal Darwin Hospital (RDH). Prospective study design of all patients managed by the Burns Service, Royal Darwin Hospital. Data were collated from two sources; RDH Burns Registry, and the Burns Registry of Australia and New Zealand (BRANZ). Inclusion criterion was all patients managed by the Burns Service, Royal Darwin Hospital for the period 1 January 2014-31 December 2014. Variables collected and analysed include: demographics, burn mechanism, burn wound depth and adequacy of and circumstances around first aid. Overall 310 cases were analysed. Most injuries involved adults (68%), 19% Indigenous persons and 70% of all patients had their burn injury occur in the urban region. Adequate BFAT occurred in 41% of cases. Adults, contact burns and those where the burn injury occurred in the remote regions were less likely to receive adequate BFAT. Indigenous persons were less likely to attempt any BFAT at all and when they did receive BFAT it was more likely applied by an emergency responder or health professional. Overall adequacy of BFAT is low in the Top End of the Northern Territory. Remote dwellers and Indigenous persons are at increased risk of not applying or receiving adequate BFAT. The poor level of adequate BFAT demonstrated in this study suggests that the Top End community particularly remote and Indigenous persons would benefit from targeted BFAT education programs that are delivered in a culturally and linguistically appropriate fashion. Copyright © 2017 Elsevier Ltd and ISBI. All rights reserved.

  17. Long and short term effects of plasma treatment on meristematic plant cells

    Science.gov (United States)

    Puač, N.; Živković, S.; Selaković, N.; Milutinović, M.; Boljević, J.; Malović, G.; Petrović, Z. Lj.

    2014-05-01

    In this paper, we will present results of plasma treatments of meristematic cells of Daucus carota. Plasma needle was used as an atmospheric pressure/gas composition source of non-equilibrium plasma in all treatments. Activity of antioxidant enzymes superoxide dismutase and catalase was measured immediately after plasma treatment and after two weeks following the treatment. Superoxide dismutase activity was increased in samples immediately after the plasma treatment. On the other hand, catalase activity was much higher in treated samples when measured two weeks after plasma treatment. These results show that there is a direct proof of the triggering of signal transduction in the cells by two reactive oxygen species H2O2 and O2-, causing enzyme activity and short and long term effects even during the growth of calli, where the information is passed to newborn cells over the period of two weeks.

  18. BPX commitment to total remote maintenance

    International Nuclear Information System (INIS)

    Davis, F.C.; Burgess, T.W.

    1991-01-01

    The Burning Plasma Experiment (BPX), to be located at Princeton Plasma Physics Laboratory, is the next major experimental machine in the US Fusion Program. It will be fueled with deuterium-tritium (D-T) that, when burned, will generate high-energy neutrons. This will activate the various materials used in construction of the machine, which will result in high levels of gamma radiation. Any subsequent maintenance activities on the machine or in the test cell area must be performed remotely. The initial criteria for BPX assumed that failure of toroidal field (TF) coil or poloidal field (PF) coil was an unlikely event. Therefore, no provisions were made for remote replacement. Expected failures were limited to the plasma-facing components and the external auxiliary equipment such as heating systems and diagnostics. Recent coil failures experienced at the Tokamak Fusion Test Reactor (TFTR), the Joint European Torus (JET), JT-60, and Tore Supra caused the BPX project staff to reconsider the need for remote replacement. A study was undertaken to investigate how the project would be affected if the capability to recover from a coil failure were required. Potential effects including configuration changes to the machine and facility, project cost, and project operation were considered. The study revealed that it is indeed feasible to design BPX for remote recovery from any coil failure. However, for this to be accomplished effectively, it is imperative to incorporate the necessary remote maintenance features of the components to be remotely replaced into the original design along with all of the other functional features. The remote maintenance capability cannot be retrofitted after the design is complete or the equipment is built. This paper discusses the impacts of the coil remote replacement study and the subsequent changes to the design. 4 figs., 1 tab

  19. Cold atmospheric plasma treatment inhibits growth in colorectal cancer cells.

    Science.gov (United States)

    Schneider, Christin; Arndt, Stephanie; Zimmermann, Julia L; Li, Yangfang; Karrer, Sigrid; Bosserhoff, Anja-Katrin

    2018-06-01

    Plasma oncology is a relatively new field of research. Recent developments have indicated that cold atmospheric plasma (CAP) technology is an interesting new therapeutic approach to cancer treatment. In this study, p53 wildtype (LoVo) and human p53 mutated (HT29 and SW480) colorectal cancer cells were treated with the miniFlatPlaSter - a device particularly developed for the treatment of tumor cells - that uses the Surface Micro Discharge (SMD) technology for plasma production in air. The present study analyzed the effects of plasma on colorectal cancer cells in vitro and on normal colon tissue ex vivo. Plasma treatment had strong effects on colon cancer cells, such as inhibition of cell proliferation, induction of cell death, and modulation of p21 expression. In contrast, CAP treatment of murine colon tissue ex vivo for up to 2 min did not show any toxic effect on normal colon cells compared to H2O2 positive control. In summary, these results suggest that the miniFlatPlaSter plasma device is able to kill colorectal cancer cells independent of their p53 mutation status. Thus, this device presents a promising new approach in colon cancer therapy.

  20. Remotely Activated Microcapsules for Oil Recovery Treatments

    DEFF Research Database (Denmark)

    Mazurek, Malgorzata Natalia

    the pores and ensure permanent water shut-off treatment. To eliminate the danger of premature plug formation, stimulus-responsive materials were investigated. Considering that fractures are extremely hard-to-access places, the designed material should respond to a remotely applied stimulus, in order......-off treatments are extensively investigated, though currently applied materials still suffer from some disadvantages. The main drawback is lack of control over the setting of plugs in the fracture, and this may cause blocking of the injection well and the formation of the plug before placing the material...... to achieve better control over plug formation. The developed material consists of vinyl-functional polydimethylsiloxane (PDMS) microparticles and microcapsules with an encapsulated PDMS cross-linker. Due to reactions between the released cross-linker and vinyl groups on the PDMS microparticles’ surface...

  1. HARDENING OF CRANE RAILS BY PLASMA DISCRETE-TIME SURFACE TREATMENT

    Directory of Open Access Journals (Sweden)

    S. S. Samotugin

    2017-01-01

    Full Text Available Crane wheels and rails are subjected to intensive wear in the process of operation. Therefore, improvement of these components’ performance can be considered a task of high importance. A promising direction in this regard is surface treatment by highly concentrated energy flows such as laser beams or plasma jets. This thesis suggests that the use of gradient plasma surface treatment can improve the performance of crane rails. A research was conducted, according to which hardened zones were deposited on crane rails under different treatment modes. Microhardness was measured both at the surface and in depth using custom-made microsections. The article includes the results of study of plasma surface hardening effects on wear resistance of crane rails. Change of plasma surface treatment parameters (current, plasma torch movement speed, argon gas flow rate allows for desired steel hardness and structure, while the choice of optimal location for hardened zones makes it possible to significantly improve wear resistance and crack resistance. As a result of plasma surface hardening, the fine-grained martensite structure is obtained with mainly lamellar morphology and higher hardness rate compared toinduction hardening or overlaying. Wear test of carbon steels revealed that plasma surfacing reduces abrasive wear rate compared to the irinitial state by 2 to 3 times. Enough sharp boundary between hardened and non-hardened portions has a positive effect on the performance of parts under dynamic loads, contributing to the inhibition of cracks during the transition from solid to a soft metal. For carbon and low alloy rail steels, the properties achieved by plasma surface hardening can effectively replace induction hardening or overlaying.The mode range for plasma surface treatment that allow sobtaining a surface layer with certain operating properties has been determined.

  2. Diagnostic study of low-pressure Ar-O2 remote plasma generated in HCD-L 300 system: Relative density of O atom

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.

    2007-01-01

    The relative density of O atom of Ar-O 2 remote plasma excited in a low pressure 13.56 HMz hollow cathode discharge system has been investigated. The measurements were carried out at a total pressure of 0.05 mbar, radiofrequency (RF) power of 200 W and at three different axial distances in the plasma chamber below the outlet of the discharge source. Using optical emission spectroscopy (OES), the relative density of O ground state was determined from intensity ratio of O(844.6 nm) and Ar(750.4 nm) lines. The electron temperature and O 2 + densities have been measured using double langmuir probe measurements. The kinetic study of Ar-O 2 plasma, combined with both spectroscopy and langmuir probe measurements, revealed that the main production mechanism of the excited O(3p 3 P) is direct excitation by electron impact. A maximum of O ground state relative density and correspondingly a minimum of O 2 + density are obtained for the ratio O 2 /Ar: 60/40. The maximum O density in the remote zone is found to be 4.5 times higher than at the outlet of source. (author)

  3. Polymerization by plasma: surface treatment and plasma simulation

    International Nuclear Information System (INIS)

    Morales C, J.

    2001-01-01

    One of the general objectives that are developed by the group of polymers semiconductors in the laboratory of polymers of the UAM-Iztapalapa is to study the surface treatment for plasma of different materials. Framed in this general objective, in this work three lines of investigation have been developed, independent one of other that converge in the general objective. The first one tries about the modeling one and evaluation of the microscopic parameters of operation of the polymerization reactor. The second are continuation of the study of conductive polymers synthesized by plasma and the third are an application of the treatment for plasma on natural fibers. In the first one it lines it is carried out the characterization and simulation of the parameters of operation of the polymerization reactor for plasma. They are determined the microscopic parameters of operation of the reactor experimentally like they are the electronic temperature, the potential of the plasma and the density average of electrons using for it an electrostatic Langmuir probe. In the simulation, starting from the Boltzmann transport equation it thinks about the flowing pattern and the electronic temperature, the ions density is obtained and of electrons. The data are compared obtained experimentally with the results of the simulation. In second line a study is presented about the influence of the temperature on the electric conductivity of thin films doped with iodine, of poly aniline (P An/I) and poly pyrrole (P Py/I). The films underwent heating-cooling cycles. The conductivity of P An/I and P Py/I in function of the temperature it is discussed based on the Arrhenius model, showing that it dominates the model of homogeneous conductivity. It is also synthesized a polymer bi-layer of these two elements and a copolymer random poly aniline-poly pyrrole, of the first one it the behavior of its conductivity discusses with the temperature and of the second, the conductivity is discussed in

  4. Microwave atmospheric pressure plasma jets for wastewater treatment: Degradation of methylene blue as a model dye.

    Science.gov (United States)

    García, María C; Mora, Manuel; Esquivel, Dolores; Foster, John E; Rodero, Antonio; Jiménez-Sanchidrián, César; Romero-Salguero, Francisco J

    2017-08-01

    The degradation of methylene blue in aqueous solution as a model dye using a non thermal microwave (2.45 GHz) plasma jet at atmospheric pressure has been investigated. Argon has been used as feed gas and aqueous solutions with different concentrations of the dye were treated using the effluent from plasma jet in a remote exposure. The removal efficiency increased as the dye concentration decreased from 250 to 5 ppm. Methylene blue degrades after different treatment times, depending on the experimental plasma conditions. Thus, kinetic constants up to 0.177 min -1 were obtained. The higher the Ar flow, the faster the degradation rate. Optical emission spectroscopy (OES) was used to gather information about the species present in the gas phase, specifically excited argon atoms. Argon excited species and hydrogen peroxide play an important role in the degradation of the dye. In fact, the conversion of methylene blue was directly related to the density of argon excited species in the gas phase and the concentration of hydrogen peroxide in the aqueous liquid phase. Values of energy yield at 50% dye conversion of 0.296 g/kWh were achieved. Also, the use of two plasma applicators in parallel has been proven to improve energy efficiency. Copyright © 2017 Elsevier Ltd. All rights reserved.

  5. Surface modification of polymer nanofibres by plasma treatment

    International Nuclear Information System (INIS)

    Wei, Q.F.; Gao, W.D.; Hou, D.Y.; Wang, X.Q.

    2005-01-01

    Polymer nanofibres have great potential for technical applications in biomaterials, filtration, composites and electronics. The surface properties of nanofibres are of importance in these applications. In this study, cold gas plasma treatment was used to modify the surface of polyamide 6 nanofibres prepared by electrospinning. The chemical nature of the nanofibre surfaces was examined by X-ray photoelectron spectroscopy (XPS). Atomic force microscopy (AFM) was employed to study the surface characteristics of the fibres. The AFM results indicate a significant change in the morphology of the fibre surface before and after plasma treatment. A Philips Environmental Scanning Electron Microscopy (ESEM) was also used to study the wetting behaviour of the fibres. In the ESEM, relative humidity was raised to 100% to facilitate the water condensation onto fibre surfaces for wetting observation. The ESEM observation revealed that the plasma treatment significantly altered the surface wettability of the polyamide 6 nanofibres

  6. Plasma etching treatment for surface modification of boron-doped diamond electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Kondo, Takeshi [Department of Industrial Chemistry, Faculty of Engineering, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan); Ito, Hiroyuki [Department of Industrial Chemistry, Faculty of Engineering, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan); Kusakabe, Kazuhide [Department of Applied Physics, Faculty of Science, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan); Ohkawa, Kazuhiro [Department of Applied Physics, Faculty of Science, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan); Einaga, Yasuaki [Department of Chemistry, Faculty of Science and Technology, Keio University, 3-14-1 Hiyoshi, Yokohama 223-8522 (Japan); Fujishima, Akira [Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Takatsu-ku, Kawasaki, Kanagawa 213-0012 (Japan); Kawai, Takeshi [Department of Industrial Chemistry, Faculty of Engineering, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan)]. E-mail: kawai@ci.kagu.tus.ac.jp

    2007-03-01

    Boron-doped diamond (BDD) thin film surfaces were modified by brief plasma treatment using various source gases such as Cl{sub 2}, CF{sub 4}, Ar and CH{sub 4}, and the electrochemical properties of the surfaces were subsequently investigated. From X-ray photoelectron spectroscopy analysis, Cl and F atoms were detected on the BDD surfaces after 3 min of Cl{sub 2} and CF{sub 4} plasma treatments, respectively. From the results of cyclic voltammetry and electrochemical AC impedance measurements, the electron-transfer rate for Fe(CN){sub 6} {sup 3-/4-} and Fe{sup 2+/3+} at the BDD electrodes was found to decrease after Cl{sub 2} and CF{sub 4} plasma treatments. However, the electron-transfer rate for Ru(NH{sub 3}){sub 6} {sup 2+/3+} showed almost no change after these treatments. This may have been related to the specific interactions of surface halogen (C-Cl and C-F) moieties with the redox species because no electrical passivation was observed after the treatments. In addition, Raman spectroscopy showed that CH{sub 4} plasma treatment of diamond surfaces formed an insulating diamond-like carbon thin layer on the surfaces. Thus, by an appropriate choice of plasma source, short-duration plasma treatments can be an effective way to functionalize diamond surfaces in various ways while maintaining a wide potential window and a low background current.

  7. Hydrogen plasma treatment of silicon dioxide for improved silane deposition.

    Science.gov (United States)

    Gupta, Vipul; Madaan, Nitesh; Jensen, David S; Kunzler, Shawn C; Linford, Matthew R

    2013-03-19

    We describe a method for plasma cleaning silicon surfaces in a commercial tool that removes adventitious organic contamination and enhances silane deposition. As shown by wetting, ellipsometry, and XPS, hydrogen, oxygen, and argon plasmas effectively clean Si/SiO2 surfaces. However, only hydrogen plasmas appear to enhance subsequent low-pressure chemical vapor deposition of silanes. Chemical differences between the surfaces were confirmed via (i) deposition of two different silanes: octyldimethylmethoxysilane and butyldimethylmethoxysilane, as evidenced by spectroscopic ellipsometry and wetting, and (ii) a principal components analysis (PCA) of TOF-SIMS data taken from the different plasma-treated surfaces. AFM shows no increase in surface roughness after H2 or O2 plasma treatment of Si/SiO2. The effects of surface treatment with H2/O2 plasmas in different gas ratios, which should allow greater control of surface chemistry, and the duration of the H2 plasma (complete surface treatment appeared to take place quickly) are also presented. We believe that this work is significant because of the importance of silanes as surface functionalization reagents, and in particular because of the increasing importance of gas phase silane deposition.

  8. TPX in-vessel remote maintenance tooling

    International Nuclear Information System (INIS)

    Rennich, M.J.; Silke, G.W.

    1995-01-01

    The Tokamak Physics Experiment (TPX) has used the lessons learned from successful remote maintenance and remote handling facilities to develop both a concept and philosophy for incorporation of remote design from the earliest phases of the project. Initiation of mockup testing during the conceptual design phase leads to significant improvements in the basic maintenance equipment configuration. In addition, remote handling features and capabilities have been incorporated into the design of the plasma-facing components (PFCs) as part of the total PFC design effort

  9. TREATMENT OF REFRACTORY OXIDES IN HF-PLASMA REACTORS

    OpenAIRE

    Bakhvalov , A.; Dresvin , S.; Levitskaya , T.; Paskalov , G.; Philippov , A.

    1990-01-01

    Results of theoretical and experimental studies of SiO2 NaBSi, MgO, W and some other materials treatment in induction type high-frequency plasma under atmospheric pressure are presented. Key study objective - optimization of plasma installation operating modes with maximum efficiency -0.6 -0.7 ; spheroidization extent -90-99%, size of treated particles 1-500 mkm. Diagnostics of thermophysical and gasodynamical plasma reactor specifications has been presented.

  10. Treatment of Candida albicans biofilms with low-temperature plasma induced by dielectric barrier discharge and atmospheric pressure plasma jet

    International Nuclear Information System (INIS)

    Koban, Ina; Welk, Alexander; Meisel, Peter; Holtfreter, Birte; Kocher, Thomas; Matthes, Rutger; Huebner, Nils-Olaf; Kramer, Axel; Sietmann, Rabea; Kindel, Eckhard; Weltmann, Klaus-Dieter

    2010-01-01

    Because of some disadvantages of chemical disinfection in dental practice (especially denture cleaning), we investigated the effects of physical methods on Candida albicans biofilms. For this purpose, the antifungal efficacy of three different low-temperature plasma devices (an atmospheric pressure plasma jet and two different dielectric barrier discharges (DBDs)) on Candida albicans biofilms grown on titanium discs in vitro was investigated. As positive treatment controls, we used 0.1% chlorhexidine digluconate (CHX) and 0.6% sodium hypochlorite (NaOCl). The corresponding gas streams without plasma ignition served as negative treatment controls. The efficacy of the plasma treatment was determined evaluating the number of colony-forming units (CFU) recovered from titanium discs. The plasma treatment reduced the CFU significantly compared to chemical disinfectants. While 10 min CHX or NaOCl exposure led to a CFU log 10 reduction factor of 1.5, the log 10 reduction factor of DBD plasma was up to 5. In conclusion, the use of low-temperature plasma is a promising physical alternative to chemical antiseptics for dental practice.

  11. Treatment of Candida albicans biofilms with low-temperature plasma induced by dielectric barrier discharge and atmospheric pressure plasma jet

    Energy Technology Data Exchange (ETDEWEB)

    Koban, Ina; Welk, Alexander; Meisel, Peter; Holtfreter, Birte; Kocher, Thomas [Unit of Periodontology, Dental School, University of Greifswald, Rotgerberstr. 8, 17475 Greifswald (Germany); Matthes, Rutger; Huebner, Nils-Olaf; Kramer, Axel [Institute for Hygiene and Environmental Medicine, University of Greifswald, Walther-Rathenau-Str. 49 a, 17487 Greifswald (Germany); Sietmann, Rabea [Institute of Microbiology, University of Greifswald, Friedrich-Ludwig-Jahn-Str. 15, 17487 Greifswald (Germany); Kindel, Eckhard; Weltmann, Klaus-Dieter, E-mail: ina.koban@uni-greifswald.d [Leibniz Institute for Plasma Science and Technology (INP), Felix-Hausdorff-Str. 2, 17489 Greifswald (Germany)

    2010-07-15

    Because of some disadvantages of chemical disinfection in dental practice (especially denture cleaning), we investigated the effects of physical methods on Candida albicans biofilms. For this purpose, the antifungal efficacy of three different low-temperature plasma devices (an atmospheric pressure plasma jet and two different dielectric barrier discharges (DBDs)) on Candida albicans biofilms grown on titanium discs in vitro was investigated. As positive treatment controls, we used 0.1% chlorhexidine digluconate (CHX) and 0.6% sodium hypochlorite (NaOCl). The corresponding gas streams without plasma ignition served as negative treatment controls. The efficacy of the plasma treatment was determined evaluating the number of colony-forming units (CFU) recovered from titanium discs. The plasma treatment reduced the CFU significantly compared to chemical disinfectants. While 10 min CHX or NaOCl exposure led to a CFU log{sub 10} reduction factor of 1.5, the log{sub 10} reduction factor of DBD plasma was up to 5. In conclusion, the use of low-temperature plasma is a promising physical alternative to chemical antiseptics for dental practice.

  12. Properties of zirconia after plasma treatment

    Science.gov (United States)

    Alekseenko, V. P.; Kulkov, S. N.

    2017-09-01

    The influence of high-frequency plasma treatment on the properties of zirconia powder is shown in the work. The powder was produced by a plasma-chemical method. The powders had a foamy form with the size of agglomerates of 5-10 μm and crystallites of 20-50 nm. The powders were treated by the pulse plasma unit with dielectric barrier discharge generator. It was shown that the plasma processing changes the acidity of water-powder suspensions from 8.1 to 4.3 pH, which signifies the powders' wettability improvement. It was revealed that more intensive mixing using ultrasound influences the acidity level, reducing it in comparison with mixing by paddle-type agitator. It was shown that these changes of surface properties have relaxation by 4% per day and extrapolation of this dependence shows that the powder will have initial properties after 400 hours storage at room conditions.

  13. Effect of argon plasma treatment on the output performance of triboelectric nanogenerator

    Energy Technology Data Exchange (ETDEWEB)

    Cheng, Guang-Gui, E-mail: ggcheng@ujs.edu.cn [Research Center of Micro/Nano Science and Technology, Jiangsu University, Zhenjiang (China); Jiangsu Collaborative Innovation Center of Photovoltaic Science and Engineering, Changzhou University, Changzhou (China); Jiang, Shi-Yu; Li, Kai [Research Center of Micro/Nano Science and Technology, Jiangsu University, Zhenjiang (China); Zhang, Zhong-Qiang [Research Center of Micro/Nano Science and Technology, Jiangsu University, Zhenjiang (China); Jiangsu Collaborative Innovation Center of Photovoltaic Science and Engineering, Changzhou University, Changzhou (China); Wang, Ying; Yuan, Ning-Yi [Jiangsu Collaborative Innovation Center of Photovoltaic Science and Engineering, Changzhou University, Changzhou (China); Ding, Jian-Ning, E-mail: dingjn@ujs.edu.cn [Research Center of Micro/Nano Science and Technology, Jiangsu University, Zhenjiang (China); Jiangsu Collaborative Innovation Center of Photovoltaic Science and Engineering, Changzhou University, Changzhou (China); Zhang, Wei [Research Center of Micro/Nano Science and Technology, Jiangsu University, Zhenjiang (China)

    2017-08-01

    Highlights: • Two different kinds of PDMS films were prepared by spin-coated. • The PDMS surface was plasma treated with different power and time. • The output performance of TENG was significantly enhanced by plasma treatment. • Plasma treatment effect has time-efficient, the output declines with store time. - Abstract: Physical and chemical properties of the polymer surface play great roles in the output performance of triboelectric nanogenerator (TENG). Specific texture on the surface of polymer can enlarge the contact area and enhance the power output performance of TENG. In this paper, polydimethylsiloxane (PDMS) films with smooth and micro pillar arrays on the surface were prepared respectively. The surfaces were treated by argon plasma before testing their output performance. By changing treatment parameters such as treating time and plasma power, surfaces with different roughness and their relationship were achieved. The electrical output performances of the assembled TENG for each specimen showed that argon plasma treatment has a significant etching effect on the PDMS surface and greatly strengthen its output performance. The average surface roughness of PDMS film increases with the etching time from 5 mins to 15 mins when the argon plasma power is 60 W. Nevertheless, the average surface roughness is inversely proportional to the treatment time for the power of 90W. When treated with 90 W and 5 mins, many uniform micro pillars appeared on the both PDMS surface, and the output performance of the TENG for plasma treated smooth surface is 2.6 times larger than that before treatment. The output voltage increases from 42 V to 72 V, and the short circuit current increases from 4.2 μA to 8.3 μA after plasma treatment of the micro pillar array surface. However, this plasma treatment has time-efficient due to the hydrophobic recovery property of Ar plasma treated PDMS surface, both output voltage and short circuit current decrease significantly after 3

  14. Plasma treatment of polypropylene fabric for improved dyeability with soluble textile dyestuff

    International Nuclear Information System (INIS)

    Yaman, Necla; Ozdogan, Esen; Seventekin, Necdet; Ayhan, Hakan

    2009-01-01

    The impact of plasma treatment parameters on the surface morphology, physical-chemical, and dyeing properties of polypropylene (PP) using anionic and cationic dyestuffs were investigated in this study. Argon plasma treatment was used to activate PP fabric surfaces. Activated surfaces were grafted different compounds: 6-aminohexanoic acid (6-AHA), acrylic acid (AA), ethylendiamine (EDA), acryl amide (AAMID) and hexamethyldisiloxane (HMDS). Compounds were applied after the plasma treatment and the acid and basic dyeing result that was then observed, were quite encouraging in certain conditions. The possible formed oxidizing groups were emphasized by FTIR and ATR and the surface morphology of plasma treated PP fibers was also investigated with scanning electron microscopy (SEM). PP fabric could be dyed with acid and basic dyestuffs after only plasma treatment and plasma induced grafting, and fastnesses of the dyed samples were satisfactory.

  15. Plasma treatment of heat-resistant materials

    International Nuclear Information System (INIS)

    Vlasov, V A; Kosmachev, P V; Skripnikova, N K; Bezukhov, K A

    2015-01-01

    Refractory lining of thermal generating units is exposed to chemical, thermal, and mechanical attacks. The degree of fracture of heat-resistant materials depends on the chemical medium composition, the process temperature and the material porosity. As is known, a shortterm exposure of the surface to low-temperature plasma (LTP) makes possible to create specific coatings that can improve the properties of workpieces. The aim of this work is to produce the protective coating on heat-resistant chamotte products using the LTP technique. Experiments have shown that plasma treatment of chamotte products modifies the surface, and a glass-ceramic coating enriched in mullite is formed providing the improvement of heat resistance. For increasing heat resistance of chamotte refractories, pastes comprising mixtures of Bacor, alumina oxide, and chamot were applied to their surfaces in different ratios. It is proved that the appropriate coating cannot be created if only one of heat-resistant components is used. The required coatings that can be used and recommended for practical applications are obtained only with the introduction of powder chamot. The paste composition of 50% chamot, 25% Bacor, and 25% alumina oxide exposed to plasma treatment, has demonstrated the most uniform surface fusion. (paper)

  16. Electrical Characteristics of Carbon Nanotubes by Plasma and Microwave Surface Treatments

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Sangjin; Lee, Soonbo; Boo, Jinhyo [Sungkyunkwan Univ., Suwon (Korea, Republic of); Shrestha, Shankar Prasad [Tribhuvan Univ., Kathmandu (Nepal)

    2014-03-15

    The plasma and microwave surface treatments of carbon nanotubes that loaded on plastic substrates were carried out with expecting a change of carbon nanotube dispersion by increasing treatment time. The microwave treatment process was undergone by commercial microwave oven (800 W). The electrical property was measured by hall measurement and resistance was increased by increasing O{sub 2} flow rate of plasma, suggesting an improvement of carbon nanotube dispersion and a possibility of controlling the resistances of carbon nanotubes by plasma surface treatment. The resistance was increased in both polyethylene terephthalate and polyimide substrates by increasing O{sub 2} flow rate. Resistance changes only slightly with different O{sub 2} flow treatment in measure rho for all polyimide samples. Sheet resistance is lowest in polyimide substrate not due to high carbon nanotube loading but due to tendency to remain in elongated structure. O{sub 2} or N{sub 2} plasma treatments on both polyethylene terephthalate and polyimide substrates lead to increase in sheet resistance.

  17. Electrical Characteristics of Carbon Nanotubes by Plasma and Microwave Surface Treatments

    International Nuclear Information System (INIS)

    Cho, Sangjin; Lee, Soonbo; Boo, Jinhyo; Shrestha, Shankar Prasad

    2014-01-01

    The plasma and microwave surface treatments of carbon nanotubes that loaded on plastic substrates were carried out with expecting a change of carbon nanotube dispersion by increasing treatment time. The microwave treatment process was undergone by commercial microwave oven (800 W). The electrical property was measured by hall measurement and resistance was increased by increasing O 2 flow rate of plasma, suggesting an improvement of carbon nanotube dispersion and a possibility of controlling the resistances of carbon nanotubes by plasma surface treatment. The resistance was increased in both polyethylene terephthalate and polyimide substrates by increasing O 2 flow rate. Resistance changes only slightly with different O 2 flow treatment in measure rho for all polyimide samples. Sheet resistance is lowest in polyimide substrate not due to high carbon nanotube loading but due to tendency to remain in elongated structure. O 2 or N 2 plasma treatments on both polyethylene terephthalate and polyimide substrates lead to increase in sheet resistance

  18. Influence of atmospheric pressure plasma treatment on surface properties of PBO fiber

    International Nuclear Information System (INIS)

    Zhang Ruiyun; Pan Xianlin; Jiang Muwen; Peng Shujing; Qiu Yiping

    2012-01-01

    Highlights: ► PBO fibers were treated with atmospheric pressure plasmas. ► When 1% of oxygen was added to the plasma, IFSS increased 130%. ► Increased moisture regain could enhance plasma treatment effect on improving IFSS with long treatment time. - Abstract: In order to improve the interfacial adhesion property between PBO fiber and epoxy, the surface modification effects of PBO fiber treated by atmospheric pressure plasma jet (APPJ) in different time, atmosphere and moisture regain (MR) were investigated. The fiber surface morphology, functional groups, surface wettability for control and plasma treated samples were analyzed by scanning electron microscope (SEM), X-ray photoelectron spectroscopy (XPS) and water contact angle measurements, respectively. Meanwhile, the fiber interfacial shear strength (IFSS), representing adhesion property in epoxy, was tested using micro-bond pull-out test, and single fiber tensile strength was also tested to evaluate the mechanical performance loss of fibers caused by plasma treatment. The results indicated that the fiber surface was etched during the plasma treatments, the fiber surface wettability and the IFSS between fiber and epoxy had much improvement due to the increasing of surface energy after plasma treatment, the contact angle decreased with the treatment time increasing, and the IFSS was improved by about 130%. The processing atmosphere could influence IFSS significantly, and moisture regains (MR) of fibers also played a positive role on improving IFSS but not so markedly. XPS analysis showed that the oxygen content on fiber surface increased after treatment, and C=O, O-C=O groups were introduced on fiber surface. On the other hand, the observed loss of fiber tensile strength caused by plasma treatment was not so remarkable to affect the overall performance of composite materials.

  19. Method for Cleaning Laser-Drilled Holes on Printed Wiring Boards by Plasma Treatment

    Science.gov (United States)

    Hirogaki, Toshiki; Aoyama, Eiichi; Minagi, Ryu; Ogawa, Keiji; Katayama, Tsutao; Matsuoka, Takashi; Inoue, Hisahiro

    We propose a new method for cleaning blind via holes after laser drilling of PWBs using oxygen plasma treatment. This report dealt with three kinds of PWB materials: epoxy resin and two kinds of aramid fiber reinforced plastics (AFRP: Technora or Kevlar fiber reinforcement). We observed the drilled holes after plasma treatment using both an optical and a scanning electric microscope (SEM). It was confirmed that adequate etching took place in the drilled holes by plasma treatment. We also compared the hole wall and hole bottom after plasma treatment with ones after chemical etching. It was clear that there was no damage to the aramid fiber tip on the hole wall, and that a smooth roughness of the hole wall was obtained by means of plasma treatment. As a result, we demonstrated that the plasma treatment is effective in cleaning the laser drilled holes of PWBs.

  20. Stimulation of wound healing by helium atmospheric pressure plasma treatment

    International Nuclear Information System (INIS)

    Nastuta, Andrei Vasile; Topala, Ionut; Pohoata, Valentin; Popa, Gheorghe; Grigoras, Constantin

    2011-01-01

    New experiments using atmospheric pressure plasma have found large application in treatment of living cells or tissues, wound healing, cancerous cell apoptosis, blood coagulation on wounds, bone tissue modification, sterilization and decontamination. In this study an atmospheric pressure plasma jet generated using a cylindrical dielectric-barrier discharge was applied for treatment of burned wounds on Wistar rats' skin. The low temperature plasma jet works in helium and is driven by high voltage pulses. Oxygen and nitrogen based impurities are identified in the jet by emission spectroscopy. This paper analyses the natural epithelization of the rats' skin wounds and two methods of assisted epithelization, a classical one using polyurethane wound dressing and a new one using daily atmospheric pressure plasma treatment of wounds. Systemic and local medical data, such as haematological, biochemical and histological parameters, were monitored during entire period of study. Increased oxidative stress was observed for plasma treated wound. This result can be related to the presence in the plasma volume of active species, such as O and OH radicals. Both methods, wound dressing and plasma-assisted epithelization, provided positive medical results related to the recovery process of burned wounds. The dynamics of the skin regeneration process was modified: the epidermis re-epitelization was accelerated, while the recovery of superficial dermis was slowed down.

  1. Improvement of crystalline silicon surface passivation by hydrogen plasma treatment

    International Nuclear Information System (INIS)

    Martin, I.; Vetter, M.; Orpella, A.; Voz, C.; Puigdollers, J.; Alcubilla, R.; Kharchenko, A.V.; Roca i Cabarrocas, P.

    2004-01-01

    A completely dry low-temperature process has been developed to passivate 3.3 Ω cm p-type crystalline silicon surface with excellent results. Particularly, we have investigated the use of a hydrogen plasma treatment, just before hydrogenated amorphous silicon carbide (a-SiC x :H) deposition, without breaking the vacuum. We measured effective lifetime, τ eff , through a quasi-steady-state photoconductance technique. Experimental results show that hydrogen plasma treatment improves surface passivation compared to classical HF dip. S eff values lower than 19 cm s -1 were achieved using a hydrogen plasma treatment and an a-SiC x :H film deposited at 300 deg. C

  2. Comparison of hollow cathode discharge plasma configurations

    International Nuclear Information System (INIS)

    Farnell, Casey C; Farnell, Cody C; Williams, John D

    2011-01-01

    Hollow cathodes used in plasma contactor and electric propulsion devices provide electrons for sustaining plasma discharges and enabling plasma bridge neutralization. Life tests show erosion on hollow cathodes exposed to the plasma environment produced in the region downstream of these devices. To explain the observed erosion, plasma flow field measurements are presented for hollow cathode generated plasmas using both directly immersed probes and remotely located plasma diagnostics. Measurements on two cathode discharge configurations are presented: (1) an open, no magnetic field configuration and (2) a setup simulating the discharge chamber environment of an ion thruster. In the open cathode configuration, large amplitude plasma potential oscillations, ranging from 20 to 85 V within a 34 V discharge, were observed using a fast response emissive probe. These oscillations were observed over a dc potential profile that included a well-defined potential hill structure. A remotely located electrostatic analyzer (ESA) was used to measure the energy of ions produced within the plasma, and energies were detected that met, and in some cases exceeded, the peak oscillatory plasma potentials detected by the emissive probe. In the ion thruster discharge chamber configuration, plasma potentials from the emissive probe again agreed with ion energies recorded by the remotely located ESA; however, much lower ion energies were detected compared with the open configuration. A simplified ion-transit model that uses temporal and spatial plasma property measurements is presented and used to predict far-field plasma streaming properties. Comparisons between the model and remote measurements are presented.

  3. Characteristics of ITO films with oxygen plasma treatment for thin film solar cell applications

    Energy Technology Data Exchange (ETDEWEB)

    Park, Yong Seob [Department of Photoelectronics Information, Chosun College of Science and Technology, Gwangju (Korea, Republic of); Kim, Eungkwon [Digital Broadcasting Examination, Korean Intellectual Property Office, Daejeon, Suwon 440-746 (Korea, Republic of); Hong, Byungyou [School of Electronic and Electrical Engineering, Sungkyunkwan University, Cheoncheon-dong, 300, Jangan-gu, Suwon 440-746 (Korea, Republic of); Lee, Jaehyoeng, E-mail: jaehyeong@skku.edu [School of Electronic and Electrical Engineering, Sungkyunkwan University, Cheoncheon-dong, 300, Jangan-gu, Suwon 440-746 (Korea, Republic of)

    2013-12-15

    Graphical abstract: The effect of O{sub 2} plasma treatment on the surface and the work function of ITO films. - Highlights: • ITO films were prepared on the glass substrate by RF magnetron sputtering method. • Effects of O{sub 2} plasma treatment on the properties of ITO films were investigated. • The work function of ITO film was changed from 4.67 to 5.66 eV by plasma treatment. - Abstract: The influence of oxygen plasma treatment on the electro-optical and structural properties of indium-tin-oxide films deposited by radio frequency magnetron sputtering method were investigated. The films were exposed at different O{sub 2} plasma powers and for various durations by using the plasma enhanced chemical vapor deposition (PECVD) system. The resistivity of the ITO films was almost constant, regardless of the plasma treatment conditions. Although the optical transmittance of ITO films was little changed by the plasma power, the prolonged treatment slightly increased the transmittance. The work function of ITO film was changed from 4.67 eV to 5.66 eV at the plasma treatment conditions of 300 W and 60 min.

  4. Effect of carvedilol treatment on plasma neuropeptides levels in patients with essential hypertension

    International Nuclear Information System (INIS)

    Li Qian; Cheng Guanghua; Yang Jian

    2008-01-01

    Objective: To study the changes of plasma neuropeptide Y(NPY) and neurotension (NT) levels in patients with essential hypertension after treatment with carvedilol. Methods: Blood pressure and plasma NPY and NT concentrations (with RIA) were measured in 56 patients with essential hypertension both before and after carvedilol therapy (5-10 mg bid) for 3 months as well as 30 controls. Results: Before treatment plasma NPY levels were significantly higher in hypertensive patients than those in controls (P<0.01), but plasma NT levels were significantly lower (P also <0.01). After carvedilol treatment, blood pressure and plasma NPY levels were reduced significantly and plasma NT levels were increased significantly. Conclusion: Treatment with carvedilol results in the correction of plasma concentrations of NPY and NT in patients with essential hypertension, the effect may be related to blood pressure decrease. (authors)

  5. In situ x-ray photoelectron spectroscopy and capacitance voltage characterization of plasma treatments for Al{sub 2}O{sub 3}/AlGaN/GaN stacks

    Energy Technology Data Exchange (ETDEWEB)

    Qin, Xiaoye; Lucero, Antonio; Azcatl, Angelica; Kim, Jiyoung; Wallace, Robert M. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, Texas 75080 (United States)

    2014-07-07

    We investigate the Al{sub 2}O{sub 3}/AlGaN/GaN metal-oxide-semiconductor structure pretreated by O{sub 2} anneals, N{sub 2} remote plasma, and forming gas remote plasma prior to atomic layer deposition of Al{sub 2}O{sub 3} using in situ X-ray photoelectron spectroscopy, low energy electron diffraction, and capacitance- voltage measurements. Plasma pretreatments reduce the Ga-oxide/oxynitride formation and the interface state density, while inducing a threshold voltage instability.

  6. Niacin treatment increases plasma homocyst(e)ine levels.

    Science.gov (United States)

    Garg, R; Malinow, M; Pettinger, M; Upson, B; Hunninghake, D

    1999-12-01

    Studies have reported high levels of plasma homocyst(e)ine as an independent risk factor for arterial occlusive disease. The Cholesterol Lowering Atherosclerosis Study reported an increase in plasma homocyst(e)ine levels in patients receiving both colestipol and niacin compared with placebo. Thus the objective of this study was to examine the effect of niacin treatment on plasma homocyst(e)ine levels. The Arterial Disease Multiple Intervention Trial, a multicenter randomized, placebo-controlled trial, examined the effect of niacin compared with placebo on homocyst(e)ine in a subset of 52 participants with peripheral arterial disease. During the screening phase, titration of niacin dose from 100 mg to 1000 mg daily resulted in a 17% increase in mean plasma homocyst(e)ine level from 13.1 +/- 4.4 micromol/L to 15.3 +/- 5.6 micromol/L (P ine levels in the niacin group and a 7% decrease in the placebo group (P =.0001). This difference remained statistically significant at the end of follow-up at 48 weeks. Niacin substantially increased plasma homocyst(e)ine levels, which could potentially reduce the expected benefits of niacin associated with lipoprotein modification. However, plasma homocyst(e)ine levels can be decreased by folic acid supplementation. Thus further studies are needed to determine whether B vitamin supplementation to patients undergoing long-term niacin treatment would be beneficial.

  7. Enhanced Hydrophilicity and Biocompatibility of Dental Zirconia Ceramics by Oxygen Plasma Treatment

    Directory of Open Access Journals (Sweden)

    Ching-Chou Wu

    2015-02-01

    Full Text Available Surface properties play a critical role in influencing cell responses to a biomaterial. The objectives of this study were (1 to characterize changes in surface properties of zirconia (ZrO2 ceramic after oxygen plasma treatment; and (2 to determine the effect of such changes on biological responses of human osteoblast-like cells (MG63. The results indicated that the surface morphology was not changed by oxygen plasma treatment. In contrast, oxygen plasma treatment to ZrO2 not only resulted in an increase in hydrophilicity, but also it retained surface hydrophilicity after 5-min treatment time. More importantly, surface properties of ZrO2 modified by oxygen plasma treatment were beneficial for cell growth, whereas the surface roughness of the materials did not have a significant efficacy. It is concluded that oxygen plasma treatment was certified to be effective in modifying the surface state of ZrO2 and has the potential in the creation and maintenance of hydrophilic surfaces and the enhancement of cell proliferation and differentiation.

  8. Tuning Surface Chemistry of Polyetheretherketone by Gold Coating and Plasma Treatment

    Science.gov (United States)

    Novotná, Zdeňka; Rimpelová, Silvie; Juřík, Petr; Veselý, Martin; Kolská, Zdeňka; Hubáček, Tomáš; Borovec, Jakub; Švorčík, Václav

    2017-06-01

    Polyetheretherketone (PEEK) has good chemical and biomechanical properties that are excellent for biomedical applications. However, PEEK exhibits hydrophobic and other surface characteristics which cause limited cell adhesion. We have investigated the potential of Ar plasma treatment for the formation of a nanostructured PEEK surface in order to enhance cell adhesion. The specific aim of this study was to reveal the effect of the interface of plasma-treated and gold-coated PEEK matrices on adhesion and spreading of mouse embryonic fibroblasts. The surface characteristics (polarity, surface chemistry, and structure) before and after treatment were evaluated by various experimental techniques (gravimetry, goniometry, X-ray photoelectron spectroscopy (XPS), and electrokinetic analysis). Further, atomic force microscopy (AFM) was employed to examine PEEK surface morphology and roughness. The biological response of cells towards nanostructured PEEK was evaluated in terms of cell adhesion, spreading, and proliferation. Detailed cell morphology was evaluated by scanning electron microscopy (SEM). Compared to plasma treatment, gold coating improved PEEK wettability. The XPS method showed a decrease in the carbon concentration with increasing time of plasma treatment. Cell adhesion determined on the interface between plasma-treated and gold-coated PEEK matrices was directly proportional to the thickness of a gold layer on a sample. Our results suggest that plasma treatment in a combination with gold coating could be used in biomedical applications requiring enhanced cell adhesion.

  9. Influence of Chemical Precleaning on the Plasma Treatment Efficiency of Aluminum by RF Plasma Pencil

    Czech Academy of Sciences Publication Activity Database

    Prysiazhnyi, V.; Slavíček, P.; Mikmeková, Eliška; Klíma, M.

    2016-01-01

    Roč. 18, č. 4 (2016), s. 430-437 ISSN 1009-0630 Institutional support: RVO:68081731 Keywords : atmospheric pressure plasma * plasma jet * aluminium * surface treatment * surface processing * chemical precleaning Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering Impact factor: 0.830, year: 2016

  10. Plasma arc melting treatment of low level radioactive waste with centrifugal hearth

    International Nuclear Information System (INIS)

    Tsuji, Yukito

    1997-01-01

    Plasma Arc Melting technology may possible be able to treat various kinds of waste streams through volume reduction and stabilization into a disposal waste form. The ability of other melting technologies to convert inorganic material in a single step, however, varies according to the characteristics of the materials. Plasma technology also can treat organic waste by selecting the oxidation atmosphere. The Japan Atomic Power Company (JAPC) has decided to construct a low level radioactive waste treatment facility using the Plasma Arc Centrifugal Treatment (PACT) process with an 8 ft rotating hearth and 1.2 MW transferred torch developed by Retech (Ukiah, CA. USA) in the Tsuruga power station. In Japan, the plasma technology has been developed for incineration ash treatment, but the JAPC plant will be the first treatment system using plasma technology for solid waste with various characteristics and shapes. (author)

  11. UV excimer laser and low temperature plasma treatments of polyamide materials

    Science.gov (United States)

    Yip, Yiu Wan Joanne

    Polyamides have found widespread application in various industrial sectors, for example, they are used in apparel, home furnishings and similar uses. However, the requirements for high quality performance products are continually increasing and these promote a variety of surface treatments for polymer modification. UV excimer laser and low temperature plasma treatments are ideally suited for polyamide modification because they can change the physical and chemical properties of the material without affecting its bulk features. This project aimed to study the modification of polyamides by UV excimer laser irradiation and low temperature plasma treatment. The morphological changes in the resulting samples were analysed by scanning electron microscopy (SEM) and tapping mode atomic force microscopy (TM-AFM). The chemical modifications were studied by x-ray photoelectron spectroscopy (XPS), time-of-flight secondary ion mass spectrometry (ToF-SIMS) and chemical force microscopy (CFM). Change in degree of crystallinity was examined by differential scanning calorimetry (DSC). After high-fluence laser irradiation, topographical results showed that ripples of micrometer size form on the fibre surface. By contrast, sub-micrometer size structures form on the polyamide surface when the applied laser energy is well below its ablation threshold. After high-fluence laser irradiation, chemical studies showed that the surface oxygen content of polyamide is reduced. A reverse result is obtained with low-fluence treatment. The DSC result showed no significant change in degree of crystallinity in either high-fluence or low-fluence treated samples. The same modifications in polyamide surfaces were studied after low temperature plasma treatment with oxygen, argon or tetrafluoromethane gas. The most significant result was that the surface oxygen content of polyamide increased after oxygen and argon plasma treatments. Both treatments induced many hydroxyl (-OH) and carboxylic acid (-COOH

  12. Cold atmospheric plasma as a potential tool for multiple myeloma treatment

    Science.gov (United States)

    Cui, Qingjie; Liu, Dingxin; Liu, Zhijie; Wang, Xiaohua; Yang, Yanjie; Feng, Miaojuan; Liang, Rong; Chen, Hailan; Ye, Kai; Kong, Michael G.

    2018-01-01

    Multiple myeloma (MM) is a fatal and incurable hematological malignancy thus new therapy need to be developed. Cold atmospheric plasma, a new technology that could generate various active species, could efficiently induce various tumor cells apoptosis. More details about the interaction of plasma and tumor cells need to be addressed before the application of gas plasma in clinical cancer treatment. In this study, we demonstrate that He+O2 plasma could efficiently induce myeloma cell apoptosis through the activation of CD95 and downstream caspase cascades. Extracellular and intracellular reactive oxygen species (ROS) accumulation is essential for CD95-mediated cell apoptosis in response to plasma treatment. Furthermore, p53 is shown to be a key transcription factor in activating CD95 and caspase cascades. More importantly, we demonstrate that CD95 expression is higher in tumor cells than in normal cells in both MM cell lines and MM clinical samples, which suggests that CD95 could be a favorable target for plasma treatment as it could selectively inactivate myeloma tumor cells. Our results illustrate the molecular details of plasma induced myeloma cell apoptosis and it shows that gas plasma could be a potential tool for myeloma therapy in the future. PMID:29719586

  13. Treatment of Mesh Skin Grafted Scars Using a Plasma Skin Regeneration System

    Directory of Open Access Journals (Sweden)

    Takamitsu Higashimori

    2010-01-01

    Full Text Available Objectives. Several modalities have been advocated to treat traumatic scars, including surgical techniques and laser resurfacing. Recently, a plasma skin regeneration (PSR system has been investigated. There are no reports on plasma treatment of mesh skin grafted scars. The objective of our study is to evaluate the effectiveness and complications of plasma treatment of mesh skin grafted scars in Asian patients. Materials and Methods. Four Asian patients with mesh skin grafted scars were enrolled in the study. The plasma treatments were performed at monthly intervals with PSR, using energy settings of 3 to 4 J. Improvement was determined by patient questionnaires and physician evaluation of digital photographs taken prior to treatment and at 3 months post treatment. The patients were also evaluated for any side effects from the treatment. Results. All patients showed more than 50% improvement. The average pain score on a 10-point scale was 6.9 +/− 1.2 SD and all patients tolerated the treatments. Temporary, localized hypopigmentation was observed in two patients. Hyperpigmentation and worsening of scarring were not observed. Conclusions. Plasma treatment is clinically effective and is associated with minimal complications when used to treat mesh skin grafted scars in Asian patients.

  14. Removing of oxides from Fe-Ni alloys by hydrogen plasma treatment

    International Nuclear Information System (INIS)

    Vesel, A.; Drenik, A.; Mozetic, M.

    2007-01-01

    Plasma wall interaction is one of the key issues in fusion research for ITER application. The first-wall materials in tokamaks and in other high temperature plasma reactors are subject to and to continuous degradation due to the ion bombardment. Furthermore the release of the eroded wall material leads to their redeposition to other parts of the fusion reactor and they can be even transported into the core plasma where they cause dilution of the plasma fuel and cooling of the plasma itself. One possible solution for removal of deposits formed during operation of the fusion devices is oxygen plasma treatment. A drawback of the oxygen plasma is that it causes formation of oxides on the surface of the materials. These oxides can be reduced by further hydrogen plasma treatment. A study on reduction of an oxide layer from Fe-Ni alloys was performed. The samples were exposed to low pressure weakly ionized hydrogen plasma for different periods. A density of hydrogen plasma was 8x10 15 m -3 , an electron temperature was 6 eV, and a degree of dissociation was about 30%. After plasma treatment the samples were analyzed by Auger Electron Spectroscopy (AES). The results showed that the complete reduction of an initial oxide layer with the thickness of about 30 nm occurred after 20 s of exposure to hydrogen plasma, when AES showed no more oxygen on the surface of Fe-Ni alloy. During the exposure of the samples to the plasma their temperature was measured. The temperature first rised with time, reached the maximum value, and than dropped as soon as the layer of an oxide on the surface was reduced. (author)

  15. Effects of atmospheric air plasma treatment on interfacial properties of PBO fiber reinforced composites

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Chengshuang, E-mail: cszhang83@163.com; Li, Cuiyun; Wang, Baiya; Wang, Bin; Cui, Hong

    2013-07-01

    Poly(p-phenylene benzobisoxazole) (PBO) fiber was modified by atmospheric air plasma treatment. The effects of plasma treatment power and speed on both surface properties of PBO fibers and interfacial properties of PBO/epoxy composites were investigated. Surface chemical composition of PBO fibers were analyzed by X-ray photoelectron spectroscopy (XPS). Surface morphologies of the fibers and interface structures of the composites were examined using scanning electron microscopy (SEM). Interfacial adhesion property of the composites was evaluated by interlaminar shear strength (ILSS). Mechanical properties of PBO multifilament were measured by universal testing machine. The results indicate that atmospheric air plasma treatment introduced some polar or oxygen-containing groups to PBO fiber surfaces, enhanced surface roughness and changed surface morphologies of PBO fibers by plasma etching and oxidative reactions. The plasma treatment also improved interfacial adhesion of PBO/epoxy composites but has little effect on tensile properties of PBO multifilament. The ILSS of PBO/epoxy composites increased to 40.0 MPa after atmospheric air plasma treatment with plasma treatment power of 300 W and treatment speed of 6 m/min.

  16. Remote sensing of auroral E region plasma structures by radio, radar, and UV techniques at solar minimum

    International Nuclear Information System (INIS)

    Basu, S.; Valladares, C.E.; Basu, S.; Eastes, R.; Huffman, R.E.; Daniell, R.E.; Chaturvedi, P.K.; Livingston, R.C.

    1993-01-01

    The unique capability of the Polar BEAR satellite to simultaneously image auroral luminosities at multiple ultraviolet (UV) wavelengths and to remote sense large-scale (hundreds to tens of kilometers) and small-scale (kilometers to hundreds of meters) plasma density structures with its multifrequency beacon package is utilized to probe the auroral E region in the vicinity of the incoherent scatter radar (ISR) facility near Sondrestrom. In particular, we present coordinated observations on two nights obtained during the sunspot minimum (sunspot number < 10) January-February 1987 period when good spatial and temporal conjunction was obtained between Polar BEAR overflights and Sondrestrom ISR measurements. With careful coordinated observations we were able to confirm that the energetic particle precipitation responsible for the UV emissions causes the electron density increases in the E region. The integrations up to the topside of these ISR electron density profiles were consistent with the total electron content (TEC) measured by the Polar BEAR satellite. An electron transport model was utilized to determine quantitatively the electron density profiles which could be produced by the particle precipitation, which also produced multiple UV emissions measured by the imager; these profiles were found to be in good agreement with the observed ISR profiles in the E region. This outer scale size is also consistent with the measured phase to amplitude scintillation ratio. An estimate of the linear growth rate of the gradient-drift instability in the E region shows that these plasma density irregularities could have been generated by this process. The mutual consistency of these different sets of measurements provides confidence in the ability of the different techniques to remote sense large- and small-scale plasma density structures in the E region at least during sunspot minimum when the convection-dominated high-latitude F region is fairly weak. 56 refs., 16 figs

  17. Test for bacterial resistance build-up against plasma treatment

    International Nuclear Information System (INIS)

    Zimmermann, J L; Shimizu, T; Li, Y-F; Morfill, G E; Schmidt, H-U; Isbary, G

    2012-01-01

    It is well known that the evolution of resistance of microorganisms to a range of different antibiotics presents a major problem in the control of infectious diseases. Accordingly, new bactericidal ‘agents’ are in great demand. Using a cold atmospheric pressure (CAP) plasma dispenser operated with ambient air, a more than five orders of magnitude inactivation or reduction of Methicillin-resistant Staphylococcus aureus (MRSA; resistant against a large number of the tested antibiotics) was obtained in less than 10 s. This makes CAP the most promising candidate for combating nosocomial (hospital-induced) infections. To test for the occurrence and development of bacterial resistance against such plasmas, experiments with Gram-negative bacteria (Escherichia coli) and Gram-positive bacteria (Enterococcus mundtii) were performed. The aim was to determine quantitative limits for primary (naturally) or secondary (acquired) resistance against the plasma treatment. Our results show that E. coli and E. mundtii possess no primary resistance against the plasma treatment. By generating four generations of bacteria for every strain, where the survivors of the plasma treatment were used for the production of the next generation, a lower limit to secondary resistance was obtained. Our results indicate that CAP technology could contribute to the control of infections in hospitals, in outpatient care and in disaster situations, providing a new, fast and efficient broad-band disinfection technology that is not constrained by bacterial resistance mechanisms. (paper)

  18. Tailoring properties of reduced graphene oxide by oxygen plasma treatment

    Science.gov (United States)

    Kondratowicz, Izabela; Nadolska, Małgorzata; Şahin, Samet; Łapiński, Marcin; Prześniak-Welenc, Marta; Sawczak, Mirosław; Yu, Eileen H.; Sadowski, Wojciech; Żelechowska, Kamila

    2018-05-01

    We report an easily controllable, eco-friendly method for tailoring the properties of reduced graphene oxide (rGO) by means of oxygen plasma. The effect of oxygen plasma treatment time (1, 5 and 10 min) on the surface properties of rGO was evaluated. Physicochemical characterization using microscopic, spectroscopic and thermal techniques was performed. The results revealed that different oxygen-containing groups (e.g. carboxyl, hydroxyl) were introduced on the rGO surface enhancing its wettability. Furthermore, upon longer treatment time, other functionalities were created (e.g. quinones, lactones). Moreover, external surface of rGO was partially etched resulting in an increase of the material surface area and porosity. Finally, the oxygen plasma-treated rGO electrodes with bilirubin oxidase were tested for oxygen reduction reaction. The study showed that rGO treated for 10 min exhibited twofold higher current density than untreated rGO. The oxygen plasma treatment may improve the enzyme adsorption on rGO electrodes by introduction of oxygen moieties and increasing the porosity.

  19. Influence of long-term treatment with glyceryl trinitrate on remote ischemic conditioning

    DEFF Research Database (Denmark)

    Hauerslev, Marie; Mørk, Sivagowry Rasalingam; Pryds, Kasper

    2018-01-01

    Remote ischemic conditioning (RIC) protects against sustained myocardial ischemia. Due to overlapping mechanisms this protection may be altered by glyceryl trinitrate (GTN), which is commonly used in the treatment of patients with chronic ischemic heart disease. We investigated whether long-term ...

  20. Environmental and economic vision of plasma treatment of waste in Makkah

    Science.gov (United States)

    Galaly, Ahmed Rida; van Oost, Guido

    2017-10-01

    An environmental and economic assessment of the development of a plasma-chemical reactor equipped with plasma torches for the environmentally friendly treatment of waste streams by plasma is outlined with a view to the chemical and energetic valorization of the sustainability in the Kingdom of Saudi Arabia (KSA). This is especially applicable in the pilgrimage season in the city of Makkah, which is a major challenge since the amount of waste was estimated at about 750 thousand tons through Arabic Year 1435H (2015), and is growing at a rate of 3%-5% annually. According to statistics, the value of waste in Saudi Arabia ranges between 8 and 9 billion EUR. The Plasma-Treatment Project (PTP) encompasses the direct plasma treatment of all types of waste (from source and landfill), as well as an environmental vision and economic evaluation of the use of the gas produced for fuel and electricity production in KSA, especially in the pilgrimage season in the holy city Makkah. The electrical power required for the plasma-treatment process is estimated at 5000 kW (2000 kW used for the operation of the system and 3000 kW sold), taking into account the fact that: (1) the processing capacity of solid waste is 100 tons per day (2) and the sale of electricity amounts to 23.8 MW at 0.18 EUR per kWh. (3) The profit from the sale of electricity per year is estimated at 3.27 million EUR and the estimated profit of solid-waste treatment amounts to 6 million EUR per year and (4) the gross profit per ton of solid waste totals 8 million EUR per year. The present article introduces the first stage of the PTP, in Makkah in the pilgrimage season, which consists of five stages: (1) study and treatment of waste streams, (2) slaughterhouse waste treatment, (3) treatment of refuse-derived fuel, (4) treatment of car tires and (5) treatment of slag (the fifth stage associated with each stage from the four previous stages).

  1. Improving the efficiency of plasma heat treatment of metals

    International Nuclear Information System (INIS)

    Gabdrakhmanov, Az T; Israphilov, I H; Galiakbarov, A T; Samigullin, A D; Gabdrakhmanov, Al T

    2016-01-01

    This paper proposes an effective way of the plasma hardening the surface layer at the expense combined influence of the plasma jet and a cold air flow. After that influence occurs a distinctive by plasma treatment microstructure with increased microhardness (an increase of 35%) and depth. There is proposed an improved design of the vortex tube for receiving the air flow with a temperature of 20 C to - 120C. (paper)

  2. Improvement of silicon direct bonding using surfaces activated by hydrogen plasma treatment

    CERN Document Server

    Choi, W B; Lee Jae Sik; Sung, M Y

    2000-01-01

    The plasma surface treatment, using hydrogen gas, of silicon wafers was studied as a pretreatment for silicon direct bonding. Chemical reactions of the hydrogen plasma with the surfaces were used for both surface activation and removal of surface contaminants. Exposure of the silicon wafers to the plasma formed an active oxide layer on the surface. This layer was hydrophilic. The surface roughness and morphology were examined as functions of the plasma exposure time and power. The surface became smoother with shorter plasma exposure time and lower power. In addition, the plasma surface treatment was very efficient in removing the carbon contaminants on the silicon surface. The value of the initial surface energy, as estimated by using the crack propagation method, was 506 mJ/M sup 2 , which was up to about three times higher than the value for the conventional direct bonding method using wet chemical treatments.

  3. Photoluminescence wavelength variation of monolayer MoS2 by oxygen plasma treatment

    International Nuclear Information System (INIS)

    Kim, Min Su; Nam, Giwoong; Park, Seki; Kim, Hyun; Han, Gang Hee; Lee, Jubok; Dhakal, Krishna P.; Leem, Jae-Young; Lee, Young Hee; Kim, Jeongyong

    2015-01-01

    We performed nanoscale confocal photoluminescence (PL), Raman, and absorption spectral imaging measurements to investigate the optical and structural properties of molybdenum disulfide (MoS 2 ) monolayers synthesized by chemical vapor deposition method and subjected to oxygen plasma treatment for 10 to 120 s under high vacuum (1.3 × 10 −3 Pa). Oxygen plasma treatment induced red shifts of ~ 20 nm in the PL emission peaks corresponding to A and B excitons. Similarly, the peak positions corresponding to A and B excitons of the absorption spectra were red-shifted following oxygen plasma treatment. Based on the confocal PL, absorption, and Raman microscopy results, we suggest that the red-shifting of the A and B exciton peaks originated from shallow defect states generated by oxygen plasma treatment. - Highlights: • Effects of oxygen plasma on optical properties of monolayer MoS 2 were investigated. • Confocal photoluminescence, Raman, and absorption spectral maps are presented. • Wavelength tuning up to ~ 20 nm for the peak emission wavelength was achieved

  4. Oyster Shell Recycling and Bone Waste Treatment Using Plasma Pyrolysis

    International Nuclear Information System (INIS)

    Chae, Jae Ou; Knak, S P; Knak, A N; Koo, H J; Ravi, V

    2006-01-01

    Investigations on the recycling of oyster shells and bone waste treatment using the plasma pyrolysis technique are presented in this paper. A arc based plasma torch operated at 25 kW was employed for the experiments. Fresh oyster shells were recycled using the plasma torch to convert them to a useful product such as CaO. Bone waste was treated to remove the infectious organic part and to vitrify the inorganic part. The time required for treatment in both cases was significantly short. Significant reduction in the weight of the samples was observed in both cases

  5. Oyster Shell Recycling and Bone Waste Treatment Using Plasma Pyrolysis

    Science.gov (United States)

    Jae, Ou Chae; Knak, S. P.; Knak, A. N.; Koo, H. J.; Ravi, V.

    2006-11-01

    Investigations on the recycling of oyster shells and bone waste treatment using the plasma pyrolysis technique are presented in this paper. A arc based plasma torch operated at 25 kW was employed for the experiments. Fresh oyster shells were recycled using the plasma torch to convert them to a useful product such as CaO. Bone waste was treated to remove the infectious organic part and to vitrify the inorganic part. The time required for treatment in both cases was significantly short. Significant reduction in the weight of the samples was observed in both cases.

  6. Plasma treatment of Seeds: effect on growth, spores and bacterial charge

    Science.gov (United States)

    Ambrico, P. F.; Simek, M.; Morano, M.; Ambrico, M.; Minafra, A.; Prukner, V.; de Miccolis Angelini, R. M.; Trotti, P.

    2016-09-01

    We report on the effect of low temperature plasma treatment on tomato, basil and tobacco commercial seeds. Seeds were treated in filtered ambient air volume, surface and plasma jet DBD at atmospheric pressure Sterile agar substrate, supplemented with a nutrient and vitamin mixture, was used to allow seeds germination in sterilized sealed plastic containers. The seeds were stored in controlled environmental condition (T = 26C, cycle of 14hrs light/10hrs dark condition). Since all the procedure was performed under sterile conditions, only bacteria and fungi carried by seeds could grow. Plasma treatment significantly reduced the presence of bacterial contamination, while some fungi could resist at shortest exposures Seeds germination was then followed by time lapse photography in sterile water on 3MM Whatman paper in a closed container. The effect of plasma treatment was a faster germination time of seeds and emergence of cotyledons, able to start photosynthesis in seedlings.The plasma treated seeds were also sow in a soil/peat moss mixture. Plants were cultivated for about 40 days, showing that plasma induced a faster growth in length and weight with respect to untreated seeds.Furthermore the effect of plasma on seeds surface was studied by SEM imaging. We acknowledge `SELGE' (Puglia) and TACR (TA03010098).

  7. Enhancement of gas sensor response of nanocrystalline zinc oxide for ammonia by plasma treatment

    International Nuclear Information System (INIS)

    Hou, Yue; Jayatissa, Ahalapitiya H.

    2014-01-01

    The effect of oxygen plasma treatment on nanocrystalline ZnO thin film based gas sensor was investigated. ZnO thin films were synthesized on alkali-free glass substrates by a sol–gel process. ZnO thin films were treated with oxygen plasma to change the number of vacancies/defects in ZnO. The effect of oxygen plasma on the structural, electrical, optical and gas sensing properties was investigated as a function of plasma treatment time. The results suggest that the microstructure and the surface morphology can be tuned by oxygen plasma treatment. The optical transmission in the visible range varies after the oxygen plasma treatment. Moreover, it is found that the oxygen plasma has significant impact on the electrical properties of ZnO thin films indicating a variation of resistivity. The oxygen plasma treated ZnO thin film exhibits an enhanced sensing response towards NH 3 in comparison with that of the as-deposited ZnO sensor. When compared with the as-deposited ZnO film, the sensing response was improved by 50% for the optimum oxygen plasma treatment time of 8 min. The selectivity of 8 min plasma treated ZnO sensor was also examined for an important industrial gas mixture of H 2 , CH 4 and NH 3 .

  8. Treatment of mixed wastes by thermal plasma discharges

    International Nuclear Information System (INIS)

    Diaz A, L.V.

    2007-01-01

    The present study has as purpose to apply the technology of thermal plasma in the destruction of certain type of waste generated in the ININ. As first instance, origin, classification and disposition of the radioactive waste generated in the ININ is identified. Once identified the waste, the waste to treat is determined based on: the easiness of treating him with plasma, classification and importance. Later on, a substance or compound settles down (sample model) that serves as indicative of the waste for its physical-chemical characteristics, this is made because in the Thermal Plasma Applications Laboratory is not had the license to work with radioactive material. The sample model and the material to form the vitreous matrix are characterized before and after the treatment in order to evaluating their degradation and vitrification. During the treatment by means of the thermal plasma, the appropriate conditions are determined for the degradation and vitrification of the waste. Also, it is carried out an energy balance in the system to know the capacity to fuse the material depending the transfer of existent heat between the plasma and the material to treat. Obtaining favorable results, it thought about to climb in the project and by this way to help to solve one of the environmental problems in Mexico, as they are it the mixed wastes. (Author)

  9. Thinning and functionalization of few-layer graphene sheets by CF4 plasma treatment

    KAUST Repository

    Shen, Chao

    2012-05-24

    Structural changes of few-layer graphene sheets induced by CF4 plasma treatment are studied by optical microscopy and Raman spectroscopy, together with theoretical simulation. Experimental results suggest a thickness reduction of few-layer graphene sheets subjected to prolonged CF4 plasma treatment while plasma treatment with short time only leads to fluorine functionalization on the surface layer by formation of covalent bonds. Raman spectra reveal an increase in disorder by physical disruption of the graphene lattice as well as functionalization during the plasma treatment. The F/CF3 adsorption and the lattice distortion produced are proved by theoretical simulation using density functional theory, which also predicts p-type doping and Dirac cone splitting in CF4 plasma-treated graphene sheets that may have potential in future graphene-based micro/nanodevices.

  10. Exogenous nitric oxide (NO) generated by NO-plasma treatment modulates osteoprogenitor cells early differentiation

    International Nuclear Information System (INIS)

    Elsaadany, Mostafa; Subramanian, Gayathri; Ayan, Halim; Yildirim-Ayan, Eda

    2015-01-01

    In this study, we investigated whether nitric oxide (NO) generated using a non-thermal plasma system can mediate osteoblastic differentiation of osteoprogenitor cells without creating toxicity. Our objective was to create an NO delivery mechanism using NO-dielectric barrier discharge (DBD) plasma that can generate and transport NO with controlled concentration to the area of interest to regulate osteoprogenitor cell activity. We built a non-thermal atmospheric pressure DBD plasma nozzle system based on our previously published design and similar designs in the literature. The electrical and spectral analyses demonstrated that N 2 dissociated into NO under typical DBD voltage–current characteristics. We treated osteoprogenitor cells (MC3T3-E1) using NO-plasma treatment system. Our results demonstrated that we could control NO concentration within cell culture media and could introduce NO into the intracellular space using NO-plasma treatment with various treatment times. We confirmed that NO-plasma treatment maintained cell viability and did not create any toxicity even with prolonged treatment durations. Finally, we demonstrated that NO-plasma treatment induced early osteogenic differentiation in the absence of pro-osteogenic growth factors/proteins. These findings suggest that through the NO-plasma treatment system we are able to generate and transport tissue-specific amounts of NO to an area of interest to mediate osteoprogenitor cell activity without subsequent toxicity. This opens up the possibility to develop DBD plasma-assisted tissue-specific NO delivery strategies for therapeutic intervention in the prevention and treatment of bone diseases. (paper)

  11. MoO3 trapping layers with CF4 plasma treatment in flash memory applications

    International Nuclear Information System (INIS)

    Kao, Chuyan Haur; Chen, Hsiang; Chen, Su-Zhien; Chen, Chian Yu; Lo, Kuang-Yu; Lin, Chun Han

    2014-01-01

    Highlights: • MoO 3 -based flash memories have been fabricated. • CF4 plasma treatment could enhance good memory performance. • Material analyses confirm that plasma treatment eliminated defects. • Fluorine atoms might fix the dangling bonds. - Abstract: In this research, we used MoO 3 with CF 4 plasma treatment as charge trapping layer in metal-oxide-high-k -oxide-Si-type memory. We analyzed material properties and electrical characteristics with multiple analyses. The plasma treatment could increase the trapping density, reduce the leakage current, expand band gap, and passivate the defect to enhance the memory performance. The MoO 3 charge trapping layer memory with suitable CF 4 plasma treatment is promising for future nonvolatile memory applications

  12. Plasma Adenosine Deaminase Enzyme Reduces with Treatment of ...

    African Journals Online (AJOL)

    olayemitoyin

    Plasma Adenosine Deaminase Enzyme Reduces with Treatment of Pulmonary Tuberculosis in Nigerian Patients: Indication for. Diagnosis and Treatment Monitoring. Ige O.a, Edem V.F.b and Arinola O.G.b,*. aDepartment of Medicine, University of Ibadan, Ibadan, Nigeria b Department of Chemical Pathology,. University of ...

  13. Post-treatment of Plasma-Sprayed Amorphous Ceramic Coatings by Spark Plasma Sintering

    Science.gov (United States)

    Chraska, T.; Pala, Z.; Mušálek, R.; Medřický, J.; Vilémová, M.

    2015-04-01

    Alumina-zirconia ceramic material has been plasma sprayed using a water-stabilized plasma torch to produce free standing coatings. The as-sprayed coatings have very low porosity and are mostly amorphous. The amorphous material crystallizes at temperatures above 900 °C. A spark plasma sintering apparatus has been used to heat the as-sprayed samples to temperatures above 900 °C to induce crystallization, while at the same time, a uniaxial pressure of 80 MPa has been applied to their surface. After such post-treatment, the ceramic samples are crystalline and have very low open porosity. The post-treated material exhibits high hardness and significantly increased flexural strength. The post-treated samples have a microstructure that is best described as nanocomposite with the very small crystallites embedded in an amorphous matrix.

  14. Improvement of ITO properties in green-light-emitting devices by using N2:O2 plasma treatment

    Science.gov (United States)

    Jeon, Hyeonseong; Kang, Seongjong; Oh, Hwansool

    2016-01-01

    Plasma treatment reduces the roughness of the indium-tin-oxide (ITO) interface in organic light emitting diodes (OLEDs). Oxygen gas is typically used in the plasma treatment of conventional OLED devices. However, in this study, nitrogen and oxygen gases were used for surface treatment to improve the properties of ITO. To investigate the improvements resulting from the use of nitrogen and oxygen plasma treatment, fabricated green OLED devices. The device's structure was ITO (600 Å) / α-NPD (500 Å) / Alq3:NKX1595 (400 Å:20 Å,5%) / LiF / Al:Li (10 Å:1000 Å). The plasma treatment was performed in a capacitive coupled plasma (CCP) type plasma treatment chamber similar to that used in the traditional oxygen plasma treatment. The results of this study show that the combined nitrogen/oxygen plasma treatment increases the lifetime, current density, and brightness of the fabricated OLED while decreasing the operating voltage relative to those of OLEDs fabricated using oxygen plasma treatment.

  15. Remotely controlled reagent feed system for mixed waste treatment Tank Farm

    International Nuclear Information System (INIS)

    Dennison, D.K.; Bowers, J.S.; Reed, R.K.

    1995-02-01

    LLNL has developed and installed a large-scale. remotely controlled, reagent feed system for use at its existing aqueous low-level radioactive and mixed waste treatment facility (Tank Farm). LLNL's Tank Farm is used to treat aqueous low-level and mixed wastes prior to vacuum filtration and to remove the hazardous and radioactive components before it is discharged to the City of Livermore Water Reclamation Plant (LWRP) via the sanitary sewer in accordance with established limits. This reagent feed system was installed to improve operational safety and process efficiency by eliminating the need for manual handling of various reagents used in the aqueous waste treatment processes. This was done by installing a delivery system that is controlled either remotely or locally via a programmable logic controller (PLC). The system consists of a pumping station, four sets of piping to each of six 6,800-L (1,800-gal) treatment tanks, air-actuated discharge valves at each tank, a pH/temperature probe at each tank, and the PLC-based control and monitoring system. During operation, the reagents are slowly added to the tanks in a preprogrammed and controlled manner while the pH, temperature, and liquid level are continuously monitored by the PLC. This paper presents the purpose of this reagent feed system, provides background related to LLNL's low-level/mixed waste treatment processes, describes the major system components, outlines system operation, and discusses current status and plans

  16. Long-wave plasma radiofrequency ablation for treatment of xanthelasma palpebrarum.

    Science.gov (United States)

    Baroni, Adone

    2018-03-01

    Xanthelasma palpebrarum is the most common type of xanthoma affecting the eyelids. It is characterized by asymptomatic soft yellowish macules, papules, or plaques over the upper and lower eyelids. Many treatments are available for management of xanthelasma palpebrarum, the most commonly used include surgical excision, ablative CO 2 or erbium lasers, nonablative Q-switched Nd:YAG laser, trichloroacetic acid peeling, and radiofrequency ablation. This study aims to evaluate the effectiveness of RF ablation in the treatment of xanthelasma palpebrarum, with D.A.S. Medical portable device (Technolux, Italia), a radiofrequency tool working with long-wave plasma energy and without anesthesia. Twenty patients, 15 female and 5 male, affected by xanthelasma palpebrarum, were enrolled for long-wave plasma radiofrequency ablation treatment. The treatment consisted of 3/4 sessions that were carried out at intervals of 30 days. Treatments were well tolerated by all patients with no adverse effects and optimal aesthetic results. The procedure is very fast and can be performed without anesthesia because of the low and tolerable pain stimulation. Long-wave plasma radiofrequency ablation is an effective option for treatment of xanthelasma palpebrarum and adds an additional tool to the increasing list of medical devices for aesthetic treatments. © 2018 Wiley Periodicals, Inc.

  17. Thermal plasma treatment of stormwater sediments: comparison between DC non-transferred and partially transferred arc plasma.

    Science.gov (United States)

    Li, O L; Guo, Y; Chang, J S; Saito, N

    2015-01-01

    The disposal of enormous amount of stormwater sediments becomes an emerging worldwide problem. Stormwater sediments are contaminated by heavy metals, phosphorus, trace organic and hydrocarbons, and cannot be disposed without treatment. Thermal plasma decontamination technology offers a high decomposition rate in a wide range of toxic organic compound and immobilization of heavy metal. In this study, we compared the treatment results between two different modes of thermal plasma: (1) a non-transferred direct current (DC) mode and (2) a partial DC-transferred mode. The reductions of total organic carbon (TOC) were, respectively, 25% and 80% for non-transferred and partially transferred plasma, respectively. Most of the toxic organic compounds were converted majorly to CxHy. In the gaseous emission, the accumulated CxHy, CO, NO and H2S were significantly higher in partially transferred mode than in non-transferred mode. The solid analysis demonstrated that the concentrations of Ca and Fe were enriched by 500% and 40%, respectively. New chemical compositions such as KAlSi3O8, Fe3O4, NaCl and CaSO4 were formed after treatment in partially DC-transferred mode. The power inputs were 1 and 10 kW, respectively, for non-transferred DC mode and a partially DC-transferred mode. With a lower energy input, non-transferred plasma treatment can be used for decontamination of sediments with low TOC and metal concentration. Meanwhile, partially transferred thermal plasma with higher energy input is suitable for treating sediments with high TOC percentage and volatile metal concentration. The organic compounds are converted into valuable gaseous products which can be recycled as an energy source.

  18. Sterilization of Fusarium oxysporum by treatment of non-thermalequilibrium plasma in nutrient solution

    Science.gov (United States)

    Yasui, Shinji; Seki, Satoshi; Yoshida, Ryohei; Shoji, Kazuhiro; Terazoe, Hitoshi

    2016-01-01

    Fusarium wilt of spinach due to F. oxysporum infection is one of the most destructive root diseases in hydroponics in factories using the nutrient film technique. We investigated new technologies for the sterilization of microconidia of F. oxysporum by using a non-thermalequilibrium plasma treatment method in nutrient solution. Specifically, we investigated the sterilization capabilities of five types of gas (air, O2, N2, He, and Ar) used for plasma generation. The highest sterilization capability was achieved by using O2 plasma. However, ozone, which causes growth inhibition, was then generated and released into the atmosphere. The sterilization capability was lower when N2 or air plasma was used in the nutrient solution. It was confirmed that sterilization can be achieved by plasma treatment using inert gases that do not generate ozone; therefore, we determined that Ar plasma is the most preferable. In addition, we investigated the sterilization capabilities of other factors associated with Ar plasma generation, without direct plasma treatment. However, none of these other factors, which included Ar bubbling, pH reduction, increased temperature, hydrogen peroxide concentration, and UV radiation, could completely reproduce the results of direct plasma treatment. We assume that radicals such as O or OH may contribute significantly to the sterilization of microconidia of F. oxysporum in a nutrient solution.

  19. Plasma jet array treatment to improve the hydrophobicity of contaminated HTV silicone rubber

    Science.gov (United States)

    Zhang, Ruobing; Han, Qianting; Xia, Yan; Li, Shuang

    2017-10-01

    An atmospheric-pressure plasma jet array specially designed for HTV silicone rubber treatment is reported in this paper. Stable plasma containing highly energetic active particles was uniformly generated in the plasma jet array. The discharge pattern was affected by the applied voltage. The divergence phenomenon was observed at low gas flow rate and abated when the flow rate increased. Temperature of the plasma plume is close to room temperature which makes it feasible for temperature-sensitive material treatment. Hydrophobicity of contaminated HTV silicone rubber was significantly improved after quick exposure of the plasma jet array, and the effective treatment area reached 120 mm × 50 mm (length × width). Reactive particles in the plasma accelerate accumulation of the hydrophobic molecules, namely low molecular weight silicone chains, on the contaminated surface, which result in a hydrophobicity improvement of the HTV silicone rubber.

  20. The Effect of Plasma Surface Treatment on a Porous Green Ceramic Film with Polymeric Binder Materials

    International Nuclear Information System (INIS)

    Yun Jeong Woo

    2013-01-01

    To reduce time and energy during thermal binder removal in the ceramic process, plasma surface treatment was applied before the lamination process. The adhesion strength in the lamination films was enhanced by oxidative plasma treatment of the porous green ceramic film with polymeric binding materials. The oxygen plasma characteristics were investigated through experimental parameters and weight loss analysis. The experimental results revealed the need for parameter analysis, including gas material, process time, flow rate, and discharge power, and supported a mechanism consisting of competing ablation and deposition processes. The weight loss analysis was conducted for cyclic plasma treatment rather than continuous plasma treatment for the purpose of improving the film's permeability by suppressing deposition of the ablated species. The cyclic plasma treatment improved the permeability compared to the continuous plasma treatment.

  1. Surface improvement of EPDM rubber by plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Moraes, J H [LPP, Physics Department, ITA, CTA, Pca Mal Eduardo Gomes 50, 12228-900 Sao Jose dos Campos, S.P. (Brazil); Silva Sobrinho, A S da [LPP, Physics Department, ITA, CTA, Pca Mal Eduardo Gomes 50, 12228-900 Sao Jose dos Campos, S.P. (Brazil); Maciel, H S [LPP, Physics Department, ITA, CTA, Pca Mal Eduardo Gomes 50, 12228-900 Sao Jose dos Campos, S.P. (Brazil); Dutra, J C N [EBO, Chemistry Division, IAE, CTA, Pca Mal Eduardo Gomes 50, 12228-904 Sao Jose dos Campos, S.P. (Brazil); Massi, M [LPP, Physics Department, ITA, CTA, Pca Mal Eduardo Gomes 50, 12228-900 Sao Jose dos Campos, S.P. (Brazil); Mello, S A C [EBO, Chemistry Division, IAE, CTA, Pca Mal Eduardo Gomes 50, 12228-904 Sao Jose dos Campos, S.P. (Brazil); Schreiner, W H [Physics Department, UFPR, Centro Politecnico, 80060-000 Curitiba, P.R. (Brazil)

    2007-12-21

    The surface of ethylene-propylene-diene monomer (EPDM) rubber was treated in N{sub 2}/Ar and N{sub 2}/H{sub 2}/Ar RF plasmas in order to achieve similar or better adhesion properties than NBR (acrylonitrile-butadiene) rubber, nowadays used as thermal protection of rocket chambers. The surface properties were studied by contact angle measurements and by x-ray photoelectron spectroscopy (XPS). The treated surfaces of the EPDM samples show a significant reduction in the contact angle measurement, indicating an increase in the surface energy. XPS analyses show the incorporation of polar nitrogen- and oxygen-containing groups on the rubber surface. After plasma treatment the presence of oxygen is observed due to surface oxidation which occurs when the samples are exposed to the air. Atomic force microscopy and scanning electron microscopy analyses indicate a decrease in the EPDM rubber surface roughness, promoted by surface etching during the plasma treatment. Strength tests indicate improvement of about 30% and 110% in the adhesion strength for the plasma treated EPDM/polyurethane liner interface and for the EPDM/epoxy adhesive interface, respectively. The adhesion strength of the EPDM/liner is similar to that obtained for the NBR/liner, which indicates that EPDM rubber can safely be used as thermal protection of the solid propellant rocket chamber.

  2. Surface improvement of EPDM rubber by plasma treatment

    International Nuclear Information System (INIS)

    Moraes, J H; Silva Sobrinho, A S da; Maciel, H S; Dutra, J C N; Massi, M; Mello, S A C; Schreiner, W H

    2007-01-01

    The surface of ethylene-propylene-diene monomer (EPDM) rubber was treated in N 2 /Ar and N 2 /H 2 /Ar RF plasmas in order to achieve similar or better adhesion properties than NBR (acrylonitrile-butadiene) rubber, nowadays used as thermal protection of rocket chambers. The surface properties were studied by contact angle measurements and by x-ray photoelectron spectroscopy (XPS). The treated surfaces of the EPDM samples show a significant reduction in the contact angle measurement, indicating an increase in the surface energy. XPS analyses show the incorporation of polar nitrogen- and oxygen-containing groups on the rubber surface. After plasma treatment the presence of oxygen is observed due to surface oxidation which occurs when the samples are exposed to the air. Atomic force microscopy and scanning electron microscopy analyses indicate a decrease in the EPDM rubber surface roughness, promoted by surface etching during the plasma treatment. Strength tests indicate improvement of about 30% and 110% in the adhesion strength for the plasma treated EPDM/polyurethane liner interface and for the EPDM/epoxy adhesive interface, respectively. The adhesion strength of the EPDM/liner is similar to that obtained for the NBR/liner, which indicates that EPDM rubber can safely be used as thermal protection of the solid propellant rocket chamber

  3. Surface improvement of EPDM rubber by plasma treatment

    Science.gov (United States)

    Moraes, J. H.; da Silva Sobrinho, A. S.; Maciel, H. S.; Dutra, J. C. N.; Massi, M.; Mello, S. A. C.; Schreiner, W. H.

    2007-12-01

    The surface of ethylene-propylene-diene monomer (EPDM) rubber was treated in N2/Ar and N2/H2/Ar RF plasmas in order to achieve similar or better adhesion properties than NBR (acrylonitrile-butadiene) rubber, nowadays used as thermal protection of rocket chambers. The surface properties were studied by contact angle measurements and by x-ray photoelectron spectroscopy (XPS). The treated surfaces of the EPDM samples show a significant reduction in the contact angle measurement, indicating an increase in the surface energy. XPS analyses show the incorporation of polar nitrogen- and oxygen-containing groups on the rubber surface. After plasma treatment the presence of oxygen is observed due to surface oxidation which occurs when the samples are exposed to the air. Atomic force microscopy and scanning electron microscopy analyses indicate a decrease in the EPDM rubber surface roughness, promoted by surface etching during the plasma treatment. Strength tests indicate improvement of about 30% and 110% in the adhesion strength for the plasma treated EPDM/polyurethane liner interface and for the EPDM/epoxy adhesive interface, respectively. The adhesion strength of the EPDM/liner is similar to that obtained for the NBR/liner, which indicates that EPDM rubber can safely be used as thermal protection of the solid propellant rocket chamber.

  4. Remote ischemic conditioning: A treatment for vascular cognitive impairment

    Directory of Open Access Journals (Sweden)

    David C Hess

    2015-01-01

    Full Text Available There is a strong link between hypoperfusion and white matter (WM damage in patients with leukoaraiosis and vascular cognitive impairment (VCI. Other than management of vascular risk factors, there is no treatment for WM damage and VCI that delays progression of the disease process to dementia. Observational studies suggest that exercise may prevent or slow down the progression of Alzheimer′s disease (AD and VCI. However, getting patients to exercise is challenging, especially with advancing age and disability. Remote ischemic conditioning, an "exercise equivalent," allows exercise to be given with a "device" at home for long periods of time. Since remote ischemic conditioning (RIC increases cerebral blood flow (CBF in preclinical studies and in humans, RIC may be an ideal therapy to treat VCI and WM disease and perhaps even sporadic AD. By using magnetic resonance imaging (MRI imaging of WM progression, a sample size in the range of about 100 subjects per group could determine if RIC has activity in WM disease and VCI.

  5. Formation and treatment of materials with microwave plasmas

    International Nuclear Information System (INIS)

    Camps, E.; Garcia, J.L.; Romero, S.

    1996-01-01

    The plasmas technology occupies day by day a more important place in the development of new materials, with properties superior to those developed with conventional techniques. Some processes have already been established and are exploited to industrial level. These basically include the plasmas that are generated within discharges of continuous current, as well as those with alternate fields of frequency in the range of radiofrequency (13.6 MHz usually). Nevertheless, the need to increase the efficiency of the work of plasma used, has given as a result the study of plasmas generated to higher frequencies (2.45 GHz), known as m icrowave plasmas . An important development in the treatment of materials at low pressures and temperature, are those known as microwave discharges of the type of cyclotron resonances of the electrodes, that is, a discharge submerged into a magnetic field. These discharges have the advantage of not including electrodes, they can generate plasmas with higher density of ionized and excited particles, can work under low pressures (∼ 1m Torr), and have higher ionizing coefficient (∼ 1%), than other kind of discharge. With the aim to study the accuracy in work of the microwave discharges in magnetic fields, the National Institute of Nuclear Research (ININ) designed and built a gadget of this type which is actually used in the formation of thin films of the diamond type and of amorphous silicon. At the same time, experiments for nitrating steels, in order to establish the mechanisms that would allow to build samples, with surfaces stronger and resistant to corrosion, at short-time treatments, than those needed, when using other kinds of discharges. (Author)

  6. A Study of Atmospheric Plasma Treatment on Surface Energetics of Carbon Fibers

    International Nuclear Information System (INIS)

    Park, Soo Jin; Chang, Yong Hwan; Moon, Cheol Whan; Suh, Dong Hack; Im, Seung Soon; Kim, Yeong Cheol

    2010-01-01

    In this study, the atmospheric plasma treatment with He/O 2 was conducted to modify the surface chemistry of carbon fibers. The effects of plasma treatment parameters on the surface energetics of carbon fibers were experimentally investigated with respect to gas flow ratio, power intensity, and treatment time. Surface characteristics of the carbon fibers were determined by X-ray photoelectron spectroscopy (XPS), scanning electron microscope (SEM), Fourier transform infrared (FT-IR), Zeta-potential, and contact angle measurements. The results indicated that oxygen plasma treatment led to a large amount of reactive functional groups onto the fiber surface, and these groups can form together as physical intermolecular bonding to improve the surface wettability with a hydrophilic polymer matrix

  7. Multi-mode remote participation on the GOLEM tokamak

    International Nuclear Information System (INIS)

    Svoboda, V.; Huang, B.; Mlynar, J.; Pokol, G.I.; Stoeckel, J.; Vondrasek, G.

    2011-01-01

    The GOLEM tokamak (formerly CASTOR) at Czech Technical University is demonstrated as an educational tokamak device for domestic and foreign students. Remote participation of several foreign universities (in Hungary, Belgium, Poland and Costa Rica) has been successfully performed. A unique feature of the GOLEM device is functionality which enables complete remote participation and control, solely through Internet access. Basic remote control is possible either in online mode via WWW/SSH interface or offline mode using batch processing code. Discharge parameters are set in each case to configure the tokamak for a plasma discharge. Using the X11 protocol it is possible to control in an advanced mode many technological aspects of the tokamak operation, including: i) vacuum pump initialization, ii) chamber baking, iii) charging of power supplies, iv) plasma discharge scenario, v) data acquisition system.

  8. Plasma assisted surface treatments of biomaterials.

    Science.gov (United States)

    Minati, L; Migliaresi, C; Lunelli, L; Viero, G; Dalla Serra, M; Speranza, G

    2017-10-01

    The biocompatibility of an implant depends upon the material it is composed of, in addition to the prosthetic device's morphology, mechanical and surface properties. Properties as porosity and pore size should allow, when required, cells penetration and proliferation. Stiffness and strength, that depend on the bulk characteristics of the material, should match the mechanical requirements of the prosthetic applications. Surface properties should allow integration in the surrounding tissues by activating proper communication pathways with the surrounding cells. Bulk and surface properties are not interconnected, and for instance a bone prosthesis could possess the necessary stiffness and strength for the application omitting out prerequisite surface properties essential for the osteointegration. In this case, surface treatment is mandatory and can be accomplished using various techniques such as applying coatings to the prosthesis, ion beams, chemical grafting or modification, low temperature plasma, or a combination of the aforementioned. Low temperature plasma-based techniques have gained increasing consensus for the surface modification of biomaterials for being effective and competitive compared to other ways to introduce surface functionalities. In this paper we review plasma processing techniques and describe potentialities and applications of plasma to tailor the interface of biomaterials. Copyright © 2017 Elsevier B.V. All rights reserved.

  9. Chemical analysis of plasma-assisted antimicrobial treatment on cotton

    International Nuclear Information System (INIS)

    Kan, C W; Lam, Y L; Yuen, C W M; Luximon, A; Lau, K W; Chen, K S

    2013-01-01

    This paper explores the use of plasma treatment as a pretreatment process to assist the application of antimicrobial process on cotton fabric with good functional effect. In this paper, antimicrobial finishing agent, Microfresh Liquid Formulation 9200-200 (MF), and a binder (polyurethane dispersion, Microban Liquid Formulation R10800-0, MB) will be used for treating the cotton fabric for improving the antimicrobial property and pre-treatment of cotton fabric by plasma under atmospheric pressure will be employed to improve loading of chemical agents. The chemical analysis of the treated cotton fabric will be conducted by Fourier transform Infrared Spectroscopy.

  10. Diffuse plasma treatment of polyamide 66 fabric in atmospheric pressure air

    International Nuclear Information System (INIS)

    Li, Lee; Peng, Ming-yang; Teng, Yun; Gao, Guozhen

    2016-01-01

    Graphical abstract: - Highlights: • A cylindrical-electrode nanosecond-pulse diffuse-discharge reactor is presented. • Large-scale non-thermal plasmas were generated steadily in atmospheric air. • Treated PA66 fabric is etched with oxygen-containing group increases. • The hydrophily of treated PA66 fabric improves effectively. • Extending the treatment time is a method to reduce the treatment frequency. - Abstract: The polyamide 66 (PA66) fabrics are hard to be colored or glued in industrial production due to the poor hydrophily. Diffuse plasma is a kind of non-thermal plasma generated at atmospheric pressure in air. This paper proposes that large-scale diffuse plasma generated between wire electrodes can be employed for improving the hydrophily of PA66 fabrics. A repetitive nanosecond-pulse diffuse-discharge reactor using a cylindrical wire electrode configuration is presented, which can generate large-scale non-thermal plasmas steadily at atmospheric pressure without any barrier dielectric. Then the reactor is used to treat PA66 fabrics in different discharge conditions. The hydrophilicity property of modified PA66 is measured by wicking test method. The modified PA66 is also analyzed by atomic force microscopy (AFM) and X-ray photoelectron spectroscopy (XPS) to prove the surface changes in physical microstructure and chemical functional groups, respectively. What's more, the effects of treatment time and treatment frequency on surface modification are investigated and discussed.

  11. Air plasma treatment of liquid covered tissue: long timescale chemistry

    Science.gov (United States)

    Lietz, Amanda M.; Kushner, Mark J.

    2016-10-01

    Atmospheric pressure plasmas have shown great promise for the treatment of wounds and cancerous tumors. In these applications, the sample is usually covered by a thin layer of a biological liquid. The reactive oxygen and nitrogen species (RONS) generated by the plasma activate and are processed by the liquid before the plasma produced activation reaches the tissue. The synergy between the plasma and the liquid, including evaporation and the solvation of ions and neutrals, is critical to understanding the outcome of plasma treatment. The atmospheric pressure plasma sources used in these procedures are typically repetitively pulsed. The processes activated by the plasma sources have multiple timescales—from a few ns during the discharge pulse to many minutes for reactions in the liquid. In this paper we discuss results from a computational investigation of plasma-liquid interactions and liquid phase chemistry using a global model with the goal of addressing this large dynamic range in timescales. In modeling air plasmas produced by a dielectric barrier discharge over liquid covered tissue, 5000 voltage pulses were simulated, followed by 5 min of afterglow. Due to the accumulation of long-lived species such as ozone and N x O y , the gas phase dynamics of the 5000th discharge pulse are different from those of the first pulse, particularly with regards to the negative ions. The consequences of applied voltage, gas flow, pulse repetition frequency, and the presence of organic molecules in the liquid on the gas and liquid reactive species are discussed.

  12. Argon plasma treatment to enhance the electrochemical reactivity of screen-printed carbon surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Ghamouss, F.; Luais, E. [Universite de Nantes, Faculte des Sciences et des Techniques, Chimie et Interdisciplinarite: Synthese, Analyse, Modelisation (CEISAM), UMR CNRS no 6230, 2, rue de la Houssiniere, BP 92208, 44322 NANTES Cedex 3 (France); Universite de Nantes, Institut des Materiaux Jean Rouxel IMN - CNRS, 2 rue de la Houssiniere, BP 32229, 44322 Nantes Cedex 3 (France); Thobie-Gautier, C. [Universite de Nantes, Faculte des Sciences et des Techniques, Chimie et Interdisciplinarite: Synthese, Analyse, Modelisation (CEISAM), UMR CNRS no 6230, 2, rue de la Houssiniere, BP 92208, 44322 NANTES Cedex 3 (France); Tessier, P.-Y. [Universite de Nantes, Faculte des Sciences et des Techniques, Chimie et Interdisciplinarite: Synthese, Analyse, Modelisation (CEISAM), UMR CNRS no 6230, 2, rue de la Houssiniere, BP 92208, 44322 NANTES Cedex 3 (France); Universite de Nantes, Institut des Materiaux Jean Rouxel IMN - CNRS, 2 rue de la Houssiniere, BP 32229, 44322 Nantes Cedex 3 (France); Boujtita, M. [Universite de Nantes, Faculte des Sciences et des Techniques, Chimie et Interdisciplinarite: Synthese, Analyse, Modelisation (CEISAM), UMR CNRS no 6230, 2, rue de la Houssiniere, BP 92208, 44322 NANTES Cedex 3 (France)], E-mail: mohammed.boujtita@univ-nantes.fr

    2009-04-15

    Radiofrequency argon plasma was used for screen-printed carbon electrodes (SPCE) surface treatment. The cyclic voltammetry of ferri/ferrocyanide as redox couple showed a remarkable improvement of the electrochemical reactivity of the SPCE after the plasma treatment. The effect of the plasma growth conditions on the efficiency of the treatment procedure was evaluated in term of electrochemical reactivity of the SPCE surface. The electrochemical study showed that the electrochemical reactivity of the treated electrodes was strongly dependant on radiofrequency power, treatment time and argon gas pressure. X-ray photoelectron spectroscopy (XPS) analysis showed a considerable evolution on the surface chemistry of the treated electrodes. Our results clearly showed that the argon plasma treatment induces a significant increase in the C{sub sp2}/C{sub sp3} ratio. The scanning electron micrograph (SEM) also showed a drastic change on the surface morphology of the treated SPCEs.

  13. Non-equilibrium nitrogen DC-arc plasma treatment of TiO2 nanopowder.

    Science.gov (United States)

    Suzuki, Yoshikazu; Gonzalez-Aguilar, José; Traisnel, Noel; Berger, Marie-Hélène; Repoux, Monique; Fulcheri, Laurent

    2009-01-01

    Non-equilibrium nitrogen DC-arc plasma treatment of a commercial TiO2 anatase nanopowder was examined to obtain nitrogen-doped TiO2. By using a non-thermal discharge at low current (150 mA) and high voltage (1200 V) using pure N2 gas, light yellowish-gray TiO2 powder was successfully obtained within a short period of 5-10 min. XPS and TEM-EELS studies confirmed the existence of doped nitrogen. Due to the relatively mild conditions (plasma power of 180 W), metastable anatase structure and fine crystallite size of TiO2 (ca. 10 nm) were maintained after the plasma treatment. The in-flight powder treatment system used in this study is promising for various type of powder treatment.

  14. Plasma treatment for producing electron emitters

    Science.gov (United States)

    Coates, Don Mayo; Walter, Kevin Carl

    2001-01-01

    Plasma treatment for producing carbonaceous field emission electron emitters is disclosed. A plasma of ions is generated in a closed chamber and used to surround the exposed surface of a carbonaceous material. A voltage is applied to an electrode that is in contact with the carbonaceous material. This voltage has a negative potential relative to a second electrode in the chamber and serves to accelerate the ions toward the carbonaceous material and provide an ion energy sufficient to etch the exposed surface of the carbonaceous material but not sufficient to result in the implantation of the ions within the carbonaceous material. Preferably, the ions used are those of an inert gas or an inert gas with a small amount of added nitrogen.

  15. Study of Ag and PE interface after plasma treatment

    Czech Academy of Sciences Publication Activity Database

    Macková, Anna; Malinský, Petr; Bočan, Jiří; Švorčík, V.; Pavlík, J.; Strýhal, Z.; Sajdl, P.

    2008-01-01

    Roč. 5, č. 4 (2008), s. 964-967 ISSN 1862-6351. [9th International workshop on plasma based ion implantation and deposition. Leipzig, 02.09.2007-06.09.2007] R&D Projects: GA MŠk(CZ) LC06041 Institutional research plan: CEZ:AV0Z10480505 Keywords : RBS and AFM study * metal-polymer interface * plasma treatment Subject RIV: JJ - Other Materials

  16. Influence of ethylene glycol pretreatment on effectiveness of atmospheric pressure plasma treatment of polyethylene fibers

    International Nuclear Information System (INIS)

    Wen Ying; Li Ranxing; Cai Fang; Fu Kun; Peng Shujing; Jiang Qiuran; Yao Lan; Qiu Yiping

    2010-01-01

    For atmospheric pressure plasma treatments, the results of plasma treatments may be influenced by liquids adsorbed into the substrate. This paper studies the influence of ethylene glycol (EG) pretreatment on the effectiveness of atmospheric plasma jet (APPJ) treatment of ultrahigh molecular weight polyethylene (UHMWPE) fibers with 0.31% and 0.42% weight gain after soaked in EG/water solution with concentration of 0.15 and 0.3 mol/l for 24 h, respectively. Scanning electron microscopy (SEM) shows that the surface of fibers pretreated with EG/water solution does not have observable difference from that of the control group. The X-ray photoelectron spectroscopy (XPS) results show that the oxygen concentration on the surface of EG-pretreated fibers is increased less than the plasma directly treated fibers. The interfacial shear strength (IFSS) of plasma directly treated fibers to epoxy is increased almost 3 times compared with the control group while that of EG-pretreated fibers to epoxy does not change except for the fibers pretreated with lower EG concentration and longer plasma treatment time. EG pretreatment reduces the water contact angle of UHMWPE fibers. In conclusion, EG pretreatment can hamper the effect of plasma treatment of UHMWPE fibers and therefore longer plasma treatment duration is required for fibers pretreated with EG.

  17. Plasma Cell Neoplasms (Including Multiple Myeloma) Treatment (PDQ®)—Patient Version

    Science.gov (United States)

    Plasma cell neoplasms occur when abnormal plasma cells or myeloma cells form tumors in the bones or soft tissues of the body. Multiple myeloma, plasmacytoma, lymphoplasmacytic lymphoma, and monoclonal gammopathy of undetermined significance (MGUS) are different types of plasma cell neoplasms. Find out about risk factors, symptoms, diagnostic tests, prognosis, and treatment for these diseases.

  18. A Study on the Effect of Plasma Treatment for Waste Wood Biocomposites

    Directory of Open Access Journals (Sweden)

    MiMi Kim

    2013-01-01

    Full Text Available The surface modification of wood powder by atmospheric pressure plasma treatment was investigated. The composites were manufactured using wood powder and polypropylene (wood powder: polypropylene = 55 wt% : 45 wt%. Atmospheric pressure plasma treatment was applied under the condition of 3 KV, 17±1 KHz, 2 g/min. Helium was used as the carrier gas and hexamethyl-disiloxane (HMDSO as the monomer to modify the surface property of the waste wood biocomposites by plasma polymerization. The tensile strengths of untreated waste wood powder (W3 and single species wood powder (S3 were about 18.5 MPa and 21.5 MPa while those of plasma treated waste wood powder (PW3 and plasma treated single species wood powder (PS3 were about 21.2 MPa and 23.4 MPa, respectively. Tensile strengths of W3 and S3 were improved by 14.6% and 8.8%, respectively. From the analyses of mechanical properties and morphology, we conclude that the interfacial bonding of polypropylene and wood powder can be improved by atmospheric pressure plasma treatment.

  19. Effects of oxygen plasma treatment on domestic aramid fiber III reinforced bismaleimide composite interfacial properties

    Science.gov (United States)

    Shi, Chen; Wang, Jing; Chen, Ping; Feng, Jiayue; Cui, Jinyuan; Yang, Faze

    2017-12-01

    Domestic Aramid Fiber III (DAF III) was modified by oxygen plasma treatment. The fiber surface characteristics was observed by Scanning Electron Microscopy. The results showed that oxygen plasma treatment changed surface morphologies. The effects of oxygen plasma treatment on DAF III reinforced bismaleimides (BMI) composite bending and interfacial properties were investigated, respectively. The ILSS value increased from 49.3 MPa to 56.0 MPa (by 13.5%) after oxygen plasma treatment. The bending strength changed a little. Furthermore, the composite rupture mode changed from interfacial rupture to fiber or resin bulk rupture.

  20. Treatment with cinacalcet increases plasma sclerostin concentration in hemodialysis patients with secondary hyperparathyroidism.

    Science.gov (United States)

    Kuczera, Piotr; Adamczak, Marcin; Więcek, Andrzej

    2016-11-15

    Sclerostin is a paracrine acting factor, which is expressed in the osteocytes and articular chondrocytes. Sclerostin decreases the osteoblast-related bone formation through the inhibition of the Wnt/β-catenin pathway. Osteocytes also express the Calcium sensing receptor which is a target for cinacalcet. The aim of this study was to assess the influence of six-month cinacalcet treatment on plasma sclerostin concentration in hemodialysed patients with secondary hyperparathyroidism (sHPT). In 58 hemodialysed patients with sHPT (PTH > 300 pg/ml) plasma sclerostin and serum PTH, calcium and phosphate concentrations were assessed before the first dose of cinacalcet and after 3 and 6 months of treatment. Serum PTH concentration decreased after 3 and 6 month of treatment from 1138 (931-1345) pg/ml to 772 (551-992) pg/ml and to 635 (430-839) pg/ml, respectively. Mean serum calcium and phosphate concentrations remained stable. Plasma sclerostin concentration increased after 3 and 6 months of treatment from 1.66 (1.35-1.96) ng/ml, to 1.77 (1.43-2.12) ng/ml and to 1.87 (1.50-2.25) ng/ml, respectively. In 42 patients with cinacalcet induced serum PTH decrease plasma sclerostin concentration increased after 3 and 6 months of treatment from 1.51 (1.19-1.84) ng/ml to 1.59 (1.29-1.89) ng/ml and to 1.75 (1.42-2.01) ng/ml, respectively. Contrary, in the 16 patients without cinacalcet induced serum PTH decrease plasma sclerostin concentration was stable. Plasma sclerostin concentrations correlated inversely with serum PTH concentrations at the baseline and also after 6 months of treatment. 1. In hemodialysed patients with secondary hyperparathyroidism treatment with cinacalcet increases plasma sclerostin concentration 2. This effect seems to be related to decrease of serum PTH concentration.

  1. Modification of SrTiO3 single-crystalline surface after plasma flow treatment

    Energy Technology Data Exchange (ETDEWEB)

    Levin, Alexandr A.; Weissbach, Torsten; Leisegang, Tilmann; Meyer, Dirk C. [Institut fuer Strukturphysik, Technische Universitaet Dresden, 01062 Dresden (Germany); Kulagin, Nikolay A. [Kharkiv National University for Radioelectronics, av. Shakespeare 6-48, 61045 Kharkiv (Ukraine); Langer, Enrico [Institut fuer Festkoerperphysik, Technische Universitaet Dresden, 01062 Dresden (Germany)

    2009-07-01

    Surface of pure and transition metal-doped SrTiO3(STO) single crystals before and after hydrogen plasma-flow treatment (energy of 5..20 J/cm2) is investigated by wide-angle X-ray diffraction (WAXRD), fluorescence X-ray absorption near edge structure (XANES) and scanning electron microscopy (SEM) techniques. Plasma treatment results in the formation of a textured polycrystalline layer at the surface of the single-crystalline samples with different orientation. The formation of the quasi-ordered structures consisting of nanoscale-sized pyramids is observed by SEM. XANES evidences the change of the valency of the part of Ti4+ to Ti3+ due to the plasma treatment. The data obtained together with results of X-ray spectroscopy measurements gives evidences of the change of stoichiometry of the STO samples resulting in a change of their physical properties after plasma treatment.

  2. Atmospheric Pressure Plasma Treatment for Grey Cotton Knitted Fabric

    Directory of Open Access Journals (Sweden)

    Chi-wai Kan

    2018-01-01

    Full Text Available 100% grey cotton knitted fabric contains impurities and yellowness and needs to be prepared for processing to make it suitable for coloration and finishing. Therefore, conventionally 100% grey cotton knitted fabric undergoes a process of scouring and bleaching, which involves the use of large amounts of water and chemicals, in order to remove impurities and yellowness. Due to increased environmental awareness, pursuing a reduction of water and chemicals is a current trend in textile processing. In this study, we explore the possibility of using atmospheric pressure plasma as a dry process to treat 100% grey cotton knitted fabric (single jersey and interlock before processing. Experimental results reveal that atmospheric pressure plasma treatment can effectively remove impurities from 100% grey cotton knitted fabrics and significantly improve its water absorption property. On the other hand, if 100% grey cotton knitted fabrics are pretreated with plasma and then undergo a normal scouring process, the treatment time is reduced. In addition, the surface morphological and chemical changes in plasma-treated fabrics were studied and compared with the conventionally treated fabrics using scanning electron microscope (SEM, Fourier-transform infrared spectroscopy-attenuated total reflection (FTIR-ATR and X-ray photoelectron spectroscopy (XPS. The decrease in carbon content, as shown in XPS, reveal the removal of surface impurities. The oxygen-to-carbon (O/C ratios of the plasma treated knitted fabrics reveal enhanced hydrophilicity.

  3. Mesotherapy and platelet-rich plasma for the treatment of hair loss

    Directory of Open Access Journals (Sweden)

    Gonca Gökdemir

    2014-06-01

    Full Text Available Hair loss often significant impact on quality of life, including loss of self-confidence and self-esteem. However, treatment of hair loss is frustrating for both patients and doctors. Mesotherapy and platelet-rich plasma have recently become advertised method for the treatment of different types of alopecia. The efficacy of these methods in hair loss is controversial in view of lack of documented evidence. It was reviewed the data about the efficacy, safety and treatment protocols of mesotherapy and platelet-rich plasma in patients with hair loss.

  4. Air plasma treatment of liquid covered tissue: long timescale chemistry

    International Nuclear Information System (INIS)

    Lietz, Amanda M; Kushner, Mark J

    2016-01-01

    Atmospheric pressure plasmas have shown great promise for the treatment of wounds and cancerous tumors. In these applications, the sample is usually covered by a thin layer of a biological liquid. The reactive oxygen and nitrogen species (RONS) generated by the plasma activate and are processed by the liquid before the plasma produced activation reaches the tissue. The synergy between the plasma and the liquid, including evaporation and the solvation of ions and neutrals, is critical to understanding the outcome of plasma treatment. The atmospheric pressure plasma sources used in these procedures are typically repetitively pulsed. The processes activated by the plasma sources have multiple timescales—from a few ns during the discharge pulse to many minutes for reactions in the liquid. In this paper we discuss results from a computational investigation of plasma–liquid interactions and liquid phase chemistry using a global model with the goal of addressing this large dynamic range in timescales. In modeling air plasmas produced by a dielectric barrier discharge over liquid covered tissue, 5000 voltage pulses were simulated, followed by 5 min of afterglow. Due to the accumulation of long-lived species such as ozone and N x O y , the gas phase dynamics of the 5000th discharge pulse are different from those of the first pulse, particularly with regards to the negative ions. The consequences of applied voltage, gas flow, pulse repetition frequency, and the presence of organic molecules in the liquid on the gas and liquid reactive species are discussed. (paper)

  5. Photoluminescence wavelength variation of monolayer MoS{sub 2} by oxygen plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Min Su [Center for Integrated Nanostructure Physics (CINAP), Institute for Basic Science (IBS), Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Nam, Giwoong [Department of Nanoscience & Engineering, Inje University, Gimhae 621-749 (Korea, Republic of); Park, Seki; Kim, Hyun [Center for Integrated Nanostructure Physics (CINAP), Institute for Basic Science (IBS), Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Department of Energy Science, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Han, Gang Hee [Center for Integrated Nanostructure Physics (CINAP), Institute for Basic Science (IBS), Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Lee, Jubok; Dhakal, Krishna P. [Center for Integrated Nanostructure Physics (CINAP), Institute for Basic Science (IBS), Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Department of Energy Science, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Leem, Jae-Young [Department of Nanoscience & Engineering, Inje University, Gimhae 621-749 (Korea, Republic of); Lee, Young Hee [Center for Integrated Nanostructure Physics (CINAP), Institute for Basic Science (IBS), Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Department of Energy Science, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Department of Physics, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Kim, Jeongyong, E-mail: j.kim@skku.edu [Center for Integrated Nanostructure Physics (CINAP), Institute for Basic Science (IBS), Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Department of Energy Science, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of)

    2015-09-01

    We performed nanoscale confocal photoluminescence (PL), Raman, and absorption spectral imaging measurements to investigate the optical and structural properties of molybdenum disulfide (MoS{sub 2}) monolayers synthesized by chemical vapor deposition method and subjected to oxygen plasma treatment for 10 to 120 s under high vacuum (1.3 × 10{sup −3} Pa). Oxygen plasma treatment induced red shifts of ~ 20 nm in the PL emission peaks corresponding to A and B excitons. Similarly, the peak positions corresponding to A and B excitons of the absorption spectra were red-shifted following oxygen plasma treatment. Based on the confocal PL, absorption, and Raman microscopy results, we suggest that the red-shifting of the A and B exciton peaks originated from shallow defect states generated by oxygen plasma treatment. - Highlights: • Effects of oxygen plasma on optical properties of monolayer MoS{sub 2} were investigated. • Confocal photoluminescence, Raman, and absorption spectral maps are presented. • Wavelength tuning up to ~ 20 nm for the peak emission wavelength was achieved.

  6. Oxygen plasma treatments of jute fibers in improving the mechanical properties of jute/HDPE composites

    Energy Technology Data Exchange (ETDEWEB)

    Sever, K. [Department of Mechanical Engineering, Dokuz Eylul University, 35100, Izmir (Turkey); Erden, S. [Department of Mechanical Engineering, Ege University, 35100, Izmir (Turkey); Guelec, H.A. [Department of Food Engineering, Yuzuncu Yil University, 65250, Van (Turkey); Seki, Y., E-mail: yoldas.seki@deu.edu.tr [Department of Chemistry, Dokuz Eylul University, 35160, Buca, Izmir (Turkey); Sarikanat, M. [Department of Mechanical Engineering, Ege University, 35100, Izmir (Turkey)

    2011-09-15

    Highlights: {yields} To improve mechanical properties of jute/HDPE composites, jute fabric was subjected to oxygen plasma treatment. {yields} LF and RF plasma systems at different plasma powers were used for treatment. {yields} In LF system, interlaminar shear strength, tensile and flexure strengths showed a tendency to increase at plasma powers of 30 and 60 W. - Abstract: The surfaces of jute fabrics have been oxygen plasma treated using low frequency (LF) and radio frequency (RF) plasma systems at different plasma powers (30, 60, and 90 W) for 15 min to improve the mechanical properties of jute fiber/HDPE (high density polyethylene) composites. The effect of oxygen plasma treatment on the functional groups of jute fibers was examined by X-ray photoelectron spectroscopy (XPS) analysis. Effects of oxygen plasma treatments on the mechanical properties of jute fiber/HDPE composites were investigated by means of tensile, flexure, and short-beam shear tests. Surface morphology of the fractured surfaces of composites was observed by using scanning electron microscopy (SEM). When RF plasma system was used, the interlaminar shear strength (ILSS) values of the composites increased with increasing plasma power. Similarly, in LF plasma system, ILSS values showed a tendency to increase at plasma powers of 30 and 60 W. However, increasing of plasma power to 90 W decreased the ILSS value of jute/HDPE composite. Also, tensile and flexure strengths of the composites showed similar trends.

  7. Oxygen plasma treatments of jute fibers in improving the mechanical properties of jute/HDPE composites

    International Nuclear Information System (INIS)

    Sever, K.; Erden, S.; Guelec, H.A.; Seki, Y.; Sarikanat, M.

    2011-01-01

    Highlights: → To improve mechanical properties of jute/HDPE composites, jute fabric was subjected to oxygen plasma treatment. → LF and RF plasma systems at different plasma powers were used for treatment. → In LF system, interlaminar shear strength, tensile and flexure strengths showed a tendency to increase at plasma powers of 30 and 60 W. - Abstract: The surfaces of jute fabrics have been oxygen plasma treated using low frequency (LF) and radio frequency (RF) plasma systems at different plasma powers (30, 60, and 90 W) for 15 min to improve the mechanical properties of jute fiber/HDPE (high density polyethylene) composites. The effect of oxygen plasma treatment on the functional groups of jute fibers was examined by X-ray photoelectron spectroscopy (XPS) analysis. Effects of oxygen plasma treatments on the mechanical properties of jute fiber/HDPE composites were investigated by means of tensile, flexure, and short-beam shear tests. Surface morphology of the fractured surfaces of composites was observed by using scanning electron microscopy (SEM). When RF plasma system was used, the interlaminar shear strength (ILSS) values of the composites increased with increasing plasma power. Similarly, in LF plasma system, ILSS values showed a tendency to increase at plasma powers of 30 and 60 W. However, increasing of plasma power to 90 W decreased the ILSS value of jute/HDPE composite. Also, tensile and flexure strengths of the composites showed similar trends.

  8. Plasma surface treatment of Cu by nanosecond-pulse diffuse discharges in atmospheric air

    Science.gov (United States)

    Cheng, ZHANG; Jintao, QIU; Fei, KONG; Xingmin, HOU; Zhi, FANG; Yu, YIN; Tao, SHAO

    2018-01-01

    Nanosecond-pulse diffuse discharges could provide high-density plasma and high-energy electrons at atmospheric pressure. In this paper, the surface treatment of Cu by nanosecond-pulse diffuse discharges is conducted in atmospheric air. Factors influencing the water contact angle (WCA), chemical composition and microhardness, such as the gap spacing and treatment time, are investigated. The results show that after the plasma surface treatment, the WCA considerably decreases from 87° to 42.3°, and the surface energy increases from 20.46 mJ m-2 to 66.28 mJ m-2. Results of energy dispersive x-ray analysis show that the concentration of carbon decreases, but the concentrations of oxygen and nitrogen increase significantly. Moreover, the microhardness increases by approximately 30% after the plasma treatment. The aforementioned changes on the Cu surface indicate the plasma surface treatment enhances the hydrophilicity and microhardness, and it cleans the carbon and achieves oxidization on the Cu surface. Furthermore, by increasing the gap spacing and treatment time, better treatment effects can be obtained. The microhardness in the case of a 2.5 cm gap is higher than that in the case of a 3 cm gap. More oxygen and nitrogen species appear on the Cu surface for the 2.5 cm gap treatment than for the 3 cm gap treatment. The WCA significantly decreases with the treatment time when it is no longer than 90 s, and then it reaches saturation. In addition, more oxygen-containing and nitrogen-containing groups appear after extended plasma treatment time. They contribute to the improvement of the hydrophilicity and oxidation on the Cu surface.

  9. Investigation of Plasma Treatment on Micro-Injection Moulded Microneedle for Drug Delivery

    Directory of Open Access Journals (Sweden)

    Karthik Nair

    2015-10-01

    Full Text Available Plasma technology has been widely used to increase the surface energy of the polymer surfaces for many industrial applications; in particular to increase in wettability. The present work was carried out to investigate how surface modification using plasma treatment modifies the surface energy of micro-injection moulded microneedles and its influence on drug delivery. Microneedles of polyether ether ketone and polycarbonate and have been manufactured using micro-injection moulding and samples from each production batch have been subsequently subjected to a range of plasma treatment. These samples were coated with bovine serum albumin to study the protein adsorption on these treated polymer surfaces. Sample surfaces structures, before and after treatment, were studied using atomic force microscope and surface energies have been obtained using contact angle measurement and calculated using the Owens-Wendt theory. Adsorption performance of bovine serum albumin and release kinetics for each sample set was assessed using a Franz diffusion cell. Results indicate that plasma treatment significantly increases the surface energy and roughness of the microneedles resulting in better adsorption and release of BSA.

  10. Comparative evaluation of remote maintenance schemes for fusion DEMO reactor

    Energy Technology Data Exchange (ETDEWEB)

    Utoh, Hiroyasu, E-mail: uto.hiroyasu@jaea.go.jp; Tobita, Kenji; Someya, Youji; Asakura, Nobuyuki; Sakamoto, Yoshiteru; Hoshino, Kazuo; Nakamura, Makoto

    2015-10-15

    Highlights: • Various remote maintenance schemes for DEMO were comparatively assessed based on requirements for DEMO remote maintenance. • The banana shape segment transport using all vertical maintenance ports would be more probable DEMO reactor maintenance scheme. • The key engineering issues are in-vessel transferring mechanism of segment, pipe connection and conducting shell design for plasma vertical stability. - Abstract: Maintenance schemes are one of the critical issues in DEMO design, significantly affecting the configuration of in-vessel components, the size of toroidal field (TF) coil, the arrangement of poloidal field (PF) coils, reactor building, hot cell and so forth. Therefore, the maintenance schemes should satisfy many design requirements and criteria to assure reliable and safe plant operation and to attain reasonable plant availability. The plant availability depends on reliability of remote maintenance scheme, inspection of pipe connection and plasma operation. In this paper, various remote maintenance schemes for DEMO were comparatively assessed based on requirements for DEMO remote maintenance. From the view points of the reliability of inspection on hot cell, TF coil size, stored energy of PF coil and portability of segment, the banana shape segment transport using all vertical maintenance ports would be more probable DEMO reactor maintenance scheme, and it has key engineering issues such as in-vessel transferring mechanism of segment, pipe connection and conducting shell design for plasma vertical stability.

  11. Comparative evaluation of remote maintenance schemes for fusion DEMO reactor

    International Nuclear Information System (INIS)

    Utoh, Hiroyasu; Tobita, Kenji; Someya, Youji; Asakura, Nobuyuki; Sakamoto, Yoshiteru; Hoshino, Kazuo; Nakamura, Makoto

    2015-01-01

    Highlights: • Various remote maintenance schemes for DEMO were comparatively assessed based on requirements for DEMO remote maintenance. • The banana shape segment transport using all vertical maintenance ports would be more probable DEMO reactor maintenance scheme. • The key engineering issues are in-vessel transferring mechanism of segment, pipe connection and conducting shell design for plasma vertical stability. - Abstract: Maintenance schemes are one of the critical issues in DEMO design, significantly affecting the configuration of in-vessel components, the size of toroidal field (TF) coil, the arrangement of poloidal field (PF) coils, reactor building, hot cell and so forth. Therefore, the maintenance schemes should satisfy many design requirements and criteria to assure reliable and safe plant operation and to attain reasonable plant availability. The plant availability depends on reliability of remote maintenance scheme, inspection of pipe connection and plasma operation. In this paper, various remote maintenance schemes for DEMO were comparatively assessed based on requirements for DEMO remote maintenance. From the view points of the reliability of inspection on hot cell, TF coil size, stored energy of PF coil and portability of segment, the banana shape segment transport using all vertical maintenance ports would be more probable DEMO reactor maintenance scheme, and it has key engineering issues such as in-vessel transferring mechanism of segment, pipe connection and conducting shell design for plasma vertical stability.

  12. Effect of chronic Maluoling treatment on plasma contents of ET in patients with COPD

    International Nuclear Information System (INIS)

    Qiu Ping; Cui Zhenxing; Song Jing

    2008-01-01

    Objective: To study the effect of maluoling treatment on plasma ET contents in patients with COPD. Methods: Plasma ET contents were measured with RIA at admission one year later in (1) 45 patients with COPD treated with 4 courses of maluoling and (2) 82 patients with COPD without maluoling treatment. Results: The plasma ET contents in the two groups of COPD patients were not much different at admission (80.49 ± 29.67pg/ml vs 78.28±24.38pg/ml, P>0.05). One year later, the plasma ET contents in the 45 patients with maluoling treatment were significantly lower than those in the 32 patients without maluoling treatment (64.38±24. 52pg/ml vs 77.49±30.63pg/ml, P<0.05). Conclusion: Chronic use of maluoling could markedly decrease the plasma ET contents in patients with COPD. (authors)

  13. Stability of AlGaN/GaN heterostructures after hydrogen plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Babchenko, O., E-mail: oleg.babchenko@savba.sk [Institute of Electrical Engineering SAV, Dúbravská cesta 9, 841 04 Bratislava (Slovakia); Dzuba, J.; Lalinský, T. [Institute of Electrical Engineering SAV, Dúbravská cesta 9, 841 04 Bratislava (Slovakia); Vojs, M. [Institute of Electronics and Photonics STU, Ilkovičova 3, 812 19 Bratislava (Slovakia); Vincze, A. [International Laser Centre, Ilkovičova 3, 841 04 Bratislava (Slovakia); Ižák, T. [Institute of Physics AS CR, v.v.i., Cukrovarnicka 10, 162 53 Prague (Czech Republic); Vanko, G. [Institute of Electrical Engineering SAV, Dúbravská cesta 9, 841 04 Bratislava (Slovakia)

    2017-02-15

    Highlights: • AlGaN/GaNheterostructures with electric contacts were treated by hydrogen plasma. • No surface degradation after treatment was detected by SEM. • Hydrogen plasma caused increasing of sheet resistance up to 3.5 times after 60 min. • Incorporation of hydrogen in AlGaN sub-surface region was observed by SIMS. • Electrical measurements indicate hydrogen induced Schottky barrier lowering. - Abstract: We report on the investigation of low temperature (300 °C) hydrogen plasma treatment influence on the AlGaN/GaN heterostructures. This issue was raised in the frame of study on processes related to hybrid integration of diamond with GaN-based devices. At the same time, the capabilities of thin SiN{sub x} covering were investigated. The samples were exposed to low pressure hydrogen plasma ignited in the linear plasma system at low temperature. We analyze the surface morphology of samples by scanning electron microscopy while microstructural changes down to AlGaN/GaN interface were studied using secondary ion mass spectrometry. The sheet resistance, monitored using circular transmission line measurements, increases more than 3.5 times after 60 min treatment. The basic transport properties of the fabricated circular high electron mobility transistors after H{sub 2} plasma treatment were analyzed. The sheet resistance increasing was attributed to the decrease of effective mobility. Whilst, the observed Schottky barrier lowering indicates necessity of gate contact protection.

  14. Hydrogen sulfide waste treatment by microwave plasma-chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Harkness, J.B.L.; Doctor, R.D.

    1994-03-01

    A waste-treatment process that recovers both hydrogen and sulfur from industrial acid-gas waste streams is being developed to replace the Claus technology, which recovers only sulfur. The proposed process is derived from research reported in the Soviet technical literature and uses microwave (or radio-frequency) energy to initiate plasma-chemical reactions that dissociate hydrogen sulfide into elemental hydrogen and sulfur. This process has several advantages over the current Claus-plus-tail-gas-cleanup technology, which burns the hydrogen to water. The primary advantage of the proposal process is its potential for recovering and recycling hydrogen more cheaply than the direct production of hydrogen. Since unconverted hydrogen sulfide is recycled to the plasma reactor, the plasma-chemical process has the potential for sulfur recoveries in excess of 99% without the additional complexity of the tail-gas-cleanup processes associated with the Claus technology. There may also be some environmental advantages to the plasma-chemical process, because the process purge stream would primarily be the carbon dioxide and water contained in the acid-gas waste stream. Laboratory experiments with pure hydrogen sulfide have demonstrated the ability of the process to operate at or above atmospheric pressure with an acceptable hydrogen sulfide dissociation energy. Experiments with a wide range of acid-gas compositions have demonstrated that carbon dioxide and water are compatible with the plasma-chemical dissociation process and that they do not appear to create new waste-treatment problems. However, carbon dioxide does have negative impacts on the overall process. First, it decreases the hydrogen production, and second, it increases the hydrogen sulfide dissociation energy.

  15. Adhesion improvement of fibres by continuous plasma treatment at atmospheric pressure

    DEFF Research Database (Denmark)

    Kusano, Yukihiro; Løgstrup Andersen, Tom; Sørensen, Bent F.

    2013-01-01

    Carbon fibres and ultra-high-molecular-weight polyethylene (UHMWPE) fibres were continuously treated by a dielectric barrier discharge plasma at atmospheric pressure for adhesion improvement with epoxy resins. The plasma treatment improved wettability, increased the oxygen containing polar...

  16. Laser treatment of plasma sprayed HA coatings

    NARCIS (Netherlands)

    Khor, KA; Vreeling, A; Dong, ZL; Cheang, P

    1999-01-01

    Laser treatment was conducted on plasma sprayed hydroxyapatite (HA) coatings using a Nd-YAG pulse laser. Various laser parameters were investigated. The results showed that the HA surface melted when an energy level of greater than or equal to 2 J and a spot size of 2 mm was employed during

  17. Surface treatment of a titanium implant using low temperature atmospheric pressure plasmas

    Science.gov (United States)

    Lee, Hyun-Young; Tang, Tianyu; Ok, Jung-Woo; Kim, Dong-Hyun; Lee, Ho-Jun; Lee, Hae June

    2015-09-01

    During the last two decades, atmospheric pressure plasmas(APP) are widely used in diverse fields of biomedical applications, reduction of pollutants, and surface treatment of materials. Applications of APP to titanium surface of dental implants is steadily increasing as it renders surfaces wettability and modifies the oxide layer of titanium that hinders the interaction with cells and proteins. In this study, we have treated the titanium surfaces of screw-shaped implant samples using a plasma jet which is composed of a ceramic coaxial tube of dielectrics, a stainless steel inner electrode, and a coper tube outer electrode. The plasma ignition occurred with Ar gas flow between two coaxial metal electrodes and a sinusoidal bias voltage of 3 kV with a frequency of 20 kHz. Titanium materials used in this study are screw-shaped implants of which diameter and length are 5 mm and 13 mm, respectively. Samples were mounted at a distance of 5 mm below the plasma source, and the plasma treatment time was set to 3 min. The wettability of titanium surface was measured by the moving speed of water on its surface, which is enhanced by plasma treatment. The surface roughness was also measured by atomic force microscopy. The optimal condition for wettability change is discussed.

  18. Deposition and surface treatment of Ag-embedded indium tin oxide by plasma processing

    International Nuclear Information System (INIS)

    Kim, Jun Young; Kim, Jae-Kwan; Kim, Ja-Yeon; Kwon, Min-Ki; Yoon, Jae-Sik; Lee, Ji-Myon

    2013-01-01

    Ag-embedded indium tin oxide (ITO) films were deposited on Corning 1737 glass by radio-frequency magnetron sputtering under an Ar or Ar/O 2 mixed gas ambient with a combination of ITO and Ag targets that were sputtered alternately by switching on and off the shutter of the sputter gun. The effects of a subsequent surface treatment using H 2 and H 2 + O 2 mixed gas plasma were also examined. The specific resistance of the as-deposited Ag-embedded ITO sample was lower than that of normal ITO. The transmittance was quenched when Ag was incorporated in ITO. To enhance the specific resistance of Ag-embedded ITO, a surface treatment was conducted using H 2 or H 2 + O 2 mixed gas plasma. Although all samples showed improved specific resistance after the H 2 plasma treatment, the transmittance was quenched due to the formation of agglomerated metals on the surface. The specific resistance of the film was improved without any deterioration of the transmittance after a H 2 + O 2 mixed gas plasma treatment. - Highlights: • Ag-embedded indium tin oxide was deposited. • The contact resistivity was decreased by H 2 + O 2 plasma treatment. • The process was carried out at room temperature without thermal treatment. • The mechanism of enhancing the contact resistance was clarified

  19. Enhancement of electron field emission of vertically aligned carbon nanotubes by nitrogen plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Wang, B.B. [College of Chemistry and Chemical Engineering, Chongqing University of Technology, 69 Hongguang Rd, Lijiatuo, Banan District, Chongqing 400054 (China); Plasma Nanoscience Centre Australia (PNCA), CSIRO Materials Science and Engineering, P.O. Box 218, Lindfield, NSW 2070 (Australia); Cheng, Q.J. [Plasma Nanoscience Centre Australia (PNCA), CSIRO Materials Science and Engineering, P.O. Box 218, Lindfield, NSW 2070 (Australia); Plasma Nanoscience, School of Physics, University of Sydney, Sydney, NSW 2006 (Australia); Chen, X. [College of Materials Science and Engineering, Chongqing University, Chongqing 400044 (China); Ostrikov, K., E-mail: kostya.ostrikov@csiro.au [Plasma Nanoscience Centre Australia (PNCA), CSIRO Materials Science and Engineering, P.O. Box 218, Lindfield, NSW 2070 (Australia); Plasma Nanoscience, School of Physics, University of Sydney, Sydney, NSW 2006 (Australia)

    2011-09-22

    Highlights: > A new and custom-designed bias-enhanced hot-filament chemical vapor deposition system is developed to synthesize vertically aligned carbon nanotubes. > The carbon nanotubes are later treated with nitrogen plasmas. > The electron field emission characteristics of the carbon nanotubes are significantly improved after the nitrogen plasma treatment. > A new physical mechanism is proposed to interpret the improvement of the field emission characteristics. - Abstract: The electron field emission (EFE) characteristics from vertically aligned carbon nanotubes (VACNTs) without and with treatment by the nitrogen plasma are investigated. The VACNTs with the plasma treatment showed a significant improvement in the EFE property compared to the untreated VACNTs. The morphological, structural, and compositional properties of the VACNTs are extensively examined by scanning electron microscopy, transmission electron microscopy, Raman spectroscopy, and energy dispersive X-ray spectroscopy. It is shown that the significant EFE improvement of the VACNTs after the nitrogen plasma treatment is closely related to the variation of the morphological and structural properties of the VACNTs. The high current density (299.6 {mu}A/cm{sup 2}) achieved at a low applied field (3.50 V/{mu}m) suggests that the VACNTs after nitrogen plasma treatment can serve as effective electron field emission sources for numerous applications.

  20. Enhancement of electron field emission of vertically aligned carbon nanotubes by nitrogen plasma treatment

    International Nuclear Information System (INIS)

    Wang, B.B.; Cheng, Q.J.; Chen, X.; Ostrikov, K.

    2011-01-01

    Highlights: → A new and custom-designed bias-enhanced hot-filament chemical vapor deposition system is developed to synthesize vertically aligned carbon nanotubes. → The carbon nanotubes are later treated with nitrogen plasmas. → The electron field emission characteristics of the carbon nanotubes are significantly improved after the nitrogen plasma treatment. → A new physical mechanism is proposed to interpret the improvement of the field emission characteristics. - Abstract: The electron field emission (EFE) characteristics from vertically aligned carbon nanotubes (VACNTs) without and with treatment by the nitrogen plasma are investigated. The VACNTs with the plasma treatment showed a significant improvement in the EFE property compared to the untreated VACNTs. The morphological, structural, and compositional properties of the VACNTs are extensively examined by scanning electron microscopy, transmission electron microscopy, Raman spectroscopy, and energy dispersive X-ray spectroscopy. It is shown that the significant EFE improvement of the VACNTs after the nitrogen plasma treatment is closely related to the variation of the morphological and structural properties of the VACNTs. The high current density (299.6 μA/cm 2 ) achieved at a low applied field (3.50 V/μm) suggests that the VACNTs after nitrogen plasma treatment can serve as effective electron field emission sources for numerous applications.

  1. Treatment of carprofen overdose with therapeutic plasma exchange in a dog.

    Science.gov (United States)

    Kjaergaard, Astrid B; Davis, Jennifer L; Acierno, Mark J

    2018-06-13

    To report the use of therapeutic plasma exchange (TPE) in a dog with carprofen toxicosis. A 6-year-old female neutered Bichon Frise weighing 6.9 kg was examined after it had ingested 72 mg/kg carprofen. Mild dehydration without azotemia and with a urine specific gravity of 1.050 was noted at presentation. Treatment consisted of induction of emesis, symptomatic medical therapy, and TPE. The TPE achieved 1.5 plasma volume exchanges over 3 hours. Blood samples and effluent samples were collected every 30 minutes during TPE and additional blood samples were collected 11 and 35 hours after treatment. Carprofen concentrations in these samples were determined by high-pressure liquid chromatography. A 51% reduction in serum carprofen concentration was achieved following TPE. This report describes the successful reduction of plasma carprofen concentration in a dog using TPE. Although recent studies suggest that this particular dog may not have received a toxic dose, a 51% reduction of plasma carprofen concentration was achieved over 180 minutes, and TPE may be beneficial for treatment of dogs that have ingested higher doses. © Veterinary Emergency and Critical Care Society 2018.

  2. Toxic waste treatment with sliding centrifugal plasma reactor

    International Nuclear Information System (INIS)

    Pacheco, J.; Pacheco, M.; Valdivia, R.; Ramos, F.; Duran, M.; Hidalgo, M.; Cruz, A.; Martinez, J. C.; Martinez, R.; De la Cruz, S.; Flores, T.; Vidal, E.; Escobar, S.; Garduno, M.; Garcia, M.; Portillo, J.; Torres, C.; Estrada, N.; Velazquez, S.; Vasquez, C.

    2008-01-01

    The aim is to develop technology for hazardous waste treatment, including the building and putting into operation of a prototype based on a sliding centrifugal plasma technology to demonstrate its ability to degradation taking in account the existing environmental standards. (Author)

  3. Treatment Options for Plasma Cell Neoplasms (Including Multiple Myeloma)

    Science.gov (United States)

    ... cancer treatment is also called biotherapy or immunotherapy. Immunomodulators are a type of biologic therapy. Thalidomide , lenalidomide , and pomalidomide are immunomodulators used to treat multiple myeloma and other plasma ...

  4. The ITER remote maintenance system

    International Nuclear Information System (INIS)

    Tesini, A.; Palmer, J.

    2007-01-01

    ITER is a joint international research and development project that aims to demonstrate the scientific and technological feasibility of fusion power. As soon as the plasma operation begins using tritium, the replacement of the vacuum vessel internal components will need to be done with remote handling techniques. To accomplish these operations ITER has equipped itself with a Remote Maintenance System; this includes the Remote Handling equipment set and the Hot Cell facility. Both need to work in a cooperative way, with the aim of minimizing the machine shutdown periods and to maximize the machine availability. The ITER Remote Handling equipment set is required to be available, robust, reliable and retrievable. The machine components, to be remotely handle-able, are required to be designed simply so as to ease their maintenance. The baseline ITER Remote Handling equipment is described. The ITER Hot Cell Facility is required to provide a controlled and shielded area for the execution of repair operations (carried out using dedicated remote handling equipment) on those activated components which need to be returned to service, inside the vacuum vessel. The Hot Cell provides also the equipment and space for the processing and temporary storage of the operational and decommissioning radwaste. A conceptual ITER Hot Cell Facility is described. (orig.)

  5. Effects of oxygen plasma treatment power on Aramid fiber III/BMI composite humidity resistance properties

    Science.gov (United States)

    Wang, Jing; Shi, Chen; Feng, Jiayue; Long, Xi; Meng, Lingzhi; Ren, Hang

    2018-01-01

    The effects of oxygen plasma treatment power on Aramid Fiber III chemical structure and its reinforced bismaleimides (BMI) composite humidity resistance properties were investigated in this work. The aramid fiber III chemical structure under different plasma treatment power were measured by FTIR. The composite bending strength and interlinear shear strength with different plasma treatment power before and after absorption water were tested respectively. The composite rupture morphology was observed by SEM. The FTIR results showed that oxygen plasma treatment do not change the fiber bulk chemical structure. The composite humidity resistance of bending strength and interlinear shear strength are similar for untreated and plasma treated samples. The retention rate of composite bending strength and interlinear shear strength are about 75% and 94%, respectively. The composite rupture mode turns to be the fiber failure after water absorption.

  6. Atmospheric-Pressure Plasma Jet Surface Treatment for Use in Improving Adhesion

    Energy Technology Data Exchange (ETDEWEB)

    Kuettner, Lindsey Ann [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-09-06

    Atmospheric-pressure plasma jets (APPJs) are a method of plasma treatment that plays an important role in material processing and modifying surface properties of materials, especially polymers. Gas plasmas react with polymer surfaces in numerous ways such as oxidation, radical formation, degradation, and promotion of cross-linking. Because of this, gas and plasma conditions can be explored for chosen processes to maximize desired properties. The purpose of this study is to investigate plasma parameters in order to modify surface properties for improved adhesion between aluminum and epoxy substrates using two types of adhesives. The background, results to date, and future work will be discussed.

  7. Hyperspectral remote sensing

    CERN Document Server

    Eismann, Michael

    2012-01-01

    Hyperspectral remote sensing is an emerging, multidisciplinary field with diverse applications that builds on the principles of material spectroscopy, radiative transfer, imaging spectrometry, and hyperspectral data processing. This book provides a holistic treatment that captures its multidisciplinary nature, emphasizing the physical principles of hyperspectral remote sensing.

  8. Plasma treatment of diamond nanoparticles for dispersion improvement in water

    International Nuclear Information System (INIS)

    Yu Qingsong; Kim, Young Jo; Ma, Hongbin

    2006-01-01

    Low-temperature plasmas of methane and oxygen mixtures were used to treat diamond nanoparticles to modify their surface characteristics and thus improve their dispersion capability in water. It was found that the plasma treatment significantly reduced water contact angle of diamond nanoparticles and thus rendered the nanoparticles with strong water affinity for dispersion enhancement in polar media such as water. Surface analysis using Fourier transform infrared spectroscopy confirmed that polar groups were imparted on nanoparticle surfaces. As a result, improved suspension stability was observed with plasma treated nanoparticles when dispersed in water

  9. Atmospheric pressure H20 plasma treatment of polyester cord threads

    International Nuclear Information System (INIS)

    Simor, M.; Krump, H.; Hudec, I.; Rahel, J.; Brablec, A.; Cernak, M.

    2004-01-01

    Polyester cord threads, which are used as a reinforcing materials of rubber blend, have been treated in atmospheric-pressure H 2 0 plasma in order to enhance their adhesion to rubber. The atmospheric-pressure H 2 0 plasma was generated in an underwater diaphragm discharge. The plasma treatment resulted in approximately 100% improvement in the adhesion. Scanning electron microscopy investigation indicates that not only introduced surface polar groups but also increased surface area of the fibres due to a fibre surface roughening are responsible for the improved adhesive strength (Authors)

  10. Measurement of tritium with plastic scintillator surface improvement with plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Yoshihara, Y.; Furuta, E. [Ochanomizu University, Bunkyo-ku, Tokyo (Japan); Ohyama, R.I.; Yokota, S. [Tokai University, Hiratsuka-shi, Kanagawa (Japan); Kato, Y.; Yoshimura, T.; Ogiwara, K. [Hitachi Aloka Medical, Mure, Mitaka-shi, Tokyo (Japan)

    2015-03-15

    Tritium is usually measured by using a liquid scintillation counter. However, liquid scintillator used for measurement will become radioactive waste fluid. To solve this issue, we have developed a method of measuring tritium samples with plasma-treated plastic scintillator (PS)sheets (Plasma method). The radioactive sample is held between 2 PS sheets and the whole is enclosed in a a low-potassium glass vial. With the Plasma method of 2-min plasma treatment, we have obtained measurement efficiency of 48 ± 2 % for 2 min measurement of tritium except for tritiated water. The plasma treatment makes the PS surface rough and hydrophilic which contributes to improve the contact between tritium and PS. On the other hand, it needed almost 6 hours to obtain constant measurement efficiency. The reason was that the dry-up handling in the vial needed longer time to vaporize H{sub 2}O molecules than in the air. We tried putting silica gel beads into vials to remove H{sub 2}O molecules from PS sheet surface quickly. The silica gel beads worked well and we got constant measurement efficiency within 1-3 hours. Also, we tried using other kinds of PS treated with plasma to obtain higher measurement efficiencies of tritium samples.

  11. Effects of air dielectric barrier discharge plasma treatment time on surface properties of PBO fiber

    International Nuclear Information System (INIS)

    Wang Qian; Chen Ping; Jia Caixia; Chen, Mingxin; Li Bin

    2011-01-01

    In this paper, the effects of air dielectric barrier discharge (DBD) plasma treatment time on surface properties of poly(p-phenylene benzobisoxazole) (PBO) fiber were investigated. The surface characteristics of PBO fiber before and after the plasma treatments were analyzed by dynamic contact angle (DCA) analysis, scanning electron microscopy (SEM), atomic force microscopy (AFM) and X-ray photoelectron spectroscopy (XPS). DCA measurements indicated that the surface wettability of PBO fiber was improved significantly by increasing the fiber surface free energy via air DBD plasma treatments. The results were confirmed by the improvement of adhesion of a kind of thermoplastic resin to PBO fiber which was observed by SEM, showing that more resin was adhering evenly to the fiber surface. AFM measurement revealed that the surface topography of PBO fiber became more complicated and the surface roughness was greatly enhanced after the plasma treatments, and XPS analysis showed that some new polar groups (e.g. -O-C=O) were introduced on plasma treated PBO fiber surface. The results of this study also showed that the surface properties of PBO fiber changed with the elongation of plasma treatment time.

  12. Treatment of chronic hemodialysis patients with low-dose fenofibrate effectively reduces plasma lipids and affects plasma redox status

    Directory of Open Access Journals (Sweden)

    Makówka Agnieszka

    2012-07-01

    Full Text Available Abstract Dyslipidemia is common in chronic hemodialysis patients and its underlying mechanism is complex. Hemodialysis causes an imbalance between antioxidants and production of reactive oxygen species, which induces the oxidative stress and thereby may lead to accelerated atherosclerosis. Statins have been found to be little effective in end-stage kidney disease and other lipid-lowering therapies have been only scarcely studied. The study aimed to assess the effect of low-dose fenofibrate therapy on plasma lipids and redox status in long-term hemodialysis patients with mild hypertriglyceridemia. Twenty seven chronic hemodialysis patients without any lipid-lowering therapy were included in a double-blind crossover, placebo-controlled study. The patients were randomized into two groups and were given a sequence of either 100 mg of fenofibrate per each hemodialysis day for 4 weeks or placebo with a week-long wash-out period between treatment periods. Plasma lipids, high sensitive C-reactive protein (CRP, urea, creatinine, electrolytes, phosphocreatine kinase (CK, GOT, GPT and plasma thiols (total and free glutathione, homocysteine, cysteine and cysteinylglycine were measured at baseline and after each of the study periods. Plasma aminothiols were measured by reversed phase HPLC with thiol derivatization with 2-chloro-1-methylquinolinium tetrafluoroborate. Fenofibrate therapy caused a significant decrease of total serum cholesterol, LDL cholesterol and triglycerides and an increase of HDL cholesterol. The treatment was well tolerated with no side-effects but there was a small but significant increase of CK not exceeding the upper limit of normal range. There were no changes of serum CRP, potassium, urea, and creatinine and liver enzymes during the treatment. Neither total nor total free cysteinylglycine and cysteine changed during the study but both total and free glutathione increased during the therapy with fenofibrate and the same was observed

  13. Improvement of Plating Characteristics Between Nickel and PEEK by Plasma Treatment and Chemical Etching

    International Nuclear Information System (INIS)

    Lee, Hye W.; Lee, Jong K.; Park, Ki Y.

    2009-01-01

    Surface of PEEK(poly-ether-ether-ketone) was modified by chemical etching, plasma treatment and mechanical grinding to improve the plating adhesion. The plating characteristics of these samples were studied by the contact angle, plating thickness, gloss and adhesion. Chemical etching and plasma treatment increased wettability, adhesion and gloss. The contact angle of as-received PEEK was 61 .deg. . The contact angles of chemical etched, plasma treated or both were improved to the range of 15∼33 .deg. . In the case of electroless plating, the thickest layer without blister was 1.6 μm. The adhesion strengths by chemical etching, plasma treatment or both chemical etching and plasma treatment were 75 kgf/cm 2 , 102 kgf/cm 2 , 113 kgf/cm 2 , respectively, comparing to the 24 kgf/cm 2 of as-received. In the case of mechanically ground PEEKs, the adhesion strengths were higher than those unground, with the sacrifice of surface gloss. The gloss of untreated PEEK were greater than mechanically ground PEEKs. Plating thickness increased linearly with the plating times

  14. Treatment of hazardous organic wastes using silent discharge plasmas

    International Nuclear Information System (INIS)

    Rosocha, L.A.; Anderson, G.K.; Bechtold, L.A.; Coogan, J.J.; Heck, H.G.; Kang, M.; McCulla, W.H.; Tennant, R.A.; Wantuck, P.J.

    1992-01-01

    During the past two decades, interest in applying non-equilibrium plasmas to the removal of hazardous chemicals from gaseous media has been growing, in particular from heightened concerns over the pollution of our environment and a growing body of environmental regulations. At the Los Alamos National Laboratory, we are currently engaged in a project to develop non-equilibrium plasma technology for hazardous waste treatment. Our present focus is on dielectric-barrier discharges, which are historically called silent electrical discharges. This type of plasma is also named a silent discharge plasma (SDP). We have chosen this method due to its potential for high energy efficiency, its scientific and technological maturity, and its scalability. The SDP process has been demonstrated to be reliable and economical for the industrial-scale synthesis of ozone, where municipal water treatment plants frequently require the on-site generation of thousands of kilograins per day (Eliasson ampersand Kogelschatz). The related methods of corona processing are presently the focus of work at other institutions, particularly for flue gas processing. Both SDP and corona processes are characterized by the production of large quantities of highly reactive free radicals, especially atomic oxygen O(3P) and the hydroxyl OH, in the gaseous medium and their subsequent reaction with contaminants. Our primary objective is to convert hazardous or toxic chemicals into non-hazardous compounds or into materials which are more amenable to treatment. In the ideal case, the hazardous wastes are destructively oxidized to simpler, non-hazardous compounds plus CO2 and H2O. Sometimes the reaction products are still potentially hazardous, but are easily treated by conventional methods to yield non-hazardous products

  15. Effect of additive gases and injection methods on chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F2 remote plasmas

    International Nuclear Information System (INIS)

    Yun, Y. B.; Park, S. M.; Kim, D. J.; Lee, N.-E.; Kim, K. S.; Bae, G. H.

    2007-01-01

    The authors investigated the effects of various additive gases and different injection methods on the chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F 2 remote plasmas. N 2 and N 2 +O 2 gases in the F 2 /Ar/N 2 and F 2 /Ar/N 2 /O 2 remote plasmas effectively increased the etch rate of the layers. The addition of direct-injected NO gas increased the etch rates most significantly. NO radicals generated by the addition of N 2 and N 2 +O 2 or direct-injected NO molecules contributed to the effective removal of nitrogen and oxygen in the silicon nitride and oxide layers, by forming N 2 O and NO 2 by-products, respectively, and thereby enhancing SiF 4 formation. As a result of the effective removal of the oxygen, nitrogen, and silicon atoms in the layers, the chemical dry etch rates were enhanced significantly. The process regime for the etch rate enhancement of the layers was extended at elevated temperature

  16. Investigation the effects of metallic substrate surfaces due to ion-plasma treatment

    International Nuclear Information System (INIS)

    Shulaev, V.M.; Taran, V.S.; Timoshenko, A.I.; Gasilin, V.V.

    2011-01-01

    It has been found correlation between modification effects and duration of ion-plasma cleaning the substrate surface with titanium ions. Experiments were carried out using serial vacuum-arc equipment ''Bulat-6'' at the stationary mode in non-filtered titanium plasma, which contained considerable quantity of evaporated material droplets. The polished cylinder substrates (diameter and height 9,14,20 mm) have been treated. The substrates were manufactured of stainless steel 12X18H10T and non-oxygen copper M00b. The substrates surface roughness after ion-plasma treatment has been investigated with electron microscope JEOL JSM-840 and optic interference non-contact profilograph- profilometer ''Micron-alpha''. According obtained results the surface of copper and stainless steel substrates has been treated to intensive modification, i.e. substrate surface after treatment significantly differs from initial one. During final ion-plasma treatment a number of effects occur: purification from surface oxides is accompanied with metallic surface ''contamination'' by the cathode material macrodroplets, surface micromelting accompanied by roughness increase, the surface layer annealing with noticeable decrease of hardness.

  17. Influence of Plasma Treatments on the Frictional Performance of Rubbers

    NARCIS (Netherlands)

    Wolthuizen, D.J.; Martinez-Martinez, D.; Pei, Y.T.; Hosson, J.Th.M. De

    The frictional performance of several rubbers after pulsed-DC plasma treatments has been examined. In all cases, the treated rubbers showed better performance than the corresponding untreated ones. Stronger treatments, in terms of longer process time and/or higher substrate bias voltage, led to

  18. Physical and chemical contributions of a plasma treatment in the growth of ZnO nanorods

    Energy Technology Data Exchange (ETDEWEB)

    Jang, J.T. [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Ryu, H., E-mail: hhryu@inje.ac.kr [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Lee, W.J. [Department of Materials and Components Engineering, Dong-Eui University, 995 Eomgwangno, Busanjin-gu, Busan 614-714 (Korea, Republic of); Yun, J. [Department of Nano Science and Engineering, Kyungnam University, Changwon, Gyeongnam 631-701 (Korea, Republic of)

    2013-11-15

    Highlights: •ZnO nanorods were grown by hydrothermal synthesis. •Oxygen plasma was done on the surface of seed ZnO nanorods. •The ZnO nanorods with and without plasma treatment were characterized. •The results showed that the optical and structural properties of ZnO nanorods with plasma treatment were enhanced. -- Abstract: We analyzed the enhancement of optical and structural properties of ZnO nanorods by using a plasma treatment. In this study, seed ZnO nanorods were grown by hydrothermal synthesis for 1 h on a ZnO buffered Si substrate. The seed ZnO nanorods were then treated with an oxygen plasma. Next, ZnO was grown for an additional 4 h by hydrothermal synthesis. The resultant ZnO nanorods were characterized by scanning electron microscopy (SEM), transmission electron microscopy (TEM), scanning transmission electron microscopy (STEM), electron energy loss spectroscopy (EELS), X-ray diffraction (XRD) and photoluminescence (PL). The measurements showed that the plasma treatment of the seed ZnO nanorods increased the roughness of the buffer layer and the concentration of oxygen ions on the surfaces of the seed ZnO nanorods and the buffer layer, leading to improved optical and structural properties. In this study, we found that the plasma treatment on the seed ZnO nanorods enhanced the optical and structural properties of the ZnO nanorods.

  19. Atmospheric pressure plasma treatment of glassy carbon for adhesion improvement

    DEFF Research Database (Denmark)

    Kusano, Yukihiro; Mortensen, Henrik Junge; Stenum, Bjarne

    2007-01-01

    density increased with the plasma treatments. Adhesion test of the treated glassy carbon covered with cured epoxy showed cohesive failure, indicating strong bonding after the treatments. This is in contrast to the adhesion tests of untreated samples where the epoxy readily peeled off the glassy carbon....

  20. Electron cyclotron current drive experiments in LHCD plasmas using a remote steering antenna on the TRIAM-1M tokamak

    International Nuclear Information System (INIS)

    Idei, H.; Hanada, K.; Zushi, H.; Ohkubo, K.; Hasegawa, M.; Kubo, S.; Nishi, S.; Fukuyama, A.; Sato, K.N.; Nakamura, K.; Sakamoto, M.; Iyomasa, A.; Kawasaki, S.; Nakashima, H.; Higashijima, A.; Notake, T.; Shimozuma, T.; Ito, S.; Hoshika, H.; Maezono, N.; Nakashima, K.; Ogawa, M.

    2006-01-01

    A remote steering antenna was recently developed for electron cyclotron heating and current drive (ECH/ECCD) experiments on the TRIAM-1M tokamak. This is the first application of the remote steering antenna concept for ECH/ECCD experiments, which have conditions relevant to the International Thermonuclear Experimental Reactor (ITER). Fundamental ECH and ECCD experiments were conducted in the ITER frequency from the low field using this antenna system. In addition to the angles near 0 0 , the launcher was a symmetric direction antenna with an extended steering-angle capability of ±(8 0 -19 0 ). The output beam from the antenna was a well-defined Gaussian with a proper steering angle. The Gaussian content and the steering-angle accuracy were 0.85 and -0.5 0 , respectively. The high power tests measured the antenna transmission efficiency at 0.90-0.94. The efficiencies obtained in the low and high power tests were consistent with the calculations using higher-order modes. In order to excite the pure O/X-modes in the oblique injection, two polarizers were used to control the elliptical polarization of the incident beam for the ECCD experiments. The fundamental O/X-mode ECH/ECCD was applied to lower hyrid current drive plasmas at the optimized incident polarization. In the X-mode experiment, at medium density (∼1 x 10 19 m -3 ), clear differences in the plasma current and the hard x-ray intensity were observed between the co- and counter-steering injections due to the ECCD effect on the coupling of forward fast electrons

  1. Gas Plasma Pre-treatment Increases Antibiotic Sensitivity and Persister Eradication in Methicillin-Resistant Staphylococcus aureus

    Science.gov (United States)

    Guo, Li; Xu, Ruobing; Zhao, Yiming; Liu, Dingxin; Liu, Zhijie; Wang, Xiaohua; Chen, Hailan; Kong, Michael G.

    2018-01-01

    Methicillin-resistant Staphylococcus aureus (MRSA) is a major cause of serious nosocomial infections, and recurrent MRSA infections primarily result from the survival of persister cells after antibiotic treatment. Gas plasma, a novel source of ROS (reactive oxygen species) and RNS (reactive nitrogen species) generation, not only inactivates pathogenic microbes but also restore the sensitivity of MRSA to antibiotics. This study further found that sublethal treatment of MRSA with both plasma and plasma-activated saline increased the antibiotic sensitivity and promoted the eradication of persister cells by tetracycline, gentamycin, clindamycin, chloramphenicol, ciprofloxacin, rifampicin, and vancomycin. The short-lived ROS and RNS generated by plasma played a primary role in the process and induced the increase of many species of ROS and RNS in MRSA cells. Thus, our data indicated that the plasma treatment could promote the effects of many different classes of antibiotics and act as an antibiotic sensitizer for the treatment of antibiotic-resistant bacteria involved in infectious diseases. PMID:29628915

  2. Enhancing Electrochemical Performance of Graphene Fiber-Based Supercapacitors by Plasma Treatment.

    Science.gov (United States)

    Meng, Jie; Nie, Wenqi; Zhang, Kun; Xu, Fujun; Ding, Xin; Wang, Shiren; Qiu, Yiping

    2018-04-25

    Graphene fiber-based supercapacitors (GFSCs) hold high power density, fast charge-discharge rate, ultralong cycling life, exceptional mechanical/electrical properties, and safe operation conditions, making them very promising to power small wearable electronics. However, the electrochemical performance is still limited by the severe stacking of graphene sheets, hydrophobicity of graphene fibers, and complex preparation process. In this work, we develop a facile but robust strategy to easily enhance electrochemical properties of all-solid-state GFSCs by simple plasma treatment. We find that 1 min plasma treatment under an ambient condition results in 33.1% enhancement of areal specific capacitance (36.25 mF/cm 2 ) in comparison to the as-prepared GFSC. The energy density reaches 0.80 μW h/cm 2 in polyvinyl alcohol/H 2 SO 4 gel electrolyte and 18.12 μW h/cm 2 in poly(vinylidene difluoride)/ethyl-3-methylimidazolium tetrafluoroborate electrolyte, which are 22 times of that of as-prepared ones. The plasma-treated GFSCs also exhibit ultrahigh rate capability (69.13% for 40 s plasma-treated ones) and superior cycle stability (96.14% capacitance retention after 20 000 cycles for 1 min plasma-treated ones). This plasma strategy can be extended to mass-manufacture high-performance carbonaceous fiber-based supercapacitors, such as graphene and carbon nanotube-based ones.

  3. Surface characterization of the chitosan membrane after oxygen plasma treatment and its aging effect

    International Nuclear Information System (INIS)

    Wang Yingjun; Yin Shiheng; Ren Li; Zhao Lianna

    2009-01-01

    Chitosan has received considerable attention for biomedical applications in recent years because of its biocompatibility and biodegradability. In this paper, angle-resolved x-ray photoelectron spectroscopy (ARXPS) was carried out to investigate the chemical groups' spatial orientation on the chitosan membrane surface. Oxygen plasma treatment was also employed to improve the surface hydrophilicity of the chitosan membrane. The results of ARXPS revealed the distribution of surface polar groups, such as-OH and O=CNH 2 toward the membrane bulk, which was the origin of the chitosan membrane surface hydrophobicity. The contact angle measurements and XPS results indicated that oxygen plasma treatment can markedly improve the surface hydrophilicity and surface energy of the chitosan membrane by incorporating oxygen-containing polar groups. With the existence of the aging process, the influence of plasma treatment was not permanent, it faded with storage time. The ARXPS result discovered that the reorientation of polar functional groups generated by plasma treatment toward the membrane bulk was primarily responsible for the aging effect.

  4. Control, data acquisition and remote participation for steady-state operation in LHD

    International Nuclear Information System (INIS)

    Sudo, S.; Nagayama, Y.; Emoto, M.; Nakanishi, H.; Chikaraishi, H.; Imazu, S.; Iwata, C.; Kogi, Y.; Kojima, M.; Komada, S.; Kubo, S.; Kumazawa, R.; Mase, A.; Miyazawa, J.; Mutoh, T.; Nakamura, Y.; Nonomura, M.; Ohsuna, M.; Saito, K.; Sakamoto, R.; Seki, T.; Shoji, M.; Tsuda, K.; Yoshida, M.

    2006-01-01

    Control, data acquisition, plasma monitoring and remote participation for steady state operation in the large helical device (LHD) are reviewed. By controlling the impedance matching of ICH, the plasma position and the electron density, high temperature plasma is confined for 1905s. The plasma parameters are monitored in real time. Data are continuously sampled by the YOKOGAWA WE7000 system and by the NATIONAL INSTRUMENTS CompactPCI system. Those data are managed by the object-oriented database system based on ObjectStore in distributed servers with mass storage. By using the multi protocol label switching-virtual private network (MPLS-VPN) technology, the local area network of LHD is expanded to the Japanese fusion community. This provides the remote participants with the same environment of the LHD control room

  5. Control, data acquisition and remote participation for steady-state operation in LHD

    Energy Technology Data Exchange (ETDEWEB)

    Sudo, S. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan)]. E-mail: sudo@nifs.ac.jp; Nagayama, Y. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan); Emoto, M. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan); Nakanishi, H. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan); Chikaraishi, H. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan); Imazu, S. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan); Iwata, C. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan); Kogi, Y. [KASTEC, Kyushu University, Kasuga 816-8580 (Japan); Kojima, M. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan); Komada, S. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan); Kubo, S. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan); Kumazawa, R. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan); Mase, A. [KASTEC, Kyushu University, Kasuga 816-8580 (Japan); Miyazawa, J. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan); Mutoh, T. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan); Nakamura, Y. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan); Nonomura, M. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan); Ohsuna, M. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan); Saito, K. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan); Sakamoto, R.; Seki, T.; Shoji, M.; Tsuda, K.; Yoshida, M. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan)

    2006-07-15

    Control, data acquisition, plasma monitoring and remote participation for steady state operation in the large helical device (LHD) are reviewed. By controlling the impedance matching of ICH, the plasma position and the electron density, high temperature plasma is confined for 1905s. The plasma parameters are monitored in real time. Data are continuously sampled by the YOKOGAWA WE7000 system and by the NATIONAL INSTRUMENTS CompactPCI system. Those data are managed by the object-oriented database system based on ObjectStore in distributed servers with mass storage. By using the multi protocol label switching-virtual private network (MPLS-VPN) technology, the local area network of LHD is expanded to the Japanese fusion community. This provides the remote participants with the same environment of the LHD control room.

  6. Effect of Atmospheric Pressure Plasma and Subsequent Enzymatic Treatment on Flax Fabrics

    International Nuclear Information System (INIS)

    Zhong Shaofeng; Yang Bin; Ou Qiongrong

    2015-01-01

    The objective is to investigate the effect of atmospheric pressure dielectric barrier discharge (APDBD) plasma and subsequent cellulase enzyme treatment on the properties of flax fabrics. The changes of surface morphology and structure, physico-mechanical properties, hydrophilicity, bending properties, whiteness, and dyeing properties of the treated substrate were investigated. The results indicated that atmospheric pressure dielectric barrier discharge plasma pre-treatment and subsequent cellulase enzyme treatment could diminish the hairiness of flax fabrics, endowing the flax fabrics with good bending properties, water uptake and fiber accessibility while keeping their good mechanical properties compared with those treated with cellulase enzyme alone. (paper)

  7. Mechanisms involved in HBr and Ar cure plasma treatments applied to 193 nm photoresists

    International Nuclear Information System (INIS)

    Pargon, E.; Menguelti, K.; Martin, M.; Bazin, A.; Joubert, O.; Chaix-Pluchery, O.; Sourd, C.; Derrough, S.; Lill, T.

    2009-01-01

    In this article, we have performed detailed investigations of the 193 nm photoresist transformations after exposure to the so-called HBr and Ar plasma cure treatments using various characterization techniques (x-ray photoelectron spectroscopy, Fourier transformed infrared, Raman analyses, and ellipsometry). By using windows with different cutoff wavelengths patched on the photoresist film, the role of the plasma vacuum ultraviolet (VUV) light on the resist modifications is clearly outlined and distinguished from the role of radicals and ions from the plasma. The analyses reveal that both plasma cure treatments induce severe surface and bulk chemical modifications of the resist films. The synergistic effects of low energetic ion bombardment and VUV plasma light lead to surface graphitization or cross-linking (on the order of 10 nm), while the plasma VUV light (110-210 nm) is clearly identified as being responsible for ester and lactone group removal from the resist bulk. As the resist modification depth depends strongly on the wavelength penetration into the material, it is found that HBr plasma cure that emits near 160-170 nm can chemically modify the photoresist through its entire thickness (240 nm), while the impact of Ar plasmas emitting near 100 nm is more limited. In the case of HBr cure treatment, Raman and ellipsometry analyses reveal the formation of sp 2 carbon atoms in the resist bulk, certainly thanks to hydrogen diffusion through the resist film assisted by the VUV plasma light.

  8. New Treatment Options for Osteosarcoma - Inactivation of Osteosarcoma Cells by Cold Atmospheric Plasma.

    Science.gov (United States)

    Gümbel, Denis; Gelbrich, Nadine; Weiss, Martin; Napp, Matthias; Daeschlein, Georg; Sckell, Axel; Ender, Stephan A; Kramer, Axel; Burchardt, Martin; Ekkernkamp, Axel; Stope, Matthias B

    2016-11-01

    Cold atmospheric plasma has been shown to inhibit tumor cell growth and induce tumor cell death. The aim of the study was to investigate the effects of cold atmospheric plasma treatment on proliferation of human osteosarcoma cells and to characterize the underlying cellular mechanisms. Human osteosarcoma cells (U2-OS and MNNG/HOS) were treated with cold atmospheric plasma and seeded in culture plates. Cell proliferation, p53 and phospho-p53 protein expression and nuclear morphology were assessed. The treated human osteosarcoma cell lines exhibited attenuated proliferation rates by up to 66%. The cells revealed an induction of p53, as well as phospho-p53 expression, by 2.3-fold and 4.5-fold, respectively, compared to controls. 4',6-diamidino-2-phenylindole staining demonstrated apoptotic nuclear condensation following cold atmospheric plasma treatment. Cold atmospheric plasma treatment significantly attenuated cell proliferation in a preclinical in vitro osteosarcoma model. The resulting increase in p53 expression and phospho-activation in combination with characteristic nuclear changes indicate this was through induction of apoptosis. Copyright© 2016 International Institute of Anticancer Research (Dr. John G. Delinassios), All rights reserved.

  9. Plasma Membranes Modified by Plasma Treatment or Deposition as Solid Electrolytes for Potential Application in Solid Alkaline Fuel Cells

    Science.gov (United States)

    Reinholdt, Marc; Ilie, Alina; Roualdès, Stéphanie; Frugier, Jérémy; Schieda, Mauricio; Coutanceau, Christophe; Martemianov, Serguei; Flaud, Valérie; Beche, Eric; Durand, Jean

    2012-01-01

    In the highly competitive market of fuel cells, solid alkaline fuel cells using liquid fuel (such as cheap, non-toxic and non-valorized glycerol) and not requiring noble metal as catalyst seem quite promising. One of the main hurdles for emergence of such a technology is the development of a hydroxide-conducting membrane characterized by both high conductivity and low fuel permeability. Plasma treatments can enable to positively tune the main fuel cell membrane requirements. In this work, commercial ADP-Morgane® fluorinated polymer membranes and a new brand of cross-linked poly(aryl-ether) polymer membranes, named AMELI-32®, both containing quaternary ammonium functionalities, have been modified by argon plasma treatment or triallylamine-based plasma deposit. Under the concomitant etching/cross-linking/oxidation effects inherent to the plasma modification, transport properties (ionic exchange capacity, water uptake, ionic conductivity and fuel retention) of membranes have been improved. Consequently, using plasma modified ADP-Morgane® membrane as electrolyte in a solid alkaline fuel cell operating with glycerol as fuel has allowed increasing the maximum power density by a factor 3 when compared to the untreated membrane. PMID:24958295

  10. Plasma membranes modified by plasma treatment or deposition as solid electrolytes for potential application in solid alkaline fuel cells.

    Science.gov (United States)

    Reinholdt, Marc; Ilie, Alina; Roualdès, Stéphanie; Frugier, Jérémy; Schieda, Mauricio; Coutanceau, Christophe; Martemianov, Serguei; Flaud, Valérie; Beche, Eric; Durand, Jean

    2012-07-30

    In the highly competitive market of fuel cells, solid alkaline fuel cells using liquid fuel (such as cheap, non-toxic and non-valorized glycerol) and not requiring noble metal as catalyst seem quite promising. One of the main hurdles for emergence of such a technology is the development of a hydroxide-conducting membrane characterized by both high conductivity and low fuel permeability. Plasma treatments can enable to positively tune the main fuel cell membrane requirements. In this work, commercial ADP-Morgane® fluorinated polymer membranes and a new brand of cross-linked poly(aryl-ether) polymer membranes, named AMELI-32®, both containing quaternary ammonium functionalities, have been modified by argon plasma treatment or triallylamine-based plasma deposit. Under the concomitant etching/cross-linking/oxidation effects inherent to the plasma modification, transport properties (ionic exchange capacity, water uptake, ionic conductivity and fuel retention) of membranes have been improved. Consequently, using plasma modified ADP-Morgane® membrane as electrolyte in a solid alkaline fuel cell operating with glycerol as fuel has allowed increasing the maximum power density by a factor 3 when compared to the untreated membrane.

  11. Effects of plasma treatment time on surface characteristics of indium-tin-oxide film for resistive switching storage applications

    International Nuclear Information System (INIS)

    Chen, Po-Hsun; Chang, Ting-Chang; Chang, Kuan-Chang; Tsai, Tsung-Ming; Pan, Chih-Hung; Shih, Chih-Cheng; Wu, Cheng-Hsien; Yang, Chih-Cheng; Chen, Wen-Chung; Lin, Jiun-Chiu; Wang, Ming-Hui; Zheng, Hao-Xuan; Chen, Min-Chen; Sze, Simon M.

    2017-01-01

    In this paper, we implement a post-oxidation method to modify surface characteristics of indium tin oxide (ITO) films by using an O_2 inductively coupled plasma (ICP) treatment. Based on field emission-scanning electron microscope (FE-SEM) and atomic force microscope (AFM) analysis, we found that the surface morphologies of the ITO films become slightly flatter after the O_2 plasma treatment. The optical characteristics and X-ray diffraction (XRD) experiments of either pure ITO or O_2 plasma treated ITO films were also verified. Even though the XRD results showed no difference from bulk crystallizations, the oxygen concentrations increased at the film surface after O_2 plasma treatment, according to the XPS inspection results. Moreover, this study investigated the effects of two different plasma treatment times on oxygen concentration in the ITO films. The surface sheet resistance of the plasma treated ITO films became nearly non-conductive when measured with a 4-point probe. Finally, we applied the O_2 plasma treated ITO films as the insulator in resistive random access memory (RRAM) to examine their potential for use in resistive switching storage applications. Stable resistance switching characteristics were obtained by applying the O_2 plasma treatment to the ITO-based RRAM. We also confirmed the relationship between plasma treatment time and RRAM performance. These material analyses and electrical measurements suggest possible advantages in using this plasma treatment technique in device fabrication processes for RRAM applications.

  12. Effects of plasma treatment time on surface characteristics of indium-tin-oxide film for resistive switching storage applications

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Po-Hsun [Department of Physics, National Sun Yat-Sen University, Kaohsiung 804, Taiwan, ROC (China); Chang, Ting-Chang, E-mail: tcchang3708@gmail.com [Department of Physics, National Sun Yat-Sen University, Kaohsiung 804, Taiwan, ROC (China); Advanced Optoelectronics Technology Center, National Cheng Kung University, Tainan 701, Taiwan, ROC (China); Chang, Kuan-Chang, E-mail: kcchang@pkusz.edu.cn [Department of Materials and Optoelectronic Science, National Sun Yat-Sen University, Kaohsiung 804, Taiwan, ROC (China); School of Electronic and Computer Engineering, Peking University, Shenzhen 518055 (China); Tsai, Tsung-Ming; Pan, Chih-Hung; Shih, Chih-Cheng; Wu, Cheng-Hsien; Yang, Chih-Cheng; Chen, Wen-Chung; Lin, Jiun-Chiu; Wang, Ming-Hui [Department of Materials and Optoelectronic Science, National Sun Yat-Sen University, Kaohsiung 804, Taiwan, ROC (China); Zheng, Hao-Xuan; Chen, Min-Chen [Department of Physics, National Sun Yat-Sen University, Kaohsiung 804, Taiwan, ROC (China); Sze, Simon M. [Department of Electronics Engineering and Institute of Electronics, National Chiao Tung University, Hsinchu 300, Taiwan, ROC (China)

    2017-08-31

    In this paper, we implement a post-oxidation method to modify surface characteristics of indium tin oxide (ITO) films by using an O{sub 2} inductively coupled plasma (ICP) treatment. Based on field emission-scanning electron microscope (FE-SEM) and atomic force microscope (AFM) analysis, we found that the surface morphologies of the ITO films become slightly flatter after the O{sub 2} plasma treatment. The optical characteristics and X-ray diffraction (XRD) experiments of either pure ITO or O{sub 2} plasma treated ITO films were also verified. Even though the XRD results showed no difference from bulk crystallizations, the oxygen concentrations increased at the film surface after O{sub 2} plasma treatment, according to the XPS inspection results. Moreover, this study investigated the effects of two different plasma treatment times on oxygen concentration in the ITO films. The surface sheet resistance of the plasma treated ITO films became nearly non-conductive when measured with a 4-point probe. Finally, we applied the O{sub 2} plasma treated ITO films as the insulator in resistive random access memory (RRAM) to examine their potential for use in resistive switching storage applications. Stable resistance switching characteristics were obtained by applying the O{sub 2} plasma treatment to the ITO-based RRAM. We also confirmed the relationship between plasma treatment time and RRAM performance. These material analyses and electrical measurements suggest possible advantages in using this plasma treatment technique in device fabrication processes for RRAM applications.

  13. Development of divertor remote maintenance system

    Energy Technology Data Exchange (ETDEWEB)

    Takeda, Nobukazu; Oka, Kiyoshi; Akou, Kentaro; Takiguchi, Yuji [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment

    1998-04-01

    The ITER divertor is categorized as a scheduled maintenance component because of extreme heat and particle loads it is exposed to by plasma. It is also highly activated by 14 MeV neutrons. Reliable remote handling equipment and tools are required for divertor maintenance under intense gamma radiation. To facilitate remote maintenance, the divertor is segmented into 60 cassettes, and each cassette weighing about 25 tons and maintained and replaced through four maintenance ports each 90 degrees. Divertor cassettes must be transported toroidally and radially for replacement through maintenance ports. Remote handling involving cassette movers and carriers for toroidal and radial transport has been developed. Under the ITER R and D program, technology critical to divertor cassette maintenance is being developed jointly by Japan, E.U., and U.S. home teams. This paper summarizes divertor remote maintenance design and the status of technology development by the Japan Home Team. (author)

  14. Development of divertor remote maintenance system

    International Nuclear Information System (INIS)

    Takeda, Nobukazu; Oka, Kiyoshi; Akou, Kentaro; Takiguchi, Yuji

    1998-01-01

    The ITER divertor is categorized as a scheduled maintenance component because of extreme heat and particle loads it is exposed to by plasma. It is also highly activated by 14 MeV neutrons. Reliable remote handling equipment and tools are required for divertor maintenance under intense gamma radiation. To facilitate remote maintenance, the divertor is segmented into 60 cassettes, and each cassette weighing about 25 tons and maintained and replaced through four maintenance ports each 90 degrees. Divertor cassettes must be transported toroidally and radially for replacement through maintenance ports. Remote handling involving cassette movers and carriers for toroidal and radial transport has been developed. Under the ITER R and D program, technology critical to divertor cassette maintenance is being developed jointly by Japan, E.U., and U.S. home teams. This paper summarizes divertor remote maintenance design and the status of technology development by the Japan Home Team. (author)

  15. Investigations into the Anti-Felting Properties of Sputtered Wool Using Plasma Treatment

    International Nuclear Information System (INIS)

    Borghei, S. M.; Shahidi, S.; Ghoranneviss, M.; Abdolahi, Z.

    2013-01-01

    In this research the effects of mordant and plasma sputtering treatments on the crystallinity and morphological properties of wool fabrics were investigated. The felting behavior of the treated samples was also studied. We used madder as a natural dye and copper sulfate as a metal mordant. We also used copper as the electrode material in a DC magnetron plasma sputtering device. The anti-felting properties of the wool samples before and after dying was studied, and it was shown that the shrink resistance and anti-felting behavior of the wool had been significantly improved by the plasma sputtering treatment. In addition, the percentage of crystallinity and the size of the crystals were investigated using an X-ray diffractometer, and a scanning electron microscope was used for morphological analysis. The amount of copper particles on the surface of the mordanted and sputtered fabrics was studied using the energy dispersive X-ray (EDX) method, and the hydrophobic properties of the samples were examined using the water drop test. The results show that with plasma sputtering treatment, the hydrophobic properties of the surface of wool become super hydrophobic.

  16. Influence of aramid fiber moisture regain during atmospheric plasma treatment on aging of treatment effects on surface wettability and bonding strength to epoxy

    International Nuclear Information System (INIS)

    Ren Yu; Wang Chunxia; Qiu Yiping

    2007-01-01

    One of the main differences between a low-pressure plasma treatment and an atmospheric pressure plasma treatment is that in atmosphere, the substrate material may absorb significant amount of water which may potentially influence the plasma treatment effects. This paper investigates how the moisture absorbed by aramid fibers during the atmospheric pressure plasma treatment influences the aging behavior of the modified surfaces. Kevlar 49 fibers with different moisture regains (MR) (0.5, 3.5 and 5.5%, respectively) are treated with atmospheric pressure plasma jet (APPJ) with helium as the carrier gas and oxygen as the treatment gas. Surface wettability and chemical compositions, and interfacial shear strengths (IFSS) to epoxy for the aramid fibers in all groups are determined using water contact angle measurements, X-ray photoelectron spectroscopy (XPS), and micro-bond pull out tests, respectively. Immediately after the plasma treatment, the treated fibers have substantially lower water contact angles, higher surface oxygen and nitrogen contents, and larger IFSS to epoxy than those of the control group. At the end of 30 day aging period, the fibers treated with 5.5% moisture regain had a lower water contact angle and more polar groups on the fiber surface, leading to 75% improvement of IFSS over the control fibers, while those for the 0.5 and 3.5% moisture regain groups were only 30%

  17. Microstructure evolution and tribological properties of acrylonitrile-butadiene rubber surface modified by atmospheric plasma treatment

    Science.gov (United States)

    Shen, Ming-xue; Zhang, Zhao-xiang; Peng, Xu-dong; Lin, Xiu-zhou

    2017-09-01

    For the purpose of prolonging the service life for rubber sealing elements, the frictional behavior of acrylonitrile-butadiene rubber (NBR) surface by dielectric barrier discharge plasma treatments was investigated in this paper. Surface microstructure and chemical composition were measured by atomic force microscopy, field-emission scanning electron microscopy, and X-ray photoelectron spectroscopy, respectively. Water contact angles of the modified rubber surface were also measured to evaluate the correlation between surface wettability and tribological properties. The results show that plasma treatments can improve the properties of the NBR against friction and wear effectively, the surface microstructure and roughness of plasma-modified NBR surface had an important influence on the surface tribological behavior, and the wear depth first decreased and then increased along with the change of plasma treatment time. It was found that the wettability of the modified surface was gradually improved, which was mainly due to the change of the chemical composition after the treatment. This study suggests that the plasma treatment could effectively improve the tribological properties of the NBR surface, and also provides information for developing wear-resistant NBR for industrial applications.

  18. Inactivation of Zika virus by solvent/detergent treatment of human plasma and other plasma-derived products and pasteurization of human serum albumin.

    Science.gov (United States)

    Kühnel, Denis; Müller, Sebastian; Pichotta, Alexander; Radomski, Kai Uwe; Volk, Andreas; Schmidt, Torben

    2017-03-01

    In 2016 the World Health Organization declared the mosquito-borne Zika virus (ZIKV) a "public health emergency of international concern." ZIKV is a blood-borne pathogen, which therefore causes concerns regarding the safety of human plasma-derived products due to potential contamination of the blood supply. This study investigated the effectiveness of viral inactivation steps used during the routine manufacturing of various plasma-derived products to reduce ZIKV infectivity. Human plasma and intermediates from the production of various plasma-derived products were spiked with ZIKV and subjected to virus inactivation using the identical techniques (either solvent/detergent [S/D] treatment or pasteurization) and conditions used for the actual production of the respective products. Samples were taken and the viral loads measured before and after inactivation. After S/D treatment of spiked intermediates of the plasma-derived products Octaplas(LG), Octagam, and Octanate, the viral loads were below the limit of detection in all cases. The mean log reduction factor (LRF) was at least 6.78 log for Octaplas(LG), at least 7.00 log for Octagam, and at least 6.18 log for Octanate after 60, 240, and 480 minutes of S/D treatment, respectively. For 25% human serum albumin (HSA), the mean LRF for ZIKV was at least 7.48 log after pasteurization at 60°C for 120 minutes. These results demonstrate that the commonly used virus inactivation processes utilized during the production of human plasma and plasma-derived products, namely, S/D treatment or pasteurization, are effective for inactivation of ZIKV. © 2016 The Authors Transfusion published by Wiley Periodicals, Inc. on behalf of AABB.

  19. Study on plasma melting treatment of crucibles, ceramic filter elements, asbestos, and fly ash

    International Nuclear Information System (INIS)

    Hoshi, Akiko; Nakasio, Nobuyuki; Nakajima, Mikio

    2004-01-01

    The Japan Atomic Energy Research Institute (JAERI) decided to adopt an advanced volume reduction program for low-level radioactive wastes. In this program, inorganic wastes are converted to stable glassy products suitable for disposal by a plasma melting system in the Waste Volume Reduction Facilities (WVRF). High melting point wastes such as refractories are excluded from the plasma melting treatment in the WVRF, and wastes difficult to handle such as asbestos are also excluded. However, it is describable to apply the plasma melting treatment to these wastes for stabilization and volume reduction from the viewpoint of disposal. In this paper, plasma melting test of crucibles, ceramic filter elements, asbestos, and simulated fly ashes were carried out as a part of technical support for WVRF. The plasma melting treatment was applicable for crucibles and asbestos because homogeneous and glassy products were obtained by controlling of waste and loading condition. It was found that SiC in ceramic filter elements was volatile with a plasma torch with inert gas, and adding reducer was ineffective against stabilizing volatile metals such as Zn, Pb in a solidified product in the melting test of simulated fly ash. (author)

  20. Effects of RF plasma treatment on spray-pyrolyzed copper oxide films on silicon substrates

    Science.gov (United States)

    Madera, Rozen Grace B.; Martinez, Melanie M.; Vasquez, Magdaleno R., Jr.

    2018-01-01

    The effects of radio-frequency (RF) argon (Ar) plasma treatment on the structural, morphological, electrical and compositional properties of the spray-pyrolyzed p-type copper oxide films on n-type (100) silicon (Si) substrates were investigated. The films were successfully synthesized using 0.3 M copper acetate monohydrate sprayed on precut Si substrates maintained at 350 °C. X-ray diffraction revealed cupric oxide (CuO) with a monoclinic structure. An apparent improvement in crystallinity was realized after Ar plasma treatment, attributed to the removal of residues contaminating the surface. Scanning electron microscope images showed agglomerated monoclinic grains and revealed a reduction in size upon plasma exposure induced by the sputtering effect. The current-voltage characteristics of CuO/Si showed a rectifying behavior after Ar plasma exposure with an increase in turn-on voltage. Four-point probe measurements revealed a decrease in sheet resistance after plasma irradiation. Fourier transform infrared spectral analyses also showed O-H and C-O bands on the films. This work was able to produce CuO thin films via spray pyrolysis on Si substrates and enhancement in their properties by applying postdeposition Ar plasma treatment.

  1. Plasma temperature during methylene blue/light treatment influences virus inactivation capacity and product quality.

    Science.gov (United States)

    Gravemann, U; Handke, W; Sumian, C; Alvarez, I; Reichenberg, S; Müller, T H; Seltsam, A

    2018-02-27

    Photodynamic treatment using methylene blue (MB) and visible light is in routine use for pathogen inactivation of human plasma in different countries. Ambient and product temperature conditions for human plasma during production may vary between production sites. The influence of different temperature conditions on virus inactivation capacity and plasma quality of the THERAFLEX MB-Plasma procedure was investigated in this study. Plasma units equilibrated to 5 ± 2°C, room temperature (22 ± 2°C) or 30 ± 2°C were treated with MB/light and comparatively assessed for the inactivation capacity for three different viruses, concentrations of MB and its photoproducts, activity of various plasma coagulation factors and clotting time. Reduced solubility of the MB pill was observed at 5 ± 2°C. Photocatalytic degradation of MB increased with increasing temperature, and the greatest formation of photoproducts (mainly azure B) occurred at 30 ± 2°C. Inactivation of suid herpesvirus, bovine viral diarrhoea virus and vesicular stomatitis virus was significantly lower at 5 ± 2°C than at higher temperatures. MB/light treatment affected clotting times and the activity of almost all investigated plasma proteins. Factor VIII (-17·7 ± 8·3%, 22 ± 2°C) and fibrinogen (-14·4 ± 16·4%, 22 ± 2°C) showed the highest decreases in activity. Increasing plasma temperatures resulted in greater changes in clotting time and higher losses of plasma coagulation factor activity. Temperature conditions for THERAFLEX MB-Plasma treatment must be carefully controlled to assure uniform quality of pathogen-reduced plasma in routine production. Inactivation of cooled plasma is not recommended. © 2018 International Society of Blood Transfusion.

  2. Demonstration of high-performance p-type tin oxide thin-film transistors using argon-plasma surface treatments

    Science.gov (United States)

    Bae, Sang-Dae; Kwon, Soo-Hun; Jeong, Hwan-Seok; Kwon, Hyuck-In

    2017-07-01

    In this work, we investigated the effects of low-temperature argon (Ar)-plasma surface treatments on the physical and chemical structures of p-type tin oxide thin-films and the electrical performance of p-type tin oxide thin-film transistors (TFTs). From the x-ray photoelectron spectroscopy measurement, we found that SnO was the dominant phase in the deposited tin oxide thin-film, and the Ar-plasma treatment partially transformed the tin oxide phase from SnO to SnO2 by oxidation. The resistivity of the tin oxide thin-film increased with the plasma-treatment time because of the reduced hole concentration. In addition, the root-mean-square roughness of the tin oxide thin-film decreased as the plasma-treatment time increased. The p-type oxide TFT with an Ar-plasma-treated tin oxide thin-film exhibited excellent electrical performance with a high current on-off ratio (5.2 × 106) and a low off-current (1.2 × 10-12 A), which demonstrates that the low-temperature Ar-plasma treatment is a simple and effective method for improving the electrical performance of p-type tin oxide TFTs.

  3. Remote detection of radioactive material using high-power pulsed electromagnetic radiation.

    Science.gov (United States)

    Kim, Dongsung; Yu, Dongho; Sawant, Ashwini; Choe, Mun Seok; Lee, Ingeun; Kim, Sung Gug; Choi, EunMi

    2017-05-09

    Remote detection of radioactive materials is impossible when the measurement location is far from the radioactive source such that the leakage of high-energy photons or electrons from the source cannot be measured. Current technologies are less effective in this respect because they only allow the detection at distances to which the high-energy photons or electrons can reach the detector. Here we demonstrate an experimental method for remote detection of radioactive materials by inducing plasma breakdown with the high-power pulsed electromagnetic waves. Measurements of the plasma formation time and its dispersion lead to enhanced detection sensitivity compared to the theoretically predicted one based only on the plasma on and off phenomena. We show that lower power of the incident electromagnetic wave is sufficient for plasma breakdown in atmospheric-pressure air and the elimination of the statistical distribution is possible in the presence of radioactive material.

  4. The social determinants of tuberculosis treatment adherence in a remote region of Papua New Guinea

    Directory of Open Access Journals (Sweden)

    Tanya Diefenbach-Elstob

    2017-01-01

    Full Text Available Abstract Background Papua New Guinea (PNG is a diverse and culturally-rich country with severe infrastructural and health problems. Tuberculosis (TB is widespread, and the number of cases with drug resistance is rising. Treatment adherence is known to be important for both effective treatment and limiting the emergence of drug resistance. The aim of this study was to construct a matrix of the factors that act as facilitators or barriers to TB treatment adherence in a remote region of PNG. Methods The study was based in the Balimo region of the Western Province. People known to have undergone TB treatment, as well as staff involved in managing people with TB, were asked to participate in an in-depth interview about their experiences. Purposive sampling was used to identify a diverse range of participants, from different geographic locations, social backgrounds, and with successful and unsuccessful treatment outcomes. The interview data was analysed based on grounded theory methodology. Results The study identified a range of factors that influence TB treatment adherence, with these being classified as personal, systems, and sociocultural. These factors are presented along with suggested recommendations for adaptations to DOTS-based treatment in this region. Barriers included the challenges associated with travel to treatment sites, and the difficulties of undertaking treatment alongside the daily need to maintain subsistence food production. However, facilitators were also identified, including the positive influence of religious beliefs, and high confidence in the ability of DOTS-based treatment to cure TB. Conclusions Documenting the wide range of factors that influence treatment adherence in a severely affected remote population will assist in improving TB control. These results provide impetus for further community-based efforts aimed at improving access to TB diagnosis and treatment, and maintaining successful treatment outcomes in the face

  5. Effect of atmospheric pressure plasma treatment condition on adhesion of ramie fibers to polypropylene for composite

    Energy Technology Data Exchange (ETDEWEB)

    Li, Ying [College of Material and Textile Engineering, Jiaxing University, Jiaxing 314033 (China); Center for Plasma-Aided Manufacturing, Madison, WI 53706 (United States); School of Human Ecology, University of Wisconsin-Madison, Madison, WI 53706 (United States); Manolache, Sorin [Center for Plasma-Aided Manufacturing, Madison, WI 53706 (United States); US Forest Products Laboratory, Madison, WI 53726 (United States); Qiu, Yiping, E-mail: ypqiu@dhu.edu.cn [College of Textiles, Donghua University, Shanghai 201620 (China); Sarmadi, Majid, E-mail: majidsar@wisc.edu [Center for Plasma-Aided Manufacturing, Madison, WI 53706 (United States); School of Human Ecology, University of Wisconsin-Madison, Madison, WI 53706 (United States); Materials Science Program, University of Wisconsin-Madison, Madison, WI 53706 (United States)

    2016-02-28

    Graphical abstract: - Highlights: • The continuous ethanol flow technique can successfully modify ramie fiber surface with an increase in IFSS value up to 50%. • Response surface methodology was applied to design the plasma treatment parameters for ramie fiber modification. • The ethanol flow rate was the most influential treatment parameter in plasma modification process. - Abstract: In order to improve the interfacial adhesion between hydrophilic ramie fibers and hydrophobic polypropylene (PP) matrices, ramie fibers are modified by atmospheric pressure dielectric barrier discharge (DBD) plasma with our continuous ethanol flow technique in helium environment. A central composite design of experiments with different plasma processing parameter combinations (treatment current, treatment time and ethanol flow rate) is applied to find the most influential parameter and to obtain the best modification effect. Field emission scanning electron microscope (SEM) shows the roughened surfaces of ramie fibers from the treated groups due to plasma etching effect. Dynamic contact angle analysis (DCAA) demonstrates that the wettability of the treated fibers drastically decreases. Microbond pullout test shows that the interfacial shear strength (IFSS) between treated ramie fibers and PP matrices increases significantly. Residual gas analysis (RGA) confirms the creation of ethyl groups during plasma treatment. This study shows that our continuous ethanol flow technique is effective in the plasma modification process, during which the ethanol flow rate is the most influential parameter but all parameters have simultaneous influence on plasma modification effect of ramie fibers.

  6. Photoluminescence from PP-HMDSO thin films deposited using a remote plasma of 13.56 MHz hollow cathode discharge

    International Nuclear Information System (INIS)

    Naddaf, M; Saloum, S; Hamadeh, H

    2007-01-01

    Room temperature photoluminescence (PL) from plasma-polymerized hexamethyldisiloxane (PP-HMDSO) thin films deposited on silicon wafers has been investigated as a function of both the applied RF power and the monomer flow rate. Films were deposited in a low pressure-low temperature remote plasma ignited in a 13.56 MHz hollow cathode discharge reactor, using pure HMDSO as a monomer and Ar as a feed gas. The substrate temperature during the deposition was as low as 40 deg. C and the total pressure was about 0.03 mbar. Optical emission spectroscopy (OES) has been used as in situ tool for monitoring the different chemical species present in the plasma during deposition processes. The deposited PP-HMDSO films showed a strong, broad 'green/yellow' PL band. The RF power and the flow rate of the HMDSO monomer are found to have a significant impact on the PL intensity of the deposited film. The changes in the chemical bonding of the film as a function of deposition parameters have been investigated by using the Fourier transform infrared (FTIR) spectroscopic analysis and are related to PL and OES results. The 'green/yellow' PL band is ascribed to chemical groups and bonds of silicon, hydrogen and/or oxygen constituting the films, in particular, SiH, SiO bonds and silanol Si-O-H groups

  7. Photoluminescence from PP-HMDSO thin films deposited using a remote plasma of 13.56 MHz hollow cathode discharge

    Science.gov (United States)

    Naddaf, M.; Saloum, S.; Hamadeh, H.

    2007-07-01

    Room temperature photoluminescence (PL) from plasma-polymerized hexamethyldisiloxane (PP-HMDSO) thin films deposited on silicon wafers has been investigated as a function of both the applied RF power and the monomer flow rate. Films were deposited in a low pressure-low temperature remote plasma ignited in a 13.56 MHz hollow cathode discharge reactor, using pure HMDSO as a monomer and Ar as a feed gas. The substrate temperature during the deposition was as low as 40 °C and the total pressure was about 0.03 mbar. Optical emission spectroscopy (OES) has been used as in situ tool for monitoring the different chemical species present in the plasma during deposition processes. The deposited PP-HMDSO films showed a strong, broad 'green/yellow' PL band. The RF power and the flow rate of the HMDSO monomer are found to have a significant impact on the PL intensity of the deposited film. The changes in the chemical bonding of the film as a function of deposition parameters have been investigated by using the Fourier transform infrared (FTIR) spectroscopic analysis and are related to PL and OES results. The 'green/yellow' PL band is ascribed to chemical groups and bonds of silicon, hydrogen and/or oxygen constituting the films, in particular, SiH, SiO bonds and silanol Si-O-H groups.

  8. Photoluminescence from PP-HMDSO thin films deposited using a remote plasma of 13.56 MHz hollow cathode discharge

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M; Saloum, S; Hamadeh, H [Department of Physics, Atomic Energy Commission of Syria (AECS), PO Box 6091, Damascus (Syrian Arab Republic)

    2007-07-07

    Room temperature photoluminescence (PL) from plasma-polymerized hexamethyldisiloxane (PP-HMDSO) thin films deposited on silicon wafers has been investigated as a function of both the applied RF power and the monomer flow rate. Films were deposited in a low pressure-low temperature remote plasma ignited in a 13.56 MHz hollow cathode discharge reactor, using pure HMDSO as a monomer and Ar as a feed gas. The substrate temperature during the deposition was as low as 40 deg. C and the total pressure was about 0.03 mbar. Optical emission spectroscopy (OES) has been used as in situ tool for monitoring the different chemical species present in the plasma during deposition processes. The deposited PP-HMDSO films showed a strong, broad 'green/yellow' PL band. The RF power and the flow rate of the HMDSO monomer are found to have a significant impact on the PL intensity of the deposited film. The changes in the chemical bonding of the film as a function of deposition parameters have been investigated by using the Fourier transform infrared (FTIR) spectroscopic analysis and are related to PL and OES results. The 'green/yellow' PL band is ascribed to chemical groups and bonds of silicon, hydrogen and/or oxygen constituting the films, in particular, SiH, SiO bonds and silanol Si-O-H groups.

  9. Photoluminescence from PP-HMDSO thin films deposited using a remote plasma of 13.56 MHz hollow cathode discharge

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.; Hamadeh, H.

    2008-01-01

    Room temperature photoluminescence (PL) from plasma-polymerized hexamethyldisiloxane (PP-HMDSO) thin films deposited on silicon wafers has been investigated as a function of both the applied RF power and the monomer flow rate. Films were deposited in a low pressure-low temperature remote plasma ignited in a 13.56 MHz hollow cathode discharge reactor, using pure HMDSO as a monomer and Ar as a feed gas. The substrate temperature during the deposition was as low as 40 deg. C and the total pressure was about 0.03 mbar. Optical emission spectroscopy (OES) has been used as in situ tool for monitoring the different chemical species present in the plasma during deposition processes. The deposited PP-HMDSO films showed a strong, broad 'green/yellow' PL band. The RF power and the flow rate of the HMDSO monomer are found to have a significant impact on the PL intensity of the deposited film. The changes in the chemical bonding of the film as a function of deposition parameters have been investigated by using the Fourier transform infrared (FTIR) spectroscopic analysis and are related to PL and OES results. The 'green/yellow' PL band is ascribed to chemical groups and bonds of silicon, hydrogen and/or oxygen constituting the films, in particular, SiH, SiO bonds and silanol Si-O-H groups. (Authors)

  10. Plasma treatment of carbon fibres and glass-fibre-reinforced polyesters at atmospheric pressure for adhesion improvement

    DEFF Research Database (Denmark)

    Kusano, Yukihiro; Løgstrup Andersen, Tom; Toftegaard, Helmuth Langmaack

    2014-01-01

    Atmospheric pressure plasma treatment is useful for adhesion improvement, because cleaning, roughening and addition of polar functional groups can be expected at the surfaces. Its possible applications in the wind energy industry include plasma treatment of fibres and fibre-reinforced polymer...... composites before assembling them to build wind turbine blades. In the present work, unsized carbon fibres are continuously treated using a dielectric barrier discharge plasma in helium at atmospheric pressure, and carbon fibre reinforced epoxy composite plates are manufactured for the mechanical test....... The plasma treatment improved fracture toughness, indicating that adhesion between the fibres and the epoxy was enhanced by the treatment. In addition, glass-fibre-reinforced polyester plates are treated using a gliding arc and an ultrasound enhanced dielectric barrier discharge, improving the wettability...

  11. Surface treatment of aramid fiber by air dielectric barrier discharge plasma at atmospheric pressure

    International Nuclear Information System (INIS)

    Jia Caixia; Chen Ping; Liu Wei; Li Bin; Wang Qian

    2011-01-01

    Aramid fiber samples are treated by air dielectric barrier discharge (DBD) plasma at atmospheric pressure; the plasma treatment time is investigated as the major parameter. The effects of this treatment on the fiber surface physical and chemical properties are studied by using surface characterization techniques. Scanning electron microscopy (SEM) is performed to determine the surface morphology changes, X-ray photoelectron spectroscopy (XPS) is analyzed to reveal the surface chemical composition variations and dynamic contact angle analysis (DCAA) is used to examine the changes of the fiber surface wettability. In addition, the wetting behavior of a kind of thermoplastic resin, poly(phthalazinone ether sulfone ketone) (PPESK), on aramid fiber surface is also observed by SEM photos. The study shows that there seems to be an optimum treatment condition for surface modification of aramid fiber by the air DBD plasma. In this paper, after the 12 s, 27.6 W/cm 3 plasma treatment the aramid fiber surface roughness is significantly improved, some new oxygen-containing groups such as C-O, C=O and O=C-O are generated on the fiber surface and the fiber surface wettability is greatly enhanced, which results in the better wetting behavior of PPESK resin on the plasma-treated aramid fiber.

  12. Fungicidal Effects of Plasma and Radio-Wave Pre-treatments on Seeds of Grain Crops and Legumes

    Science.gov (United States)

    Filatova, Irina; Azharonok, Viktor; Shik, Alexander; Antoniuk, Alexandra; Terletskaya, Natalia

    An influence of RF plasma and RF electromagnetic field pre-treatments on level of fungal infection of some important agricultural plants has been studied. It is shown that pre-sowing plasma and radio-wave seeds treatments contribute to their germination enhancement and plant productivity improvement owing to stimulative and fungicidal effect of plasma and RF electromagnetic field irradiation.

  13. Validation of cold plasma treatment for protein inactivation: a surface plasmon resonance-based biosensor study

    International Nuclear Information System (INIS)

    Bernard, C; Leduc, A; Barbeau, J; Saoudi, B; Yahia, L'H; Crescenzo, G De

    2006-01-01

    Gas plasma is being proposed as an interesting and promising tool to achieve sterilization. The efficacy of gas plasma to destroy bacterial spores (the most resistant living microorganisms) has been demonstrated and documented over the last ten years. In addition to causing damage to deoxyribonucleic acid by UV radiation emitted by excited species originating from the plasma, gas plasma has been shown to promote erosion of the microorganism in addition to possible oxidation reactions within the microorganism. In this work, we used lysozyme as a protein model to assess the effect of gas plasma on protein inactivation. Lysozyme samples have been subjected to the flowing afterglow of a gas discharge achieved in a nitrogen-oxygen mixture. The efficiency of this plasma treatment on lysozyme has been tested by two different assays. These are an enzyme-linked immunosorbent assay (ELISA) and a surface plasmon resonance (SPR)-based biosensor assay. The two methods showed that exposure to gas plasma can abrogate lysozyme interactions with lysozyme-specific antibodies, more likely by destroying the epitopes responsible for the interaction. More specifically, two SPR-based assays were developed since our ELISA approach did not allow us to discriminate between background and low, but still intact, quantities of lysozyme epitope after plasma treatment. Our SPR results clearly demonstrated that significant protein destruction or desorption was achieved when amounts of lysozyme less than 12.5 ng had been deposited in polystyrene 96-well ELISA plates. At higher lysozyme amounts, traces of available lysozyme epitopes were detected by SPR through indirect measurements. Finally, we demonstrated that a direct SPR approach in which biosensor-immobilized lysozyme activity is directly measured prior and after plasma treatment is more sensitive, and thus, more appropriate to define plasma treatment efficacy with more certainty

  14. Validation of cold plasma treatment for protein inactivation: a surface plasmon resonance-based biosensor study

    Science.gov (United States)

    Bernard, C.; Leduc, A.; Barbeau, J.; Saoudi, B.; Yahia, L'H.; DeCrescenzo, G.

    2006-08-01

    Gas plasma is being proposed as an interesting and promising tool to achieve sterilization. The efficacy of gas plasma to destroy bacterial spores (the most resistant living microorganisms) has been demonstrated and documented over the last ten years. In addition to causing damage to deoxyribonucleic acid by UV radiation emitted by excited species originating from the plasma, gas plasma has been shown to promote erosion of the microorganism in addition to possible oxidation reactions within the microorganism. In this work, we used lysozyme as a protein model to assess the effect of gas plasma on protein inactivation. Lysozyme samples have been subjected to the flowing afterglow of a gas discharge achieved in a nitrogen-oxygen mixture. The efficiency of this plasma treatment on lysozyme has been tested by two different assays. These are an enzyme-linked immunosorbent assay (ELISA) and a surface plasmon resonance (SPR)-based biosensor assay. The two methods showed that exposure to gas plasma can abrogate lysozyme interactions with lysozyme-specific antibodies, more likely by destroying the epitopes responsible for the interaction. More specifically, two SPR-based assays were developed since our ELISA approach did not allow us to discriminate between background and low, but still intact, quantities of lysozyme epitope after plasma treatment. Our SPR results clearly demonstrated that significant protein destruction or desorption was achieved when amounts of lysozyme less than 12.5 ng had been deposited in polystyrene 96-well ELISA plates. At higher lysozyme amounts, traces of available lysozyme epitopes were detected by SPR through indirect measurements. Finally, we demonstrated that a direct SPR approach in which biosensor-immobilized lysozyme activity is directly measured prior and after plasma treatment is more sensitive, and thus, more appropriate to define plasma treatment efficacy with more certainty.

  15. Robustness of solvent/detergent treatment of plasma derivatives: a data collection from Plasma Protein Therapeutics Association member companies.

    Science.gov (United States)

    Dichtelmüller, Herbert O; Biesert, Lothar; Fabbrizzi, Fabrizio; Gajardo, Rodrigo; Gröner, Albrecht; von Hoegen, Ilka; Jorquera, Juan I; Kempf, Christoph; Kreil, Thomas R; Pifat, Dominique; Osheroff, Wendy; Poelsler, Gerhard

    2009-09-01

    Solvent/detergent (S/D) treatment is an established virus inactivation technology that has been applied in the manufacture of medicinal products derived from human plasma for more than 20 years. Data on the inactivation of enveloped viruses by S/D treatment collected from seven Plasma Protein Therapeutics Association member companies demonstrate the robustness, reliability, and efficacy of this virus inactivation method. The results from 308 studies reflecting production conditions as well as technical variables significantly beyond the product release specification were evaluated for virus inactivation, comprising different combinations of solvent and detergent (tri(n-butyl) phosphate [TNBP]/Tween 80, TNBP/Triton X-100, TNBP/Na-cholate) and different products (Factor [F]VIII, F IX, and intravenous and intramuscular immunoglobulins). Neither product class, process temperature, protein concentration, nor pH value has a significant impact on virus inactivation. A variable that did appear to be critical was the concentration of solvent and detergent. The data presented here demonstrate the robustness of virus inactivation by S/D treatment for a broad spectrum of enveloped test viruses and process variables. Our data substantiate the fact that no transmission of viruses such as human immunodeficiency virus, hepatitis B virus, hepatitis C virus, or of other enveloped viruses was reported for licensed plasma derivatives since the introduction of S/D treatment.

  16. Plan of ITER remote experimentation center

    Energy Technology Data Exchange (ETDEWEB)

    Ozeki, T., E-mail: ozeki.takahisa@jaea.go.jp [Japan Atomic Energy Agency, 2-166 Obuchi Rokkasho, Kitakami-gun, Aomori 039-3212 (Japan); Clement, S.L. [Fusion for Energy, Torres Diagonal Litoral, B3, 13/03, 08019 Barcelona (Spain); Nakajima, N. [National Institute for Fusion Science and Project Leader of IFERC, 2-166 Obuchi, Rokkasho, Kamikita-gun, Aomori 039-3212 (Japan)

    2014-05-15

    Plan of ITER remote experimentation center (REC) based on the broader approach (BA) activity of the joint program of Japan and Europe (EU) is described. Objectives of REC activity are (1) to identify the functions and solve the technical issues for the construction of the REC for ITER at Rokkasho, (2) to develop the remote experiment system and verify the functions required for the remote experiment by using the Satellite Tokamak (JT-60SA) facilities in order to make the future experiments of ITER and JT-60SA effectively and efficiently implemented, and (3) to test the functions of REC and demonstrate the total system by using JT-60SA and existing other facilities in EU. Preliminary identified items to be developed are (1) Functions of the remote experiment system, such as setting of experiment parameters, shot scheduling, real time data streaming, communication by video-conference between the remote-site and on-site, (2) Effective data transfer system that is capable of fast transfer of the huge amount of data between on-site and off-site and the network connecting the REC system, (3) Storage system that can store/access the huge amount of data, including database management, (4) Data analysis software for the data viewing of the diagnostic data on the storage system, (5) Numerical simulation for preparation and estimation of the shot performance and the analysis of the plasma shot. Detailed specifications of the above items will be discussed and the system will be made in these four years in collaboration with tokamak facilities of JT-60SA and EU tokamak, experts of informatics, activities of plasma simulation and ITER. Finally, the function of REC will be tested and the total system will be demonstrated by the middle of 2017.

  17. Remote RemoteRemoteRemote sensing potential for sensing ...

    African Journals Online (AJOL)

    Remote RemoteRemoteRemote sensing potential for sensing potential for sensing potential for sensing potential for sensing potential for sensing potential for sensing potential for sensing potential for sensing potential for sensing potential for sensing p. A Ngie, F Ahmed, K Abutaleb ...

  18. Remote maintenance for fusion experimental reactor

    International Nuclear Information System (INIS)

    Koizumi, Koichi; Takeda, Nobukazu

    2000-01-01

    Here was introduced on maintenance of reactor core portion operated by remote control among maintenance of the International Thermonuclear Experimental Reactor (ITER) begun on its design since 1988 under international cooperation of U.S.A., Europe, Russia and Japan. Every appliances constructing the reactor core portion is necessary to carry out all of their inspection and maintenance by using remote controlled apparatus because of their radiation due to neutron generated by DT combustion of plasma. For engineering design activity (EDA) in ITER, not only design and development of the remote control appliances but also design under consideration of remote maintenance for from structural design of maintained objective appliances to access method to appliances, transportation and preservation method of radiated matters, and out-reactor maintenance in a hot cell, is now under progress. Here were also reported on basic concept on maintenance and conservation of ITER, maintenance design of diverter and blanket with high maintenance frequency and present state on development of maintenance appliances. (G.K.)

  19. Plasma treatments of wool fiber surface for microfluidic applications

    Energy Technology Data Exchange (ETDEWEB)

    Jeon, So-Hyoun; Hwang, Ki-Hwan; Lee, Jin Su [Department of Chemistry, Sungkyunkwan University, 440-746 Suwon (Korea, Republic of); Boo, Jin-Hyo, E-mail: jhboo@skku.edu [Department of Chemistry, Sungkyunkwan University, 440-746 Suwon (Korea, Republic of); Yun, Sang H., E-mail: shy@kth.se [Institute of Basic Science, Sungkyunkwan University, 440-746 Suwon (Korea, Republic of)

    2015-09-15

    Highlights: • We used atmospheric plasma for tuning the wettability of wool fibers. • The wicking rates of the wool fibers increased with increasing treatment time. • The increasing of wettability results in removement of fatty acid on the wool surface. - Abstract: Recent progress in health diagnostics has led to the development of simple and inexpensive systems. Thread-based microfluidic devices allow for portable and inexpensive field-based technologies enabling medical diagnostics, environmental monitoring, and food safety analysis. However, controlling the flow rate of wool thread, which is a very important part of thread-based microfluidic devices, is quite difficult. For this reason, we focused on thread-based microfluidics in the study. We developed a method of changing the wettability of hydrophobic thread, including wool thread. Thus, using natural wool thread as a channel, we demonstrate herein that the manipulation of the liquid flow, such as micro selecting and micro mixing, can be achieved by applying plasma treatment to wool thread. In addition to enabling the flow control of the treated wool channels consisting of all natural substances, this procedure will also be beneficial for biological sensing devices. We found that wools treated with various gases have different flow rates. We used an atmospheric plasma with O{sub 2}, N{sub 2} and Ar gases.

  20. Measurement and control system for ITER remote maintenance equipment

    Energy Technology Data Exchange (ETDEWEB)

    Oka, Kiyoshi; Kakudate, Satoshi; Takeda, Nobukazu; Takiguchi, Yuji; Akou, Kentaro [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment

    1998-04-01

    ITER in-vessel components such as blankets and divertors are categorized as scheduled maintenance components because they are subjected to severe plasma heat and particle loads. Blanket maintenance requires remote handling equipment and tools able to handle Heavy payloads of about 4 tons within a 2 mm precision tolerance. Divertor maintenance requires remote replacement of 60 cassettes with a dead weight of about 25 tons each. In the ITER R and D program, full-scale remote handling equipment for blanket and divertor maintenance has been designed and assembled for demonstration tests. This paper reviews the measurement and control system developed for full-scale remote handling equipment, the Japan Home Team contribution. (author)

  1. Measurement and control system for ITER remote maintenance equipment

    International Nuclear Information System (INIS)

    Oka, Kiyoshi; Kakudate, Satoshi; Takeda, Nobukazu; Takiguchi, Yuji; Akou, Kentaro

    1998-01-01

    ITER in-vessel components such as blankets and divertors are categorized as scheduled maintenance components because they are subjected to severe plasma heat and particle loads. Blanket maintenance requires remote handling equipment and tools able to handle Heavy payloads of about 4 tons within a 2 mm precision tolerance. Divertor maintenance requires remote replacement of 60 cassettes with a dead weight of about 25 tons each. In the ITER R and D program, full-scale remote handling equipment for blanket and divertor maintenance has been designed and assembled for demonstration tests. This paper reviews the measurement and control system developed for full-scale remote handling equipment, the Japan Home Team contribution. (author)

  2. Plasma treatment of paper for protein immobilization on paper-based chemiluminescence immunodevice.

    Science.gov (United States)

    Zhao, Mei; Li, Huifang; Liu, Wei; Guo, Yumei; Chu, Weiru

    2016-05-15

    A novel protein immobilization method based on plasma treatment of paper on the low-cost paper-based immunodevice was established in this work. By using a benchtop plasma cleaner, the paper microzone was treated by oxygen plasma treatment for 4 min and then the antibody can be directly immobilized on the paper surface. Aldehyde group was produced after the plasma treatment, which can be verified from the fourier transform infrared spectroscopy (FT-IR) spectra and x-ray photoelectron spectroscopy (XPS) spectra. By linked to aldehyde group, the antibody can be immobilized on the paper surface without any other pretreatment. A paper-based immunodevice was introduced here through this antibody immobilization method. With sandwich chemiluminescence (CL) immunoassay method, the paper-based immunodevice was successfully performed for carcinoembryonic antigen (CEA) detection in human serum with a linear range of 0.1-80.0 ng/mL. The detection limit was 0.03 ng/mL, which was 30 times lower than the clinical CEA level. Comparing to the other protein immobilization methods on paper-based device, this strategy was faster and simpler and had potential applications in point-of-care testing, public health and environmental monitoring. Copyright © 2015 Elsevier B.V. All rights reserved.

  3. Treatment of Partial Rotator Cuff Tear with Ultrasound-guided Platelet-rich Plasma

    Directory of Open Access Journals (Sweden)

    Vetrivel Chezian Sengodan

    2017-01-01

    Full Text Available Background: The treatment of symptomatic partial rotator cuff tear has presented substantial challenge to orthopaedic surgeons as it can vary from conservative to surgical repair. Researches have established the influence of platelet rich plasma in healing damaged tissue. Currently very few data are available regarding the evidence of clinical and radiological outcome of partial rotator cuff tear treated with ultrasound guided platelet rich plasma injection in English literature. Materials and Methods: 20 patients with symptomatic partial rotator cuff tears were treated with ultrasound guided platelet rich plasma injection. Before and after the injection of platelet rich plasma scoring was done with visual analogue score, Constant shoulder score, and UCLA shoulder score at 8 weeks and third month. A review ultrasound was performed 8 weeks after platelet rich plasma injection to assess the rotator cuff status. Results: Our study showed statistically significant improvements in 17 patients in VAS pain score, constant shoulder score and UCLA shoulder score. No significant changes in ROM were noted when matched to the contra-lateral side (P < 0.001 at the 3 month follow-up. The study also showed good healing on radiological evaluation with ultrasonogram 8 weeks after platelet rich plasma injection. Conclusion: Ultrasound guided platelet rich plasma injection for partial rotator cuff tears is an effective procedure that leads to significant decrease in pain, improvement in shoulder functions, much cost-effective and less problematic compared to a surgical treatment.

  4. Dentin surface treatment using a non-thermal argon plasma brush for interfacial bonding improvement in composite restoration

    Science.gov (United States)

    Ritts, Andy Charles; Li, Hao; Yu, Qingsong; Xu, Changqi; Yao, Xiaomei; Hong, Liang; Wang, Yong

    2010-01-01

    The objective of this study is to investigate the treatment effects of non-thermal atmospheric gas plasmas on dentin surfaces for composite restoration. Extracted unerupted human third molars were used by removing the crowns and etching the exposed dentin surfaces with 35% phosphoric acid gel. The dentin surfaces were treated by using a non-thermal atmospheric argon plasma brush for various durations. The molecular changes of the dentin surfaces were analyzed using FTIR/ATR and an increase in carbonyl groups on dentin surfaces was detected with plasma treated dentin. Adper Single Bond Plus adhesive and Filtek Z250 dental composite were applied as directed. To evaluate the dentin/composite interfacial bonding, the teeth thus prepared were sectioned into micro-bars as the specimens for tensile test. Student Newman Keuls tests showed that the bonding strength of the composite restoration to peripheral dentin was significantly increased (by 64%) after 30 s plasma treatment. However, the bonding strength to plasma treated inner dentin did not show any improvement. It was found that plasma treatment of peripheral dentin surface up to 100 s gave an increase in interfacial bonding strength, while a prolong plasma treatment of dentin surfaces, e.g., 5 min treatments, showed a decrease in interfacial bonding strength. PMID:20831586

  5. Influence of He/O2 atmospheric pressure plasma jet treatment on subsequent wet desizing of polyacrylate on PET fabrics

    International Nuclear Information System (INIS)

    Li Xuming; Lin Jun; Qiu Yiping

    2012-01-01

    The influence of He/O 2 atmospheric pressure plasma jet (APPJ) treatment on subsequent wet desizing of polyacrylate on PET fabrics was studied in the present paper. Weight loss results indicated that the weight loss increased with an increase of plasma treatment time. Atomic force microscopy (AFM) and scanning electron microscopy (SEM) showed an increased surface roughness after the plasma treatment. SEM also showed that the fiber surfaces were as clean as unsized fibers after 35 s treatment followed by NaHCO 3 desizing. X-ray photoelectron spectroscopy (XPS) analysis indicated that oxygen-based functional groups increased for the plasma treated polyacrylate sized fabrics. The percent desizing ratio (PDR) results showed that more than 99% PDR was achieved after 65 s plasma treatment followed by a 5 min NaHCO 3 desizing. Compared to conventional wet desizing, indicating that plasma treatment could significantly reduce desizing time.

  6. Nano-structuring of PTFE surface by plasma treatment, etching, and sputtering with gold

    International Nuclear Information System (INIS)

    Reznickova, Alena; Kolska, Zdenka; Hnatowicz, Vladimir; Svorcik, Vaclav

    2011-01-01

    Properties of pristine, plasma modified, and etched (by water and methanol) polytetrafluoroethylene (PTFE) were studied. Gold nanolayers sputtered on this modified PTFE have been also investigated. Contact angle, measured by goniometry, was studied as a function of plasma exposure and post-exposure aging times. Degradation of polymer chains was examined by etching of plasma modified PTFE in water or methanol. The amount of ablated and etched layer was measured by gravimetry. In the next step the pristine, plasma modified, and etched PTFE was sputtered with gold. Changes in surface morphology were observed using atomic force microscopy. Chemical structure of modified polymers was characterized by X-ray photoelectron spectroscopy (XPS). Surface chemistry of the samples was investigated by electrokinetic analysis. Sheet resistance of the gold layers was measured by two-point technique. The contact angle of the plasma modified PTFE decreases with increasing exposure time. The PTFE amount, ablated by the plasma treatment, increases with the plasma exposure time. XPS measurements proved that during the plasma treatment the PTFE macromolecular chains are degraded and oxidized and new –C–O–C–, –C=O, and –O–C=O groups are created in modified surface layer. Surface of the plasma modified PTFE is weakly soluble in methanol and intensively soluble in water. Zeta potential and XPS shown dramatic changes in PTFE surface chemistry after the plasma exposure, water etching, and gold deposition. When continuous gold layer is formed a rapid decrease of the sheet resistance of the gold layer is observed.

  7. Preparation of chitosan-coated polyethylene packaging films by DBD plasma treatment.

    Science.gov (United States)

    Theapsak, Siriporn; Watthanaphanit, Anyarat; Rujiravanit, Ratana

    2012-05-01

    Polyethylene (PE) packaging films were coated with chitosan in order to introduce the antibacterial activity to the films. To augment the interaction between the two polymers, we modified the surfaces of the PE films by dielectric barrier discharge (DBD) plasma before chitosan coating. After that the plasma-treated PE films were immersed in chitosan acetate solutions with different concentrations of chitosan. The optimum plasma treatment time was 10 s as determined from contact angle measurement. Effect of the plasma treatment on the surface roughness of the PE films was investigated by atomic force microscope (AFM) while the occurrence of polar functional groups was observed by X-ray photoelectron spectroscope (XPS) and Fourier transformed infrared spectroscope (FTIR). It was found that the surface roughness as well as the occurrence of oxygen-containing functional groups (i.e., C═O, C-O, and -OH) of the plasma-treated PE films increased from those of the untreated one, indicating that the DBD plasma enhanced hydrophilicity of the PE films. The amounts of chitosan coated on the PE films were determined after washing the coated films in water for several number of washing cycles prior to detection of the chitosan content by the Kjaldahl method. The amounts of chitosan coated on the PE films were constant after washing for three times and the chitosan-coated PE films exhibited appreciable antibacterial activity against Escherichia coli and Staphylococcus aureus. Hence, the obtained chitosan-coated PE films could be a promising candidate for antibacterial food packaging.

  8. The annealing effect on work function variation of WN{sub x}C{sub y} films deposited by remote plasma atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hyunjung; Shin, Changhee; Lim, Heewoo; Kim, Manseok [Department of Nano-Scale Semiconductor Engineering, Hanyang University, Seoul (Korea, Republic of); Jang, Woochool; Lee, Kunyoung [Division of Materials Science and Engineering, Hanyang University, Seoul (Korea, Republic of); Yuh, Junhan [Division of Steel Solution, POSCO, Seoul (Korea, Republic of); Jeon, Hyeongtag [Department of Nano-Scale Semiconductor Engineering, Hanyang University, Seoul (Korea, Republic of); Division of Materials Science and Engineering, Hanyang University, Seoul (Korea, Republic of)

    2017-07-15

    Tungsten-nitrogen-carbide (WN{sub x}C{sub y}) thin films were investigated as the metal gate of complementary metal-oxide-semiconductor (CMOS) devices. WN{sub x}C{sub y} thin films were deposited by employing the remote plasma atomic layer deposition (RPALD) using a bis(tert-butylimido) bis (dimethylamido) tungsten (BTBMW) precursor and hydrogen plasma as a reactant. The growth rate of the WN{sub x}C{sub y} films was about 0.12 nm/cycle. X-ray diffraction (XRD) analysis indicated that the films consisted of a mixture of tungsten carbide and tungsten nitride phases. The atomic force microscope (AFM) analysis further confirmed that the WN{sub x}C{sub y} film surfaces deposited by RPALD were smooth. In addition, the chemical bonding state analysis showed that the WN{sub x}C{sub y} films consisted of WN, WC, and WO phases. To measure the work function of the WN{sub x}C{sub y} film, a MOSCAP (metal oxide semiconductor capacitor) stack was fabricated and the flat band voltage was measured by current-voltage (C-V) measurements. A WN{sub x}C{sub y} work function value of 4.91 eV was suitable for p-MOS and the work function of the WN{sub x}C{sub y} films varied depending on the annealing treatment, and was higher than the work function of the as-deposited WN{sub x}C{sub y} film. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. Treatment of polymer surfaces in plasma Part I. Kinetic model

    International Nuclear Information System (INIS)

    Tabaliov, N A; Svirachev, D M

    2006-01-01

    The surface tension of the polymer materials depends on functional groups over its surface. As a result from the plasma treatment the kind and concentration of the functional groups can be changed. In the present work, the possible kinetic reactions are defined. They describe the interaction between the plasma and the polymer surface of polyethylene terephthalate (PET). Basing on these reactions, the systems of differential kinetic equations are suggested. The solutions are obtained analytically for the system kinetic equations at defined circumstances

  10. High performance solution-deposited amorphous indium gallium zinc oxide thin film transistors by oxygen plasma treatment

    KAUST Repository

    Nayak, Pradipta K.

    2012-05-16

    Solution-deposited amorphous indium gallium zinc oxide (a-IGZO) thin film transistors(TFTs) with high performance were fabricated using O2-plasma treatment of the films prior to high temperature annealing. The O2-plasma treatment resulted in a decrease in oxygen vacancy and residual hydrocarbon concentration in the a-IGZO films, as well as an improvement in the dielectric/channel interfacial roughness. As a result, the TFTs with O2-plasma treated a-IGZO channel layers showed three times higher linear field-effect mobility compared to the untreated a-IGZO over a range of processing temperatures. The O2-plasma treatment effectively reduces the required processing temperature of solution-deposited a-IGZO films to achieve the required performance.

  11. Study on effect of plasma surface treatments for diamond deposition by DC arc plasmatron.

    Science.gov (United States)

    Kang, In-Je; Joa, Sang-Beom; Lee, Heon-Ju

    2013-11-01

    To improve the thermal conductivity and wear resistance of ceramic materials in the field of renewable energy technologies, diamond coating by plasma processing has been carried out in recent years. This study's goal is to improve diamond deposition on Al2O3 ceramic substrates by plasma surface treatments. Before diamond deposition was carried out in a vacuum, plasma surface treatments using Ar gas were conducted to improve conditions for deposition. We also conducted plasma processing for diamond deposition on Al2O3 ceramic substrates using a DC arc Plasmatron. The Al2O3 ceramic substrates with diamond film (5 x 15 mm2), were investigated by SEM (Scanning Electron Microscopy), AFM (Atomic Force Microscopy) and XRD (X-ray Diffractometer). Then, the C-H stretching of synthetic diamond films by FTIR (Fourier Transform Infrared Spectroscopy) was studied. We identified nanocrystalline diamond films on the Al2O3 ceramic substrates. The results showed us that the deposition rate of diamond films was 2.3 microm/h after plasma surface treatments. Comparing the above result with untreated ceramic substrates, the deposition rate improved with the surface roughness of the deposited diamond films.

  12. Surface modification of a natural zeolite by treatment with cold oxygen plasma: Characterization and application in water treatment

    Science.gov (United States)

    De Velasco-Maldonado, Paola S.; Hernández-Montoya, Virginia; Montes-Morán, Miguel A.; Vázquez, Norma Aurea-Rangel; Pérez-Cruz, Ma. Ana

    2018-03-01

    In the present work the possible surface modification of natural zeolite using cold oxygen plasma was studied. The sample with and without treatment was characterized using nitrogen adsorption isotherms at -196 °C, FT-IR spectroscopy, SEM/EDX analysis and X-Ray Diffraction. Additionally, the two samples were used for the removal of lead and acid, basic, reactive and food dyes in batch systems. The natural zeolite was found to be a mesoporous material with a low specific surface area (23 m2/g). X-ray patterns confirmed that clinoptilolite was the main crystal structure present in the natural zeolite. The molecular properties of dyes and the zeolitic structure were studied using molecular simulation, with the purpose to understand the adsorption mechanism. The results pointed out that only the roughness of the clinoptilolite was affected by the plasma treatment, whereas the specific surface area, chemical functionality and crystal structure remained constant. Finally, adsorption results confirmed that the plasma treatment had no significant effects on the dyes and lead retention capacities of the natural zeolite.

  13. The impact of atmospheric cold plasma treatment on inactivation of lipase and lipoxygenase of wheat germs

    DEFF Research Database (Denmark)

    Tolouie, Haniye; Mohammadifar, Mohammad Amin; Ghomi, Hamid

    2018-01-01

    Wheat germ is a by-product of milling process which contains large amount of nutrients. The shelf life of wheat germ could improve by inactivation of destructive endogenous enzymes especially lipase and lipoxygenase. In this work, the impact of atmospheric cold plasma treatment on the inactivation...... of lipase and lipoxygenase enzymes of wheat germ was studied. Dielectric barrier discharge plasma was utilized to treat wheat germs. The impact of treatment time and voltage of plasma on the inactivation of lipase and lipoxygenase were investigated as well. The higher voltage and treatment time led...

  14. Treatment failure of nelfinavir-containing triple therapy can largely be explained by low nelfinavir plasma concentrations

    NARCIS (Netherlands)

    Burger, David M.; Hugen, Patricia W. H.; Aarnoutse, Rob E.; Hoetelmans, Richard M. W.; Jambroes, Marielle; Nieuwkerk, Pythia T.; Schreij, Gerrit; Schneider, Margriet M. E.; van der Ende, Marchina E.; Lange, Joep M. A.

    2003-01-01

    The relationship between plasma concentrations of nelfinavir and virologic treatment failure was investigated to determine the minimum effective concentration of nelfinavir. Plasma samples were prospectively collected from treatment-naive patients who began taking nelfinavir, 1,250 mg BID + two

  15. Process simulation and uncertainty analysis of plasma arc mixed waste treatment

    International Nuclear Information System (INIS)

    Ferrada, J.J.; Welch, T.D.

    1994-01-01

    Innovative mixed waste treatment subsystems have been analyzed for performance, risk, and life-cycle cost as part of the U.S. Department of Energy's (DOE)'s Mixed Waste Integrated Program (MWIP) treatment alternatives development and evaluation process. This paper concerns the analysis of mixed waste treatment system performance. Performance systems analysis includes approximate material and energy balances and assessments of operability, effectiveness, and reliability. Preliminary material and energy balances of innovative processes have been analyzed using FLOW, an object-oriented, process simulator for waste management systems under development at Oak Ridge National Laboratory. The preliminary models developed for FLOW provide rough order-of-magnitude calculations useful for sensitivity analysis. The insight gained from early modeling of these technologies approximately will ease the transition to more sophisticated simulators as adequate performance and property data become available. Such models are being developed in ASPEN by DOE's Mixed Waste Treatment Project (MWTP) for baseline and alternative flow sheets based on commercial technologies. One alternative to the baseline developed by the MWIP support groups in plasma arc treatment. This process offers a noticeable reduction in the number of process operations as compared to the baseline process because a plasma arc melter is capable of accepting a wide variety of waste streams as direct inputs (without sorting or preprocessing). This innovative process for treating mixed waste replaces several units from the baseline process and, thus, promises an economic advantage. The performance in the plasma arc furnace will directly affect the quality of the waste form and the requirements of the off-gas treatment units. The ultimate objective of MWIP is to reduce the amount of final waste produced, the cost, and the environmental impact

  16. Selective metallization of amorphous-indium-gallium-zinc-oxide thin-film transistor by using helium plasma treatment

    Science.gov (United States)

    Jang, Hun; Lee, Su Jeong; Porte, Yoann; Myoung, Jae-Min

    2018-03-01

    In this study, the effects of helium (He) plasma treatment on amorphous-indium-gallium-zinc-oxide (a-IGZO) thin-film transistors (TFTs) have been investigated. The He plasma treatment induced a dramatic decrease of the resistivity in a-IGZO thin films from 1.25 × 106 to 5.93 mΩ cm. After 5 min He plasma treatment, the a-IGZO films showed an increase in carrier concentration to 6.70 × 1019 cm-3 combined with a high hall mobility of 15.7 cm2 V-1 s-1. The conductivity improvement was linked to the formation of oxygen vacancies during the He plasma treatment, which was observed by x-ray photoelectron spectroscopy analysis. The a-IGZO films did not appear to be damaged on the surface following the plasma treatment and showed a high transmittance of about 88.3% at a wavelength of 550 nm. The He plasma-treated a-IGZO films were used as source/drain (S/D) electrodes in a-IGZO TFTs. The devices demonstrated promising characteristics, on pair with TFTs using Al electrodes, with a threshold voltage (V T) of -1.97 V, sub-threshold slope (SS) of 0.52 V/decade, saturation mobility (μ sat) of 8.75 cm2 V-1 s-1, and on/off current ratio (I on/I off) of 2.66 × 108.

  17. Surface modification of polylactic acid films by atmospheric pressure plasma treatment

    Science.gov (United States)

    Kudryavtseva, V. L.; Zhuravlev, M. V.; Tverdokhlebov, S. I.

    2017-09-01

    A new approach for the modification of polylactic acid (PLA) materials using atmospheric pressure plasma (APP) is described. PLA films plasma exposure time was 20, 60, 120 s. The surface morphology and wettability of the obtained PLA films were investigated by atomic force microscopy (AFM) and the sitting drop method. The atmospheric pressure plasma increased the roughness and surface energy of PLA film. The wettability of PLA has been improved with the application of an atmospheric plasma surface treatment. It was shown that it is possible to obtain PLA films with various surface relief and tunable wettability. Additionally, we demonstrated that the use of cold atmospheric pressure plasma for surface activation allows for the immobilization of bioactive compounds like hyaluronic acid (HA) on the surface of obtained films. It was shown that composite PLA-HA films have an increased long-term hydrophilicity of the films surface.

  18. Rapid plasma treatment of polyimide for improved adhesive and durable copper film deposition

    International Nuclear Information System (INIS)

    Usami, Kenji; Ishijima, Tatsuo; Toyoda, Hirotaka

    2012-01-01

    To improve adhesion at the interface between Cupper (Cu) and polyimide (PI) layers, a PI film surface was treated with a microwave-excited plasma. The Ar/N 2 plasma treatment improved the Cu adhesion force to 10 N/cm even for PI substrates with absorbed water. A dramatic improvement of the adhesion durability was achieved by depositing a thin carbon film (C) on the PI substrate as an interlayer between PI and Cu using a microwave plasma followed by treatment with the Ar/N 2 plasma prior to the Cu deposition. After a 20-h accelerated aging test, the reduction of the adhesion force for the resulting Cu/C/PI sample was only 10%, whereas that for the Cu/PI sample was 55%. To gain insight into the film properties, the interface between the Cu and PI film was investigated by X-ray photoelectron spectroscopy.

  19. Effect of atmospheric plasma treatment on seed germination of rice (Oryza sativa L.)

    Science.gov (United States)

    Penado, Keith Nealson M.; Mahinay, Christian Lorenz S.; Culaba, Ivan B.

    2018-01-01

    Multiple methods of improving plant development have been utilized over the past decades. Despite these improvements, there still exists a need for better planting methods due to the increasing population of a global community. Studies have reported that plasma treatment affects the growth and germination of a variety of plant species, including a multitude of grains which often takes the bulk in the diet of the average human being. This study explores the effect of atmospheric air plasma jet treatment on the seed germination of rice (Oryza sativa L.). The seeds were treated using an atmospheric air plasma jet for 1, 2, and 3 s. The effect of plasma exposure shows a reduction of trichomes on the surface of the seed. This caused a possible increase in wettability which significantly affected the seed germ length but did not affect the seed germination count after the germination period of 72 h.

  20. Rapid Hydrophilization of Model Polyurethane/Urea (PURPEG Polymer Scaffolds Using Oxygen Plasma Treatment

    Directory of Open Access Journals (Sweden)

    Rok Zaplotnik

    2016-04-01

    Full Text Available Polyurethane/urea copolymers based on poly(ethylene glycol (PURPEG were exposed to weakly ionized, highly reactive low-pressure oxygen plasma to improve their sorption kinetics. The plasma was sustained with an inductively coupled radiofrequency generator operating at various power levels in either E-mode (up to the forward power of 300 W or H-mode (above 500 W. The treatments that used H-mode caused nearly instant thermal degradation of the polymer samples. The density of the charged particles in E-mode was on the order of 1016 m−3, which prevented material destruction upon plasma treatment, but the density of neutral O-atoms in the ground state was on the order of 1021 m−3. The evolution of plasma characteristics during sample treatment in E-mode was determined by optical emission spectroscopy; surface modifications were determined by water adsorption kinetics and X-ray photoelectron spectroscopy; and etching intensity was determined by residual gas analysis. The results showed moderate surface functionalization with hydroxyl and carboxyl/ester groups, weak etching at a rate of several nm/s, rather slow activation down to a water contact angle of 30° and an ability to rapidly absorb water.

  1. Investigation of oxygen plasma treatment on the device performance of solution-processed a-IGZO thin film transistors

    International Nuclear Information System (INIS)

    Pu, Haifeng; Zhou, Qianfei; Yue, Lan; Zhang, Qun

    2013-01-01

    We reported the impact of oxygen plasma treatment on solution-processed amorphous indium gallium zinc oxide (a-IGZO) thin film transistors (TFTs). Plasma-treated devices showed higher mobility, larger on/off current ratio, but a monotonically increased SS with plasma treatment time as well. The phenomenon was mainly due to two components in oxygen plasma, atomic oxygen and O 2 + , according to the photoluminescence (PL) measurement. Atomic oxygen reacted with oxygen vacancies in channel layer resulting in an improved mobility, and O 2 + tends to aggregated at the surface acting as trapping states simultaneously. Our study suggests that moderate oxygen plasma treatment can be adopted to improve the device performance, while O 2 + should be eliminated to obtain good interfacial states.

  2. Effects of low pressure plasma treatments on DSSCs based on rutile TiO2 array photoanodes

    International Nuclear Information System (INIS)

    Wang, Weiqi; Chen, Jiazang; Luo, Jianqiang; Zhang, Yuzhi; Gao, Lian; Liu, Yangqiao; Sun, Jing

    2015-01-01

    Graphical abstract: - Highlights: • Plasma treatment effects on rutile nanorod arrays studied. • Dye adsorption amount increased by all plasma treatment. • Flat-band potential positively shifted after NP and OP treatments. • Cell performance improved by NP and OP treatments. - Abstract: In this paper, three types of low pressure plasma including hydrogen (HP), oxygen (OP) and nitrogen (NP) treatments have been utilized for the first time to improve DSSCs based on rutile TiO 2 array photoanodes. Their effects on the photoanodes and the cell performance have been systematically compared by characterizing the dye loading amount, flat-band potential, donor concentration, electron lifetime and the photovoltaic parameters. Experimental results show that all the three plasma treatments increase the dye loading owing to improved hydrophilicity or enhanced surface roughness. It is found that NP and OP treatments significantly increase the TiO 2 donor concentration and decrease trapping sites. By this way, the electron transport is enhanced and the electron recombination is effectively restrained. These comprehensive effects make NP and OP treatments beneficial for the overall performance, by which 13% and 5% increases in efficiency are achieved. However, HP treatment causes obvious reduction in the donor concentration and more severe electron recombination, which decreases the efficiency by about 15%

  3. Effects of H2/O2 mixed gas plasma treatment on electrical and optical property of indium tin oxide

    International Nuclear Information System (INIS)

    Kim, Jun Young; Lee, Dong-Min; Kim, Jae-Kwan; Yang, Su-Hwan; Lee, Ji-Myon

    2013-01-01

    Highlights: ► The specific resistivity of ITO was enhanced by H 2 + O 2 mixed gas plasma treatment. ► The transmittance was same as that of untreated ITO after plasma treatment. ► The process was carried out at room temperature without any step of post-treatment. - Abstract: This study examined the effects of H 2 and H 2 + O 2 mixed gas plasma treatment on the properties of ITO films. The films were deposited on corning glass by RF magnetron sputtering under Ar and Ar/O 2 mixed gas ambient. After a H 2 plasma treatment, the ITO films showed an improved specific resistance due to the formation of oxygen vacancies acting as shallow donors, but showed quenched transmittance due to the formation of agglomerated metals on the surface. After an H 2 + O 2 mixed gas plasma treatment, the specific resistance of the film was improved without deteriorating transmittance. The enhanced specific resistance by mixed gas plasma treatment was attributed to the formation of free electrons by the incorporation of H in the lattice.

  4. Corona Glow Discharge Plasma Treatment for Hidrophylicity Improvement of Polyester and Cotton Fabrics

    Science.gov (United States)

    Susan, A. I.; Widodo, M.; Nur, M.

    2017-07-01

    The effects of irradiation by a corona glow discharge plasma on hidrophylicity properties of polyester and cotton fabrics were investigated. We used a corona glow discharge plasma reactor with multiple points to plane electrodes, which was generated by a high voltage DC. Factors that affect the hidrophylicity properties were identified and evaluated as functions of irradiation parameters, which include duration of treatment, distance between electrodes, and bias voltage. It was readily observed from SEM examinations that plasma changed the surface morphology of both polyester and cotton fibers, giving result to an increased roughness to both of them. Results also showed that the hidrophylicityof polyester and cotton fabrics improved by the treatment, which is proportional to the time of treatment and voltage, but inversely proportional to the distance between electrodes. Time of treatment that provided the optimum enhancement of hidrophylicity for cotton is 15 minutes which improved the wetting time from 8.16 seconds to 1.26 seconds. For polyester, it took 15 minutes of irradiation time to improve the wetting time from 7340 seconds to 2905 seconds. The optimum distance between electrodes for both fabrics in this study was found to be 2 cm. Further analysis showed that the improved hidrophylicity properties is due to the creation of surface radicals by free radicals in the plasma leading to the formation of new water-attracting functional groups on the fiber surface.

  5. Comparison of the effect of plasma treatment and gamma ray irradiation on PS-Cu nanocomposite films surface

    Science.gov (United States)

    Farag, O. F.

    2018-06-01

    Polystyrene-copper (PS-Cu) nanocomposite films were treated with DC N2 plasma and gamma rays irradiations. The plasma treatment of PS-Cu film surface was carried out at different treatment times, gas pressure 0.4 Torr and the applied power 3.5 W. On the other hand, the treatment with gamma rays irradiation were carried out at irradiation doses 10, 30 and 50 kGy. The induced changes in surface properties of PS-Cu films were investigated with UV-viss spectroscopy, scanning electron microscopy (SEM) and FTIR spectroscopy techniques. In addition, the wettability property, surface free energy, spreading coefficient and surface roughness of the treated samples were studied by measuring the contact angle. The UV-viss spectroscopy analysis revealed that the optical band gap decreases with increasing the treatment time and the irradiation dose for plasma and gamma treatments, respectively. SEM observations showed that the particle size of copper particles was increased with increasing the treatment time and the irradiation dose, but gamma treatment changes the copper particles size from nano scale to micro scale. The contact angle measurements showing that the wettability property, surface free energy, spreading coefficient and surface roughness of the treated PS-Cu samples were increased remarkably with increasing the treatment time and the irradiation dose for plasma and gamma treatments, respectively. The contact angle, surface free energy, spreading coefficient and surface roughness of the treated PS-Cu samples are more influenced by plasma treatment than gamma treatment.

  6. Air-water ‘tornado’-type microwave plasmas applied for sugarcane biomass treatment

    Science.gov (United States)

    Bundaleska, N.; Tatarova, E.; Dias, F. M.; Lino da Silva, M.; Ferreira, C. M.; Amorim, J.

    2014-02-01

    The production of cellulosic ethanol from sugarcane biomass is an attractive alternative to the use of fossil fuels. Pretreatment is needed to separate the cellulosic material, which is packed with hemicellulose and lignin in cell wall of sugarcane biomass. A microwave ‘tornado’-type air-water plasma source operating at 2.45 GHz and atmospheric pressure has been applied for this purpose. Samples of dry and wet biomass (˜2 g) have been exposed to the late afterglow plasma stream. The experiments demonstrate that the air-water highly reactive plasma environment provides a number of long-lived active species able to destroy the cellulosic wrapping. Scanning electron microscopy has been applied to analyse the morphological changes occurring due to plasma treatment. The effluent gas streams have been analysed by Fourier-transform infrared spectroscopy (FT-IR). Optical emission spectroscopy and FT-IR have been applied to determine the gas temperature in the discharge and late afterglow plasma zones, respectively. The optimal range of the operational parameters is discussed along with the main active species involved in the treatment process. Synergistic effects can result from the action of singlet O2(a 1Δg) oxygen, NO2, nitrous acid HNO2 and OH hydroxyl radical.

  7. Air–water ‘tornado’-type microwave plasmas applied for sugarcane biomass treatment

    International Nuclear Information System (INIS)

    Bundaleska, N; Tatarova, E; Dias, F M; Lino da Silva, M; Ferreira, C M; Amorim, J

    2014-01-01

    The production of cellulosic ethanol from sugarcane biomass is an attractive alternative to the use of fossil fuels. Pretreatment is needed to separate the cellulosic material, which is packed with hemicellulose and lignin in cell wall of sugarcane biomass. A microwave ‘tornado’-type air–water plasma source operating at 2.45 GHz and atmospheric pressure has been applied for this purpose. Samples of dry and wet biomass (∼2 g) have been exposed to the late afterglow plasma stream. The experiments demonstrate that the air–water highly reactive plasma environment provides a number of long-lived active species able to destroy the cellulosic wrapping. Scanning electron microscopy has been applied to analyse the morphological changes occurring due to plasma treatment. The effluent gas streams have been analysed by Fourier-transform infrared spectroscopy (FT-IR). Optical emission spectroscopy and FT-IR have been applied to determine the gas temperature in the discharge and late afterglow plasma zones, respectively. The optimal range of the operational parameters is discussed along with the main active species involved in the treatment process. Synergistic effects can result from the action of singlet O 2 (a  1 Δ g ) oxygen, NO 2 , nitrous acid HNO 2 and OH hydroxyl radical. (paper)

  8. Charge trapping characteristics of Au nanocrystals embedded in remote plasma atomic layer-deposited Al2O3 film as the tunnel and blocking oxides for nonvolatile memory applications

    International Nuclear Information System (INIS)

    Lee, Jaesang; Kim, Hyungchul; Park, Taeyong; Ko, Youngbin; Ryu, Jaehun; Jeon, Heeyoung; Park, Jingyu; Jeon, Hyeongtag

    2012-01-01

    Remote plasma atomic layer deposited (RPALD) Al 2 O 3 films were investigated to apply as tunnel and blocking layers in the metal-oxide-semiconductor capacitor memory utilizing Au nanocrystals (NCs) for nonvolatile memory applications. The interface stability of an Al 2 O 3 film deposited by RPALD was studied to observe the effects of remote plasma on the interface. The interface formed during RPALD process has high oxidation states such as Si +3 and Si +4 , indicating that RPALD process can grow more stable interface which has a small amount of fixed oxide trap charge. The significant memory characteristics were also observed in this memory device through the electrical measurement. The memory device exhibited a relatively large memory window of 5.6 V under a 10/-10 V program/erase voltage and also showed the relatively fast programming/erasing speed and a competitive retention characteristic after 10 4 s. These results indicate that Al 2 O 3 films deposited via RPALD can be applied as the tunnel and blocking oxides for next-generation flash memory devices.

  9. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O2 induced remote plasma: effect of oxygen fraction

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.

    2009-01-01

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyldisiloxane (HMDSO)/O 2 mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions (χ0 2 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease (∼one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O 2 mixtures exhibit two separated green-blue and yellow-green PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm -1 ) in the spectral range of their PL emission, attractive for possible integrated optics devices. (authors)

  10. The first-step of EAST remote participation system

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Xiaoyang, E-mail: xysun@ipp.ac.cn; Ji, Zhenshan; Wang, Feng; Li, Shi; Wang, Yong

    2016-11-15

    Highlights: • A new design for remote participation system for EAST tokamak is proposed. • Rich Internet Application (RIA) and NoSQL Database was select to implement the system. • Two kind of technique for accessing EPICS PV data remotely through Internet was proposed. - Abstract: The EAST Tokamak at Institute of Plasma Physics Chinese Academy of Sciences (CASIPP) is the first fully-superconducting Tokamak facility. International collaboration can improve the quality and impact of fusion research. It is a key feature for EAST research. During 2014 EAST campaign, more than 60 physicists and experts visited CASIPP and participated in EAST discharging experiment. With an increasing number of collaborations, remote participation becomes important as an economical and effective alternative to traditional way. This paper presents an overview of the first-step development work of the EAST Remote Participation System (EAST RPS). At current stage, the EAST RPS is focused on remote access to engineering data, NoSQL-based data archiving engine, message service and video service.

  11. The first-step of EAST remote participation system

    International Nuclear Information System (INIS)

    Sun, Xiaoyang; Ji, Zhenshan; Wang, Feng; Li, Shi; Wang, Yong

    2016-01-01

    Highlights: • A new design for remote participation system for EAST tokamak is proposed. • Rich Internet Application (RIA) and NoSQL Database was select to implement the system. • Two kind of technique for accessing EPICS PV data remotely through Internet was proposed. - Abstract: The EAST Tokamak at Institute of Plasma Physics Chinese Academy of Sciences (CASIPP) is the first fully-superconducting Tokamak facility. International collaboration can improve the quality and impact of fusion research. It is a key feature for EAST research. During 2014 EAST campaign, more than 60 physicists and experts visited CASIPP and participated in EAST discharging experiment. With an increasing number of collaborations, remote participation becomes important as an economical and effective alternative to traditional way. This paper presents an overview of the first-step development work of the EAST Remote Participation System (EAST RPS). At current stage, the EAST RPS is focused on remote access to engineering data, NoSQL-based data archiving engine, message service and video service.

  12. Surface Treatment of PET Nonwovens with Atmospheric Plasma

    International Nuclear Information System (INIS)

    Li Shufang

    2013-01-01

    In this study, polyethylene-terephthalate (PET) nonwovens are treated using an atmospheric plasma and the effects of the treatment time, treatment power and discharge distance on the ability of water-penetration into the nonwovens are investigated. The result indicates that the method can improve the wettability of PET nonwovens remarkably, but the aging decay of the sample's wettability is found to be notable as a function of the storage time after treatment due to the internal rotation of the single bond of surface macromolecules. As shown by SEM and XPS analysis, the etching and surface reaction are significant, and water-penetration weight is found to increase remarkably with the increasing power. This variation can be attributed to momentum transfer and enhanced higher-energy particle excitation.

  13. Using oxygen plasma treatment to improve the performance of electrodes for capacitive water deionization

    International Nuclear Information System (INIS)

    Hojati-Talemi, Pejman; Zou, Linda; Fabretto, Manrico; Short, Robert D.

    2013-01-01

    An oxygen plasma treatment was employed to modify the surface of carbon electrodes used in capacitive deionization (CDI). X-ray photoelectron spectroscopy analysis of samples showed that oxygen plasma is mainly attaching oxygenated groups on the PTFE binder used in these electrodes. By functionalizing the binder it can increase the hydrophilicity of the electrode surface and increase the available specific surface area. 2.5 min of plasma treatment resulted in the largest improvement of CDI performance of electrodes. Thermodynamic study of CDI performance showed that the modified electrodes followed Langmuir and Freundlich isotherms resulting from the increased interaction between the enhanced electrodes and water. The kinetic study showed that the CDI process followed a pseudo-first order adsorption kinetics. The calculated adsorption rate constants suggested that plasma modification can accelerate ion adsorption of electrodes

  14. The divertor remote maintenance project

    International Nuclear Information System (INIS)

    Maisonnier, D.; Martin, E.; Akou, K.

    2001-01-01

    Remote replacement of the ITER divertor will be required several times during the life of ITER. To facilitate its regular exchange, the divertor is assembled in the ITER vacuum vessel from 60 cassettes. Radial movers transport each cassette along radial rails through the handling ports and into the vessel where a toroidal mover lifts and transports the cassette around a pair of toroidal rails. Once at its final position the cassette is locked to the toroidal rails and is accurately aligned in both poloidal and toroidal directions. A further requirement on the divertor is to minimise the amount of activated waste to be sent to a repository. To this end the cassettes have been designed to allow the remote replacement, in a hot cell, of their plasma facing components. The paper describes the two facilities built at ENEA Brasimone, Italy, whose aim is to demonstrate the reliable remote maintenance of the divertor cassettes. (author)

  15. The divertor remote maintenance project

    International Nuclear Information System (INIS)

    Maisonnier, D.; Martin, E.; Akou, K.

    1999-01-01

    Remote replacement of the ITER divertor will be required several times during the life of ITER. To facilitate its regular exchange, the divertor is assembled in the ITER vacuum vessel from 60 cassettes. Radial movers transport each cassette along radial rails through the handling ports and into the vessel where a toroidal mover lifts and transports the cassette around a pair of toroidal rails. Once at its final position the cassette is locked to the toroidal rails and is accurately aligned in both poloidal and toroidal directions. A further requirement on the divertor is to minimise the amount of activated waste to be sent to a repository. To this end the cassettes have been designed to allow the remote replacement, in a hot cell, of their plasma facing components. The paper describes the two facilities built at ENEA Brasimone, Italy, whose aim is to demonstrate the reliable remote maintenance of the divertor cassettes. (author)

  16. Recombination reduction at the c-Si/RCA oxide interface through Ar-H2 plasma treatment

    Science.gov (United States)

    Landheer, Kees; Bronsveld, Paula C. P.; Poulios, Ioannis; Tichelaar, Frans D.; Kaiser, Monja; Schropp, Ruud E. I.; Rath, Jatin K.

    2017-02-01

    An Ar-H2 plasma treatment was applied on an ultrathin RCA oxide to create well-passivated silicon wafers with symmetric c-Si/SiOx:H/a-Si:H passivation layer stacks. The effective lifetime of these samples increased from 10 μs to 4 ms after annealing at 200 °C through Ar-H2 plasma treatment of the oxide. The results indicate that the plasma treatment can modify the RCA oxide and this enables atomic hydrogen diffusion at low annealing temperature, leading to a well passivated c-Si/SiOx:H interface. This might provide new possibilities to use wet chemical oxides in c-Si solar cells, for example as tunnel contacts.

  17. Effect of well-established plasma treatment technology on some physiological characteristics in maize leaves during seedling stage

    International Nuclear Information System (INIS)

    Zhao Hongxiang; Fang Xiangqian; Bian Shaofeng; Zhang Lihua; Tan Guobo; Meng Xiangmeng; Yan Weiping; Liu Yaliang; Sun Ning

    2010-01-01

    In order to provide theoretical references and technical support for application of plasma treatment technology in agriculture, the seeds of maize were treated by well-established plasma treatment technology, then the changes of physiological characteristics of maize leaves during seedling stage were studied. The results indicated that the stress resistance of maize was improved by plasma treatment. The SOD, POD and CAT activities, soluble protein content and soluble sugar content of leaves at two-leave stage, four-leave stage, six-leave stage and eight-leave stage treated by plasma were higher than that of CK, but the MDA content was lower than CK. Although NR activity in leaves at twoleave stage and four-leave stage was slightly lower than CK, but higher than CK at six-leave stage (26.81%) and eightleaves stage (26.75%). Plasma treatment enhanced the nitrogen metabolism capacity, and this tendency was increased remarkable with the growth stages processes. (authors)

  18. Improvement of NiMoNb to polyimide adhesion by inductively coupled nitrogen plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Bang, S.-H., E-mail: zxclucy@snu.ac.kr

    2016-01-01

    Graphical abstract: - Highlights: • NiMoNb was introduced as the adhesion layer for flexible Cu-clad laminate structure. • The effect of sputtering and plasma power on the peel strength was studied. • Plasma pretreatment in inductively coupled plasma greatly affects the peel strength. • FCCL with NiMoNb adhesion layer show outstanding peel strength. - Abstract: In this study, the effect of sputtering power on the peel strength of the flexible copper clad laminate (FCCL) was evaluated before and after heat treatment using 180° peel test. An increase in the sputtering powers from 200 W to 600 W increased film density and improved peel strength. To enhance peel strength much more, an inductively coupled plasma (ICP) was treated on the PI surface using N{sub 2} gas with Ar as a function of RF power. A dramatic enhancement of the peel strength, 923 N/m was achieved, especially after heat treatment by changing ICP power from 200 W to 900 W. The reduction ratio of the peel strength for the 900 W plasma-treated FCCL was only 12%, whereas that for the 200 W plasma-treated FCCL was 43%. The root mean square (RMS) surface roughness with PIs exposed to both 200 W and 900 W plasma treatments was rarely changed, while X-ray photoelectron spectroscopy (XPS) showed the substantial increase of C–N functional groups. To obtain insight the film characteristics, the NiMoNb/PI interfaces were investigated by a high resolution transmission electron microscopy (HR-TEM).

  19. Enhancing Dark Shade Pigment Dyeing of Cotton Fabric Using Plasma Treatment

    Directory of Open Access Journals (Sweden)

    Chi-Wai Kan

    2017-07-01

    Full Text Available This study is intended to investigate the effect of atmospheric pressure plasma treatment on dark shade pigment dyeing of cotton fabric. Experimental results reveal that plasma-treated cotton fabric can attain better color yield, levelness, and crocking fastness in dark shade pigment dyeing, compared with normal cotton fabric (not plasma treated. SEM analysis indicates that cracks and grooves were formed on the cotton fiber surface where the pigment and the binder can get deposited and improve the color yield, levelness, and crocking fastness. It was also noticed that pigment was aggregated when deposited on the fiber surface which could affect the final color properties.

  20. Reduction of a thin chromium oxide film on Inconel surface upon treatment with hydrogen plasma

    Energy Technology Data Exchange (ETDEWEB)

    Vesel, Alenka, E-mail: alenka.vesel@guest.arnes.si [Jozef Stefan Institute, Jamova cesta 39, 1000 Ljubljana (Slovenia); Mozetic, Miran [Jozef Stefan Institute, Jamova cesta 39, 1000 Ljubljana (Slovenia); Balat-Pichelin, Marianne [PROMES-CNRS Laboratory, 7 Rue du four solaire, 66120 Font Romeu Odeillo (France)

    2016-11-30

    Highlights: • Oxidized Inconel alloy was exposed to hydrogen at temperatures up to 1500 K. • Oxide reduction in hydrogen plasma started at approximately 1300 K. • AES depth profiling revealed complete reduction of oxides in plasma. • Oxides were not reduced, if the sample was heated just in hydrogen atmosphere. • Surface of reduced Inconel preserved the same composition as the bulk material. - Abstract: Inconel samples with a surface oxide film composed of solely chromium oxide with a thickness of approximately 700 nm were exposed to low-pressure hydrogen plasma at elevated temperatures to determine the suitable parameters for reduction of the oxide film. The hydrogen pressure during treatment was set to 60 Pa. Plasma was created by a surfaguide microwave discharge in a quartz glass tube to allow for a high dissociation fraction of hydrogen molecules. Auger electron depth profiling (AES) was used to determine the decay of the oxygen in the surface film and X-ray diffraction (XRD) to measure structural modifications. During hydrogen plasma treatment, the oxidized Inconel samples were heated to elevated temperatures. The reduction of the oxide film started at temperatures of approximately 1300 K (considering the emissivity of 0.85) and the oxide was reduced in about 10 s of treatment as revealed by AES. The XRD showed sharper substrate peaks after the reduction. Samples treated in hydrogen atmosphere under the same conditions have not been reduced up to approximately 1500 K indicating usefulness of plasma treatment.

  1. The effects of low-temperature plasma treatment on the capillary properties of inorganic fibers

    Science.gov (United States)

    Garifullin, A. R.; Abdullin, I. Sh; Skidchenko, E. A.; Krasina, I. V.; Shaekhov, M. F.

    2016-01-01

    Solving the problem of achieving high adhesion between the components in the polymeric composite material (PCM) based on carbon fibers (CF) and basalt fibers (BF) is proposed to use the radio-frequency (RF) plasma under lower pressure by virtue of efficiency, environmental friendliness and rationality of the method. The paper gives the results of studies of the properties of CF and BF after RF capacitive discharge plasma treatment. The plasma modification modes of carbon and basalt fiber were investigated. The efficiency of treatment tool in surface properties modification of carbon and basalt fibers was found, namely capillary properties of CF and BF were researched. The optimal treatment modes were selected. It was found that the method of plasma modification in the radio-frequency capacitive discharge under the lower pressure contributes enhancing the capillary properties of inorganic fibers, in particular carbon and basalt ones. It shows the tendency to increase of the adhesive properties in PCM, and, consequently, the increase of the physical and mechanical properties of the products.

  2. The effects of low-temperature plasma treatment on the capillary properties of inorganic fibers

    International Nuclear Information System (INIS)

    Garifullin, A R; Abdullin, I Sh; Skidchenko, E A; Krasina, I V; Shaekhov, M F

    2016-01-01

    Solving the problem of achieving high adhesion between the components in the polymeric composite material (PCM) based on carbon fibers (CF) and basalt fibers (BF) is proposed to use the radio-frequency (RF) plasma under lower pressure by virtue of efficiency, environmental friendliness and rationality of the method. The paper gives the results of studies of the properties of CF and BF after RF capacitive discharge plasma treatment. The plasma modification modes of carbon and basalt fiber were investigated. The efficiency of treatment tool in surface properties modification of carbon and basalt fibers was found, namely capillary properties of CF and BF were researched. The optimal treatment modes were selected. It was found that the method of plasma modification in the radio-frequency capacitive discharge under the lower pressure contributes enhancing the capillary properties of inorganic fibers, in particular carbon and basalt ones. It shows the tendency to increase of the adhesive properties in PCM, and, consequently, the increase of the physical and mechanical properties of the products. (paper)

  3. Modifications in SnS thin films by plasma treatments

    Energy Technology Data Exchange (ETDEWEB)

    Martinez, H., E-mail: hm@fis.unam.mx [Instituto de Ciencias Fisicas, Universidad Nacional Autonoma de Mexico, Apartado Postal 48-3, 62210 Cuernavaca, Morelos (Mexico); Avellaneda, D. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon (Mexico)

    2012-02-01

    The present study shows the modifications of structural, optical and electrical characteristics that occur in tin sulfide (SnS) thin films treated in air and in nitrogen plasma at different pressure conditions. The films were obtained by the chemical bath deposition method, which results in SnS thin films with an orthorhombic crystalline structure, band gap (E{sub g}) of 1.1-1.2 eV, and electrical conductivities ({sigma}) in the order of 10{sup -6} {Omega}{sup -1}cm{sup -1}. The films treated with air plasma at pressures between 1 and 4 Torr, showed the presence of SnS{sub 2}, Sn{sub 2}S{sub 3}, and SnO{sub 2} phases, within the band gap values ranging from 0.9 to 1.5 eV. On the other hand, the films treated with nitrogen plasma presented the same phases, but showed a significant modification in the electrical conductivity, increasing from 10{sup -6} {Omega}{sup -1}cm{sup -1} (as-deposited) up to 10{sup -2}-10{sup -3} {Omega}{sup -1}cm{sup -1} (plasma treated). This result is a suitable range of conductivity for the improvement of the solar cells with SnS as an absorber material. Also, emission spectroscopy measurements were carried out in both air and nitrogen plasma treatments.

  4. Influence of He/O{sub 2} atmospheric pressure plasma jet treatment on subsequent wet desizing of polyacrylate on PET fabrics

    Energy Technology Data Exchange (ETDEWEB)

    Li Xuming [Key Laboratory of Textile Science and Technology, Ministry of Education, College of Textiles, Donghua University, Shanghai 201620 (China); College of Textile and Clothing, Shaoxing University, Shaoxing 312000 (China); Lin Jun [Key Laboratory of Textile Science and Technology, Ministry of Education, College of Textiles, Donghua University, Shanghai 201620 (China); Qiu Yiping, E-mail: ypqiu@dhu.edu.cn [Key Laboratory of Textile Science and Technology, Ministry of Education, College of Textiles, Donghua University, Shanghai 201620 (China)

    2012-01-15

    The influence of He/O{sub 2} atmospheric pressure plasma jet (APPJ) treatment on subsequent wet desizing of polyacrylate on PET fabrics was studied in the present paper. Weight loss results indicated that the weight loss increased with an increase of plasma treatment time. Atomic force microscopy (AFM) and scanning electron microscopy (SEM) showed an increased surface roughness after the plasma treatment. SEM also showed that the fiber surfaces were as clean as unsized fibers after 35 s treatment followed by NaHCO{sub 3} desizing. X-ray photoelectron spectroscopy (XPS) analysis indicated that oxygen-based functional groups increased for the plasma treated polyacrylate sized fabrics. The percent desizing ratio (PDR) results showed that more than 99% PDR was achieved after 65 s plasma treatment followed by a 5 min NaHCO{sub 3} desizing. Compared to conventional wet desizing, indicating that plasma treatment could significantly reduce desizing time.

  5. Remote-handled transuranic system assessment appendices. Volume 2

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1995-11-01

    Volume 2 of this report contains six appendices to the report: Inventory and generation of remote-handled transuranic waste; Remote-handled transuranic waste site storage; Characterization of remote-handled transuranic waste; RH-TRU waste treatment alternatives system analysis; Packaging and transportation study; and Remote-handled transuranic waste disposal alternatives.

  6. Remote-handled transuranic system assessment appendices. Volume 2

    International Nuclear Information System (INIS)

    1995-11-01

    Volume 2 of this report contains six appendices to the report: Inventory and generation of remote-handled transuranic waste; Remote-handled transuranic waste site storage; Characterization of remote-handled transuranic waste; RH-TRU waste treatment alternatives system analysis; Packaging and transportation study; and Remote-handled transuranic waste disposal alternatives

  7. Exploring the human plasma proteome for humoral mediators of remote ischemic preconditioning--a word of caution.

    Directory of Open Access Journals (Sweden)

    Erik Helgeland

    Full Text Available Despite major advances in early revascularization techniques, cardiovascular diseases are still the leading cause of death worldwide, and myocardial infarctions contribute heavily to this. Over the past decades, it has become apparent that reperfusion of blood to a previously ischemic area of the heart causes damage in and of itself, and that this ischemia reperfusion induced injury can be reduced by up to 50% by mechanical manipulation of the blood flow to the heart. The recent discovery of remote ischemic preconditioning (RIPC provides a non-invasive approach of inducing this cardioprotection at a distance. Finding its endogenous mediators and their operative mode is an important step toward increasing the ischemic tolerance. The release of humoral factor(s upon RIPC was recently demonstrated and several candidate proteins were published as possible mediators of the cardioprotection. Before clinical applicability, these potential biomarkers and their efficiency must be validated, a task made challenging by the large heterogeneity in reported data and results. Here, in an attempt to reproduce and provide more experimental data on these mediators, we conducted an unbiased in-depth analysis of the human plasma proteome before and after RIPC. From the 68 protein markers reported in the literature, only 28 could be mapped to manually reviewed (Swiss-Prot protein sequences. 23 of them were monitored in our untargeted experiment. However, their significant regulation could not be reproducibly estimated. In fact, among the 394 plasma proteins we accurately quantified, no significant regulation could be confidently and reproducibly assessed. This indicates that it is difficult to both monitor and reproduce published data from experiments exploring for RIPC induced plasma proteomic regulations, and suggests that further work should be directed towards small humoral factors. To simplify this task, we made our proteomic dataset available via Proteome

  8. Exploring the Human Plasma Proteome for Humoral Mediators of Remote Ischemic Preconditioning - A Word of Caution

    Science.gov (United States)

    Helgeland, Erik; Breivik, Lars Ertesvåg; Vaudel, Marc; Svendsen, Øyvind Sverre; Garberg, Hilde; Nordrehaug, Jan Erik; Berven, Frode Steingrimsen; Jonassen, Anne Kristine

    2014-01-01

    Despite major advances in early revascularization techniques, cardiovascular diseases are still the leading cause of death worldwide, and myocardial infarctions contribute heavily to this. Over the past decades, it has become apparent that reperfusion of blood to a previously ischemic area of the heart causes damage in and of itself, and that this ischemia reperfusion induced injury can be reduced by up to 50% by mechanical manipulation of the blood flow to the heart. The recent discovery of remote ischemic preconditioning (RIPC) provides a non-invasive approach of inducing this cardioprotection at a distance. Finding its endogenous mediators and their operative mode is an important step toward increasing the ischemic tolerance. The release of humoral factor(s) upon RIPC was recently demonstrated and several candidate proteins were published as possible mediators of the cardioprotection. Before clinical applicability, these potential biomarkers and their efficiency must be validated, a task made challenging by the large heterogeneity in reported data and results. Here, in an attempt to reproduce and provide more experimental data on these mediators, we conducted an unbiased in-depth analysis of the human plasma proteome before and after RIPC. From the 68 protein markers reported in the literature, only 28 could be mapped to manually reviewed (Swiss-Prot) protein sequences. 23 of them were monitored in our untargeted experiment. However, their significant regulation could not be reproducibly estimated. In fact, among the 394 plasma proteins we accurately quantified, no significant regulation could be confidently and reproducibly assessed. This indicates that it is difficult to both monitor and reproduce published data from experiments exploring for RIPC induced plasma proteomic regulations, and suggests that further work should be directed towards small humoral factors. To simplify this task, we made our proteomic dataset available via ProteomeXchange, where

  9. Dengue virus inactivation by minipool TnBP/Triton X-45 treatment of plasma and cryoprecipitate.

    Science.gov (United States)

    Burnouf, T; Chou, M-L; Cheng, L-H; Li, Z-R; Wu, Y-W; El-Ekiaby, M; Tsai, K-H

    2013-01-01

    A minipool solvent/detergent (S/D; 1% TnBP/1% Triton X-45; 31°C) process was developed for viral inactivation of plasma and cryoprecipitate used for transfusion. The goal of this study was to determine the rate and extent of inactivation of dengue virus (DENV) during this process. DENV-1 was propagated using C6/36 mosquito cells to an infectivity titre close to 9 log and spiked (10% v/v) into individual plasma and cryoprecipitate samples from two distinct donors. Samples were taken right after spiking and during viral inactivation treatment by 1% TnBP-1% Triton X-45 at 31°C. DENV-1 infectivity was assessed on Vero E6 cells by a focus-forming assay (FFA). Culture medium and complement-inactivated plasma were used as experimental controls. Experiments were done in duplicate. DENV-1 infectivity was 7·5 log in spiked plasma and 7·1 and 7·3 log in spiked cryoprecipitate. There was no loss of DENV-1 infectivity in the spiked materials, nor in the controls not subjected to S/D treatment. No infectivity was found in plasma and cryoprecipitate subjected to S/D treatment at the first time-point evaluated (10 min). DENV-1 was strongly inactivated in plasma and cryoprecipitate, respectively, within 10 min of 1% TnBP/1% Triton X-45 treatment at 31°C. These data provide a reassurance of the safety of such S/D-treated plasma and cryoprecipitate with regard to the risk of transmission of all DENV serotypes and other flaviviruses. © 2012 The Author(s). Vox Sanguinis © 2012 International Society of Blood Transfusion.

  10. Treatment of ibuprofen intoxication in a dog via therapeutic plasma exchange.

    Science.gov (United States)

    Walton, Stuart; Ryan, Kirk A; Davis, Jennifer L; Acierno, Mark

    2017-07-01

    To describe the treatment of ibuprofen intoxication with therapeutic plasma exchange in a dog (TPE). A 13-year-old male neutered mixed breed dog presented after ingesting approximately 200 mg/kg of ibuprofen. Treatment consisted of supportive medical therapy with IV fluids, gastrointestinal protectants, antiemetics and prostaglandin analogs, and TPE. A cycle of TPE was performed over 180 minutes, achieving 1.5 plasma volume exchanges. During therapy, heparinized blood and effluent samples were collected. Ibuprofen concentrations were determined in the samples by high-pressure liquid chromatography. Post TPE, the dog was continued on supportive medical therapy and was discharged 96 hours after the overdose. This report describes the use of TPE as an adjunct for ibuprofen intoxication. An 85% reduction in plasma ibuprofen concentration occurred and recovery from a potentially lethal ingestion of ibuprofen was achieved with TPE and supportive care. TPE should be considered when presented with acute ibuprofen intoxication due to the rapid and efficacious nature of therapy. © Veterinary Emergency and Critical Care Society 2017.

  11. Materials study for reacting plasma machine

    International Nuclear Information System (INIS)

    Kamada, Kohji; Hamada, Yasuji

    1982-01-01

    A new reacting plasma machine is designed, and will be constructed at the Institute of Plasma Physics, Nagoya University. It is important to avoid the activation of the materials for the machine, accordingly, aluminum alloy has been considered as the material since the induced activity of aluminum due to 14 MeV neutrons is small. The vacuum chamber of the new machine consists of four modules, and the remote control of each module is considered. However, the cost of the remote control of modules is expensive. To minimize the dependence on the remote control, the use of aluminum alloy is considered as the first step. The low electrical resistivity, over-ageing, weak mechanical strength and eddy current characteristics of aluminum alloy must be improved. The physical and electrical properties of various aluminum alloys have been investigated. Permeability of hydrogen through aluminum, the recycling characteristics and surface coating materials have been also studied. (Kato, T.)

  12. Progress on ITER remote experimentation centre

    International Nuclear Information System (INIS)

    Ozeki, Takahisa; Clement-Lorenzo, Susana; Nakajima, Noriyoshi

    2016-01-01

    Construction of ITER remote experimentation centre (REC) based on the broader approach (BA) activity of the joint program of Japan and Europe (EU) is progressing. In order to make the future experiments of ITER and JT-60SA effectively and efficiently implemented, development of a remote experiment system by using the Satellite Tokamak (JT-60SA) facilities was planned and the development of software for the remote experiment is ongoing, including the systems for the remote connection and the communication between the remote site and the on-site facility. The network system from REC in Rokkasho-site of Japan to the network in EU was established in collaboration with the National Institute of Informatics (NII). Effective data transfer method that is capable of fast transfer speeds in the gigabit range is investigated. Data transfer at the rate of several Gbps was successfully obtained between the institutes in Japan. The preliminary versions of the software for data analysis are developed, such as for visualization of time dependent experimental data and transport simulations, visualization of plasma boundary/equilibrium and spatial profiles of diagnostic data. The remote data access program and an integrated platform for Documentation and Experiment Management are also being developed. A remote experiment room in the Rokkasho-site in Japan was designed and the construction started. The function of REC will be tested and the total system will be demonstrated by the middle of 2017.

  13. Progress on ITER remote experimentation centre

    Energy Technology Data Exchange (ETDEWEB)

    Ozeki, Takahisa, E-mail: ozeki.takahisa@jaea.go.jp [Japan Atomic Energy Agency, 2-166 Obuchi Rokkasho, Kitakami-gun, Aomori 039-3212 (Japan); Clement-Lorenzo, Susana [Fusion for Energy, Torres Diagonal Litoral, B3, 13/03, Barcelona 08019 (Spain); Nakajima, Noriyoshi [National institute for Fusion Science and Project leader of IFERC, 2-166 Obuchi, Rokkasho, Kamikita-gun, Aomori 039-3212 (Japan)

    2016-11-15

    Construction of ITER remote experimentation centre (REC) based on the broader approach (BA) activity of the joint program of Japan and Europe (EU) is progressing. In order to make the future experiments of ITER and JT-60SA effectively and efficiently implemented, development of a remote experiment system by using the Satellite Tokamak (JT-60SA) facilities was planned and the development of software for the remote experiment is ongoing, including the systems for the remote connection and the communication between the remote site and the on-site facility. The network system from REC in Rokkasho-site of Japan to the network in EU was established in collaboration with the National Institute of Informatics (NII). Effective data transfer method that is capable of fast transfer speeds in the gigabit range is investigated. Data transfer at the rate of several Gbps was successfully obtained between the institutes in Japan. The preliminary versions of the software for data analysis are developed, such as for visualization of time dependent experimental data and transport simulations, visualization of plasma boundary/equilibrium and spatial profiles of diagnostic data. The remote data access program and an integrated platform for Documentation and Experiment Management are also being developed. A remote experiment room in the Rokkasho-site in Japan was designed and the construction started. The function of REC will be tested and the total system will be demonstrated by the middle of 2017.

  14. Effect of Argon Plasma Treatment Variables on Wettability and Antibacterial Properties of Polyester Fabrics

    Science.gov (United States)

    Senthilkumar, Pandurangan; Karthik, Thangavelu

    2016-04-01

    In this research work, the effect of argon plasma treatment variables on the comfort and antibacterial properties of polyester fabric has been investigated. The SEM micrographs and FTIR analysis confirms the modification of fabric surface. The Box-Behnken design was used for the optimization of plasma process variables and to evaluate the effects and interactions of the process variables, i.e. operating power, treatment time and distance between the electrodes on the characteristics of polyester fabrics. The optimum conditions of operating power 600 W, treatment time 30 s, and the distance between the electrodes of 2.8 mm was arrived using numerical prediction tool in Design-Expert software. The plasma treated polyester fabrics showed better fabric characteristics particularly in terms of water vapour permeability, wickability and antibacterial activity compared to untreated fabrics, which confirms that the modified structure of polyester fabric.

  15. Hyperspectral remote sensing analysis of short rotation woody crops grown with controlled nutrient and irrigation treatments

    Science.gov (United States)

    Jungho Im; John R. Jensen; Mark Coleman; Eric. Nelson

    2009-01-01

    Hyperspectral remote sensing research was conducted to document the biophysical and biochemical characteristics of controlled forest plots subjected to various nutrient and irrigation treatments. The experimental plots were located on the Savannah River Site near Aiken, SC. AISA hyperspectral imagery were analysed using three approaches, including: (1) normalized...

  16. Remote Sensing Digital Image Analysis An Introduction

    CERN Document Server

    Richards, John A

    2013-01-01

    Remote Sensing Digital Image Analysis provides the non-specialist with a treatment of the quantitative analysis of satellite and aircraft derived remotely sensed data. Since the first edition of the book there have been significant developments in the algorithms used for the processing and analysis of remote sensing imagery; nevertheless many of the fundamentals have substantially remained the same.  This new edition presents material that has retained value since those early days, along with new techniques that can be incorporated into an operational framework for the analysis of remote sensing data. The book is designed as a teaching text for the senior undergraduate and postgraduate student, and as a fundamental treatment for those engaged in research using digital image processing in remote sensing.  The presentation level is for the mathematical non-specialist.  Since the very great number of operational users of remote sensing come from the earth sciences communities, the text is pitched at a leve...

  17. Recombination reduction at the c-Si/RCA oxide interface through Ar-H2 plasma treatment

    NARCIS (Netherlands)

    Landheer, K.; Bronsveld, P.C.P.; Poulios, I.; Tichelaar, F.D.; Kaiser, M.; Schropp, R.E.I.; Rath, J.K.

    2017-01-01

    An Ar‑H2 plasma treatment was applied on an ultrathin RCA oxide to create well-passivated silicon wafers with symmetric c‑Si/SiOx:H/a‑Si:H passivation layer stacks. The effective lifetime of these samples increased from 10 μs to 4 ms after annealing at 200 °C through Ar‑H2 plasma treatment of the

  18. Characteristics of SiOx-containing hard film prepared by low temperature plasma enhanced chemical vapor deposition using hexamethyldisilazane or vinyltrimethylsilane and post oxygen plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Wei, Yi-Syuan; Liu, Wan-Yu; Wu, Hsin-Ming [Department of Materials Engineering, Tatung University, Taipei, 104, Taiwan (China); Chen, Ko-Shao, E-mail: kschen@ttu.edu.tw [Department of Materials Engineering, Tatung University, Taipei, 104, Taiwan (China); Cech, Vladimir [Institute of Materials Chemistry, Brno University of Technology (Czech Republic)

    2017-03-01

    This study, monomers of hexamethyldisilazane (HMDSZ) and vinyltrimethylsilane (VTMS) were respectively used to deposit on the surface of polyethylene terephthalate (PET) substrate by plasma enhanced chemical vapor deposition. Oxygen plasma treatment follows the HMDSZ and VTMS deposition to produce a hydrophilic surface film on the deposited surface. Time for HMDSZ and VTMS plasma deposition was changed to investigate its influences on water contact angle, deposited film thickness, refractive index, and friction coefficient properties. The surface morphologies of the processed samples were observed by scanning electron microscope and their chemical compositions were measured by X-ray photoelectron spectroscopy. At 550 nm wavelength, the optical transmittance of PET after the HMDSZ treatment decreases from 89% to 83%, but increases from 89% to 95% for the VTMS treatment. With increase in HMDSZ and VTMS deposition times, the film thickness increases and the refractive index decreases. Result revealed by XPS, SiO{sub 2} film is formed on the sample surface after the O{sub 2} plasma treatment. The film adhesion capability by the HMDSZ+O{sub 2} and VTMS+O{sub 2} treatment was stronger than that by the HMDSZ and VTMS treatment only. The SiOx films produced by HMDSZ+O{sub 2} and VTMS+O{sub 2} treatment can increase the film hardness and improve light transmittance. - Highlights: • With increase in HMDSZ and VTMS deposition times, the film thickness increases and the refractive index decreases. • The optical transmittance of PET after the VTMS treatment increases from 89% to 95%. • The SiO{sub 2} films deposited by HMDSZ+O{sub 2} and VTMS+O{sub 2} plasma can increase the film hardness and improve light transmittance. • It is expected that they can be applied to the optical transmittance protective film on plastic substrate in the future.

  19. Design of remote handling equipment for the ITER NBI

    Energy Technology Data Exchange (ETDEWEB)

    Oka, Kiyoshi; Tada, Eisuke [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment

    1999-08-01

    The ITER machine has three Neutral Beam Injectors (NBIs) placed tangential to the plasma at a minimum radius of 6.25 m. During operation, neutrons produced by the D-T reactions will irradiate the NBI structure and it will become radioactive. Radiation levels will be such that all subsequent maintenance of the NBIs must be carried out remotely. The presence of tritium and possibly radioactive dust requires that precautions be taken during maintenance to prevent the escape of these contaminants beyond the prescribed boundaries. The scope of this task is both the development of remote maintenance procedures and the design of the remote handling equipment to handle the NBIs. This report describes the design of remote handling tools for the ion source and its filaments, transfer cask, maintenance time, manufacturing schedule and cost estimation. (author)

  20. Autologous Blood and Platelet-Rich Plasma Injections for Treatment of Lateral Epicondylitis.

    Science.gov (United States)

    Calandruccio, James H; Steiner, Murphy M

    2017-07-01

    Lateral epicondylitis (tennis elbow) is a frequent cause of elbow pain; most patients (80%-90%) are successfully treated with standard nonoperative methods (rest, nonsteroidal anti-inflammatory drugs, bracing, and physical therapy). Autologous blood injections and platelet-rich plasma injections are the two most frequently used orthobiologic techniques in the treatment of lateral epicondylitis. Studies of the effectiveness of autologous blood injections and platelet-rich plasma report varying outcomes, some citing significant clinical relief and others reporting no beneficial effect. More research is needed to determine how to best use orthobiologics in the treatment of lateral epicondylitis. Copyright © 2017 Elsevier Inc. All rights reserved.

  1. Surface Modification of Electrospun PVDF/PAN Nanofibrous Layers by Low Vacuum Plasma Treatment

    Directory of Open Access Journals (Sweden)

    Fatma Yalcinkaya

    2016-01-01

    Full Text Available Nanofibres are very promising for water remediation due to their high porosity and small pore size. Mechanical properties of nanofibres restrict the application of pressure needed water treatments. Various PAN, PVDF, and PVDF/PAN nanofibre layers were produced, and mechanical properties were improved via a lamination process. Low vacuum plasma treatment was applied for the surface modification of nanofibres. Atmospheric air was used to improve hydrophilicity while sulphur hexafluoride gas was used to improve hydrophobicity of membranes. Hydrophilic membranes showed higher affinity to attach plasma particles compared to hydrophobic membranes.

  2. TREATMENT OF PRIMARY PLASMA CELL LEUKAEMIA

    Directory of Open Access Journals (Sweden)

    Peter Černelč

    2003-04-01

    Full Text Available Background. The author describes long-term survival in 3 patients with primary plasma cell leukaemia (PL after different therapeutic regimen and maintenance treatment with interferon alpha (INF.Patients and treatment. In a 52-year-old male patient, a partial remission of PL was achieved after 6 months of treatment with melphalan and prednisone. The patient did not consent to stem cell transplantation (SCT. An 86-year-old female patient with PL achieved a complete remission after 6 months of treatment with vincristine, doxorubicin and dexamethasone. A 31-year-old male patient experienced a complete remission of PL after 6 months of treatment with cyclophosphamide, vincristine, doxorubicin, methilprednisone, followed by autologous SCT. All three patients were placed on maintenance therapy with INF-2b (Intron A 3 × 106 IU given subcutaneously on two days per week. In the 52-year-old man, the remission lasted 9 months and in the woman 23 months, whereupon they developed a relapse with signs of disseminated plasmacytoma. In both patients the former chemotherapy was applied again, resulting in a slight improvement. The man died 37 months and the woman 43 months after the diagnosis of PL, while the youngest patient has been in complete remission for 82 months.Conclusions. Long remission achieved in our patients confirmed the favourable effect of INF in terms of prolongation of the remission duration in this patients. The effect of maintenance treatment with INF is usually directly dependent on the degree of remission induced by different therapeutic regimen.

  3. Adhesion improvement of fibres by continuous plasma treatment at atmospheric pressure

    Energy Technology Data Exchange (ETDEWEB)

    Kusano, Y.; Andersen, Tom L.; Soerensen, B.F.; Toftegaard, H.L.; Teodoru, S. [Technical Univ. of Denmark. DTU Wind Energy, Risoe Campus, Roskilde (Denmark); Hansen, Charles M. [Hoersholm (Denmark)

    2013-09-01

    Carbon fibres and ultra-high-molecular-weight polyethylene (UHMWPE) fibres were continuously treated by a dielectric barrier discharge plasma at atmospheric pressure for adhesion improvement with epoxy resins. The plasma treatment improved wettability, increased the oxygen containing polar functional groups at the surface, and subsequently improved adhesion to the epoxy and fracture resistance of epoxy composites. Hansen solubility parameters (HSP), quantitatively describing physical interactions among molecules, were measured for the UHMWPE fibre surfaces. The result identifies two distinct types of surfaces in both the plasma treated and the untreated fibres. One type is typical of polyethylene polymers while the other is characteristic of the oxygenated surface at much higher values of HSP. (Author)

  4. Atmospheric Pressure Plasma Jet Treatment of Poly-ε-caprolactone Polymer Solutions To Improve Electrospinning.

    Science.gov (United States)

    Grande, Silvia; Van Guyse, Joachim; Nikiforov, Anton Y; Onyshchenko, Iuliia; Asadian, Mahtab; Morent, Rino; Hoogenboom, Richard; De Geyter, Nathalie

    2017-09-27

    An atmospheric pressure plasma jet (APPJ) specifically designed for liquid treatment has been used in this work to improve the electrospinnability of a 5 w/v % solution of poly-ε-caprolactone (PCL) in a mixture of chloroform and N,N-dimethylformamide. Untreated PCL solutions were found to result in nonuniform fibers containing a large number of beads, whereas plasma-treated solutions (exposure time of 2-5 min) enabled the generation of beadless, uniform nanofibers with an average diameter of 450 nm. This enhanced electrospinnability was found to be mainly due to the highly increased conductivity of the plasma-modified PCL solutions. Consequently, more stretching of the polymer jet occurred during electrospinning, leading to the generation of bead-free fibers. Plasma treatment also results in an increased viscosity and decreased pH values. To explain these observed changes, optical emission spectroscopy (OES) has been used to examine the excited species present in the APPJ in contact with the PCL solution. This study revealed that the peaks attributed to H, CH, CH 2 , and C 2 species could be responsible for the degradation of solvent molecules and/or PCL structures during the plasma treatment. Size exclusion chromatography and X-ray photoelectron spectroscopy results showed that the molecular weight and the chemical composition of PCL were not significantly affected by the APPJ treatment. Plasma exposure mainly results in the degradation of the solvent molecules instead of modifying the PCL macromolecules, preserving the original polymer as much as possible. A hypothesis for the observed macroscopic changes in viscosity and pH values could be the generation of new chemical species such as HCl and/or HNO 3 . These species are characterized by their high conductivity, low pH values, and strong polarity and could enhance the solvent quality for PCL, leading to the expansion of the polymer coil, which could in turn explain the observed enhanced viscosity after plasma

  5. Enhancing electronic and optoelectronic performances of tungsten diselenide by plasma treatment.

    Science.gov (United States)

    Xie, Yuan; Wu, Enxiu; Hu, Ruixue; Qian, Shuangbei; Feng, Zhihong; Chen, Xuejiao; Zhang, Hao; Xu, Linyan; Hu, Xiaodong; Liu, Jing; Zhang, Daihua

    2018-06-21

    Transition metal dichalcogenides (TMDCs) have recently become spotlighted as nanomaterials for future electronic and optoelectronic devices. In this work, we develop an effective approach to enhance the electronic and optoelectronic performances of WSe2-based devices by N2O plasma treatment. The hole mobility and sheet density increase by 2 and 5 orders of magnitude, reaching 110 cm2 V-1 s-1 and 2.2 × 1012 cm-2, respectively, after the treatment. At the same time, the contact resistance (Rc) between WSe2 and its metal electrode drop by 5 orders of magnitude from 1.0 GΩ μm to 28.4 kΩ μm. The WSe2 photoconductor exhibits superior performance with high responsivity (1.5 × 105 A W-1), short response time (106). We have also built a lateral p-n junction on a single piece of WSe2 flake by selective plasma exposure. The junction reaches an exceedingly high rectifying ratio of 106, an excellent photoresponsivity of 2.49 A W-1 and a fast response of 8 ms. The enhanced optoelectronic performance is attributed to band-engineering through the N2O plasma treatment, which can potentially serve as an effective and versatile approach for device engineering and optimization in a wide range of electronic and optoelectronic devices based on 2D materials.

  6. Nitrogen gas plasma treatment of bacterial spores induces oxidative stress that damages the genomic DNA.

    Science.gov (United States)

    Sakudo, Akikazu; Toyokawa, Yoichi; Nakamura, Tetsuji; Yagyu, Yoshihito; Imanishi, Yuichiro

    2017-01-01

    Gas plasma, produced by a short high‑voltage pulse generated from a static induction thyristor power supply [1.5 kilo pulse/sec (kpps)], was demonstrated to inactivate Geobacillus stearothermophilus spores (decimal reduction time at 15 min, 2.48 min). Quantitative polymerase chain reaction and enzyme‑linked immunosorbent assays further indicated that nitrogen gas plasma treatment for 15 min decreased the level of intact genomic DNA and increased the level of 8-hydroxy-2'-deoxyguanosine, a major product of DNA oxidation. Three potential inactivation factors were generated during operation of the gas plasma instrument: Heat, longwave ultraviolet-A and oxidative stress (production of hydrogen peroxide, nitrite and nitrate). Treatment of the spores with hydrogen peroxide (3x2‑4%) effectively inactivated the bacteria, whereas heat treatment (100˚C), exposure to UV-A (75‑142 mJ/cm2) and 4.92 mM peroxynitrite (•ONOO‑), which is decomposed into nitrite and nitrate, did not. The results of the present study suggest the gas plasma treatment inactivates bacterial spores primarily by generating hydrogen peroxide, which contributes to the oxidation of the host genomic DNA.

  7. Remote Ischemic Conditioning

    Science.gov (United States)

    Heusch, Gerd; Bøtker, Hans Erik; Przyklenk, Karin; Redington, Andrew; Yellon, Derek

    2014-01-01

    In remote ischemic conditioning (RIC) brief, reversible episodes of ischemia with reperfusion in one vascular bed, tissue or organ confer a global protective phenotype and render remote tissues and organs resistant to ischemia/reperfusion injury. The peripheral stimulus can be chemical, mechanical or electrical and involves activation of peripheral sensory nerves. The signal transfer to the heart or other organs is through neuronal and humoral communications. Protection can be transferred, even across species, with plasma-derived dialysate and involves nitric oxide, stromal derived factor-1α, microRNA-144, but also other, not yet identified factors. Intracardiac signal transduction involves: adenosine, bradykinin, cytokines, and chemokines, which activate specific receptors; intracellular kinases; and mitochondrial function. RIC by repeated brief inflation/deflation of a blood pressure cuff protects against endothelial dysfunction and myocardial injury in percutaneous coronary interventions, coronary artery bypass grafting and reperfused acute myocardial infarction. RIC is safe and effective, noninvasive, easily feasible and inexpensive. PMID:25593060

  8. Treatment of EDTA contained reactor coolant using water dielectric barrier discharge plasma

    International Nuclear Information System (INIS)

    Song, Sang Heon; Kwon, Daniel; Kim, Gon Ho

    2005-01-01

    EDTA (Ethylene Diamine Tetraacetic Acid) is used as a main absorbent for the metal ion in the secondary loop of the nuclear reactor. Dissolving the wasted EDTA with low cost, therefore, is important issue for the maintenance of the nuclear power reactor and the protection of environment. EDTA is not easily biodegradable, furthermore these methods could make remained another pollutant as complex chemical compounds. Compared to chemical method, the physical methods, using the energetic particles and UVs, are more favorable because they dissociate the bonds of organic compounds directly without the secondary chemical reactions during the treatment. Recently, high energy electron beam, the plasma torch, and the water breakdown by high voltage pulse are applied to treatment of the waste water contained chemicals. Here consideration is narrow down to improve the interaction between the plasma and the chemical bonds of EDTA because the energetic particles; activated radicals, and UVs, are abundant in plasmas. The new method adapted of the water DBD (dielectric barrier discharge) which plasma generates directly on the top of the water contained EDTA is proposed. The application of DBD plasmas has been extended for cleaning the organic compounds from the contaminated surface and also for removing volatile organic chemicals (VOC) such as NO x and SO x from the exhausted gases. Here, the water DBD reactor (SEMTECH, SD-DWG-04-1) is consisted that the one electrode is a ceramic insulator and another one is the water itself. Interestingly, the one electrode, the water, is not the solid dielectric electrode. In this study, therefore, the characteristics with driving frequency are considered and the feasibility of this new method for the DBD treatment of EDTA contained water is demonstrated

  9. Filament-induced remote surface ablation for long range laser-induced breakdown spectroscopy operation

    International Nuclear Information System (INIS)

    Rohwetter, Ph.; Stelmaszczyk, K.; Woeste, L.; Ackermann, R.; Mejean, G.; Salmon, E.; Kasparian, J.; Yu, J.; Wolf, J.-P.

    2005-01-01

    We demonstrate laser induced ablation and plasma line emission from a metallic target at distances up to 180 m from the laser, using filaments (self-guided propagation structures ∼ 100 μm in diameter and ∼ 5 x 10 13 W/cm 2 in intensity) appearing as femtosecond and terawatt laser pulses propagating in air. The remarkable property of filaments to propagate over a long distance independently of the diffraction limit opens the frontier to long range operation of the laser-induced breakdown spectroscopy technique. We call this special configuration of remote laser-induced breakdown spectroscopy 'remote filament-induced breakdown spectroscopy'. Our results show main features of filament-induced ablation on the surface of a metallic sample and associated plasma emission. Our experimental data allow us to estimate requirements for the detection system needed for kilometer-range remote filament-induced breakdown spectroscopy experiment

  10. Growth and male reproduction improvement of non-thermal dielectric barrier discharge plasma treatment on chickens

    Science.gov (United States)

    Jiao Zhang, Jiao; Luong Huynh, Do; Chandimali, Nisansala; Kang, Tae Yoon; Kim, Nameun; Mok, Young Sun; Kwon, Taeho; Jeong, Dong Kee

    2018-05-01

    This study investigated whether plasma treatment of fertilized eggs before hatching could affect the growth and reproduction of chickens. Three point five-day-incubated fertilized eggs exposed to non-thermal dielectric barrier discharge plasma at 2.81 W of power for 2 min resulted in the highest growth in chickens. Plasma growth-promoting effect was regulated by the reactive oxygen species homeostasis and the improvement of energy metabolism via increasing serum hormones and adenosine triphosphate levels which were resulted from the regulation of genes involved in antioxidant defense, hormone biosynthesis and energetic metabolism. Interestingly, plasma-treated male chickens conspicuously grew faster than females. Further, aspects of male reproductive system (testosterone level and sperm quality) were improved by the plasma treatment but female reproduction (estradiol and progesterone levels, egg-laying rate and egg weight) had no significant changes. Unfortunately, offspring whose parents were the optimal plasma-treated chickens did not show any difference on growth characteristics and failed to inherit excellent growth features from their parents. Our results suggest a new method to improve the growth rate and male reproductive capacity in poultry but it is only effective in the plasma direct-treated generation.

  11. Clinical significance of determination of changes of serum TSGF and plasma VEGF levels after treatment in patients with endometriosis

    International Nuclear Information System (INIS)

    Shi Shaohong; Tian Xiaoping

    2006-01-01

    Objective: To investigate the changes of serum TSGF and plasma VEGF levels after treatment in patients with endometriosis. Methods: Serum TSGF levels were determined with ELISA mad plasma VEGF levels with biochemistry in 31 patients with endometriosis both before and after treatment as well as in 30 controls. Results: Before treatment the serum TSGF and plasma VEGF levels in patients were significantly higher than those in the controls (P 0.05). Conclusion: Development of endometriosis were closely related to the plasma levels of VEGF and serum TSGF levels. (authors)

  12. Surface chemical structure of poly(ethylene naphthalate) films during degradation in low-pressure high-frequency plasma treatments

    Science.gov (United States)

    Kamata, Noritsugu; Yuji, Toshifumi; Thungsuk, Nuttee; Arunrungrusmi, Somchai; Chansri, Pakpoom; Kinoshita, Hiroyuki; Mungkung, Narong

    2018-06-01

    The surface chemical structure of poly(ethylene naphthalate) (PEN) films treated with a low-pressure, high-frequency plasma was investigated by storing in a box at room temperature to protect the PEN film surface from dust. The functional groups on the PEN film surface changed over time. The functional groups of –C=O, –COH, and –COOH were abundant in the Ar + O2 mixture gas plasma-treated PEN samples as compared with those in untreated PEN samples. The changes occurred rapidly after 2 d following the plasma treatment, reaching steady states 8 d after the treatment. Hydrophobicity had an inverse relationship with the concentration of these functional groups on the surface. Thus, the effect of the low-pressure high-frequency plasma treatment on PEN varies as a function of storage time. This means that radical oxygen and oxygen molecules are clearly generated in the plasma, and this is one index to confirm that radical reaction has definitely occurred between the gas and the PEN film surface with a low-pressure high-frequency plasma.

  13. Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Shih, Huan-Yu; Chen, Miin-Jang; Lin, Ming-Chih; Chen, Liang-Yih

    2015-01-01

    The growth of uniform gallium nitride (GaN) thin films was reported on (100) Si substrate by remote plasma atomic layer deposition (RP-ALD) using triethylgallium (TEG) and NH 3 as the precursors. The self-limiting growth of GaN was manifested by the saturation of the deposition rate with the doses of TEG and NH 3 . The increase in the growth temperature leads to the rise of nitrogen content and improved crystallinity of GaN thin films, from amorphous at a low deposition temperature of 200 °C to polycrystalline hexagonal structures at a high growth temperature of 500 °C. No melting-back etching was observed at the GaN/Si interface. The excellent uniformity and almost atomic flat surface of the GaN thin films also infer the surface control mode of the GaN thin films grown by the RP-ALD technique. The GaN thin films grown by RP-ALD will be further applied in the light-emitting diodes and high electron mobility transistors on (100) Si substrate. (paper)

  14. Remote metal analysis by laser induced breakdown spectroscopy

    International Nuclear Information System (INIS)

    Duckworth, A.

    1996-01-01

    This paper describes a new technique by which the composition of irradiated or inaccessible reactor components can be determined remotely. The technique uses very short duration, high energy laser pulses at a wavelength which can be transmitted down an optical fibre to ablate a tiny plasma from the surface of a metal component. Light from the plasma is collected by a second fibre and returned to a spectrometer where it is split into the characteristic emission wavelengths of the elements in the sample. Comparison of the emission line amplitude for a particular element with that of a chosen calibration line can be used to deduce the concentration of the element in the sample. The technique has been used successfully to differentiate between different highly radioactive control rod batches at Sizewell ''A'' and Hinkley Point ''A'' Power Stations. The material analysis accuracy is comparable with that obtained from electron microphobe analysis and other direct spectroscopic methods. However, by analysing the mild steel control rod casing material remotely, difficult sample removal becomes unneccessary and the integrity of the component remains essentially unaltered. In addition, removal of deposits or surface corrosion is incorporated very neatly into the process. These factors make remote laser induced breakdown spectroscopy an ideal tool for material analysis in the nuclear environment. (UK)

  15. Remote metal analysis by laser induced breakdown spectroscopy

    International Nuclear Information System (INIS)

    Duckworth, A.

    1996-01-01

    This paper describes a new technique by which the composition of irradiated or inaccessible reactor components can be determined remotely. The technique uses very short duration, high energy laser pulses at a wavelength which can be transmitted down an optical fibre to ablate a tiny plasma from the surface of a metal component. Light from the plasma is collected by a second fibre and returned to a spectrometer where it is split into the characteristic emission wavelengths of the elements in the sample. Comparison of the emission line amplitude for a particular element with that of a chosen calibrationline can be used to deduce the concentration of the element in the sample. The technique has been used successfully to differentiate between highly radioactive control rod batches at Sizewell 'A' and Hinkley Point 'A Power Stations. The material analysis accuracy is comparable with that obtained from electron microprobe analysis and other direct spectroscopic methods. However, by analysing the mild steel control rod casing material remotely, difficult sample removal becomes unnecessary and the integrity of the component remains essentially unaltered. In addition, removal of deposits or surface corrosion is incorporated very neatly into the process. These factors make remote laser induced breakdown spectroscopy an ideal tool for material analysis in the nuclear environment. (Author)

  16. High efficiency GaN-based LEDs using plasma selective treatment of p-GaN surface

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Young-Bae; Naoi, Yoshiki; Sakai, Shiro [Department of Electrical and Electronic Engineering, University of Tokushima, 2-1 Minami-josanjima, Tokushima 770-8506 (Japan); Takaki, Ryohei; Sato, Hisao [Nitride Semiconductor Co., Ltd., 115-7 Itayajima, Akinokami, Seto-cho, Naruto, Tokushima 771-0360 (Japan)

    2003-11-01

    We have studied a new method of increasing the extraction efficiency of a GaN-based light-emitting diode (LED) using a plasma surface treatment. In this method, prior to the evaporation of a semitransparent p-metal, the surface of a p-GaN located beneath a p-pad is selectively exposed to a nitrogen plasma in a reactive ion etching (RIE) chamber. The electrical characteristics of the plasma treated p-GaN remarkably changes its resistivity into semi-insulator without any parasitic damage. Since the LEDs with a new method have no light absorption in a p-pad region, a higher optical power can be extracted compared to a conventional LEDs without plasma selective treatment on the p-GaN surface. (copyright 2003 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Real time ellipsometry for monitoring plasma-assisted epitaxial growth of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Losurdo, Maria [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy)]. E-mail: maria.losurdo@ba.imip.cnr.it; Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Capezzuto, Pio [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Brown, April S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Kim, Tong-Ho [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Choi, Soojeong [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States)

    2006-10-31

    GaN is grown on Si-face 4H-SiC(0 0 0 1) substrates using remote plasma-assisted methods including metalorganic chemical vapour deposition (RP-MOCVD) and molecular beam epitaxy (MBE). Real time spectroscopic ellipsometry is used for monitoring all the steps of substrate pre-treatments and the heteroepitaxial growth of GaN on SiC. Our characterization emphasis is on understanding the nucleation mechanism and the GaN growth mode, which depend on the SiC surface preparation.

  18. Remote Control of TJ-II Diagnostics

    International Nuclear Information System (INIS)

    Lopez Sanchez, A.; Vega, J.; Montoro, A.; Encabo, J.

    2001-01-01

    The present paper is about the design and development of ten remote control diagnostic systems used in the study of plasma fusion in the TJ-II device installed at CIEMAT. This development goes from the definition of sensors and devices necessary in carrying out these remote controls, to its assembly, wiring, development of electronic circuits inserted between sensors and PLC, development of programs for these PLC, connections and administration of the real time automation network, and later development of the necessary programs via the appropriate software tools for web access through a navigator to a specific web page, allowing visual and real time access over the auxiliary systems that make up all the diagnostics. (Author)

  19. Moderate plasma treatment enhances the quality of optically detected magnetic resonance signals of nitrogen-vacancy centres in nanodiamonds

    Science.gov (United States)

    Sotoma, Shingo; Igarashi, Ryuji; Shirakawa, Masahiro

    2016-05-01

    We demonstrate that a moderate plasma treatment increases the quality of optically detected magnetic resonance (ODMR) signals from negatively charged nitrogen-vacancy centres in nanodiamonds (NDs). We measured the statistics of the ODMR spectra of 50-nm-size NDs before and after plasma treatment. We then evaluated each ODMR spectrum in terms of fluorescence and ODMR intensities, line width and signal-to-noise (SN) ratio. Our results showed that plasma treatment for more than 10 min contributes to higher-quality ODMR signals, i.e. signals that are brighter, stronger, sharper and have a higher SN ratio. We showed that such signal improvement is due to alteration of the surface chemical states of the NDs by the plasma treatment. Our study contributes to the advancement of biosensing applications using ODMR of NDs.

  20. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O2 induced remote plasma: effect of oxygen fraction

    Science.gov (United States)

    Naddaf, M.; Saloum, S.

    2008-09-01

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyledisiloxane (HMDSO)/O2 mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions ( \\chi _{O_2 } =0 , 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at \\chi _{O_2 } =0 exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease (~one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O2 mixtures exhibit two separated 'green-blue' and 'yellow-green' PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm-1) in the spectral range of their PL emission, attractive for possible integrated optics devices.

  1. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O2 induced remote plasma: effect of oxygen fraction

    International Nuclear Information System (INIS)

    Naddaf, M; Saloum, S

    2008-01-01

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyledisiloxane (HMDSO)/O 2 mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions (χ O 2 =0, 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at (χ O 2 =0 exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease (∼one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O 2 mixtures exhibit two separated 'green-blue' and 'yellow-green' PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm -1 ) in the spectral range of their PL emission, attractive for possible integrated optics devices

  2. Investigation of Inonotus obliquus (Pers. Pil. Extracts and Melanins after RF-plasma Treatment of Raw Material

    Directory of Open Access Journals (Sweden)

    O.Yu. Kuznetsova

    2016-03-01

    Full Text Available High-frequency capacitive discharge (RF plasma at low pressure was used as preliminary stage for the intensification of extraction from natural medicinal raw material. RF-plasma treatment was carried out in two modes differed by the nature of plasma-forming gas. Chaga (Inonotus obliquus (Pers. Pil. known as the birch mushroom was selected as a perspective source of raw material. Extraction was carried out in two ways – remaceration and maceration. The analy-sis of chaga extracts and melanins was performed using traditional techniques including determination of physical and chemical, antioxidant and spectral characteristics. The obtained extracts and melanins were compared to the control samples and literature data. RF-plasma treatment of medicinal raw material increased the yield of extractive substances, in particular of the main active component of chaga – melanin. The antioxidant activity of chaga extracts grew, while for melanins it remained at the level similar to that of control samples. The IR spectral characteristics of the studied chaga melanins are similar and agree well with the literature data. Insignificant deviations in the position and intensity of absorption strips were observed for the samples after RF treatment. IR spectra of the studied chaga melanins are similar to those for mushroom melanins, thereby confirming the similarity in their nature. RF-plasma treatment of chaga medicinal raw materials allows to modify them partially. The structural and mechanical properties of melanins modified by RF plasma remain the same.

  3. Plasma treatment effect on charge carrier concentrations and surface traps in a-InGaZnO thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Jae-Sung; Xing Piao, Ming; Jang, Ho-Kyun; Kim, Gyu-Tae, E-mail: gtkim@korea.ac.kr [School of Electrical Engineering, Korea University, Seoul 136-701 (Korea, Republic of); Joo, Min-Kyu [School of Electrical Engineering, Korea University, Seoul 136-701 (Korea, Republic of); IMEP-LAHC, Grenoble INP, Minatec, CS 50257, 38016 Grenoble (France); Ahn, Seung-Eon [School of Electrical Engineering, Korea University, Seoul 136-701 (Korea, Republic of); Samsung Advanced Institute of Technology, Samsung Electronics Corporations, Yongin, Gyeonggi-Do 446-712 (Korea, Republic of); Choi, Yong-Hee [School of Electrical Engineering, Korea University, Seoul 136-701 (Korea, Republic of); Semiconductor R and D Center, Samsung Electronics, Hwasung, Gyeonggi-do 445-701 (Korea, Republic of)

    2014-03-21

    Various plasma treatment effects such as oxygen (O{sub 2}), nitrogen (N{sub 2}), and argon (Ar) on amorphous indium gallium zinc oxide thin-film transistors (a-IGZO TFTs) are investigated. To study oxygen stoichiometry in a-IGZO TFTs with respect to various plasma environments, X-ray photoelectron spectroscopy was employed. The results showed that oxygen vacancies were reduced by O{sub 2} and N{sub 2} plasmas while they were increased after Ar plasma treatment. Additionally, the effects of plasma treatment on trap distribution in bulk and surface channels were explored by means of low-frequency noise analysis. Details of the mechanisms used for generating and restoring traps on the surface and bulk channel are presented.

  4. Platelets rich plasma versus minoxidil 5% in treatment of alopecia areata: A trichoscopic evaluation.

    Science.gov (United States)

    El Taieb, Moustafa A; Ibrahim, Hassan; Nada, Essam A; Seif Al-Din, Mai

    2017-01-01

    Alopecia areata is a common cause of nonscarring alopecia that occurs in a patchy, confluent, or diffuse pattern. Dermoscopy is a noninvasive technique for the clinical diagnosis of many skin diseases. Topical minoxidil solution 5% and platelet rich plasma are important modalities used in treatment of alopecia areata. We aimed to evaluate the efficacy of PRP versus topical minoxidil 5% in the treatment of AA by clinical evaluation and trichoscopic examination. Ninety patients were allocated into three groups; the first was treated with topical minoxidil 5% solution, the second with platelets rich plasma injections, and the third with placebo. Diagnosis and follow up were done by serial digital camera photography of lesions and dermoscopic scan before and every 1 month after treatment for 3 months. Patients treated with minoxidil 5% and platelets rich plasma both have significant hair growth than placebo (p minoxidil and control (p minoxidil 5% as evaluated by clinical and trichoscopic examination. © 2016 Wiley Periodicals, Inc.

  5. Hyperspectral remote sensing

    National Research Council Canada - National Science Library

    Eismann, Michael Theodore

    2012-01-01

    ..., and hyperspectral data processing. While there are many resources that suitably cover these areas individually and focus on specific aspects of the hyperspectral remote sensing field, this book provides a holistic treatment...

  6. Reduction of NOx in synthetic diesel exhaust via two-step plasma-catalysis treatment

    International Nuclear Information System (INIS)

    Tonkyn, R.G.; Barlow, S.E.; Hoard, John W.

    2003-01-01

    Significant reduction of NO x in synthetic light duty diesel exhaust has been achieved over a broad temperature window by combining atmospheric plasma with appropriate catalysts. The technique relies on the addition of hydrocarbon reductant prior to passing the simulated exhaust through a non-thermal plasma and a catalyst bed. The observed chemistry in the plasma includes conversion of NO to NO 2 as well as the partial oxidation of the hydrocarbon. The overall NO x reduction has a maximum of less than 80%, with this maximum obtained only at high-energy input into the plasma, high concentration of hydrocarbon reductant and low space velocity. We present data in this paper illustrating that a multiple-step treatment strategy, whereby two or more plasma-catalyst reactors are utilized in series, can increase the maximum NO x conversion obtainable. Alternatively, this technique can reduce the energy and/or hydrocarbon requirements for a fixed conversion efficiency. When propene is used as the reductant, the limiting reagent for the overall process is most likely acetaldehyde. The data suggest that acetaldehyde is formed in concert with NO oxidation to NO 2 in the plasma stage. The limited NO x reduction efficiency attained in a single step, even with excess energy, oxygen content and/or hydrocarbon-to-NO x ratio is well explained by this hypothesis, as is the effectiveness of the multiple-step treatment strategy. We present the data here illustrating the advantage of this approach under a wide variety of conditions

  7. Effect of hydrogen plasma treatment on the surface morphology, microstructure and electronic transport properties of nc-Si:H

    International Nuclear Information System (INIS)

    Dutta, P.; Paul, S.; Galipeau, D.; Bommisetty, V.

    2010-01-01

    Hydrogenated nanocrystalline silicon (nc-Si:H) films, deposited by reactive radio-frequency sputtering with 33% hydrogen dilution in argon at 200 o C, were treated with low-power hydrogen plasma at room temperature at various power densities (0.1-0.5 W/cm 2 ) and durations (10 s-10 min). Plasma treatment reduced the surface root mean square roughness and increased the average grain size. This was attributed to the mass transport of Si atoms on the surface by surface and grain boundary diffusion. Plasma treatment under low power density (0.1 W/cm 2 ) for short duration (10 s) caused a significant enhancement of crystalline volume fraction and electrical conductivity, compared to as-deposited film. While higher power (0.5 W/cm 2 ) hydrogen plasma treatment for longer durations (up to 10 min) caused moderate improvement in crystalline fraction and electrical properties; however, the magnitude of improvement is not significant compared to low-power (0.1 W/cm 2 )/short-duration (10 s) plasma exposure. The results indicate that low-power hydrogen plasma treatment at room temperature can be an effective tool to improve the structural and electrical properties of nc-Si:H.

  8. Treatment of refractory powders by a novel, high enthalpy dc plasma

    Science.gov (United States)

    Pershin, L.; Mitrasinovic, A.; Mostaghimi, J.

    2013-06-01

    Thermophysical properties of CO2-CH4 mixtures at high temperatures are very attractive for materials processing. In comparison with argon, at the same temperature, such a mixture possesses much higher enthalpy and higher thermal conductivity. At high temperatures, CO2-CH4 mixture has a complex composition with strong presence of CO which, in the case of powder treatment, could reduce oxidation. In this work, a dc plasma torch with graphite cathode was used to study the effect of plasma gas composition on spheroidization of tungsten carbide and alumina powders. Two different gas compositions were used to generate the plasma while the torch current was kept at 300 A. Various techniques were employed to assess the average concentration of carbides and oxides and the final shape of the treated powders. Process parameters such as input power and plasma gas composition allow controlling the degree of powder oxidation and spheroidization of high melting point ceramic powders.

  9. Treatment of refractory powders by a novel, high enthalpy dc plasma

    International Nuclear Information System (INIS)

    Pershin, L; Mitrasinovic, A; Mostaghimi, J

    2013-01-01

    Thermophysical properties of CO 2 –CH 4 mixtures at high temperatures are very attractive for materials processing. In comparison with argon, at the same temperature, such a mixture possesses much higher enthalpy and higher thermal conductivity. At high temperatures, CO 2 –CH 4 mixture has a complex composition with strong presence of CO which, in the case of powder treatment, could reduce oxidation. In this work, a dc plasma torch with graphite cathode was used to study the effect of plasma gas composition on spheroidization of tungsten carbide and alumina powders. Two different gas compositions were used to generate the plasma while the torch current was kept at 300 A. Various techniques were employed to assess the average concentration of carbides and oxides and the final shape of the treated powders. Process parameters such as input power and plasma gas composition allow controlling the degree of powder oxidation and spheroidization of high melting point ceramic powders. (paper)

  10. Plasma vitrification program for radioactive waste treatment

    International Nuclear Information System (INIS)

    Hung, Tsungmin; Tzeng, Chinchin; Kuo, Pingchun

    1998-01-01

    In order to treat radioactive wastes effectively and solve storage problems, INER has developed the plasma arc technology and plasma process for various waste forms for several years. The plasma vitrification program is commenced via different developing stages through nine years. It includes (a) development of non-transferred DC plasma torch, (b) establishment of a lab-scale plasma system with home-made 100kW non-transferred DC plasma torch, (c) testing of plasma vitrification of simulated radioactive wastes, (d) establishment of a transferred DC plasma torch delivering output power more than 800 kW, (e) study of NOx reduction process for the plasma furnace, (f) development of a pilot-scale plasma melting furnace to verify the vitrification process, and (g) constructing a plasma furnace facility in INER. The final goal of the program is to establish a plasma processing plant with capacity of 250 kg/hr to treat the low-level radioactive wastes generated from INER itself and domestic institutes due to isotope applications. (author)

  11. About a possibility of increasing the adhesion strength between mineral glass and polymeric binder under radio-frequency induction plasma treatment

    International Nuclear Information System (INIS)

    Miftakhov, I S; Trofimov, A V; Nagmutdinova, A I; Voznesensky, E F; Sharifullin, F S; Krasina, I V; Rakhmatullina, G R

    2017-01-01

    The paper investigated influences of radio-frequency induction plasma treatment on the surface of sheet mineral glasses for household purpose. Discussion for casting the most suitable treatment modes and theirs substantiation is shown. During the investigation the most productive plasma treatment modes for applied binders have been found. It is shown that the durability of adhesive joints between mineral glass and polymeric binder under low-temperature plasma treatment increase to 65%. (paper)

  12. Improvement of Polytetrafluoroethylene Surface Energy by Repetitive Pulse Non-Thermal Plasma Treatment in Atmospheric Air

    International Nuclear Information System (INIS)

    Yang Guoqing; Zhang Guanjun; Zhang Wenyuan

    2011-01-01

    Improvement of polytetrafluoroethylene surface energy by non-thermal plasma treatment is presented, using a nanosecond-positive-edge repetitive pulsed dielectric barrier discharge generator in atmospheric air. The electrical parameters including discharging power, peak and density of micro-discharge current were calculated, and the electron energy was estimated. Surface treatment experiments of polytetrafluoroethylene films were conducted for both different applied voltages and different treating durations. Results show that the surface energy of polytetrafluoroethylene film could be improved to 40 mJ/m 2 or more by plasma treatment. Surface roughness measurement and surface X-ray photoelectron spectroscopy analysis indicate that there are chemical etching and implantation of polar oxygen groups in the sample surface treating process, resulting in the improvement of the sample surface energy. Compared with an AC source of 50 Hz, the dielectric barrier discharges generated by a repetitive pulsed source could provide higher peak power, lower mean power, larger micro-discharge current density and higher electron energy. Therefore, with the same applied peak voltage and treating duration, the improvement of polytetrafluoroethylene surface energy using repetitive pulsed plasma is more effective, and the plasma treatment process based on repetitive pulsed dielectric barrier discharges in air is thus feasible and applicable.

  13. Quantitative evaluation of plasma after methylene blue and white light treatment in four Chinese blood centers.

    Science.gov (United States)

    Chunhui, Yang; Guohui, Bian; Hong, Yang; Xiaopu, Xiao; Zherong, Bai; Mingyuan, Wang; Xinsheng, Zhang; Juanjuan, Wang; Changqing, Li; Wuping, Li

    2013-12-01

    Pathogen reduction technology is an important process in the blood safety system, including solvent/detergent treatment, filtration and methylene blue-photochemical technology (MB-PCT). To investigate the quality of MB-PCT-treated plasma, plasma samples from four Chinese blood centers were analyzed over 12 months of storage to determine the recovery of activities and levels of various plasma proteins. Ten plasma units each from the Suzhou, Yancheng, Chongqing and Shandong blood centers were divided into two aliquots. One was subjected to treatment with one of two methylene blue-photochemical technology instruments and the other was used as control. The treated and untreated sample pairs were stored at -30°C. The recovery rates of coagulation factors, inhibitor proteins, total protein, immunoglobulins, and complement proteins were measured at different time points after storage. The mean recovery of most proteins exceeded 80% after MB treatment. The exceptions were factor XI and fibrinogen, of which only 71.3-74% and 69.0-92.3% were retained during storage. The two equipment types differed in terms of residual MB concentration in the plasma samples (0.18 μM and 0.29 μM, respectively). They had similar protein recovery rates at 0.5 month but differed at later time points. The four blood centers differed significantly with regard to factor II, V, VIII and fibrinogen activities. Only the samples from the Shandong blood center met the methylene blue treated fresh frozen plasma requirement. The major factor that influenced the quality of the MB-FFP samples was the time taken between blood collection and storage. Methylene blue treated plasma showed reduced coagulation factor (CF) activity and protein levels. The MB treatment-induced damage to the proteins was acceptable at the four Chinese blood centers, but the quality of the MB-treated plasma in general was not satisfactory. The main factor affecting plasma quality may be the duration of the collection and

  14. Control, data acquisition, data analysis and remote participation in LHD

    International Nuclear Information System (INIS)

    Nagayama, Y.; Emoto, M.; Nakanishi, H.; Sudo, S.; Imazu, S.; Inagaki, S.; Iwata, C.; Kojima, M.; Nonomura, M.; Ohsuna, M.; Tsuda, K.; Yoshida, M.; Chikaraishi, H.; Funaba, H.; Horiuchi, R.; Ishiguro, S.; Ito, Y.; Kubo, S.; Mase, A.; Mito, T.

    2008-01-01

    This paper presents the control, data acquisition, data analysis and remote participation facilities of the Large Helical Device (LHD), which is designed to confine the plasma in steady state. In LHD the plasma duration exceeds 3000 s by controlling the plasma position, the density and the ICRF heating. The 'LABCOM' data acquisition system takes both the short-pulse and the steady-state data. A two-layer Mass Storage System with RAIDs and Blu-ray Disk jukeboxes in a storage area network has been developed to increase capacity of storage. The steady-state data can be monitored with a Web browser in real time. A high-level data analysis system with Web interfaces is being developed in order to provide easier usage of LHD data and large FORTRAN codes in a supercomputer. A virtual laboratory system for the Japanese fusion community has been developed with Multi-protocol Label Switching Virtual Private Network Technology. Collaborators at remote sites can join the LHD experiment or use the NIFS supercomputer system as if they were working in the LHD control room

  15. The role of Ar plasma treatment in generating oxygen vacancies in indium tin oxide thin films prepared by the sol-gel process

    Energy Technology Data Exchange (ETDEWEB)

    Hwang, Deuk-Kyu [Department of Materials Science and Engineering, Yonsei University, 50 Yonsei-ro, Seoul, 03722 (Korea, Republic of); Misra, Mirnmoy; Lee, Ye-Eun [Department of BioNano Technology, Gachon University, 1342 Seong-nam dae-ro, Seong-nam si, Gyeonggi-do, 13120 (Korea, Republic of); Baek, Sung-Doo [Department of Materials Science and Engineering, Yonsei University, 50 Yonsei-ro, Seoul, 03722 (Korea, Republic of); Myoung, Jae-Min, E-mail: jmmyoung@yonsei.ac.kr [Department of Materials Science and Engineering, Yonsei University, 50 Yonsei-ro, Seoul, 03722 (Korea, Republic of); Lee, Tae Il, E-mail: t2.lee77@gachon.ac.kr [Department of BioNano Technology, Gachon University, 1342 Seong-nam dae-ro, Seong-nam si, Gyeonggi-do, 13120 (Korea, Republic of)

    2017-05-31

    Highlights: • Indium tin oxide thin film with about 41 nm thickness was obtained by the sol-gel process. • Thin film exhibited low resistivity. • Sheet resistance of thin film decreases with Ar plasma treatment time. • Ar plasma treatment on thin film does not alter the crystal structure and optical properties of the ITO thin-film. • There is no significant change in oxygen vacancies after 20 min of plasma treatment. - Abstract: Argon (Ar) plasma treatment was carried out to reduce the sheet resistance of indium tin oxide (ITO) thin films. The Ar plasma treatment did not cause any significant changes to the crystal structure, surface morphology, or optical properties of the ITO thin films. However, an X-ray photoelectron spectroscopy study confirmed that the concentration of oxygen vacancies in the film dramatically increased with the plasma treatment time. Thus, we concluded that the decrease in the sheet resistance was caused by the increase in the oxygen vacancy concentration in the film. Furthermore, to verify how the concentration of oxygen vacancies in the film increased with the Ar plasma treatment time, cumulative and continuous plasma treatments were conducted. The oxygen vacancies were found to be created by surface heating via the outward thermal diffusion of oxygen atoms from inside the film.

  16. The interplay of plasma treatment and gold coating and ultra-high molecular weight polyethylene: On the cytocompatibility

    Energy Technology Data Exchange (ETDEWEB)

    Novotná, Zdenka, E-mail: zdenka1.novotn@vscht.cz [Department of Solid State Engineering, University of Chemistry and Technology Prague, Prague (Czech Republic); Rimpelová, Silvie; Juřík, Petr [Department of Biochemistry and Microbiology, University of Chemistry and Technology Prague, Prague (Czech Republic); Veselý, Martin [Department of Organic Technology, University of Chemistry and Technology Prague, Prague (Czech Republic); Kolská, Zdenka [Faculty and Science, J. E. Purkinje University in Usti nad Labem, Usti nad Labem (Czech Republic); Hubáček, Tomáš [Biology Centre CAS CR, SoWa National Research Infrastructure, Ceske Budejovice (Czech Republic); Ruml, Tomáš [Department of Biochemistry and Microbiology, University of Chemistry and Technology Prague, Prague (Czech Republic); Švorčík, Václav [Department of Solid State Engineering, University of Chemistry and Technology Prague, Prague (Czech Republic)

    2017-02-01

    We have investigated the application of Ar plasma for creation of nanostructured ultra high molecular weight polyethylene (PE) surface in order to enhance adhesion of mouse embryonic fibroblasts (L929). The aim of this study was to investigate the effect of the interface between plasma-treated and gold-coated PE on adhesion and spreading of cells. The surface properties of pristine samples and its modified counterparts were studied by different experimental techniques (gravimetry, goniometry and X-ray photoelectron spectroscopy (XPS), electrokinetic analysis), which were used for characterization of treated and sputtered layers, polarity and surface chemical structure, respectively. Further, atomic force microscopy (AFM) was employed to study the surface morphology and roughness. Biological responses of cells seeded on PE samples were evaluated in terms of cell adhesion, spreading, morphology and proliferation. Detailed cell morphology and intercellular connections were followed by scanning electron microscopy (SEM). As it was expected the thickness of a deposited gold film was an increasing function of the sputtering time. Despite the fact that plasma treatment proceeded in inert plasma, oxidized degradation products were formed on the PE surface which would contribute to increased hydrophilicity (wettability) of the plasma treated polymer. The XPS method showed a decrease in carbon concentration with increasing plasma treatment. Cell adhesion measured on the interface between plasma treated and gold coated PE was inversely proportional to the thickness of a gold layer on a sample. - Highlights: • Gold-coating improved wettability of polyethylene in comparison with plasma-treatment. • Plasma-treatment increased the surface roughness while the subsequent gold-coating decreased the roughness. • Adhesion and growth of mouse embryonic fibroblasts (L929) were studied in vitro. • Low amounts of gold nanoparticles released in the medium promoted cell growth.

  17. The interplay of plasma treatment and gold coating and ultra-high molecular weight polyethylene: On the cytocompatibility

    International Nuclear Information System (INIS)

    Novotná, Zdenka; Rimpelová, Silvie; Juřík, Petr; Veselý, Martin; Kolská, Zdenka; Hubáček, Tomáš; Ruml, Tomáš; Švorčík, Václav

    2017-01-01

    We have investigated the application of Ar plasma for creation of nanostructured ultra high molecular weight polyethylene (PE) surface in order to enhance adhesion of mouse embryonic fibroblasts (L929). The aim of this study was to investigate the effect of the interface between plasma-treated and gold-coated PE on adhesion and spreading of cells. The surface properties of pristine samples and its modified counterparts were studied by different experimental techniques (gravimetry, goniometry and X-ray photoelectron spectroscopy (XPS), electrokinetic analysis), which were used for characterization of treated and sputtered layers, polarity and surface chemical structure, respectively. Further, atomic force microscopy (AFM) was employed to study the surface morphology and roughness. Biological responses of cells seeded on PE samples were evaluated in terms of cell adhesion, spreading, morphology and proliferation. Detailed cell morphology and intercellular connections were followed by scanning electron microscopy (SEM). As it was expected the thickness of a deposited gold film was an increasing function of the sputtering time. Despite the fact that plasma treatment proceeded in inert plasma, oxidized degradation products were formed on the PE surface which would contribute to increased hydrophilicity (wettability) of the plasma treated polymer. The XPS method showed a decrease in carbon concentration with increasing plasma treatment. Cell adhesion measured on the interface between plasma treated and gold coated PE was inversely proportional to the thickness of a gold layer on a sample. - Highlights: • Gold-coating improved wettability of polyethylene in comparison with plasma-treatment. • Plasma-treatment increased the surface roughness while the subsequent gold-coating decreased the roughness. • Adhesion and growth of mouse embryonic fibroblasts (L929) were studied in vitro. • Low amounts of gold nanoparticles released in the medium promoted cell growth.

  18. Population Pharmacokinetics of Meropenem in Plasma and Subcutis in Patients on Extracorporeal Membrane Oxygenation Treatment

    DEFF Research Database (Denmark)

    Hanberg, Pelle; Öbrink-Hansen, Kristina; Thorsted, Anders

    2018-01-01

    The objectives of this study were to describe meropenem pharmacokinetics (PK) in plasma and/or subcutaneous adipose tissue (SCT) in critically ill patients receiving ECMO treatment, and to develop a population PK model to simulate alternative dosing regimens and modes of administration. We...... conducted a prospective observational study. Ten patients on ECMO treatment received meropenem (1 or 2 g) intravenously over 5 min every 8 hours. Serial SCT concentrations were determined using microdialysis and compared with plasma concentrations. A population PK model of SCT and plasma data was developed...... infusion would be needed for 100%fT>MIC and 100%fT>4xMIC to be obtained. Meropenem plasma and SCT concentrations were associated with estimated creatinine-clearance (eCLCr). Simulations showed that in patients with increased eCLCr, dose increment or continuous infusion may be needed to obtain therapeutic...

  19. Remote operation of the GOLEM tokamak for Fusion Education

    Energy Technology Data Exchange (ETDEWEB)

    Grover, O.; Kocman, J. [Faculty of Nuclear Sciences and Physical Engineering CTU Prague, CZ-115 19 (Czech Republic); Odstrcil, M. [University of Southampton, Southampton SO17 1BJ (United Kingdom); Odstrcil, T. [Max-Planck-Institut für Plasmaphysik, D-85748 Garching (Germany); Matusu, M. [Faculty of Nuclear Sciences and Physical Engineering CTU Prague, CZ-115 19 (Czech Republic); Stöckel, J. [Faculty of Nuclear Sciences and Physical Engineering CTU Prague, CZ-115 19 (Czech Republic); Institute of Plasma Physics AS CR, Prague CZ-182 21 (Czech Republic); Svoboda, V., E-mail: svoboda@fjfi.cvut.cz [Faculty of Nuclear Sciences and Physical Engineering CTU Prague, CZ-115 19 (Czech Republic); Vondrasek, G. [Faculty of Nuclear Sciences and Physical Engineering CTU Prague, CZ-115 19 (Czech Republic); Zara, J. [Faculty of Electrical Engineering CTU Prague, CZ-166 27 (Czech Republic)

    2016-11-15

    Highlights: • The remote operation of the tokamak GOLEM for educational purposes. - Abstract: Practically oriented education in the field of thermonuclear fusion is highly requested. However, the high complexity of appropriate experiments makes it difficult to develop and maintain laboratories where students can take part in hands-on experiments in this field of study. One possible solution is to establish centres with specific high temperature plasma experiments where students can visit such a laboratory and perform their experiments in-situ. With the advancements of IT technologies it naturally follows to make a step forward and connect these with necessary plasma physics technologies and thus allow to access even sophisticated experiments remotely. Tokamak GOLEM is a small, modest device with its infrastructure linked to web technologies allowing students to set-up necessary discharge parameters, submit them into a queue and within minutes obtain the results in the form of a discharge homepage.

  20. Remote operation of the GOLEM tokamak for Fusion Education

    International Nuclear Information System (INIS)

    Grover, O.; Kocman, J.; Odstrcil, M.; Odstrcil, T.; Matusu, M.; Stöckel, J.; Svoboda, V.; Vondrasek, G.; Zara, J.

    2016-01-01

    Highlights: • The remote operation of the tokamak GOLEM for educational purposes. - Abstract: Practically oriented education in the field of thermonuclear fusion is highly requested. However, the high complexity of appropriate experiments makes it difficult to develop and maintain laboratories where students can take part in hands-on experiments in this field of study. One possible solution is to establish centres with specific high temperature plasma experiments where students can visit such a laboratory and perform their experiments in-situ. With the advancements of IT technologies it naturally follows to make a step forward and connect these with necessary plasma physics technologies and thus allow to access even sophisticated experiments remotely. Tokamak GOLEM is a small, modest device with its infrastructure linked to web technologies allowing students to set-up necessary discharge parameters, submit them into a queue and within minutes obtain the results in the form of a discharge homepage.

  1. Remote welding and cutting techniques for fusion experimental reactors

    International Nuclear Information System (INIS)

    Onozuka, M.; Ishide, T.; Oda, Y.; Nagaoka, E.; Ue, K.; Kamei, H.

    1995-01-01

    Experimental investigation of the YAG laser cutting/welding and plasma gouging techniques has been conducted to examine their suitability for remote maintenance systems in future fusion experimental reactors. Using a hybrid beam coupling system, two laser beams of 500W and 740W powers were successfully combined to provide a 1,240W beam power. The combined laser was transmitted through the optical fiber for cutting and welding. The transmission loss for the beams is in the range of 13% to 14%, which is low. As for plasma gouging, the shallow gouging made a groove measuring 10 mm in width and 4 mm in depth on the stainless steel plates at a traversing speed of 75 cm/min, while the deep gouging made a groove of 12 mm in width and 7.5 mm in depth at a traversing speed of 50 cm/min. In addition, it was found that the shallow gouging did not leave byproducts from the material, providing a clean surface. Based on the findings, it is shown that the YAG laser cutting/welding and plasma gouging techniques can be us3ed for remote welding and cutting in future fusion experimental reactors

  2. Remote welding and cutting techniques for fusion experimental reactors

    Energy Technology Data Exchange (ETDEWEB)

    Onozuka, M.; Ishide, T.; Oda, Y.; Nagaoka, E.; Ue, K.; Kamei, H. [Mitsubishi Heavy Industries, Ltd., Yokohama (Japan)

    1995-12-31

    Experimental investigation of the YAG laser cutting/welding and plasma gouging techniques has been conducted to examine their suitability for remote maintenance systems in future fusion experimental reactors. Using a hybrid beam coupling system, two laser beams of 500W and 740W powers were successfully combined to provide a 1,240W beam power. The combined laser was transmitted through the optical fiber for cutting and welding. The transmission loss for the beams is in the range of 13% to 14%, which is low. As for plasma gouging, the shallow gouging made a groove measuring 10 mm in width and 4 mm in depth on the stainless steel plates at a traversing speed of 75 cm/min, while the deep gouging made a groove of 12 mm in width and 7.5 mm in depth at a traversing speed of 50 cm/min. In addition, it was found that the shallow gouging did not leave byproducts from the material, providing a clean surface. Based on the findings, it is shown that the YAG laser cutting/welding and plasma gouging techniques can be us3ed for remote welding and cutting in future fusion experimental reactors.

  3. Clinical significance of determination of changes of plasma ET and serum TNF content after treatment in patients with diabetes millitus

    International Nuclear Information System (INIS)

    Zhang Jianguo; Wu Jiaming

    2006-01-01

    Objective: To investigate the clinical significance of the changes of plasma ET and serum TNF levels after treatment in patients with diabetes millitus. Methods: Plasma ET and serum TNF contents were determined with RIA in 54 patients with diabetes mellitus both before and after treatment as well as in 35 controls. Results: Before treatment, the plasma ET and serum TNF levels were significantly in the diabetics higher than those in the controls (P<0.01). After 3 months treatment, the levels remained significantly higher (P<0.05). Conclusion: Development and progression of diabetes millitus were closely related to the plasma ET and serum TNF levels. (authors)

  4. Effect of oxygen plasma treatment on adhesion improvement of Au deposited on Pa-c substrates

    International Nuclear Information System (INIS)

    Lee, Jeong Hoon; Hwang, Kyo Seon; Kim, Tae Song; Seong, Jin Wook; Yoon, Ki Hyun; Ahn, Sae Young

    2004-01-01

    Adhesion of gold on parylene C (Pa-c) is a major hurdle in achieving reliable and durable performance for biosensor application due to the hydrophobicity of Pa-c. It is, therefore, imperative to put efforts to improve adhesion between Au and Pa-c. In this reseach, oxygen plasma treatment for adhesion improvement was performed on Pa-c surfaces at various plasma powers and times. To analyze the relation of surface energy and roughness to adhesion promotion, we used several techniques such as contact-angle, surface-energy, surface-roughness, and adhesion analyses. As the oxygen plasma power and time were increased, the surface roughness of Pa-c increased. Also, Au films had larger and more uniform grain sizes as the oxygen plasma power and time were increased. Untreated surfaces revealed a contact angle of 108 .deg. , but the contact angle drastically decreased in the initial stage of oxygen plasma treatment and slowly decreased with increasing power and time to values of 27.3 and 34, respectively. From the adhesion analysis, adhesion was improved as the plasma power or time was increased. The improvement of adhesion is related to an increase in roughness as well as carbonyl groups.

  5. Improved performance of solution-processed a-InGaZnO thin-film transistors due to Ar/O2 mixed-plasma treatment

    International Nuclear Information System (INIS)

    Kim, Kwan-Soo; Hwang, Yeong-Hyeon; Hwang, In-Chan; Cho, Won-Ju

    2014-01-01

    We investigated the effects of Ar and O 2 treatment and of Ar/O 2 mixed plasma treatment on the electrical characteristics of solution-processed amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistors (TFTs). The electrical performance and the instability of a-IGZO TFTs were significantly improved by the plasma treatments. The plasma treatments reduced the carbon-based residual contamination that acted as possible trap sites. In particular, the O 2 -plasma treatment produced a significant improvement in the reliability of a-IGZO TFTs when compared with the Ar-plasma-treated device, owing to the elimination of residual carbon in the active channel of the solution-processed a-IGZO. However, the optimized improvement of the solution-processed a-IGZO TFT under a gate bias stress was obtained for the device treated with an Ar/O 2 mixed-gas plasma. The plasma treatment in the Ar/O 2 -mixed ambience remarkably enhanced not only the reliability but also the electrical performance of the a-IGZO TFT; the on/off-current ratio, the field-effect mobility, and the subthreshold slope were 6.78 x 10 7 , 1.24 cm 2 /V·s, and 513 mV/dec, respectively.

  6. Atmospheric pressure plasma jet treatment of Salmonella Enteritidis inoculated eggshells.

    Science.gov (United States)

    Moritz, Maike; Wiacek, Claudia; Koethe, Martin; Braun, Peggy G

    2017-03-20

    Contamination of eggshells with Salmonella Enteritidis remains a food safety concern. In many cases human salmonellosis within the EU can be traced back to raw or undercooked eggs and egg products. Atmospheric pressure plasma is a novel decontamination method that can reduce a wide range of pathogens. The aim of this work was to evaluate the possibility of using an effective short time cold plasma treatment to inactivate Salmonella Enteritidis on the eggshell. Therefore, artificially contaminated eggshells were treated with an atmospheric pressure plasma jet under different experimental settings with various exposure times (15-300s), distances from the plasma jet nozzle to the eggshell surface (5, 8 or 12mm), feed gas compositions (Ar, Ar with 0.2, 0.5 or 1.0% O 2 ), gas flow rates (5 and 7slm) and different inoculations of Salmonella Enteritidis (10 1 -10 6 CFU/cm 2 ). Atmospheric pressure plasma could reduce Salmonella Enteritidis on eggshells significantly. Reduction factors ranged between 0.22 and 2.27 log CFU (colony-forming units). Exposure time and, particularly at 10 4 CFU/cm 2 inoculation, feed gas had a major impact on Salmonella reduction. Precisely, longer exposure times led to higher reductions and Ar as feed gas was more effective than ArO 2 mixtures. Copyright © 2017 Elsevier B.V. All rights reserved.

  7. Nanostructuring of polymethylpentene by plasma and heat treatment for improved biocompatibility

    Czech Academy of Sciences Publication Activity Database

    Slepička, P.; Kasálková-Slepičková, N.; Kolská, Z.; Macková, Anna; Bačáková, Lucie; Švorčík, V.; Malinský, Petr; Trostová, S.

    2012-01-01

    Roč. 97, č. 7 (2012), s. 1075-1082 ISSN 0141-3910 R&D Projects: GA ČR GA106/09/0125; GA ČR(CZ) GAP108/10/1106; GA ČR(CZ) GAP108/12/1168 Institutional support: RVO:61389005 ; RVO:67985823 Keywords : Polymethylpentene * Plasma treatment * Thermal treatment * Surface chemistry * Cell proliferation * Morfology Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 2.770, year: 2012

  8. Influence of plasma treatment of carbon blacks on electrochemical activity of Pt/carbon blacks catalysts for DMFCs

    Science.gov (United States)

    Kim, Seok; Cho, Mi-Hwa; Lee, Jae-Rock; Park, Soo-Jin

    In this work, in order to improve the dispersion of platinum catalysts deposited on carbon materials, the effects of surface plasma treatment of carbon blacks (CBs) were investigated. The surface characteristics of the CBs were determined by fourier transformed-infrared (FT-IR), X-ray photoelectron spectroscopy (XPS), and Boehm's titration method. The electrochemical properties of the plasma-treated CBs-supported Pt (Pt/CBs) catalysts were analyzed by linear sweep voltammetry (LSV) experiments. From the results of FT-IR and acid-base values, N 2-plasma treatment of the CBs at 300 W intensity led to a formation of a free radical on the CBs. The peak intensity increased with increase of the treatment time, due to the formation of new basic functional groups (such as C-N, C dbnd N, -NH 3 +, -NH, and dbnd NH) by the free radical on the CBs. Accordingly, the basic values were enhanced by the basic functional groups. However, after a specific reaction time, N 2-plasma treatment could hardly influence on change of the surface functional groups of CBs, due to the disappearance of free radical. Consequently, it was found that optimal treatment time was 30 s for the best electro activity of Pt/CBs catalysts and the N 2-plasma treated Pt/CBs possessed the better electrochemical properties than the pristine Pt/CBs.

  9. Longitudinal Relationship between Plasma Reactive Oxygen Metabolites and Periodontal Condition in the Maintenance Phase of Periodontal Treatment

    Directory of Open Access Journals (Sweden)

    Tatsuya Machida

    2014-01-01

    Full Text Available Aim. The present cohort study describes the longitudinal relationship between plasma oxidative status and periodontitis progression during the maintenance phase of treatment. Materials and Methods. Forty-five patients (mean age 58.8 years were monitored from 2008 to 2013. Periodontal conditions, including probing pocket depth (PPD and clinical attachment level (CAL, were recorded. Measurements of plasma reactive oxygen metabolites (ROM and biologic antioxidant potential (BAP were performed to evaluate plasma oxidative status. The patients were assigned into 2 groups as low and high plasma ROM level using a cut-off value which was median of plasma ROM level at baseline. Results. In the subjects with low plasma ROM level at baseline, changes in mean CAL were positively correlated with changes in plasma ROM levels, bleeding on probing, and plaque control record, but not with PPD. In the subjects with high plasma ROM at baseline, changes in CAL were significantly associated with only PPD at baseline. On the other hands there were no significant associations between changes in CAL and those in plasma BAP levels. Conclusions. When plasma ROM level in periodontitis patients was low, increases in plasma ROM level were associated with those in CAL during the maintenance phase of treatment.

  10. Remote device control and monitor system for the LHD deuterium experiments

    Energy Technology Data Exchange (ETDEWEB)

    Nakanishi, Hideya, E-mail: nakanisi@nifs.ac.jp [National Institute for Fusion Science (NIFS), Toki, Gifu 509-5292 (Japan); Dept. Fusion Science, SOKENDAI (The Graduate University for Advanced Studies), Toki, Gifu 509-5292 (Japan); Ohsuna, Masaki; Ito, Tatsuki; Nonomura, Miki; Imazu, Setsuo; Emoto, Masahiko; Iwata, Chie; Yoshida, Masanobu; Yokota, Mitsuhiro; Maeno, Hiroya; Aoyagi, Miwa; Ogawa, Hideki; Nakamura, Osamu; Morita, Yoshitaka; Inoue, Tomoyuki; Watanabe, Kiyomasa [National Institute for Fusion Science (NIFS), Toki, Gifu 509-5292 (Japan); Ida, Katsumi; Ishiguro, Seiji; Kaneko, Osamu [National Institute for Fusion Science (NIFS), Toki, Gifu 509-5292 (Japan); Dept. Fusion Science, SOKENDAI (The Graduate University for Advanced Studies), Toki, Gifu 509-5292 (Japan)

    2016-11-15

    Highlights: • Device remote control will be significant for the LHD deuterium experiments. • A central management GUI to control the power distribution for devices. • For safety, power management is separated from operational commanding. • Wi-Fi was tested and found to be not reliable with fusion plasmas. - Abstract: Upon beginning the LHD deuterium experiment, the opportunity for maintenance work in the torus hall will be conspicuously reduced such that all instruments must be controlled remotely. The LHD data acquisition (DAQ) and archiving system have been using about 110 DAQ front-end, and the DAQ central control and monitor system has been implemented for their remote management. This system is based on the “multi-agent” model whose communication protocol has been unified. Since DAQ front-end electronics would suffer from the “single-event effect” (SEE) of D-D neutrons, software-based remote operation might become ineffective, and then securely intercepting or recycling the electrical power of the device would be indispensable for recovering from a non-responding fault condition. In this study, a centralized control and monitor system has been developed for a number of power distribution units (PDUs). This system adopts the plug-in structure in which the plug-in modules can absorb the differences among the commercial products of numerous vendors. The combination of the above-mentioned functionalities has led to realizing the flexible and highly reliable remote control infrastructure for the plasma diagnostics and the device management in LHD.

  11. Low Stress Mechanical Properties of Plasma-Treated Cotton Fabric Subjected to Zinc Oxide-Anti-Microbial Treatment

    Directory of Open Access Journals (Sweden)

    Chi-Wai Kan

    2013-01-01

    Full Text Available Cotton fabrics are highly popular because of their excellent properties such as regeneration, bio-degradation, softness, affinity to skin and hygroscopic properties. When in contact with the human body, cotton fabrics offer an ideal environment for microbial growth due to their ability to retain oxygen, moisture and warmth, as well as nutrients from spillages and body sweat. Therefore, an anti-microbial coating formulation (Microfresh and Microban together with zinc oxide as catalyst was developed for cotton fabrics to improve treatment effectiveness. In addition, plasma technology was employed in the study which roughened the surface of the materials, improving the loading of zinc oxides on the surface. In this study, the low stress mechanical properties of plasma pre-treated and/or anti-microbial-treated cotton fabric were studied. The overall results show that the specimens had improved bending properties when zinc oxides were added in the anti-microbial coating recipe. Also, without plasma pre-treatment, anti-microbial-treatment of cotton fabric had a positive effect only on tensile resilience, shear stress at 0.5° and compressional energy, while plasma-treated specimens had better overall tensile properties even after anti-microbial treatment.

  12. Clinical significance of measurement of changes of plasma Hcy and ET levels after treatment in patients with acute myocardial infarction (AMI)

    International Nuclear Information System (INIS)

    Zhou Feng

    2008-01-01

    Objective: To explore the clinical significance of changes of plasma Hcy and ET levels in patients with AMI after treatment. Methods: Plasma Hcy and ET levels were measured with RIA in 33 patients with acute myocardial infarction both before and after treatment as well as in 30 controls. Results: Plasma Hcy and ET levels were significantly higher in the AMI patients than those in the controls before treatment and the levels were mutually positively correlated (P 0.05), but plasma ET levels, though dropped markedly remained significantly higher (P<0.05). Conclusion: Changes of plasma Hcy and ET levels after treatment might be of prognostic importance in patients with acute myocardial infarction. (authors)

  13. Preparation of hydrogenated-TiO2/Ti double layered thin films by water vapor plasma treatment

    International Nuclear Information System (INIS)

    Pranevicius, L.L.; Milcius, D.; Tuckute, S.; Gedvilas, K.

    2012-01-01

    Highlights: ► We investigated reaction of water plasma with nanocrystalline TiO 2 films. ► Simultaneous oxidation and hydrogenation of Ti was observed during plasma treatment. ► Water plasma treatment forms hydrogenated nanocrystalline TiO 2 in the shallow surface. - Abstract: We have investigated the structural and compositional variations in 200–500 nm thick Ti films deposited by magnetron sputter-deposition technique and treated in water vapor plasma at different processing powers. It was found that the upper layer of treated film with the thickness of 110 nm was changed into the black hydrogenated-TiO 2 with around 16 nm sized nanocystals during 10 min for dissipated power 200 W at room temperature. Analysis of the experimental results is used to obtain insights into the effects of water layer adsorbed on hydrophilic oxidized titanium surfaces exposed to plasma radiation.

  14. Hydrogen plasma treatment for improved conductivity in amorphous aluminum doped zinc tin oxide thin films

    Directory of Open Access Journals (Sweden)

    M. Morales-Masis

    2014-09-01

    Full Text Available Improving the conductivity of earth-abundant transparent conductive oxides (TCOs remains an important challenge that will facilitate the replacement of indium-based TCOs. Here, we show that a hydrogen (H2-plasma post-deposition treatment improves the conductivity of amorphous aluminum-doped zinc tin oxide while retaining its low optical absorption. We found that the H2-plasma treatment performed at a substrate temperature of 50 °C reduces the resistivity of the films by 57% and increases the absorptance by only 2%. Additionally, the low substrate temperature delays the known formation of tin particles with the plasma and it allows the application of the process to temperature-sensitive substrates.

  15. Hydrogen plasma treatment for improved conductivity in amorphous aluminum doped zinc tin oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Morales-Masis, M., E-mail: monica.moralesmasis@epfl.ch; Ding, L.; Dauzou, F. [Photovoltaics and Thin-Film Electronics Laboratory (PVLab), Institute of Microengineering (IMT), Ecole Polytechnique Fédérale de Lausanne - EPFL, Rue de la Maladière 71b, CH-2002 Neuchatel (Switzerland); Jeangros, Q. [Interdisciplinary Centre for Electron Microscopy, Ecole Polytechnique Fédérale de Lausanne (EPFL), Lausanne (Switzerland); Hessler-Wyser, A. [Photovoltaics and Thin-Film Electronics Laboratory (PVLab), Institute of Microengineering (IMT), Ecole Polytechnique Fédérale de Lausanne - EPFL, Rue de la Maladière 71b, CH-2002 Neuchatel (Switzerland); Interdisciplinary Centre for Electron Microscopy, Ecole Polytechnique Fédérale de Lausanne (EPFL), Lausanne (Switzerland); Nicolay, S. [Centre Suisse d’Electronique et de Microtechnique (CSEM) SA, Rue Jaquet-Droz 1, CH-2002 Neuchatel (Switzerland); Ballif, C. [Photovoltaics and Thin-Film Electronics Laboratory (PVLab), Institute of Microengineering (IMT), Ecole Polytechnique Fédérale de Lausanne - EPFL, Rue de la Maladière 71b, CH-2002 Neuchatel (Switzerland); Centre Suisse d’Electronique et de Microtechnique (CSEM) SA, Rue Jaquet-Droz 1, CH-2002 Neuchatel (Switzerland)

    2014-09-01

    Improving the conductivity of earth-abundant transparent conductive oxides (TCOs) remains an important challenge that will facilitate the replacement of indium-based TCOs. Here, we show that a hydrogen (H{sub 2})-plasma post-deposition treatment improves the conductivity of amorphous aluminum-doped zinc tin oxide while retaining its low optical absorption. We found that the H{sub 2}-plasma treatment performed at a substrate temperature of 50 °C reduces the resistivity of the films by 57% and increases the absorptance by only 2%. Additionally, the low substrate temperature delays the known formation of tin particles with the plasma and it allows the application of the process to temperature-sensitive substrates.

  16. Effects of fluorine-based plasma treatment and thermal annealing on high-Al content AlGaN Schottky contact

    International Nuclear Information System (INIS)

    Liu Fang; Qin Zhixin

    2016-01-01

    Fluorine plasma treatment was used prior to the Schottky metal deposition on the undoped Al 0.45 Ga 0.55 N, which aimed at the solar-blind wavelength. After fluorine plasma treatment and before depositing the Ni/Au Schottky, the samples were thermal annealed in the N 2 gas at 400 °C. The reverse leakage current density of Al 0.45 Ga 0.55 N Schottky diode was reduced by 2 orders of magnitude at −10 V. The reverse leakage current density was reduced by 3 orders of magnitude after thermal annealing. Further capacitance–frequency analysis revealed that the fluorine-based plasma treatment reduces the surface states of AlGaN by one order of magnitude at different surface state energies. The capacitance–frequency analysis also proved that the concentration of carriers in AlGaN top is reduced through fluorine plasma treatment. (paper)

  17. Suppression of Tritium Retention in Remote Areas of ITER by Nonperturbative Reactive Gas Injection

    NARCIS (Netherlands)

    Tabares, F. L.; Ferreira, J. A.; Ramos, A.; van Rooij, G. J.; Westerhout, J.; Al, R.; Rapp, J.; Drenik, A.; Mozetic, M.

    2010-01-01

    A technique based on reactive gas injection in the afterglow region of the divertor plasma is proposed for the suppression of tritium-carbon codeposits in remote areas of ITER when operated with carbon-based divertor targets. Experiments in a divertor simulator plasma device indicate that a 4 nm/min

  18. Microwave-driven plasma gasification for biomass waste treatment at miniature scale

    NARCIS (Netherlands)

    Sturm, G.S.J.; Navarrete Muñoz, A.; Purushothaman Vellayani, A.; Stefanidis, G.

    2016-01-01

    Gasification technology may combine waste treatment with energy generation. Conventional gasification processes are bulky and inflexible. By using an external energy source, in the form of microwave-generated plasma, equipment size may be reduced and flexibility as regards to the feed composition

  19. The line roughness improvement with plasma coating and cure treatment for 193nm lithography and beyond

    Science.gov (United States)

    Zheng, Erhu; Huang, Yi; Zhang, Haiyang

    2017-03-01

    As CMOS technology reaches 14nm node and beyond, one of the key challenges of the extension of 193nm immersion lithography is how to control the line edge and width roughness (LER/LWR). For Self-aligned Multiple Patterning (SaMP), LER becomes larger while LWR becomes smaller as the process proceeds[1]. It means plasma etch process becomes more and more dominant for LER reduction. In this work, we mainly focus on the core etch solution including an extra plasma coating process introduced before the bottom anti reflective coating (BARC) open step, and an extra plasma cure process applied right after BARC-open step. Firstly, we leveraged the optimal design experiment (ODE) to investigate the impact of plasma coating step on LER and identified the optimal condition. ODE is an appropriate method for the screening experiments of non-linear parameters in dynamic process models, especially for high-cost-intensive industry [2]. Finally, we obtained the proper plasma coating treatment condition that has been proven to achieve 32% LER improvement compared with standard process. Furthermore, the plasma cure scheme has been also optimized with ODE method to cover the LWR degradation induced by plasma coating treatment.

  20. Modification of optical and electrical properties of chemical bath deposited CdS using plasma treatments

    International Nuclear Information System (INIS)

    Gonzalez, G.; Krishnan, B.; Avellaneda, D.; Castillo, G. Alan; Das Roy, T.K.; Shaji, S.

    2011-01-01

    Cadmium sulphide (CdS) is a well known n-type semiconductor that is widely used in solar cells. Here we report preparation and characterization of chemical bath deposited CdS thin films and modification of their optical and electrical properties using plasma treatments. CdS thin films were prepared from a chemical bath containing Cadmium chloride, Triethanolamine and Thiourea under various deposition conditions. Good quality thin films were obtained during deposition times of 5, 10 and 15 min. CdS thin films prepared for 10 min. were treated using a glow discharge plasma having nitrogen and argon carrier gases. The changes in morphology, optical and electrical properties of these plasma treated CdS thin films were analyzed in detail. The results obtained show that plasma treatment is an effective technique in modification of the optical and electrical properties of chemical bath deposited CdS thin films.

  1. Modification of optical and electrical properties of chemical bath deposited CdS using plasma treatments

    Energy Technology Data Exchange (ETDEWEB)

    Gonzalez, G. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); Krishnan, B. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); CIIDIT, Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico); Avellaneda, D.; Castillo, G. Alan; Das Roy, T.K. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); CIIDIT, Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico)

    2011-08-31

    Cadmium sulphide (CdS) is a well known n-type semiconductor that is widely used in solar cells. Here we report preparation and characterization of chemical bath deposited CdS thin films and modification of their optical and electrical properties using plasma treatments. CdS thin films were prepared from a chemical bath containing Cadmium chloride, Triethanolamine and Thiourea under various deposition conditions. Good quality thin films were obtained during deposition times of 5, 10 and 15 min. CdS thin films prepared for 10 min. were treated using a glow discharge plasma having nitrogen and argon carrier gases. The changes in morphology, optical and electrical properties of these plasma treated CdS thin films were analyzed in detail. The results obtained show that plasma treatment is an effective technique in modification of the optical and electrical properties of chemical bath deposited CdS thin films.

  2. A generic remote method invocation for intensive data processing

    International Nuclear Information System (INIS)

    Neto, A.; Alves, D.; Fernandes, H.; Ferreira, J.S.; Varandas, C.A.F.

    2006-01-01

    Based on the Extensible Markup Language (XML) and the Remote Method Invocation (RMI) standards, a client/server remote data analysis application has been developed for intensive data processing. This GRID oriented philosophy allows a powerful tool to maintain updated code and centralized computational resources. Another major feature is the ability to share proprietary algorithms in remote computers without the need of local code and libraries installation and maintenance. The 16 CPU Orionte cluster in operation at Centro de Fusao Nuclear (CFN) is currently used to provide remote data analysis. The codes running in languages such as Octave, C, Fortran or IDL are called through a script remote invocation and data is released to the client as soon as available. The remote calculations parameters are described in an XML file containing the configuration for the server runtime environment. Since the execution is made by calling a script any program can be launched to perform the analysis, the only requirement is the implementation of the protocol described in XML. Some plasma properties of the CFN tokamak (ISTTOK) that require heavy computational resources are already obtained using this approach, allowing ready inter-shot analysis and parameterization decisions

  3. A generic remote method invocation for intensive data processing

    Energy Technology Data Exchange (ETDEWEB)

    Neto, A. [Associacao Euratom/IST, Centro de Fusao Nuclear, Av. Rovisco Pais, P-1049-001 Lisbon (Portugal)]. E-mail: andre.neto@cfn.ist.utl.pt; Alves, D. [Associacao Euratom/IST, Centro de Fusao Nuclear, Av. Rovisco Pais, P-1049-001 Lisbon (Portugal); Fernandes, H. [Associacao Euratom/IST, Centro de Fusao Nuclear, Av. Rovisco Pais, P-1049-001 Lisbon (Portugal); Ferreira, J.S. [Associacao Euratom/IST, Centro de Fusao Nuclear, Av. Rovisco Pais, P-1049-001 Lisbon (Portugal); Varandas, C.A.F. [Associacao Euratom/IST, Centro de Fusao Nuclear, Av. Rovisco Pais, P-1049-001 Lisbon (Portugal)

    2006-07-15

    Based on the Extensible Markup Language (XML) and the Remote Method Invocation (RMI) standards, a client/server remote data analysis application has been developed for intensive data processing. This GRID oriented philosophy allows a powerful tool to maintain updated code and centralized computational resources. Another major feature is the ability to share proprietary algorithms in remote computers without the need of local code and libraries installation and maintenance. The 16 CPU Orionte cluster in operation at Centro de Fusao Nuclear (CFN) is currently used to provide remote data analysis. The codes running in languages such as Octave, C, Fortran or IDL are called through a script remote invocation and data is released to the client as soon as available. The remote calculations parameters are described in an XML file containing the configuration for the server runtime environment. Since the execution is made by calling a script any program can be launched to perform the analysis, the only requirement is the implementation of the protocol described in XML. Some plasma properties of the CFN tokamak (ISTTOK) that require heavy computational resources are already obtained using this approach, allowing ready inter-shot analysis and parameterization decisions.

  4. Disposal of low-level radioactive wastes. Plasma furnace for the treatment of low-level radwastes in Switzerland. Plasma furnace for the treatment of low-level radwastes in Switzerland

    International Nuclear Information System (INIS)

    Hoffelner, W.; Mueller, T.; Fuenfschilling, M.R.; Jacobi, A.; Eschenbach, R.; Lutz, H.R.; Vuilleumier, C.

    1994-01-01

    The treatment method to be applied consists of thermal decomposition and vitrification. The facility to be constructed at the Zwilag is a plasma-arc furnace, and planning activities are heading towards the final phase. There will be only this one facility for treating in only one process step solid, mixed wastes, liquid wastes, sludges, metals, and inorganic wastes, producing vitrified waste packages ready for ultimate storage as 200-l waste drums. The main features of the plasma-arc furnace are explained. (orig./HP) [de

  5. Remote in-situ laser-induced breakdown spectroscopy using optical fibers

    Science.gov (United States)

    Marquardt, Brian James

    The following dissertation describes the development of methods for performing remote Laser-Induced Breakdown Spectroscopy (LIBS) using optical fibers. Studies were performed to determine the optimal excitation and collection parameters for remote LIBS measurements of glasses, soils and paint. A number of fiber-optic LIBS probes were developed and used to characterize various samples by plasma emission spectroscopy. A novel method for launching high-power laser pulses into optical fibers without causing catastrophic failure is introduced. A systematic study of a number of commercially available optical fibers was performed to determine which optical fibers were best suited for delivering high-power laser pulses. The general design of an all fiber-optic LIBS probe is described and applied to the determination of Pb in soil. A fiber-optic probe was developed for the microanalysis of solid samples remotely by LIBS, Raman spectroscopy and Raman imaging. The design of the probe allows for real-time sample imaging in-situ using coherent imaging fibers. This allows for precise atomic emission and Raman measurements to be performed remotely on samples in hostile or inaccessible environments. A novel technique was developed for collecting spectral plasma images using an acousto-optic tunable filter (AOTF). The spatial and temporal characteristics of the plasma were studied as a function of delay time. From the plasma images the distribution of Pb emission could be determined and fiber-optic designs could be optimized for signal collection. The performance of a two fiber LIBS probe is demonstrated for the determination of the amount of lead in samples of dry paint. It is shown that dry paint samples can be analyzed for their Pb content in-situ using a fiber-optic LIBS probe with detection limits well below the levels currently regulated by the Consumer Products Safety Commission. It is also shown that these measurements can be performed on both latex and enamel paints, and

  6. Clinical significance of determination of changes of plasma vascular endothelial growth factor (VEGF) contents after treatment in patients with acute leukemia

    International Nuclear Information System (INIS)

    Guo Min

    2006-01-01

    Objective: To investigate the changes of plasma VEGF after treatment in patients with acute leukemia. Methods: Plasma VEGF levels were determined with (ELISA) in 34 patients with acute leukemia both before and after treatment as well as in 35 controls. Results: Before treatment the plasma levels of VEGF levels in patients were significantly higher than those in the controls (P<0.01). After three months of treatment the levels dropped markedly but still remained significantly higher than those in controls (P<0.05). Conclusion: Development of acute leukemia was closely related to the plasma levels of VEGF. (authors)

  7. Fabrication of amorphous silica nanowires via oxygen plasma treatment of polymers on silicon

    Science.gov (United States)

    Chen, Zhuojie; She, Didi; Chen, Qinghua; Li, Yanmei; Wu, Wengang

    2018-02-01

    We demonstrate a facile non-catalytic method of fabricating silica nanowires at room temperature. Different polymers including photoresists, parylene C and polystyrene are patterned into pedestals on the silicon substrates. The silica nanowires are obtained via the oxygen plasma treatment on those pedestals. Compared to traditional strategies of silica nanowire fabrication, this method is much simpler and low-cost. Through designing the proper initial patterns and plasma process parameters, the method can be used to fabricate various regiment nano-scale silica structure arrays in any laboratory with a regular oxygen-plasma-based cleaner or reactive-ion-etching equipment.

  8. Ex-vessel remote maintenance for the Compact Ignition Tokamak

    International Nuclear Information System (INIS)

    Spampinato, P.T.; Macdonald, D.

    1987-01-01

    The use of deuterium-tritium (D-T) fuel for operation of the Compact Ignition Tokamak (CIT) requires the use of remote handling technology to carry out maintenance operations on the machine. These operations consist in removing and repairing such components as diagnostic modules by using remotely operated maintenance equipment. The major equipment being developed for maintenance external to the plasma chamber includes a bridge-mounted manipulator system for test cell operations, decontamination (decon) equipment, hot cell equipment, and solid-radiation-waste-handling equipment. Wherever possible, the project will use commercially available equipment. Several areas of the maintenance system design were addressed in fiscal year (FY) 1987, including conceptual designs of manipulator systems, the start of a remote equipment research and development (RandD) program, and definition of the hot cell, decon, and equipment repair facility requirements. R and D work included preliminary demonstrations of remote handling operations on full-size, partial mock-ups of the CIT machine at the Oak Ridge National Laboratory (ORNL) Remote Operations and Maintenance Development (ROMD) Facility. 1 ref., 6 figs

  9. Fluorine-plasma surface treatment for gate forward leakage current reduction in AlGaN/GaN HEMTs

    International Nuclear Information System (INIS)

    Chen Wanjun; Zhang Jing; Zhang Bo; Chen, Kevin Jing

    2013-01-01

    The gate forward leakage current in AlGaN/GaN high electron mobility transistors (HEMTs) is investigated. It is shown that the current which originated from the forward biased Schottky-gate contributed to the gate forward leakage current. Therefore, a fluorine-plasma surface treatment is presented to induce the negative ions into the AlGaN layer which results in a higher metal—semiconductor barrier. Consequently, the gate forward leakage current shrinks. Experimental results confirm that the gate forward leakage current is decreased by one order magnitude lower than that of HEMT device without plasma treatment. In addition, the DC characteristics of the HEMT device with plasma treatment have been studied. (semiconductor devices)

  10. The ITER EC H&CD Upper Launcher: Analysis of vertical Remote Handling applied to the BSM maintenance

    NARCIS (Netherlands)

    Grossetti, G.; Aiello, G.; Heemskerk, C.; Elzendoorn, B.; Geßner, R.; Koning, J.; Meier, A.; Ronden, D.; Späh, P.; Scherer, T.; Schreck, S.; Strauß, D.; Vaccaro, A.

    2013-01-01

    This paper deals with Remote Handling activities foreseen on the Blanket Shield Module, the plasma facing component of the ITER Electron Cyclotron Heating and Current Drive Upper Launcher. The maintenance configuration considered here is the Vertical Remote Handling, meaning gravity acting along the

  11. Plasma needle treatment of bacteria known to cause infections of the soft tissue of the oral region and bones

    Science.gov (United States)

    Maletic, Dejan; Lazovic, Sasa; Puac, Nevena; Malovic, Gordana; Petrovic, Zoran Lj.; Miletic, Maja P.; Pavlica, Dusan B.; Jovanovic, Milena Z.; Milenkovic, Pavle

    2009-10-01

    Plasma needle can be used for non-contact disinfection of dental cavities and wounds, minimum-destructive precise treatment, as well as the removal of damaged tissue. The effect of bacterial deactivation is probably caused by reactive oxygen species while nitric oxide provided by plasma plays major role in many processes in the organism. Mass spectrometry was done to provide better insight into plasma-cell interactions. Our measurements were performed on a plasma needle that we originally used for the treatment of plant cells.Our research was done on species that are known to cause primary and secondary infections of the soft tissue of the oral region, as well as bones. The bacteria cultures used are bacterial reference culture species Staphylococcus aureus ATCC 25923, Enterococcus faecalis ATCC 29212, Pseudomonas aeruginosa ATCC 27853, and Escherichia coli ATCC 25922. We investigated the effect of the plasma needle discharge on different concentration of bacteria using several exposure times and power transmitted to the plasma. It was found that excellent removal of this and other bacteria may be achieved by the plasma needle treatment.

  12. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O{sub 2} induced remote plasma: effect of oxygen fraction

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M; Saloum, S [Department of Physics, Atomic Energy Commission of Syria (AECS), PO Box 6091 Damascus (Syrian Arab Republic)], E-mail: scientific6@aec.org.sy

    2008-09-07

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyledisiloxane (HMDSO)/O{sub 2} mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions ({chi}{sub O{sub 2}}=0, 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at ({chi}{sub O{sub 2}}=0 exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease ({approx}one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O{sub 2} mixtures exhibit two separated 'green-blue' and 'yellow-green' PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm{sup -1}) in the spectral range of their PL emission, attractive for possible integrated optics devices.

  13. Changing the surface properties on naval steel as result of non-thermal plasma treatment

    Science.gov (United States)

    Hnatiuc, B.; Sabău, A.; Dumitrache, C. L.; Hnatiuc, M.; Crețu, M.; Astanei, D.

    2016-08-01

    The problem of corrosion, related to Biofouling formation, is an issue with very high importance in the maritime domain. According to new rules, the paints and all the technologies for the conditioning of naval materials must fulfil more restrictive environmental conditions. In order to solve this issue, different new clean technologies have been proposed. Among them, the use of non-thermal plasmas produced at atmospheric pressure plays a very important role. This study concerns the opportunity of plasma treatment for preparation or conditioning of naval steel OL36 type. The plasma reactors chosen for the experiments can operate at atmospheric pressure and are easy to use in industrial conditions. They are based on electrical discharges GlidArc and Spark, which already proved their efficiency for the surface activation or even for coatings of the surface. The non-thermal character of the plasma is ensured by a gas flow blown through the electrical discharges. One power supply has been used for reactors that provide a 5 kV voltage and a maximum current of 100 mA. The modifications of the surface properties and composition have been studied by XPS technique (X-ray Photoelectron Spectroscopy). There were taken into consideration 5 samples: 4 of them undergoing a Mini-torch plasma, a Gliding Spark, a GlidArc with dry air and a GlidArc with CO2, respectively the fifth sample which is the untreated witness. Before the plasma treatment, samples of naval steel were processed in order to obtain mechanical gloss. The time of treatment was chosen to 12 minutes. In the spectroscopic analysis, done on a ULVAC-PHI, Inc. PHI 5000 Versa Probe scanning XPS microprobe, a monocromated Al Kα X-ray source with a spot size of 100 μm2 was used to scan each sample while the photoelectrons were collected at a 45-degree take-off angle. Differences were found between atomic concentrations in each individual case, which proves that the active species produced by each type of plasma affects

  14. Improved performance of AlGaN/GaN HEMT by N2O plasma pre-treatment

    International Nuclear Information System (INIS)

    Mi Min-Han; Zhang Kai; Zhao Sheng-Lei; Wang Chong; Zhang Jin-Cheng; Ma Xiao-Hua; Hao Yue

    2015-01-01

    The influence of an N 2 O plasma pre-treatment technique on characteristics of AlGaN/GaN high electron mobility transistor (HEMT) prepared by using a plasma-enhanced chemical vapor deposition (PECVD) system is presented. After the plasma treatment, the peak transconductance (g m ) increases from 209 mS/mm to 293 mS/mm. Moreover, it is observed that the reverse gate leakage current is lowered by one order of magnitude and the drain current dispersion is improved in the plasma-treated device. From the analysis of frequency-dependent conductance, it can be seen that the trap state density (D T ) and time constant (τ T ) of the N 2 O-treated device are smaller than those of a non-treated device. The results indicate that the N 2 O plasma pre-pretreatment before the gate metal deposition could be a promising approach to enhancing the performance of the device. (paper)

  15. Plasma treatment of multiwall carbon nanotubes for dispersion improvement in water

    International Nuclear Information System (INIS)

    Chen Changlun; Ogino, Akihisa; Nagatsu, Masaaki; Wang Xiangke

    2010-01-01

    Microwave excited Ar/H 2 O surface-wave plasma was used to treat multiwall carbon nanotubes (MWCNTs) to modify their surface characteristics and thus improve their dispersion capability in water. Changes in the atom composition and structure properties of MWCNTs were analyzed using x-ray photoelectron spectroscopy and Raman spectroscopy, and the surface morphology of MWCNTs was observed by field emission scanning electron microscopy and scanning transmission electron microscopy. The results indicated that Ar/H 2 O plasma treatment greatly enhanced the content of oxygen, and modified surface microstructure properties. The integrity of nanotube patterns, however, was not damaged.

  16. Childhood obesity treatment; Effects on BMI SDS, body composition, and fasting plasma lipid concentrations

    DEFF Research Database (Denmark)

    Nielsen, Tenna Ruest Haarmark; Fonvig, Cilius Esmann; Dahl, Maria

    2018-01-01

    Objective The body mass index (BMI) standard deviation score (SDS) may not adequately reflect changes in fat mass during childhood obesity treatment. This study aimed to investigate associations between BMI SDS, body composition, and fasting plasma lipid concentrations at baseline and during......, and 80% improved their lipid concentrations. Conclusion Reductions in the degree of obesity during multidisciplinary childhood obesity treatment are accompanied by improvements in body composition and fasting plasma lipid concentrations. Even in individuals increasing their BMI SDS, body composition...... childhood obesity treatment. Methods 876 children and adolescents (498 girls) with overweight/obesity, median age 11.2 years (range 1.6±21.7), and median BMI SDS 2.8 (range 1.3±5.7) were enrolled in a multidisciplinary outpatient treatment program and followed for a median of 1.8 years (range 0...

  17. Enhancement of the Laser Transmission Weldability between Polyethylene and Polyoxymethylene by Plasma Surface Treatment

    Directory of Open Access Journals (Sweden)

    Huixia Liu

    2017-12-01

    Full Text Available Due to their large compatibility difference, polyethylene (PE and polyoxymethylene (POM cannot be welded together by laser transmission welding. In this study, PE and POM are pretreated using plasma that significantly enhances their laser transmission welding strength. To understand the mechanism underlying the laser welding strength enhancement, surface modification is analyzed using contact angle measurements, atomic force microscopy (AFM, optical microscopy, and X-ray photoelectron spectroscopy (XPS. Characterization results show that the plasma surface treatment improves the surface free energy, significantly enhancing the wettability of the materials. The increase in surface roughness and the generation of homogeneous bubbles contribute to the formation of mechanical micro-interlocking. The oxygen-containing groups introduced by the oxygen plasma treatment improve the compatibility of PE and POM, and facilitate the diffusion and entanglement of molecular chains and the formation of van der Waals force.

  18. Oxygen Plasma Treatment on 3D-Printed Chitosan/Gelatin/Hydroxyapatite Scaffolds for Bone Tissue Engineering.

    Science.gov (United States)

    Lee, Chang-Min; Yang, Seong-Won; Jung, Sang-Chul; Kim, Byung-Hoon

    2017-04-01

    The 3D hydroxyapatite/gelatin/chitosan composite scaffolds were fabricated by 3D printing technique. The scaffolds were treated by oxygen plasma to improve the bioactivity and its surface characterization and in vitro cell culture were investigated. The scaffolds exhibited the good porosity and interconnectivity of pores. After oxygen plasma etching, roughness and wettability on the scaffolds surface are increased. Plasma treated scaffolds showed higher proliferation than that of untreated scaffolds. Oxygen plasma treatment could be used as potential tool to enhance the biocompatibility on the 3D composite scaffolds.

  19. Toxic waste treatment with sliding centrifugal plasma reactor; Tratamiento de residuos toxicos con reactores de plasma centrifugo deslizante (PCD)

    Energy Technology Data Exchange (ETDEWEB)

    Pacheco, J.; Pacheco, M.; Valdivia, R.; Ramos, F.; Duran, M.; Hidalgo, M.; Cruz, A.; Martinez, J. C.; Martinez, R.; De la Cruz, S.; Flores, T.; Vidal, E.; Escobar, S. [ININ, Carretera Mexico-Toluca s/n, Ocoyoacac 52750, Estado de Mexico (Mexico); Garduno, M.; Garcia, M.; Portillo, J.; Torres, C.; Estrada, N.; Velazquez, S.; Vasquez, C. [Instituto Tecnologico de Toluca, Av. Instituto Tecnologico s/n, Ex-Rancho la Virgen, Metepec 52140, Estado de Mexico (Mexico)

    2008-07-01

    The aim is to develop technology for hazardous waste treatment, including the building and putting into operation of a prototype based on a sliding centrifugal plasma technology to demonstrate its ability to degradation taking in account the existing environmental standards. (Author)

  20. Treatment of Dye Wastewater by Using a Hybrid Gas/Liquid Pulsed Discharge Plasma Reactor

    International Nuclear Information System (INIS)

    Lu Na; Li Jie; Wu Yan; Masayuki, Sato

    2012-01-01

    A hybrid gas/liquid pulsed discharge plasma reactor using a porous ceramic tube is proposed for dye wastewater treatment. High voltage pulsed discharge plasma was generated in the gas phase and simultaneously the plasma channel was permeated through the tiny holes of the ceramic tube into the water phase accompanied by gas bubbles. The porous ceramic tube not only separated the gas phase and liquid phase but also offered an effective plasma spreading channel. The effects of the peak pulse voltage, additive gas varieties, gas bubbling rate, solution conductivity and TiO 2 addition were investigated. The results showed that this reactor was effective for dye wastewater treatment. The decoloration efficiency of Acid Orange II was enhanced with an increase in the power supplied. Under the studied conditions, 97% of Acid Orange II in aqueous solution was effectively decolored with additive oxygen gas, which was 51% higher than that with argon gas, and the increasing O 2 bubbling rate also benefited the decoloration of dye wastewater. Water conductivity had a small effect on the level of decoloration. Catalysis of TiO 2 could be induced by the pulsed discharge plasma and addition of TiO 2 aided the decoloration of Acid Orange II.

  1. Polypropylene fibers modified by plasma treatment for preparation of Ag nanoparticles.

    Science.gov (United States)

    Tseng, Chun-Hao; Wang, Cheng-Chien; Chen, Chuh-Yung

    2006-03-09

    A novel method for preparing poly(propylene-graft-2-methacrylic acid 3-(bis-carboxymethylamino)-2-hydroxy-propyl ester)-silver fibers (PPG-IAg fibers) by plasma-induced grafting polymerization is presented in this study. The chelating groups, -N(CH2COO-)2 (GMA-IDA), on the surface of the PPG-I fibers are the coordination sites for chelating silver ions. At these sites, Ag nanoparticles were grown first by reduction with UV light with a wavelength of 366 nm, and second, through immersion in a 24% formaldehyde solution with pH values set variously at 2, 5, 8, and 11. The characteristics of the PPG-I fibers with differing durations of plasma treatment were monitored by using a Fourier transform infrared (FT-IR) spectroscope. Scanning electronic microscopy (SEM) and elemental analysis show that the percentage of GMA-IDA grafted onto PP fiber reaches a maximum when the plasma treatment time is 3 min. Plasma treatment time beyond a certain length of time results in an abundance of free radicals and causes considerable cross-linking on the fiber surface which thus decreases the extent of grafting. Moreover, the crystalline phase of Ag nanoparticles is identified by using X-ray diffraction (XRD). When the PPG-I fibers are reduced by the UV light method, SEM and TEM microscopes reveal that the size of the Ag nanoparticles on the fiber surface decreases significantly with the increase of pH values in aqueous solutions. Notably, in the reduction of formaldehyde solution, the particle size of Ag nanoparticles reaches a minimum at the lowest pH value. The TEM observations show that Ag nanoparticles are distributed both in the exterior and interior of the grafting layer. In addition, under high pH values the distribution of the Ag nanoparticles permeate more deeply in the GMA-IDA grafting layer due to the swelling effect of the GMA-IDA polymer.

  2. Blanket maintenance by remote means using the cassette blanket approach

    International Nuclear Information System (INIS)

    Werner, R.W.

    1978-01-01

    Induced radioactivity in the blanket and other parts of a fusion reactor close to the plasma zone will dictate remote assembly, disassembly, and maintenance procedures. Time will be of the essence in these procedures. They must be practicable and certain. This paper discusses the reduction of a complicated Tokamak reactor to a simpler assembly via the use of a vacuum building in which to house the reactor and the introduction in this new model of cassette blanket modules. The cassettes significantly simplify remote handling

  3. Clinical significance of changes of plasma ET and NPY levels after treatment in patients with AMI

    International Nuclear Information System (INIS)

    Zhou Jinbao

    2005-01-01

    Objective: To investigate the changes of plasma ET and NPY levels in patients with AMI. Methods: Plasma ET and NPY levels were dynamically determined in 36 patients with AMI right after establishment of diagnosis and 8h, 24h, 4ph, 72h, 7d, 14d later. Levels in 35 healthy individuals were taken as control. Results: Before treatment was initiated, the levels of Et and Np in patients with AMI were significantly higher than those in controls (P <0.01). After one week of treatment, the levels dropped toward normal. Conclusion: Dynamic measurement of plasma ET and NPY levels in patients with AMI is of clinical importance. (authors)

  4. Optical constants of silicon-like (Si:Ox:Cy:Hz) thin films deposited on quartz using hexamethyldisiloxane in a remote RF hollow cathode discharge plasma

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.

    2008-01-01

    Deposition of amorphous silicon-like (Si:O x :C y :H z ) thin films in a remote RF hollow cathode discharge plasma using Hexamethyldisoloxane as monomer and Ar as feed gas; has been investigated for films optical constants and plasma diagnostic as a function of RF power (100-300 W) and precursor flow rate (1-10 sccm). Plasma diagnostic has been performed using optical emission spectroscopy (OES). The optical constants (refractive index, extinction coefficient and dielectric constant) have been obtained by reflection/transmission measurements in the range 300-700 nm. It is found that the refractive index increases from 1.92 to 1.97 with increasing power from 100 to 300 W, and from 1.70 to 1.92 with increasing precursor flow rate from 1 to 10 sccm. The optical energy-band gap E g and the optical-absorption tail ΔE have been estimated from optical absorption spectra, it is found that E g decreases from 3.28 eV to 3.14 eV with power increase from 100 to 300 W, and from 3.54 eV to 3.28 eV with precursor flow rate increase from 1 to 10 sccm. ΔE is found to increase with applied RF power and precursor flow rate increase. The dependence of optical constants on deposition parameters has been correlated to plasma OES. (author)

  5. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    Science.gov (United States)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  6. Anti-bacterial treatment of polyethylene by cold plasma for medical purposes

    Czech Academy of Sciences Publication Activity Database

    Popelka, A.; Novák, I.; Lehocký, M.; Chodák, I.; Sedliačik, J.; Gajtanska, M.; Sedliačiková, M.; Vesel, A.; Junkar, I.; Kleinová, A.; Špírková, Milena; Bílek, F.

    2012-01-01

    Roč. 17, č. 1 (2012), s. 762-785 ISSN 1420-3049 R&D Projects: GA AV ČR(CZ) IAAX08240901 Institutional research plan: CEZ:AV0Z40500505 Keywords : polyethylene * grafting * plasma treatment Subject RIV: JI - Composite Materials Impact factor: 2.428, year: 2012

  7. Hydrophilic property of 316L stainless steel after treatment by atmospheric pressure corona streamer plasma using surface-sensitive analyses

    Energy Technology Data Exchange (ETDEWEB)

    Al-Hamarneh, Ibrahim, E-mail: hamarnehibrahim@yahoo.com [Department of Physics, Faculty of Science, Al-Balqa Applied University, Salt 19117 (Jordan); Pedrow, Patrick [School of Electrical Engineering and Computer Science, Washington State University, Pullman, WA 99164 (United States); Eskhan, Asma; Abu-Lail, Nehal [Gene and Linda Voiland School of Chemical Engineering and Bioengineering, Washington State University, Pullman, WA 99164 (United States)

    2012-10-15

    Highlights: Black-Right-Pointing-Pointer Surface hydrophilic property of surgical-grade 316L stainless steel was enhanced by Ar-O{sub 2} corona streamer plasma treatment. Black-Right-Pointing-Pointer Hydrophilicity, surface morphology, roughness, and chemical composition before and after plasma treatment were evaluated. Black-Right-Pointing-Pointer Contact angle measurements and surface-sensitive analyses techniques, including XPS and AFM, were carried out. Black-Right-Pointing-Pointer Optimum plasma treatment conditions of the SS 316L surface were determined. - Abstract: Surgical-grade 316L stainless steel (SS 316L) had its surface hydrophilic property enhanced by processing in a corona streamer plasma reactor using O{sub 2} gas mixed with Ar at atmospheric pressure. Reactor excitation was 60 Hz ac high-voltage (0-10 kV{sub RMS}) applied to a multi-needle-to-grounded screen electrode configuration. The treated surface was characterized with a contact angle tester. Surface free energy (SFE) for the treated stainless steel increased measurably compared to the untreated surface. The Ar-O{sub 2} plasma was more effective in enhancing the SFE than Ar-only plasma. Optimum conditions for the plasma treatment system used in this study were obtained. X-ray photoelectron spectroscopy (XPS) characterization of the chemical composition of the treated surfaces confirms the existence of new oxygen-containing functional groups contributing to the change in the hydrophilic nature of the surface. These new functional groups were generated by surface reactions caused by reactive oxidation of substrate species. Atomic force microscopy (AFM) images were generated to investigate morphological and roughness changes on the plasma treated surfaces. The aging effect in air after treatment was also studied.

  8. The design of remote discharge scenario management system on EAST

    Energy Technology Data Exchange (ETDEWEB)

    Chai, W.T, E-mail: wtchai@ipp.ac.cn [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei, Anhui (China); University of Science and Technology of China, Hefei, Anhui (China); Xiao, B.J [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei, Anhui (China); University of Science and Technology of China, Hefei, Anhui (China); Yuan, Q.P; Zhang, R.R. [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei, Anhui (China)

    2016-11-15

    Highlights: • The remote discharge scenario management system is established on EAST, it provides some useful function for operators to manage discharge scenarios and formulate discharge schedule. • Operators can use this system to formulate discharge schedule on account of it can electing optimal scenarios automatically. • The system is not only for local user but also for remote user. • In the future, we can combine with actual discharge data and data mining technology to acquire optimal configuration, which to generate expert database and guiding experiment. - Abstract: The discharge scenarios on EAST plasma control system (PCS), characterized by different waveform parameters and different hardware requirements, will need a systematic discharge scenario management system for remote and local operators, in order to optimize storage structure and rationally manage discharge time. The remote management of discharge scenarios will require extending the functionalities of the present PCS “future shot” and “next shot” modules. Taking advantage of database technique, the operators can acquire detail information of all discharge scenarios directly without PCS user interface and search the specified scenarios by key words. In addition, the system can elect optimal scenarios automatically based on discharge schedule and plasma pulse setting for later artificial selection. To this purpose, a new remote discharge scenario management system (RDSMS) basis for Web is being conceived on EAST. The system contains a database with functions of “user management”, “scenario verification”, “prepared scenario management”, “actual discharge scenario management” and “discharge schedule management”. This paper will present the relevant conceptual design and give an account of the test results for implementation on EAST discharges.

  9. The design of remote discharge scenario management system on EAST

    International Nuclear Information System (INIS)

    Chai, W.T; Xiao, B.J; Yuan, Q.P; Zhang, R.R.

    2016-01-01

    Highlights: • The remote discharge scenario management system is established on EAST, it provides some useful function for operators to manage discharge scenarios and formulate discharge schedule. • Operators can use this system to formulate discharge schedule on account of it can electing optimal scenarios automatically. • The system is not only for local user but also for remote user. • In the future, we can combine with actual discharge data and data mining technology to acquire optimal configuration, which to generate expert database and guiding experiment. - Abstract: The discharge scenarios on EAST plasma control system (PCS), characterized by different waveform parameters and different hardware requirements, will need a systematic discharge scenario management system for remote and local operators, in order to optimize storage structure and rationally manage discharge time. The remote management of discharge scenarios will require extending the functionalities of the present PCS “future shot” and “next shot” modules. Taking advantage of database technique, the operators can acquire detail information of all discharge scenarios directly without PCS user interface and search the specified scenarios by key words. In addition, the system can elect optimal scenarios automatically based on discharge schedule and plasma pulse setting for later artificial selection. To this purpose, a new remote discharge scenario management system (RDSMS) basis for Web is being conceived on EAST. The system contains a database with functions of “user management”, “scenario verification”, “prepared scenario management”, “actual discharge scenario management” and “discharge schedule management”. This paper will present the relevant conceptual design and give an account of the test results for implementation on EAST discharges.

  10. Improved adhesion of Ag NPs to the polyethylene terephthalate surface via atmospheric plasma treatment and surface functionalization

    Science.gov (United States)

    Shen, Tao; Liu, Yong; Zhu, Yan; Yang, De-Quan; Sacher, Edward

    2017-07-01

    Ag nanoparticles (NPs) have been widely applied, as important antibacterial materials, on textile and polymer surfaces. However, their adhesion to nonreactive polymer surfaces is generally too weak for many applications. Here, we propose a two-step process, atmospheric plasma treatment followed by a surface chemical modification process, which enhances their adhesion to polyethylene terephthalate (PET) surfaces. We found that, compared to either plasma treatments or surface chemical functionalizations, alone, this combination greatly enhanced their adhesion. The plasma treatment resulted in an increase of active sites (sbnd OH, sbnd CHdbnd O and COOH) at the PET surface, permitting increased bonding to 3-aminopropyltriethoxysilane (APTES), whose sbnd NH2 groups were then able to form a bonding complex with the Ag NPs.

  11. Remotely controlled inspection and handling systems for decommissioning tasks in nuclear facilities

    International Nuclear Information System (INIS)

    Schreck, G.; Bach, W.; Haferkamp, H.

    1993-01-01

    The Institut fur Werkstoffkunde at the University of Hanover has recently developed three remotely controlled systems for different underwater inspection and dismantling tasks. ODIN I is a tool guiding device, particularly being designed for the dismantling of the steam dryer housing of the KRB A power plant at Gundremmingen, Germany. After being approved by the licencing organization TUEV Bayern, hot operation started in November 1992. The seven axes remotely controlled handling system ZEUS, consisting of a three translatory axes guiding machine and a tool handling device with four rotatory axes, has been developed for the demonstration of underwater plasma arc cutting of spherical metallic components with great wall thicknesses. A specially designed twin sensor system and a modular torch, exchanged by means of a remote controlled tool changing device, will be used for different complex cutting tasks. FAUST, an autonomous, freediving underwater vehicle, was designed for complex inspection, maintenance and dismantling tasks. It is equipped with two video cameras, an ultrasonic and a radiologic sensor and a small plasma torch. A gripper and a subsidiary vehicle for inspection may be attached. (author)

  12. An introduction to boundary plasma physics

    International Nuclear Information System (INIS)

    Shimizu, Katsuhiro; Takizuka, Tomonori

    2004-01-01

    History of tokamak experiments is briefly reviewed with a special focus on divertors. Two-point divertor model, which calculates plasma parameters up-stream and at the divertor plate for a given condition of particle flux and heat flux, is explained. The model is applied to ITER to discuss the heat flux onto the target plate. The important issues of divertor physics related to recycling, remote radiative cooling, detached plasma and MARFE are also introduced. (author)

  13. Oxygen plasma treatment and deposition of CNx on a fluorinated polymer matrix composite for improved erosion resistance

    International Nuclear Information System (INIS)

    Muratore, C.; Korenyi-Both, A.; Bultman, J. E.; Waite, A. R.; Jones, J. G.; Storage, T. M.; Voevodin, A. A.

    2007-01-01

    The use of polymer matrix composites in aerospace propulsion applications is currently limited by insufficient resistance to erosion by abrasive media. Erosion resistant coatings may provide necessary protection; however, adhesion to many high temperature polymer matrix composite (PMC) materials is poor. A low pressure oxygen plasma treatment process was developed to improve adhesion of CN x coatings to a carbon reinforced, fluorinated polymer matrix composite. Fullerene-like CN x was selected as an erosion resistant coating for its high hardness-to-elastic modulus ratio and elastic resilience which were expected to reduce erosion from media incident at different angles (normal or glancing) relative to the surface. In situ x-ray photoelectron spectroscopy was used to evaluate the effect of the plasma treatment on surface chemistry, and electron microscopy was used to identify changes in the surface morphology of the PMC substrate after plasma exposure. The fluorine concentration at the surface was significantly reduced and the carbon fibers were exposed after plasma treatment. CN x coatings were then deposited on oxygen treated PMC substrates. Qualitative tests demonstrated that plasma treatment improved coating adhesion resulting in an erosion resistance improvement of a factor of 2 compared to untreated coated composite substrates. The combination of PMC pretreatment and coating with CN x reduced the erosion rate by an order of magnitude for normally incident particles

  14. Effects of annealing and plasma treatment on the electrical and optical properties of spin-coated ITZO films

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Dong-min; Kim, Jae-Kwan [Department of Materials Science and Metallurgical Engineering, Sunchon National University, Sunchon, Chonnam 540-742 (Korea, Republic of); Hao, Jinchen; Kim, Han-Ki [Department of Advanced Materials Engineering for Information and Electronics, Kyung Hee University, Yongin-si, Gyeonggi-do 446-701 (Korea, Republic of); Yoon, Jae-Sik [Division of Materials Science, Korea Basic Science Institute, Daejeon 305-333 (Korea, Republic of); Lee, Ji-Myon, E-mail: jimlee@sunchon.ac.kr [Department of Printed Electronics Engineering, Sunchon National University, Sunchon, Chonnam 540-742 (Korea, Republic of)

    2014-01-15

    Highlights: • The resistivity of ITZO was enhanced by H{sub 2} gas plasma treatment. • The transmittance of H{sub 2} treated film was same as that of ref. after wet treatment. • The plasma process was carried out at room temperature. -- Abstract: This paper reports the effects on the optical and electrical properties of indium tin zinc oxide (ITZO) films by annealing and hydrogen plasma treatment. ITZO films were prepared by spin-coating using ITZO nanoink. The sheet resistance of the spin-coated ITZO was decreased to 155 Ω/square after annealing at 300 °C. Subsequent inductively-coupled hydrogen plasma decreased the sheet resistance of the ITZO film further to 88 Ω/square due to the formation of a high density of O–H bonds and oxygen vacancies leaving a metal cluster on the surface, which is comparable to that of solution-processed ITO films. Although the transmittance of the hydrogen plasma-treated sample was decreased considerable by the formation of metal clusters, the transmittance and optical band gap could be enhanced without a deteriorating the electrical properties by removing the metal clusters using a H{sub 2}SO{sub 4} solution.

  15. Effect of calcination environments and plasma treatment on structural, optical and electrical properties of FTO transparent thin films

    Directory of Open Access Journals (Sweden)

    Madhav Kafle

    2017-07-01

    Full Text Available The dependence of the structural, optical and electrical properties of the FTO thin films on the film thickness (276 nm - 546 nm, calcination environment, and low temperature plasma treatment were examined. The FTO thin films, prepared by spray pyrolysis, were calcinated under air followed by either further heat treatment under N2 gas or treatment in low temperature atmospheric plasma. The samples before and after calcination under N2, and plasma treatment will be represented by Sair, SN2 and SPl, respectively, hereafter. The thin films were characterized by measuring the XRD spectra, SEM images, optical transmittance and reflectance, and sheet resistance of the films before and after calcination in N2 environment or plasma treatment. The presence of sharp and narrow multiple peaks in XRD spectra hint us that the films were highly crystalline (polycrystalline. The samples Sair with the thickness of 471 nm showed as high as 92 % transmittance in the visible range. Moreover, from the tauc plot, the optical bandgap Eg values of the Sair found to be noticeably lower than that of the samples SN2. Very surprisingly, the electrical sheet resistance (Rsh found to decrease following the trend as Rshair > RshN2 > RshPl. The samples exposed to plasma found to possess the lowest RshPl (for film with thickness 546 nm, the RshPl was 17 Ω/sq..

  16. Study on hydrophilicity of polymer surfaces improved by plasma treatment

    International Nuclear Information System (INIS)

    Lai Jiangnan; Sunderland, Bob; Xue Jianming; Yan, Sha; Zhao Weijiang; Folkard, Melvyn; Michael, Barry D.; Wang Yugang

    2006-01-01

    Surface properties of polycarbonate (PC), polypropylene (PP), polyethylene terephthalate (PET) samples treated by microwave-induced argon plasma have been studied with contact angle measurement, X-ray photoelectron spectroscopy (XPS) and scanned electron microscopy (SEM). It is found that plasma treatment modified the surfaces both in composition and roughness. Modification of composition makes polymer surfaces tend to be highly hydrophilic, which mainly depended on the increase of ratio of oxygen-containing group as same as other papers reported. And this experiment further revealed that C=O bond is Key factor to the improvement of the hydrophilicity of polymer surfaces. Our SEM observation on PET shown that the roughness of the surface has also been improved in micron scale and it has influence on the surface hydrophilicity

  17. Suppression of carbon desorption from 4H-SiC by irradiating a remote nitrogen plasma at a low temperature

    Science.gov (United States)

    Shimabayashi, Masaharu; Kurihara, Kazuaki; Sasaki, Koichi

    2018-05-01

    We remotely irradiated a nitrogen plasma onto the carbon-side surface of 4H-SiC at a low temperature, and examined the effect of sample cooling on the characteristics of the nitride layer. An improved nitride layer, which had higher concentrations of carbon and silicon and a lower concentration of oxygen, was formed in the region at depths of more than 0.6–0.9 nm from the top surface. The depth of the fragile nitride layer in the top region, where no improved characteristics of the nitride layer were observed, became smaller with sample cooling. In addition, on the basis of the experimental results, we discussed the difference in the activation energy of the nitriding reaction of 4H-SiC supported by atomic nitrogen and molecular nitrogen in the metastable \\text{A}3Σ \\text{u} + state.

  18. The clinical application of determination of plasma NPY levels for diagnosis and treatment of cardiovascular diseases

    International Nuclear Information System (INIS)

    Zheng Qing; Bao Yimin; Yang Yongqing

    2010-01-01

    Objective: To study the clinical usefulness of determination of plasma NPY levels for diagnosis and treatment of cardiovascular disease. Methods: Plasma levels of NPY were determined with RIA in 180 patients with heart failure from CHD, 89 patients with AMI, 58 patients with essential hypertension, 109 patients with PIH and 47 controls. Results: The plasma levels of NPY in 180 patients with heart failure were 206.37±40.1 pg/ml (I grade, P<0.05), 218.62±64.83 pg/ml (II grade, P<0.05), 269.16±56.57 pg/ml (III grade, P<0.01) and 314.82±56.73 pg/ml (IV grade, P<0.001), respectively. The plasma levels were 345.12±68.71 pg/ml and 191.46±38.92 pg/ml in patients with AMI and hypertension as a whole, respectively. All these levels were significantly higher than those in controls (P<0.05∼0.001). Among the patients, the plasma NPY levels increased along with advance of the disease process. Conclusion: Plasma NPY level was a useful marker for diagnosis and treatment of cardiovascular diseases. (authors)

  19. Development of non-thermal plasma jet and its potential application for color degradation of organic pollutant in wastewater treatment

    Science.gov (United States)

    Pirdo Kasih, Tota; Kharisma, Angel; Perdana, Muhammad Kevin; Murphiyanto, Richard Dimas Julian

    2017-12-01

    This paper presents the development of non-thermal plasma-based AOPs for color degradation in wastewater treatment. The plasma itself was generated by an in-house high voltage power supply (HVPS). Instead of gas-phase plasma system, we applied plasma jet system underwater during wastewater treatment without additional any chemicals (chemical-free processing). The method is thought to maximize the energy transfer and increase the efficient interaction between plasma and solution during the process. Our plasma jet system could proceed either by using helium (He), argon (Ar) and air as the medium in an open air atmosphere. Exploring the developed plasma to be applied in organic wastewater treatment, we demonstrated that the plasma jet could be generated underwater and yields in color degradation of methylene blue (MB) wastewater model. When using Ar gas as a medium, the color degradation of MB could be achieved within 90 minutes. Whereas, by using Ar with an admixing of oxygen (O2) gas, the similar result could be accomplished within 60 minutes. Additional O2 gas in the latter might produce more hydroxyl radicals and oxygen-based species which speed up the oxidative reaction with organic pollutants, and hence accelerate the process of color degradation.

  20. PLATELET-RICH PLASMA (PRP FOR THE TREATMENT OF PROBLEMATIC SKIN WOUNDS

    Directory of Open Access Journals (Sweden)

    Tsvetan Sokolov

    2016-12-01

    Full Text Available OBJECTIVE: To show platelet-rich plasma (PRP application of problematic skin wounds and to evaluate the results from the treatment. MATERIAL AND METHODS: A total of 31 patients with problematic skin wounds had been treated at the clinic for a period of five years (from May 2010 to September 2015 with the following patient sex ratio: male patients– 13 and female patients– 18. Average age– 46,5 (22-82. Patients with Type 2 Diabetes– 10, with decubitus ulcers– 2, traumatic– 29, with infection– 12, acute– 15, chronic– 16. Based on a scheme developed by us, all cases were treated by administering platelet-rich plasma, derived by PRGF Endoret system. Follow-up period was within 4 – 6 months (4,5 on average. We used platelet rich plasma derived by PRGF Endoret system, applied on the wound bed on a weekly basis. RESULTS: The results have been evaluated based on the following functional scoring systems - Total wound score, Total anatomic score and Total score (20. The baseline values at the very beginning of the follow-up period were as follows: Total wound score – 10 p.; Total anatomic score – 8 p., Total score – 15 p. By the end of the treatment period the score was 0 p., which means excellent results, i.e. complete healing of the wounds. CONCLUSION: We believe that the application of PRP may become optimal therapy in the treatment of difficult to heal wounds around joints, bone, subject tendons, plantar surface of the foot, etc., as it opens new perspectives in the field of human tissue regeneration.

  1. Improvement of bonding properties of laser transmission welded, dissimilar thermoplastics by plasma surface treatment

    Energy Technology Data Exchange (ETDEWEB)

    Hopmann, Ch.; Weber, M.; Schöngart, M.; Sooriyapiragasam, S.; Behm, H.; Dahlmann, R. [Institute of Plastics Processing (IKV), RWTH Aachen University, Pontstrasse 49, 52062 Aachen (Germany)

    2015-05-22

    Compared to different welding methods such as ultrasonic welding, laser transmission welding is a relatively new technology to join thermoplastic parts. The most significant advantages over other methods are the contactless energy input which can be controlled very precisely and the low mechanical loads on the welded parts. Therefore, laser transmission welding is used in various areas of application, for example in medical technology or for assembling headlights in the automotive sector. However, there are several challenges in welding dissimilar thermoplastics. This may be due to different melting points on the one hand and different polarities on the other hand. So far these problems are faced with the intermediate layer technique. In this process a layer bonding together the two components is placed between the components. This means that an additional step in the production is needed to apply the extra layer. To avoid this additional step, different ways of joining dissimilar thermoplastics are investigated. In this regard, the improvement in the weldability of the dissimilar thermoplastics polyamide 6 (PA 6) and polypropylene (PP) by means of plasma surface modification and contour welding is examined. To evaluate the influence of the plasma surface modification process on the subsequent welding process of the two dissimilar materials, the treatment time as well as the storage time between treatment and welding are varied. The treatment time in pulsed micro wave excited oxygen plasmas with an electron density of about 1x10{sup 17} m{sup −3} is varied from 0.5 s to 120 s and the time between treatment and welding is varied from a few minutes up to a week. As reference, parts being made of the same polymer (PP and PA 6) are welded and tested. For the evaluation of the results of the welding experiments, short-time tensile tests are used to determine the bond strength. Without plasma treatment the described combination of PA 6/PP cannot be welded with

  2. Improvement of bonding properties of laser transmission welded, dissimilar thermoplastics by plasma surface treatment

    International Nuclear Information System (INIS)

    Hopmann, Ch.; Weber, M.; Schöngart, M.; Sooriyapiragasam, S.; Behm, H.; Dahlmann, R.

    2015-01-01

    Compared to different welding methods such as ultrasonic welding, laser transmission welding is a relatively new technology to join thermoplastic parts. The most significant advantages over other methods are the contactless energy input which can be controlled very precisely and the low mechanical loads on the welded parts. Therefore, laser transmission welding is used in various areas of application, for example in medical technology or for assembling headlights in the automotive sector. However, there are several challenges in welding dissimilar thermoplastics. This may be due to different melting points on the one hand and different polarities on the other hand. So far these problems are faced with the intermediate layer technique. In this process a layer bonding together the two components is placed between the components. This means that an additional step in the production is needed to apply the extra layer. To avoid this additional step, different ways of joining dissimilar thermoplastics are investigated. In this regard, the improvement in the weldability of the dissimilar thermoplastics polyamide 6 (PA 6) and polypropylene (PP) by means of plasma surface modification and contour welding is examined. To evaluate the influence of the plasma surface modification process on the subsequent welding process of the two dissimilar materials, the treatment time as well as the storage time between treatment and welding are varied. The treatment time in pulsed micro wave excited oxygen plasmas with an electron density of about 1x10 17 m −3 is varied from 0.5 s to 120 s and the time between treatment and welding is varied from a few minutes up to a week. As reference, parts being made of the same polymer (PP and PA 6) are welded and tested. For the evaluation of the results of the welding experiments, short-time tensile tests are used to determine the bond strength. Without plasma treatment the described combination of PA 6/PP cannot be welded with sufficient bond

  3. Investigation of surface treatment of conductive wire in cylindrical atmospheric pressure plasmas

    International Nuclear Information System (INIS)

    Ye Rubin; Kagohashi, Tsutomu; Zheng Wei

    2009-01-01

    Polyethylene insulated electric wire was treated in He and Ar dielectric barrier discharge atmospheric pressure plasmas generated in a quartz tube wound with tubular electrodes. The wire was put penetrating through the high voltage and the grounded electrodes, improving the discharge and facilitating uniform surface treatment. In this work, the influences of conductivity of the wire on the effects of surface treatment and discharge behavior were investigated. Surface properties of the wire samples were analyzed by means of surface energy measurement and X-ray photoelectron spectroscopy. In order to reveal the mechanism for treating the conductive wire, I-V discharge waveforms were measured and time-resolved plasma images were taken. It was demonstrated that the conductive wire was involved in the discharge process, reducing the breakdown voltage significantly and enhancing the discharge. It shows that the discharge mode was strongly dependent on the conductivity of a wire. Intensive surface discharges developed along the conductive wire were found to be mainly responsible for noticeable improvement in the treatment effect.

  4. Effects of plasma treatment on the Ohmic characteristics of Ti/Al/Ti/Au contacts to n-AlGaN

    International Nuclear Information System (INIS)

    Cao, X. A.; Piao, H.; LeBoeuf, S. F.; Li, J.; Lin, J. Y.; Jiang, H. X.

    2006-01-01

    The effects of surface treatment using Cl 2 /BCl 3 and Ar inductive coupled plasmas on the Ohmic characteristics of Ti/Al/Ti/Au contacts to n-type Al x Ga 1-x N (x=0-0.5) were investigated. Plasma treatment significantly increased the surface conductivity of GaN and Al 0.1 Ga 0.9 N, leading to improved Ohmic behaviors of the contacts. However, it reduced the surface doping level in Al x Ga 1-x N (x≥0.3) and degraded the contact properties. Following a 900-1000 deg. C anneal, the Ti/Al/Ti/Au contacts to Al x Ga 1-x N (x=0-0.3) became truly Ohmic, with specific contact resistances of (3-7)x10 -5 Ω cm 2 , whereas the contact to Al 0.5 Ga 0.5 N remained rectifying even without the plasma treatment. X-ray photoelectron spectroscopy measurements confirmed that the Fermi level moved toward the conduction band in GaN after the plasma treatment, but it was pinned by plasma-induced deep-level states in Al 0.5 Ga 0.5 N. This study emphasizes the need to mitigate plasma damage introduced during the mesa etch step for AlGaN-based deep-UV emitters and detectors

  5. Investigation of enhancement-mode AlGaN/GaN nanowire channel high-electron-mobility transistor with oxygen-containing plasma treatment

    Science.gov (United States)

    He, Yunlong; Wang, Chong; Mi, Minhan; Zhang, Meng; Zhu, Qing; Zhang, Peng; Wu, Ji; Zhang, Hengshuang; Zheng, Xuefeng; Yang, Ling; Duan, Xiaoling; Ma, Xiaohua; Hao, Yue

    2017-05-01

    A novel enhancement-mode (E-mode) AlGaN/GaN high-electron-mobility transistor (HEMT) has been fabricated, by combining nanowire channel (NC) structure fabrication and N2O (or O2) plasma treatment. A comparison of two NC-HEMTs with different plasma treatments has been made. The NC-HEMT with N2O plasma treatment shows an output current of 610 mA/mm and a peak transconductance of 450 mS/mm. The DIBL of the NC-HEMT with N2O plasma treatment is as low as 2 mV/V, and an SS of 70 mV/decade is achieved. The device exhibits an intrinsic current gain cutoff frequency f T of 19 GHz and a maximum oscillation frequency f max of 58 GHz.

  6. Clinical significance of measurement of changes of serum NSE and plasma NPY levels after treatment in pediatric patients with viral encephalitis

    International Nuclear Information System (INIS)

    Jin Bo; Zheng Guo

    2007-01-01

    Objective: To explore the significance ef changes of serum NSE and plasma NPY levels after treatment in pediatric patients with viral encephalitis. Methods: Serum NSE and plasma NPY levels were measured with RIA in 32 pediatric patients with viral encephalitis both before and after treatment as well as in 30 controls. Results: Before treatment, in the patients, the serum NSE and plasma NPY levels were significantly higher than those in controls (P<0.01). After 1 month's treatment the levels dropped markedly but still remained significantly higher than those in controls (P<0.05). Conclusion: Serum NSE and plasma NPY levels changes were closely related to the progress of viral encephalitis. (authors)

  7. Influence of O2 plasma treatment on NiO x layer in perovskite solar cells

    Science.gov (United States)

    Nishihara, Yoshihiko; Chikamatsu, Masayuki; Kazaoui, Said; Miyadera, Tetsuhiko; Yoshida, Yuji

    2018-04-01

    We fabricated perovskite solar cells (PSCs) with an inverted p-i-n planar structure using a NiO x film as a hole-transporting layer. Since the surface of the NiO x film fabricated by sputtering is hydrophobic, O2 plasma treatment under various conditions was performed to improve its wettability. Water contact angles after the treatment under both normal and weak conditions on the NiO x film reached approximately 15°. After the treatment, the valence band level of the NiO x film was deeper by about 0.15 eV. The maximum efficiency of the NiO x -based device under the optimized O2 plasma condition reached 12.3%.

  8. The PERC trademark process: Existing and potential applications for induction coupled plasma technology in hazardous and radioactive waste treatment

    International Nuclear Information System (INIS)

    Blutke, A.S.; Vavruska, J.S.; Serino, J.F.

    1996-01-01

    Plasma Technology, Inc. (PTI), a Santa Fe, New Mexico corporation has developed the Plasma Energy Recycle and Conversion (PERC)trademark treatment process as a safe and environmentally clean alternative to conventional thermal destruction technologies. The PERC trademark treatment process uses as its heat source an advanced Induction Coupled Plasma (ICP) torch connected to a reaction chamber system with an additional emission control system. For example, organic-based gas, liquid, slurry, and/or solid waste streams can be converted into usable or even salable products while residual emissions are reduced to an absolute minimum. In applications for treatment of hazardous and radioactive waste streams, the PERC system could be used for destruction of the hazardous organic constituents and/or significant waste volume reduction while capturing the radioactive fraction in a non-leachable form. Like Direct Current (DC) and Alternating Current (AC) arc plasma systems, ICP torches offer sufficient energy to decompose, melt and/or vitrify any waste stream. The decision for an arc plasma or an IC plasma system has to be made on a case by case evaluation and is highly dependent on the specific waste stream's form and composition. Induction coupled plasma technology offers one simple, but significant difference compared to DC or AC arc plasma systems: the ICP torch is electrodeless. To date, enormous research effort has been spent to improve the lifetime of electrodes and the effectiveness of related cooling systems. Arc plasma systems are established in research laboratories worldwide and are approaching a broad use in commercial applications. ICP technology has been improved relatively recently, but nowadays offers complete new and beneficial approaches in the field of waste conversion and treatment

  9. Effects O2 plasma surface treatment on the electrical properties of the ITO substrate

    International Nuclear Information System (INIS)

    Hong, Jin-Woong; Oh, Dong-Hoon; Shim, Sang-Min; Lee, Young-Sang; Kang, Yong-Gil; Shin, Jong-Yeol

    2012-01-01

    The indium-tin-oxide (ITO) substrate is used as a transparent electrode in organic light-emitting diodes (OLEDs) and organic photovoltaic cells. The effect of an O 2 plasma surface treatment on the electrical properties of the ITO substrate was examined. The four-point probe method, an atomic force microscope (AFM), a LCR meter, a Cole-Cole plot, and a conductive mechanism analysis were used to assess the properties of the treated ITO substrates. The four-point probe method and the AFM study revealed a lower ITO surface resistance of 17.6 Ω/sq and an average roughness of 2 nm, respectively, for a substrate treated by a plasma at 250 W for 40 s. The lower surface resistance of the ITO substrate treated at 250 W for 40 s was confirmed by using a LCR meter. An amorphous fluoropolymer (AF) was deposited on an ITO substrate treated under the optimal conditions and on a non-plasma treated ITO substrate as well. The potential barriers for charge injection in these devices were 0.25 eV and 0.15 eV, respectively, indicating a 0.1-eV decrease due to the plasma treatment.

  10. Improved performance of solution-processed a-InGaZnO thin-film transistors due to Ar/O{sub 2} mixed-plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Kwan-Soo; Hwang, Yeong-Hyeon; Hwang, In-Chan; Cho, Won-Ju [Kwangwoon University, Seoul (Korea, Republic of)

    2014-08-15

    We investigated the effects of Ar and O{sub 2} treatment and of Ar/O{sub 2} mixed plasma treatment on the electrical characteristics of solution-processed amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistors (TFTs). The electrical performance and the instability of a-IGZO TFTs were significantly improved by the plasma treatments. The plasma treatments reduced the carbon-based residual contamination that acted as possible trap sites. In particular, the O{sub 2}-plasma treatment produced a significant improvement in the reliability of a-IGZO TFTs when compared with the Ar-plasma-treated device, owing to the elimination of residual carbon in the active channel of the solution-processed a-IGZO. However, the optimized improvement of the solution-processed a-IGZO TFT under a gate bias stress was obtained for the device treated with an Ar/O{sub 2} mixed-gas plasma. The plasma treatment in the Ar/O{sub 2}-mixed ambience remarkably enhanced not only the reliability but also the electrical performance of the a-IGZO TFT; the on/off-current ratio, the field-effect mobility, and the subthreshold slope were 6.78 x 10{sup 7}, 1.24 cm{sup 2}/V·s, and 513 mV/dec, respectively.

  11. Tuning Surface Chemistry of Polyetheretherketone by Gold Coating and Plasma Treatment

    Czech Academy of Sciences Publication Activity Database

    Novotná, Z.; Rimpelová, S.; Juřík, P.; Veselý, M.; Kolská, Z.; Hubáček, Tomáš; Borovec, Jakub; Švorčík, V.

    2017-01-01

    Roč. 12, JUN (2017), č. článku 424. ISSN 1556-276X R&D Projects: GA MŠk LM2015075 Institutional support: RVO:60077344 Keywords : polyetheretherketone * plasma treatment * gold sputtering * atomic force microscopy Subject RIV: JJ - Other Materials OBOR OECD: Materials engineering Impact factor: 2.833, year: 2016

  12. SEM observations of particle track membrane surfaces modificated using plasma treatment

    International Nuclear Information System (INIS)

    Sartowska, B.; Buczkowski, M.; Starosta, W.

    2003-01-01

    This work presents results of scanning electron microscopy (SEM) observations of 0.4 μm membranes after plasma treatment with different parameters. The morphology changes at the surfaces and at the pore walls were observed. The character of changes in the membrane parameters according to the process conditions was determined

  13. Direct deposition of aluminum oxide gate dielectric on graphene channel using nitrogen plasma treatment

    International Nuclear Information System (INIS)

    Lim, Taekyung; Kim, Dongchool; Ju, Sanghyun

    2013-01-01

    Deposition of high-quality dielectric on a graphene channel is an essential technology to overcome structural constraints for the development of nano-electronic devices. In this study, we investigated a method for directly depositing aluminum oxide (Al 2 O 3 ) on a graphene channel through nitrogen plasma treatment. The deposited Al 2 O 3 thin film on graphene demonstrated excellent dielectric properties with negligible charge trapping and de-trapping in the gate insulator. A top-gate-structural graphene transistor was fabricated using Al 2 O 3 as the gate dielectric with nitrogen plasma treatment on graphene channel region, and exhibited p-type transistor characteristics

  14. Enzymatic Modification of Plasma Low Density Lipoproteins in Rabbits: A Potential Treatment for Hypercholesterolemia

    Science.gov (United States)

    Labeque, Regine; Mullon, Claudy J. P.; Ferreira, Joao Paulo M.; Lees, Robert S.; Langer, Robert

    1993-04-01

    Phospholipase A_2 (EC 3.1.1.4) hydrolyzes certain phospholipids of low density lipoprotein (LDL). Plasma clearance of phospholipase A_2-modified human LDL is up to 17 times faster than that of native human LDL in hypercholesterolemic rabbits. Modification of blood lipoproteins of hypercholesterolemic rabbits was performed by using an extracorporeal circuit containing immobilized phospholipase A_2. After 90-min treatments, nearly 30% decreases in plasma cholesterol concentrations were observed. Erythrocyte, leukocyte, and platelet counts showed no net change after treatment. This technique does not require any fluid replacement or sorbent regeneration and offers a potential approach for lowering serum cholesterol and LDL levels.

  15. Prehospital use of plasma: the blood bankers' perspective.

    Science.gov (United States)

    Hervig, Tor; Doughty, Heidi; Ness, Paul; Badloe, John F; Berseus, Olle; Glassberg, Elon; Heier, Hans E

    2014-05-01

    At the 2013 Traumatic Hemostasis and Oxygenation Research Network's Remote Damage Control Resuscitation symposium, a panel of senior blood bankers with both civilian and military background was invited to discuss their willingness and ability to supply prehospital plasma for resuscitation of massively bleeding casualties and to comment on the optimal preparations for such situations. Available evidence indicates that prehospital use of plasma may improve remote damage control resuscitation, although level I evidence is lacking. This practice is well established in several military services and is also being introduced in civilian settings. There are few, if any, clinical contraindications to the prehospital use of plasma, except for blood group incompatibility and the danger of transfusion-induced acute lung injury, which can be circumvented in various ways. However, the choice of plasma source, plasma preparation, and logistics including stock management require consideration. Staff training should include hemovigilance and traceability as well as recognition and management of eventual adverse effects. Prehospital use of plasma should occur within the framework of clinical algorithms and prospective clinical studies. Clinicians have an ethical responsibility to both patients and donors; therefore, the introduction of new clinical capabilities of transfusion must be safe, efficacious, and sustainable. The panel agreed that although these problems need further attention and scientific studies, now is the time for both military and civilian transfusion systems to prepare for prehospital use of plasma in massively bleeding casualties.

  16. Fabrication of Durably Superhydrophobic Cotton Fabrics by Atmospheric Pressure Plasma Treatment with a Siloxane Precursor

    Directory of Open Access Journals (Sweden)

    Jing Yang

    2018-04-01

    Full Text Available The surface treatment of fabrics in an atmospheric environment may pave the way for commercially viable plasma modifications of fibrous matters. In this paper, we demonstrate a durably superhydrophobic cotton cellulose fabric prepared in a single-step graft polymerization of hexamethyldisiloxane (HMDSO by N2 and O2 atmospheric pressure plasma. We systematically investigated effects on contact angle (CA and surface morphology of the cotton fabric under three operational parameters: precursor value; ionization gas flow rate; and plasma cycle time. Surface morphology, element composition, chemical structure and hydrophobic properties of the treated fabric were characterized by scanning electron microscope (SEM, EDS, FTIR and CA on the fabrics. The results indicated that a layer of thin film and nano-particles were evenly deposited on the cotton fibers, and graft polymerization occurred between cellulose and HMDSO. The fabric treated by O2 plasma exhibited a higher CA of 162° than that treated by N2 plasma which was about 149°. Furthermore, the CA of treated fabrics decreased only 0°~10° after storing at the ambient conditions for four months, and treated fabrics could also endure the standard textile laundering procedure in AATCC 61-2006 with minimum change. Therefore, this single-step plasma treatment method is shown to be a novel and environment-friendly way to make durable and superhydrophobic cotton fabrics.

  17. Optical Emission Spectroscopy of an Atmospheric Pressure Plasma Jet During Tooth Bleaching Gel Treatment.

    Science.gov (United States)

    Šantak, Vedran; Zaplotnik, Rok; Tarle, Zrinka; Milošević, Slobodan

    2015-11-01

    Optical emission spectroscopy was performed during atmospheric pressure plasma needle helium jet treatment of various tooth-bleaching gels. When the gel sample was inserted under the plasma plume, the intensity of all the spectral features increased approximately two times near the plasma needle tip and up to two orders of magnitude near the sample surface. The color change of the hydroxylapatite pastille treated with bleaching gels in conjunction with the atmospheric pressure plasma jet was found to be in correlation with the intensity of OH emission band (309 nm). Using argon as an additive to helium flow (2 L/min), a linear increase (up to four times) of OH intensity and, consequently, whitening (up to 10%) of the pastilles was achieved. An atmospheric pressure plasma jet activates bleaching gel, accelerates OH production, and accelerates tooth bleaching (up to six times faster).

  18. Fabrication of multianalyte CeO2 nanograin electrolyte–insulator–semiconductor biosensors by using CF4 plasma treatment

    Directory of Open Access Journals (Sweden)

    Chyuan Haur Kao

    2015-09-01

    Full Text Available Multianalyte CeO2 biosensors have been demonstrated to detect pH, glucose, and urine concentrations. To enhance the multianalyte sensing capability of these biosensors, CF4 plasma treatment was applied to create nanograin structures on the CeO2 membrane surface and thereby increase the contact surface area. Multiple material analyses indicated that crystallization or grainization caused by the incorporation of flourine atoms during plasma treatment might be related to the formation of the nanograins. Because of the changes in surface morphology and crystalline structures, the multianalyte sensing performance was considerably enhanced. Multianalyte CeO2 nanograin electrolyte–insulator–semiconductor biosensors exhibit potential for use in future biomedical sensing device applications. Keywords: Multianalyte biosensor, CeO2 nanograin, EIS, CF4 plasma treatment, Membrane surface

  19. Effects of in situ plasma treatment on optical and electrical properties of index-matched transparent conducting oxide layer

    International Nuclear Information System (INIS)

    Lim, Yong Hwan; Yoo, Hana; Choi, Bum Ho; Kim, Young Baek; Lee, Jong Ho; Shin, Dong Chan

    2010-01-01

    We investigated the effects of in situ plasma-treatment on optical and electrical properties of index-matched indium tin oxide (IMITO) thin film. To render the IMITO-coated surface hydrophilic and study the optical and electrical characteristics, we performed in situ oxygen plasma post-treatment without breaking vacuum. The 94.6% transmittance in the visible wavelength range (400-700 nm) increased on average to 96.4% and the maximum transmittance reached 98% over a broad wavelength range. The surface roughness and sheet resistance improved from 0.9 nm and 200 Ω/sq to 0.0905 nm and 100 Ω/sq, respectively, by in situ plasma post-treatment. We confirmed by contact angle measurement that the hydrophobic IMITO surface was altered to hydrophilic. The improved optical and electrical characteristics of in situ plasma-treated IMITO makes it adequate for high-resolution liquid crystal on silicon displays.

  20. Clinical significance of determination of changes of serum TSGF, CA125 and plasma VEGF levels after treatment in patients with endometriosis

    International Nuclear Information System (INIS)

    Wei Ya; Gu Ying

    2009-01-01

    Objective: To explore the changes of serum TSGF, CA125 and plasma VEGF levels after treatment in patients with endometriosis. Methods: Serum TSGF (with colorimerry biochemistry), CA125 (with RIA) and plasma VEGF (with ELISA) levels were measured in 36 patients with endometriosis both before and after treatment as well as in 35 controls. Results: Before treatment, the serum TSGF, CA125 and plasma VEGF levels in patients were significantly higher than those in the controls (P 0.05). Conclusion: Development of endometriosis was closely related to the plasma VEGF and serum TSGF, CA125 levels. (authors)

  1. Analysis of reverse gate leakage mechanism of AlGaN/GaN HEMTs with N2 plasma surface treatment

    Science.gov (United States)

    Liu, Hui; Zhang, Zongjing; Luo, Weijun

    2018-06-01

    The mechanism of reverse gate leakage current of AlGaN/GaN HEMTs with two different surface treatment methods are studied by using C-V, temperature dependent I-V and theoretical analysis. At the lower reverse bias region (VR >- 3.5 V), the dominant leakage current mechanism of the device with N2 plasma surface treatment is the Poole-Frenkel emission current (PF), and Trap-Assisted Tunneling current (TAT) is the principal leakage current of the device which treated by HCl:H2O solution. At the higher reverse bias region (VR current of the device with N2 plasma surface treatment is one order of magnitude smaller than the device which treated by HCl:H2O solution. This is due to the recovery of Ga-N bond in N2 plasma surface treatment together with the reduction of the shallow traps in post-gate annealing (PGA) process. The measured results agree well with the theoretical calculations and demonstrate N2 plasma surface treatment can reduce the reverse leakage current of the AlGaN/GaN HEMTs.

  2. Influence of atmospheric pressure low-temperature plasma treatment on the shear bond strength between zirconia and resin cement.

    Science.gov (United States)

    Ito, Yuki; Okawa, Takahisa; Fukumoto, Takahiro; Tsurumi, Akiko; Tatsuta, Mitsuhiro; Fujii, Takamasa; Tanaka, Junko; Tanaka, Masahiro

    2016-10-01

    Zirconia exhibits excellent strength and high biocompatibility in technological applications and it is has therefore been investigated for clinical applications and research. Before setting prostheses, a crown prosthesis inner surface is sandblasted with alumina to remove contaminants and form small cavities. This alumina sandblasting causes stress-induced phase transition of zirconia. Atmospheric-pressure low-temperature plasma has been applied in the dental industry, particularly for adhesives, as a surface treatment to activate the surface energy and remove contaminants. The purpose of this study was to examine the influence of atmospheric-pressure low-temperature plasma treatment on the shear bond strength between zirconia and adhesive resin cement. The surface treatment method was classified into three groups: untreated (Cont group), alumina sandblast treatment (Sb group), and atmospheric-pressure low-temperature plasma treatment (Ps group). Adhesive resin cement was applied to stainless steel and bonded to zirconia. Shear adhesion tests were performed after complete hardening of the cement. Multiple comparisons were performed using a one-way analysis of variance and the Bonferroni method. X-ray diffractometry was used to examine the change in zirconia crystal structure. Statistically significant differences were noted between the control and Sb groups and between the control and Ps groups. In contrast, no statistically significant differences were noted for the Ps and Sb bond strength. Atmospheric-pressure low-temperature plasma treatment did not affect the zirconia crystal structure. Atmospheric-pressure low-temperature plasma treatment improves the bonding strength of adhesive resin cement as effectively as alumina sandblasting, and does not alter the zirconia crystal structure. Copyright © 2016 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  3. Control of the Nano-Particle Weight Ratio in Stainless Steel Micro and Nano Powders by Radio Frequency Plasma Treatment

    Directory of Open Access Journals (Sweden)

    Dong-Yeol Yang

    2015-11-01

    Full Text Available This study describes how to make stainless steel hybrid micro-nano-powders (a mixture of micro-powder and nano-powder using an in situ one-step process via radio frequency (RF thermal plasma treatment. Nano-particles attached to micro-powders were successfully prepared by RF thermal plasma treatment of stainless steel powder with an average size of 35 μm. The ratio of nano-powders is estimated with a two-dimensional fluid simulation that calculates the temperature profile influencing the rate of surface evaporation. The simulation is conducted to determine the variation of the input power and the distance from the plasma torch to the feeding nozzle. It was demonstrated experimentally that the nano-powder ratio in the micro-nano-powder mixture can be controlled by adjusting the feeding rate, plasma power, feeding position and quenching effect during plasma treatment. The ratio of nano-particles in the micro-nano-powder mixture was controlled in a range from 0.1 (wt. % to 30.7 (wt. %.

  4. Superhydrophobic nanostructured Kapton® surfaces fabricated through Ar + O2 plasma treatment: Effects of different environments on wetting behaviour

    Science.gov (United States)

    Barshilia, Harish C.; Ananth, A.; Gupta, Nitant; Anandan, C.

    2013-03-01

    Kapton® [poly (4,4'-oxy diphenylene pyromellitimide)] polyimides have widespread usage in semiconductor devices, solar arrays, protective coatings and space applications, due to their excellent chemical and physical properties. In addition to their inherent properties, imparting superhydrophobicity on these surfaces will be an added advantage. Present work describes the usage of Ar + O2 plasma treatment for the preparation of superhydrophobic Kapton® surfaces. Immediately after the plasma treatment, the surfaces showed superhydrophilicity as a result of high energy dangling bonds and polar group concentration. But the samples kept in low vacuum for 48 h exhibited superhydrophobicity with high water contact angles (>150°). It is found that the post plasma treatment process, called ageing, especially in low vacuum plays an important role in delivering superhydrophobic property to Kapton®. Field emission scanning electron microscopy and atomic force microscopy were used to probe the physical changes in the surface of the Kapton®. The surfaces showed formation of nano-feathers and nano-tussock microstructures with variation in surface roughness against plasma treatment time. A thorough chemical investigation was performed using Fourier transform infrared spectroscopy and micro-Raman spectroscopy, which revealed changes in the surface of the Ar + O2 plasma treated Kapton®. Surface chemical species of Kapton® were confirmed again by X-ray photoelectron spectroscopy spectra for untreated surfaces whereas Ar + O2 plasma treated samples showed the de-bonding and re-organization of structural elements. Creation of surface roughness plays a dominant role in the contribution of superhydrophobicity to Kapton® apart from the surface modifications due to Ar + O2 plasma treatment and ageing in low vacuum.

  5. Clinical significance of measurement of plasma leptin and serum IL-6, IL-18 levels after treatment in patients with children nephrotic syndrome

    International Nuclear Information System (INIS)

    Wang Xiaoyan

    2011-01-01

    Objective: To explore the clinical significance of changes of plasma leptin and serum IL-6, IL-18 levels after treatment in patients with children nephrotic syndrome. Methods: Plasma leptin (with RIA) serum IL-6, IL-18 (with ELISA) levels were measured in 31 patients with children nephrotic syndrome both before and after treatment as well as in 30 controls. Results: Before treatment,the plasma leptin and serum IL-6, IL-18 levels were significantly higher than those in controls(P <0.01). After treatment for 3 months, the levels in patients though dropped markedly remained significantly higher than those in controls (P<0.05). Plasma leptin levels were positively correlated with IL-6, IL-18 levels (r=0.6138, 0.5784, P<0.01). Conclusion: Changes of plasma leptin and serum IL-6, IL-18 levels after treatment might be of prognostic importance in patients with children nephrotic syndrome. (authors)

  6. Effects of atmospheric air plasma treatment of graphite and carbon felt electrodes on the anodic current from Shewanella attached cells.

    Science.gov (United States)

    Epifanio, Monica; Inguva, Saikumar; Kitching, Michael; Mosnier, Jean-Paul; Marsili, Enrico

    2015-12-01

    The attachment of electrochemically active microorganisms (EAM) on an electrode is determined by both the chemistry and topography of the electrode surface. Pre-treatment of the electrode surface by atmospheric air plasma introduces hydrophilic functional groups, thereby increasing cell attachment and electroactivity in short-term experiments. In this study, we use graphite and carbon felt electrodes to grow the model EAM Shewanella loihica PV-4 at oxidative potential (0.2 V vs. Ag/AgCl). Cell attachment and electroactivity are measured through electrodynamic methods. Atmospheric air plasma pre-treatment increases cell attachment and current output at graphite electrodes by 25%, while it improves the electroactivity of the carbon felt electrodes by 450%. Air plasma pre-treatment decreased the coulombic efficiency on both carbon felt and graphite electrodes by 60% and 80%, respectively. Microbially produced flavins adsorb preferentially at the graphite electrode, and air plasma pre-treatment results in lower flavin adsorption at both graphite and carbon felt electrodes. Results show that air plasma pre-treatment is a feasible option to increase current output in bioelectrochemical systems. Copyright © 2015 Elsevier B.V. All rights reserved.

  7. Improving the wettability of 2024 aluminium alloy by means of cold plasma treatment

    Science.gov (United States)

    Polini, W.; Sorrentino, L.

    2003-05-01

    Aluminium alloys are heavily used to manufacture structural parts in the aeronautic industry because of its lightness and its corrosion resistance. These alloys are successfully used in other industrial fields too, such as railway, automotive and naval industries. The need to contrast the severe use conditions and the heavy stresses developing in aeronautic field implies to protect the surfaces of the structures in aluminium alloy by any deterioration. To preserve by deterioration, it is necessary to make aluminium more suitable to be coated by protective paint. In the aeronautic industry, a complex and critical process is used in order to enhance both wettability and adhesive properties of aluminium alloy surfaces. Cold plasma treatment represents an efficient, clean and economic alternative to activate aluminium surfaces. The present work deals with air cold plasma treatment of 2024 aluminium alloy surfaces. The influence of dc electrical discharge cold plasma parameters on wettability of 2024 aluminium alloy surfaces has been studied. A set of process variables (voltage, time and air flow rate) has been identified and used to conduct some experimental tests on the basis of design of experiment (DOE) techniques. The experimental results show that the proposed plasma process may considerably increase aluminium alloy wettability. These results represent the first step in trying to optimise the aluminium adhesion by means of this non-conventional manufacturing process.

  8. Rf-biasing of highly idealized plasmas

    NARCIS (Netherlands)

    Westermann, R.H.J.; Blauw, M.A.; Goedheer, W.J.; Sanden, van de M.C.M.; Schmidt, J.; Simek, M.; Pekarek, S.; Prukner, V.

    2007-01-01

    Remote plasmas, which are subjected to a radio-frequency (RF) biased surface, have been investigated theoretically and experimentally for decades. The relation between the complex power (DC) voltage characteristics, the ion energy distribution and control losses of the ion bombardment are of

  9. The Performance Improvement of N2 Plasma Treatment on ZrO2 Gate Dielectric Thin-Film Transistors with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition IGZO Channel.

    Science.gov (United States)

    Wu, Chien-Hung; Huang, Bo-Wen; Chang, Kow-Ming; Wang, Shui-Jinn; Lin, Jian-Hong; Hsu, Jui-Mei

    2016-06-01

    The aim of this paper is to illustrate the N2 plasma treatment for high-κ ZrO2 gate dielectric stack (30 nm) with indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs). Experimental results reveal that a suitable incorporation of nitrogen atoms could enhance the device performance by eliminating the oxygen vacancies and provide an amorphous surface with better surface roughness. With N2 plasma treated ZrO2 gate, IGZO channel is fabricated by atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique. The best performance of the AP-PECVD IGZO TFTs are obtained with 20 W-90 sec N2 plasma treatment with field-effect mobility (μ(FET)) of 22.5 cm2/V-s, subthreshold swing (SS) of 155 mV/dec, and on/off current ratio (I(on)/I(off)) of 1.49 x 10(7).

  10. Effects of pre- and post-electrospinning plasma treatments on electrospun PCL nanofibers to improve cell interactions

    International Nuclear Information System (INIS)

    Asadian, M; Grande, S; Morent, R; Nikiforov, A; De Geyter, N; Declercq, H

    2017-01-01

    In this study, liquid plasma treatment was used to improve the morphology of Poly-ε-CaproLactone (PCL) NanoFibers (NFs), followed by performing a Dielectric Barrier Discharge (DBD) plasma surface modification to enhance the hydrophilicity of electrospun mats generated from plasma-modified PCL solutions. Cell interaction studies performed after 1 day and 7 days clearly revealed the highly increased cellular interactions on the double plasma-treated nanofibers compared to the pristine ones due to the combination of (1) a better NF morphology and (2) an increased surface hydrophilicity. (paper)

  11. PULSION registered HP: Tunable, High Productivity Plasma Doping

    International Nuclear Information System (INIS)

    Felch, S. B.; Torregrosa, F.; Etienne, H.; Spiegel, Y.; Roux, L.; Turnbaugh, D.

    2011-01-01

    Plasma doping has been explored for many implant applications for over two decades and is now being used in semiconductor manufacturing for two applications: DRAM polysilicon counter-doping and contact doping. The PULSION HP is a new plasma doping tool developed by Ion Beam Services for high-volume production that enables customer control of the dominant mechanism--deposition, implant, or etch. The key features of this tool are a proprietary, remote RF plasma source that enables a high density plasma with low chamber pressure, resulting in a wide process space, and special chamber and wafer electrode designs that optimize doping uniformity.

  12. Dengue and chikungunya viruses in plasma are effectively inactivated after treatment with methylene blue and visible light.

    Science.gov (United States)

    Fryk, Jesse J; Marks, Denese C; Hobson-Peters, Jody; Prow, Natalie A; Watterson, Daniel; Hall, Roy A; Young, Paul R; Reichenberg, Stefan; Sumian, Chryslain; Faddy, Helen M

    2016-09-01

    Arboviruses, such as dengue viruses (DENV) and chikungunya virus (CHIKV), pose a risk to the safe transfusion of blood components, including plasma. Pathogen inactivation is an approach to manage this transfusion transmission risk, with a number of techniques being used worldwide for the treatment of plasma. In this study, the efficacy of the THERAFLEX MB-Plasma system to inactivate all DENV serotypes (DENV-1, DENV-2, DENV-3, DENV-4) or CHIKV in plasma, using methylene blue and light illumination at 630 nm, was investigated. Pooled plasma units were spiked with DENV-1, DENV-2, DENV-3 DENV-4, or CHIKV and treated with the THERAFLEX MB-Plasma system at four light illumination doses: 20, 40, 60, and 120 (standard dose) J/cm(2) . Pre- and posttreatment samples were collected and viral infectivity was determined. The reduction in viral infectivity was calculated for each dose. Treatment of plasma with the THERAFLEX MB-Plasma system resulted in at least a 4.46-log reduction in all DENV serotypes and CHIKV infectious virus. The residual infectivity for each was at the detection limit of the assay used at 60 J/cm(2) , with dose dependency also observed. Our study demonstrated the THERAFLEX MB-Plasma system can reduce the infectivity of all DENV serotypes and CHIKV spiked into plasma to the detection limit of the assay used at half of the standard illumination dose. This suggests this system has the capacity to be an effective option for managing the risk of DENV or CHIKV transfusion transmission in plasma. © 2016 AABB.

  13. fabrics induced by cold plasma treatments

    Indian Academy of Sciences (India)

    Some selective cold plasma processing modify specific surface properties of ... obtain information on the chemical and physical processing involved in ... charges of suitable gases. such plasma species can give rise to several concurrent.

  14. Molecular-level removal of proteinaceous contamination from model surfaces and biomedical device materials by air plasma treatment.

    Science.gov (United States)

    Banerjee, K K; Kumar, S; Bremmell, K E; Griesser, H J

    2010-11-01

    Established methods for cleaning and sterilising biomedical devices may achieve removal of bioburden only at the macroscopic level while leaving behind molecular levels of contamination (mainly proteinaceous). This is of particular concern if the residue might contain prions. We investigated at the molecular level the removal of model and real-life proteinaceous contamination from model and practical surfaces by air plasma (ionised air) treatment. The surface-sensitive technique of X-ray photoelectron spectroscopy (XPS) was used to assess the removal of proteinaceous contamination, with the nitrogen (N1s) photoelectron signal as its marker. Model proteinaceous contamination (bovine serum albumin) adsorbed on to a model surface (silicon wafer) and the residual proteinaceous contamination resulting from incubating surgical stainless steel (a practical biomaterial) in whole human blood exhibited strong N1s signals [16.8 and 18.5 atomic percent (at.%), respectively] after thorough washing. After 5min air plasma treatment, XPS detected no nitrogen on the sample surfaces, indicating complete removal of proteinaceous contamination, down to the estimated XPS detection limit 10ng/cm(2). Applying the same plasma treatment, the 7.7at.% nitrogen observed on a clinically cleaned dental bur was reduced to a level reflective of new, as-received burs. Contact angle measurements and atomic force microscopy also indicated complete molecular-level removal of the proteinaceous contamination upon air plasma treatment. This study demonstrates the effectiveness of air plasma treatment for removing proteinaceous contamination from both model and practical surfaces and offers a method for ensuring that no molecular residual contamination such as prions is transferred upon re-use of surgical and dental instruments. Crown Copyright © 2010. Published by Elsevier Ltd. All rights reserved.

  15. Improved adhesion of Ag NPs to the polyethylene terephthalate surface via atmospheric plasma treatment and surface functionalization

    Energy Technology Data Exchange (ETDEWEB)

    Shen, Tao [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, 253 Xuefu Rd, Kunming, Yunnan, 650093 (China); Liu, Yong [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, 253 Xuefu Rd, Kunming, Yunnan, 650093 (China); Solmont Technology Wuxi Co., Ltd. 228 Linghu Blvd. Tianan Tech Park, A1-602, Xinwu District, Wuxi, Jiangsu 214135 (China); Zhu, Yan, E-mail: zhuyan@kmust.edu.cn [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, 253 Xuefu Rd, Kunming, Yunnan, 650093 (China); Yang, De-Quan, E-mail: dequan.yang@gmail.com [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, 253 Xuefu Rd, Kunming, Yunnan, 650093 (China); Solmont Technology Wuxi Co., Ltd. 228 Linghu Blvd. Tianan Tech Park, A1-602, Xinwu District, Wuxi, Jiangsu 214135 (China); Sacher, Edward [Regroupement Québécois de Matériaux de Pointe, Department of Engineering Physics, École Polytechnique de Montréal, Case Postale 6079, succursale Centre-Ville, Montréal, Québec H3C 3A7 (Canada)

    2017-07-31

    Highlights: • A two-step process has been developed to enhance the adhesion of immobilized Ag NPs to the PET surface. • The method is simple, easy to use and low-cost for mass production. • The increased density of active sites (−OH, −CH=O and COOH) at the PET surface, after plasma treatment, permits increased reaction with 3-aminopropyltriethoxysilane (APTES). • The presence of APTES with high surface density permits −NH{sub 2}-Ag complex formation, increasing the adhesion of the Ag NPs. - Abstract: Ag nanoparticles (NPs) have been widely applied, as important antibacterial materials, on textile and polymer surfaces. However, their adhesion to nonreactive polymer surfaces is generally too weak for many applications. Here, we propose a two-step process, atmospheric plasma treatment followed by a surface chemical modification process, which enhances their adhesion to polyethylene terephthalate (PET) surfaces. We found that, compared to either plasma treatments or surface chemical functionalizations, alone, this combination greatly enhanced their adhesion. The plasma treatment resulted in an increase of active sites (−OH, −CH=O and COOH) at the PET surface, permitting increased bonding to 3-aminopropyltriethoxysilane (APTES), whose −NH{sub 2} groups were then able to form a bonding complex with the Ag NPs.

  16. Improvement of the Performance of Graphite Felt Electrodes for Vanadium-Redox-Flow-Batteries by Plasma Treatment

    Directory of Open Access Journals (Sweden)

    Eva-Maria Hammer

    2014-02-01

    Full Text Available In the frame of the present contribution oxidizing plasma pretreatment is used for the improvement of the electrocatalytic activity of graphite felt electrodes for Vanadium-Redox-Flow-Batteries (VRB. The influence of the working gas media on the catalytic activity and the surface morphology is demonstrated. The electrocatalytical properties of the graphite felt electrodes were examined by cyclic voltammetry and electrochemical impedance spectroscopy. The obtained results show that a significant improvement of the redox reaction kinetics can be achieved for all plasma modified samples using different working gasses (Ar, N2 and compressed air in an oxidizing environment. Nitrogen plasma treatment leads to the highest catalytical activities at the same operational conditions. Through a variation of the nitrogen plasma treatment duration a maximum performance at about 14 min cm-2 was observed, which is also represented by a minimum of 90 Ω in the charge transfer resistance obtained by EIS measurements. The morphology changes of the graphitized surface were followed using SEM.

  17. Design and optimization of components and processes for plasma sources in advanced material treatments

    OpenAIRE

    Rotundo, Fabio

    2012-01-01

    The research activities described in the present thesis have been oriented to the design and development of components and technological processes aimed at optimizing the performance of plasma sources in advanced in material treatments. Consumables components for high definition plasma arc cutting (PAC) torches were studied and developed. Experimental activities have in particular focussed on the modifications of the emissive insert with respect to the standard electrode configuration, whi...

  18. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO₂ Gate Dielectrics by CF₄ Plasma Treatment.

    Science.gov (United States)

    Fan, Ching-Lin; Tseng, Fan-Ping; Tseng, Chiao-Yuan

    2018-05-17

    In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a HfO₂ gate insulator and CF₄ plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO₂ gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm²/V∙s (without treatment) to 54.6 cm²/V∙s (with CF₄ plasma treatment), which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO₂ gate dielectric has also been improved by the CF₄ plasma treatment. By applying the CF₄ plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device's immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF₄ plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO₂ gate dielectric, but also enhances the device's reliability.

  19. Multi-mode remote participation on the GOLEM tokamak

    Czech Academy of Sciences Publication Activity Database

    Svoboda, V.; Huang, B.; Mlynář, Jan; Pokol, G.I.; Stöckel, Jan; Vondrášek, G.

    2011-01-01

    Roč. 86, 6-8 (2011), s. 1310-1314 ISSN 0920-3796. [Symposium on Fusion Technology (SOFT) /26th./. Porto, 27.09.2010-01.10.2010] Institutional research plan: CEZ:AV0Z20430508 Keywords : Tokamak * remote participation * education Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 1.490, year: 2011 http://www.sciencedirect.com/science/article/pii/S0920379611002390

  20. Dependence of plasma treatment of ITO electrode films on electrical and optical properties of polymer light-emitting diodes

    International Nuclear Information System (INIS)

    Kim, Seung Ho; Baek, Seung Jun; Chang, Ho Jung; Chang, Young Chul

    2012-01-01

    Polymer light-emitting diodes (PLEDs) having indium tin oxide (ITO)/PEDOT:PSS [poly(3,4-ethylenedioxythiophene)-polystyrene sulfonate]/PVK [poly-vinylcarbazole]:PFO-poss [poly(9,9-dioctylfluorene) end capped by polyhedral oligomeric silsesquioxane]/TPBI [2,2',2''-(1,3,5-benzinetriyl)-tris(1-phenyl-1-H-benzimidazole)]/LiF/Al structures were prepared on plasma-treated ITO/glass substrates using spin-coating and thermal evaporation methods. The effects of the plasma treatment on the ITO films to the optical and electrical properties of the PLEDs were examined. The sheet resistance of the ITO films decreased with an increasing radio frequency (RF) plasma intensity from 20 to 200 W under a 20 mTorr Ar + O 2 gas (50:50 vol.%) pressure. The work function of the ITO films without plasma treatment was 4.97 eV, and increased to about 5.16-5.23 eV after the plasma treatment of the films. The surface roughness improved with increasing plasma intensities. The luminance and current efficiency of the PLEDs were improved when the devices were prepared on the plasma-treated ITO/glass substrates. The maximum current density and luminance for the PLEDs was obtained at a 150-W RF plasma intensity; they were 310 mA cm -2 and 2535 cd m -2 at 9 V, respectively. The Commission Internationale d'Eclairage (CIE) color coordinates were found to be x, y = 0.17, 0.06-0.07, showing a good blue color. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  1. Tools for remote collaboration on the DIII-D national fusion facility

    International Nuclear Information System (INIS)

    McHarg, B.B. Jr.; Greenwood, D.

    1999-01-01

    The DIII-D national fusion facility, a tokamak experiment funded by the US Department of Energy and operated by General Atomics (GA), is an international resource for plasma physics and fusion energy science research. This facility has a long history of collaborations with scientists from a wide variety of laboratories and universities from around the world. That collaboration has mostly been conducted by travel to and participation at the DIII-D site. Many new developments in the computing and technology fields are now facilitating collaboration from remote sites, thus reducing some of the needs to travel to the experiment. Some of these developments include higher speed wide area networks, powerful workstations connected within a distributed computing environment, network based audio/video capabilities, and the use of the world wide web. As the number of collaborators increases, the need for remote tools become important options to efficiently utilize the DIII-D facility. In the last two years a joint study by GA, Princeton Plasma Physics Laboratory (PPPL), Lawrence Livermore National Laboratory (LLNL), and Oak Ridge National Laboratory (ORNL) has introduced remote collaboration tools into the DIII-D environment and studied their effectiveness. These tools have included the use of audio/video for communication from the DIII-D control room, the broadcast of meetings, use of inter-process communication software to post events to the network during a tokamak shot, the creation of a DCE (distributed computing environment) cell for creating a common collaboratory environment, distributed use of computer cycles, remote data access, and remote display of results. This study also included sociological studies of how scientists in this environment work together as well as apart. (orig.)

  2. Effect of non-thermal air atmospheric pressure plasma jet treatment on gingival wound healing

    International Nuclear Information System (INIS)

    Lee, Jung-Hwan; Choi, Eun-Ha; Kim, Kwang-Mahn; Kim, Kyoung-Nam

    2016-01-01

    Non-thermal atmospheric pressure plasmas have been applied in the biomedical field for the improvement of various cellular activities. In dentistry, the healing of gingival soft tissue plays an important role in health and aesthetic outcomes. While the biomedical application of plasma has been thoroughly studied in dentistry, a detailed investigation of plasma-mediated human gingival fibroblast (HGF) migration for wound healing and its underlying biological mechanism is still pending. Therefore, the aim of this study is to apply a non-thermal air atmospheric pressure plasma jet (NTAAPPJ) to HGF to measure the migration and to reveal the underlying biological mechanisms involved in the migration. After the characterization of NTAAPPJ by optical emission spectroscopy, the adherent HGF was treated with NTAAPPJ or air with a different flow rate. Cell viability, lipid peroxidation, migration, intracellular reactive oxygen species (ROS), and the expression of migration-related genes (EGFR, PAK1, and MAPK3) were investigated. The level of statistical significance was set at 0.05. NTAAPPJ and air treatment with a flow rate of 250–1000 standard cubic centimetres per minute (sccm) for up to 30 s did not induce significant decreases in cell viability or membrane damage. A significant increase in the migration of mitomycin C-treated HGF was observed after 30 s of NTAAPPJ treatment compared to 30 s air-only treatment, which was induced by high levels of intracellular reactive oxygen species (ROS). An increase in migration-related gene expression and EGFR activation was observed following NTAAPPJ treatment in an air flow rate-dependent manner. This is the first report that NTAAPPJ treatment induces an increase in HGF migration without changing cell viability or causing membrane damage. HGF migration was related to an increase in intracellular ROS, changes in the expression of three of the migration-related genes (EGFR, PAK1, and MAPK1), and EGFR activation. Therefore

  3. New remote maintenance approach for TPX

    International Nuclear Information System (INIS)

    Davis, F.C.; Walker, M.S.; Brown, T.G.

    1993-01-01

    The Tokamak Physics Experiment (TPX), to be located at Princeton Plasma Physics Laboratory, will be a steady-state, high-performance fusion machine. It will use hydrogen fuel during its original operation, deuterium-deuterium (D-D) fuel for an extended intermediate period of time and then a limited number of runs using deuterium-tritium (D-T) fuel. The D-D operations wig generate neutron fluxes that will activate materials of the vacuum vessel. From that point on, the resulting ionizing radiation, which will continue to increase, particularly during D-T operation, will prevent personnel access into the vessel to perform either scheduled or unscheduled maintenance activities. All activities performed within the vacuum vessel must be accomplished using remote means. TPX has taken a new approach for remote maintenance of the components within the vacuum vessel. This document describes the system that is being developed

  4. NOx reduction by ozone injection and direct plasma treatment

    DEFF Research Database (Denmark)

    Stamate, Eugen; Salewski, Mirko

    2012-01-01

    NOx reduction by ozone injection and direct plasma treatment is investigated for different process parameters in a 6 m long serpentine reactor. Several aspects including the role of mixing scheme, water vapours, steep temperature gradient and time dependet NOx levels are taken into consideration...

  5. A staged approach evaluation of remotely supervised myofeedback treatment (RSMT) in women with neck-shoulder pain due to computer work

    NARCIS (Netherlands)

    Huis in 't Veld, M.H.A.; Huijgen, Barbara C.H.; Schaake, Leendert; Hermens, Hermanus J.; Vollenbroek-Hutten, Miriam Marie Rosé

    Remotely supervised myofeedback treatment (RSMT) is a relatively new intervention aimed at reducing neck-shoulder pain and disabilities. Subjects are equipped with a garment that can be worn under the clothes during daily work. Dry surface electrodes incorporated in this garment measure muscle

  6. Plasma and Ocular Prednisolone Disposition after Oral Treatment in Cats

    Directory of Open Access Journals (Sweden)

    María J. Del Sole

    2013-01-01

    Full Text Available Objective. To evaluate the plasma and aqueous humor disposition of prednisolone after oral administration in cats. Methods. Six cats were administered with a single oral dose of prednisolone (10 mg. Blood and aqueous humor samples were serially collected after drug administration. Prednisolone concentrations in plasma and aqueous humor were measured at 0.25, 0.5, 1.0, 1.5, 2.0, 3.0, 4.0, and 5.0 h after administration by a high-performance liquid chromatographic analytical method developed and validated for this purpose. Results. Mean ± standard error (SE of maximum plasma prednisolone concentration (300.8 ± 67.3 ng/mL was reached at 1 h after administration. Prednisolone was distributed to the aqueous humor reaching a mean peak concentration of 100.9 ± 25.5 ng/mL at 1.25 h after administration. The mean ± SE systemic and aqueous humor exposure (AUC was 553.3 ± 120.0 ng*h/mL and 378.8 ± 64.9 ng*h/mL, respectively. A high AUCaqueous humor/AUCplasma ratio was observed (0.68 ± 0.13. The mean half-life time of elimination in plasma and aqueous humor was 0.87 ± 0.16 h and 2.25 ± 0.44 h, respectively. Clinical Significance. The observed high ratio between aqueous humor and plasma prednisolone concentrations indicates that extensive penetration of prednisolone to the anterior segment of the eye may occur. This is the first step that contributes to the optimization of the pharmacological therapeutics for the clinical treatment of uveitis.

  7. DLTS Analysis and Interface Engineering of Solution Route Fabricated Zirconia Based MIS Devices Using Plasma Treatment

    Science.gov (United States)

    Kumar, Arvind; Mondal, Sandip; Koteswara Rao, K. S. R.

    2018-02-01

    In this work, we have fabricated low-temperature sol-gel spin-coated and oxygen (O2) plasma treated ZrO2 thin film-based metal-insulator-semiconductor devices. To understand the impact of plasma treatment on the Si/ZrO2 interface, deep level transient spectroscopy measurements were performed. It is reported that the interface state density ( D it) comes down to 7.1 × 1010 eV-1 cm-2 from 4 × 1011 eV-1 cm-2, after plasma treatment. The reduction in D it is around five times and can be attributed to the passivation of oxygen vacancies near the Si/ZrO2 interface, as they try to relocate near the interface. The energy level position ( E T) of interfacial traps is estimated to be 0.36 eV below the conduction band edge. The untreated ZrO2 film displayed poor leakage behavior due to the presence of several traps within the film and at the interface; O2 plasma treated films show improved leakage current density as they have been reduced from 5.4 × 10-8 A/cm2 to 1.98 × 10-9 A/cm2 for gate injection mode and 6.4 × 10-8 A/cm2 to 6.3 × 10-10 A/cm2 for substrate injection mode at 1 V. Hence, we suggest that plasma treatment might be useful in future device fabrication technology.

  8. Evaluating and monitoring forest fuel treatments using remote sensing applications in Arizona, U.S.A.

    Science.gov (United States)

    Petrakis, Roy; Villarreal, Miguel; Wu, Zhuoting; Hetzler, Robert; Middleton, Barry R.; Norman, Laura M.

    2018-01-01

    The practice of fire suppression across the western United States over the past century has led to dense forests, and when coupled with drought has contributed to an increase in large and destructive wildfires. Forest management efforts aimed at reducing flammable fuels through various fuel treatments can help to restore frequent fire regimes and increase forest resilience. Our research examines how different fuel treatments influenced burn severity and post-fire vegetative stand dynamics on the San Carlos Apache Reservation, in east-central Arizona, U.S.A. Our methods included the use of multitemporal remote sensing data and cloud computing to evaluate burn severity and post-fire vegetation conditions as well as statistical analyses. We investigated how forest thinning, commercial harvesting, prescribed burning, and resource benefit burning (managed wildfire) related to satellite measured burn severity (the difference Normalized Burn Ratio – dNBR) following the 2013 Creek Fire and used spectral measures of post-fire stand dynamics to track changes in land surface characteristics (i.e., brightness, greenness and wetness). We found strong negative relationships between dNBR and post-fire greenness and wetness, and a positive non-linear relationship between dNBR and brightness, with greater variability at higher severities. Fire severity and post-fire surface changes also differed by treatment type. Our results showed harvested and thinned sites that were not treated with prescribed fire had the highest severity fire. When harvesting was followed by a prescribed burn, the sites experienced lower burn severity and reduced post-fire changes in vegetation greenness and wetness. Areas that had previously experienced resource benefit burns had the lowest burn severities and the highest post-fire greenness measurements compared to all other treatments, except for where the prescribed burn had occurred. These results suggest that fire treatments may be most effective at

  9. Investigation of selective induction of breast cancer cells to death with treatment of plasma-activated medium

    Science.gov (United States)

    Hashizume, Hiroshi; Tanaka, Hiromasa; Nakamura, Kae; Kano, Hiroyuki; Ishikawa, Kenji; Kikkawa, Fumitaka; Mizuno, Masaaki; Hori, Masaru

    2015-09-01

    The applications of plasma in medicine have much attention. We previously showed that plasma-activated medium (PAM) induced glioblastoma cells to apoptosis. However, it has not been elucidated the selectivity of PAM in detail. In this study, we investigated the selective effect of PAM on the death of human breast normal and cancer cells, MCF10A and MCF7, respectively, and observed the selective death with fluorescent microscopy. For the investigation of cell viability with PAM treatment, we prepared various PAMs according to the strengths, and treated each of cells with PAMs. Week PAM treatment only decreased the viability of MCF7 cells, while strong PAM treatment significantly affected both viabilities of MCF7 and MCF10A cells. For the fluorescent observation, we prepared the mixture of MCF7 and fluorescent-probed MCF10A cells, and seeded them. After the treatment of PAMs, the images showed that only MCF7 cells damaged in the mixture with week PAM treatment. These results suggested that a specific range existed with the selective effect in the strength of PAM. This work was partly supported by a Grant-in-Aid for Scientific Research on Innovative Areas ``Plasma Medical Innovation'' Grant No. 24108002 and 24108008 from the Ministry of Education, Culture, Sports, Science and Technology of Japan.

  10. Remote maintenance of Compact Ignition Tokamak ex-vessel systems

    International Nuclear Information System (INIS)

    DePew, R.E.; Macdonald, D.

    1989-01-01

    The use of deuterium-tritium (D-T) fuel in the Compact Ignition Tokamak (CIT) will require applying remote handling technology for ex-vessel maintenance and replacement of machine components. Highly activated and contaminated components of the fusion device's auxiliary systems, such as diagnostics and RF heating, must be replaced using remotely operated maintenance equipment in the test cell. Throughout the CIT remote maintenance (RM) studies conducted to date, computer modeling has been used extensively to investigate manipulator access in these complex, tightly packed, and cluttered surroundings. A recent refinement of computer modeling involves the use of an intelligent engineering work station for realtime interactive display of task simulations. This paper discusses the use of three-dimensional (3-D) kinematic computer models of the CIT machines that are proving to be powerful tools in our efforts to evaluate RM requirements. This presentation includes a video-taped simulation of remote replacement of a plasma viewing assembly. The simulation illustrates some of the constraints associated with typical RM activities and the ways in which computer modeling enhances the design process. 1 ref., 3 figs

  11. Support and development for remote collaborations in fusion research

    International Nuclear Information System (INIS)

    Casper, T.A.; Jong, R.A.; Meyer, W.H.; Moller, J.M.

    2000-01-01

    Major fusion experiments and modeling efforts rely on joint research of scientists from several locations around the world. A variety of software tools are in use to provide remote interactive access to facilities and data are routinely available over wide-area-network connections to researchers. Audio and video communications, monitoring of control room information and synchronization of remote sites with experimental operations all enhance participation during experiments. Remote distributed computing capabilities allow utilization of off-site computers that now help support the demands of control room analyses and plasma modeling. A collaborative software development project is currently using object technologies with CORBA-based communications to build a network executable transport code that further demonstrates the ability to utilize geographically dispersed resources. Development to extend these concepts with security and naming services and possible applications to instrumentation systems has been initiated. An Information Technology Initiative is deploying communication systems, ISDN (telephone) and IP (network) audio/video (A/V) and web browser-based, to build the infrastructure needed to support remote physics meetings, seminars and interactive discussions

  12. Support and development for remote collaboration in fusion research

    International Nuclear Information System (INIS)

    Casper, T A; Jong, R A; Meyer, W H; Moller, J M

    1999-01-01

    Major fusion experiments and modeling efforts rely on joint research of scientists from several locations around the world. A variety of software tools are in use to provide remote interactive access to facilities and data are routinely available over wide-area-network connections to researchers. Audio and video communications, monitoring of control room information and synchronization of remote sites with experimental operations all enhance participation during experiments. Remote distributed computing capabilities allow utilization of off-site computers that now help support the demands of control room analyses and plasma modeling. A collaborative software development project is currently using object technologies with CORBA-based communications to build a network executable transport code that further demonstrates the ability to utilize geographically dispersed resources. Development to extend these concepts with security and naming services and possible applications to instrumentation systems has been initiated. An Information Technology Initiative is deploying communication systems, ISDN (telephone) and IP (network) audio/video (A/V) and web browser-based, to build the infrastructure needed to support remote physics meetings, seminars and interactive discussions

  13. Remote automatic control scheme for plasma arc cutting of contaminated waste

    International Nuclear Information System (INIS)

    Dudar, A.M.; Ward, C.R.; Kriikku, E.M.

    1993-01-01

    The Robotics Development Group at the Savannah River Technology Center has developed and implemented a scheme to perform automatic cutting of metallic contaminated waste. The scheme employs a plasma arc cutter in conjunction with a laser ranging sensor attached to a robotic manipulator called the Telerobot. A software algorithm using proportional control is then used to perturb the robot's trajectory in such a way as to regulate the plasma arc standoff and the robot's speed in order to achieve automatic plasma arc cuts. The scheme has been successfully tested on simulated waste materials and the results have been very favorable. This report details the development and testing of the scheme

  14. Set-up and first operation of a plasma oven for treatment of low level radioactive wastes

    Directory of Open Access Journals (Sweden)

    Nachtrodt Frederik

    2014-01-01

    Full Text Available An experimental device for plasma treatment of low and intermediate level radioactive waste was built and tested in several design variations. The laboratory device is designed with the intention to study the general effects and difficulties in a plasma incineration set-up for the further future development of a larger scale pilot plant. The key part of the device consists of a novel microwave plasma torch driven by 200 W electric power, and operating at atmospheric pressure. It is a specific design characteristic of the torch that a high peak temperature can be reached with a low power input compared to other plasma torches. Experiments have been carried out to analyze the effect of the plasma on materials typical for operational low-level wastes. In some preliminary cold tests the behavior of stable volatile species e. g., caesium was investigated by TXRF measurements of material collected from the oven walls and the filtered off-gas. The results help in improving and scaling up the existing design and in understanding the effects for a pilot plant, especially for the off-gas collection and treatment.

  15. Effect of switching to risperidone after unsuccessful treatment with aripiprazole on plasma monoamine metabolites level in the treatment of acute schizophrenia.

    Science.gov (United States)

    Miura, Itaru; Takeuchi, Satoshi; Katsumi, Akihiko; Kanno, Keiko; Watanabe, Kenya; Mashiko, Hirobumi; Niwa, Shin-Ichi

    2012-09-01

    In the treatment of acute schizophrenia, risperidone and aripiprazole are both placed the first line antipsychotics. These two antipsychotics have different pharmacological effects. We investigated the effects of risperidone on plasma levels of homovanillic acid (HVA) and 3-methoxy-4hydroxyphenylglycol after unsuccessful aripiprazole treatment in acute schizophrenia. Ten Japanese patients with acute schizophrenia were enrolled to this study. Plasma levels of monoamine metabolites were analyzed with high-performance liquid chromatography with electrochemical detection. Risperidone improved the symptoms and 4 of 10 patients were responders. Risperidone showed a tendency to decrease plasma HVA (pHVA) levels in responders (p = 0.068), but not in non-responders (p = 1.0). At baseline, pHVA levels of responders were significantly higher than that of non-responders (p = 0.033). A trend for negative correlation was found between pHVA at baseline and the changes in Positive and Negative Syndrome Scale-Total (p = 0.061, r = -0.61). Our results suggest that high pHVA level before switching may predict good response to the second line antipsychotics after unsuccessful first antipsychotic treatment. If aripiprazole is not effective in acute schizophrenia, switching to risperidone may be effective and reasonable strategy for improving symptoms. Copyright © 2012 John Wiley & Sons, Ltd.

  16. Childhood obesity treatment; Effects on BMI SDS, body composition, and fasting plasma lipid concentrations.

    Science.gov (United States)

    Nielsen, Tenna Ruest Haarmark; Fonvig, Cilius Esmann; Dahl, Maria; Mollerup, Pernille Maria; Lausten-Thomsen, Ulrik; Pedersen, Oluf; Hansen, Torben; Holm, Jens-Christian

    2018-01-01

    The body mass index (BMI) standard deviation score (SDS) may not adequately reflect changes in fat mass during childhood obesity treatment. This study aimed to investigate associations between BMI SDS, body composition, and fasting plasma lipid concentrations at baseline and during childhood obesity treatment. 876 children and adolescents (498 girls) with overweight/obesity, median age 11.2 years (range 1.6-21.7), and median BMI SDS 2.8 (range 1.3-5.7) were enrolled in a multidisciplinary outpatient treatment program and followed for a median of 1.8 years (range 0.4-7.4). Height and weight, body composition measured by dual-energy X-ray absorptiometry, and fasting plasma lipid concentrations were assessed at baseline and at follow-up. Lipid concentrations (total cholesterol (TC), low-density lipoprotein (LDL), high-density lipoprotein (HDL), non-HDL, and triglycerides (TG)) were available in 469 individuals (264 girls). Linear regressions were performed to investigate the associations between BMI SDS, body composition indices, and lipid concentrations. At baseline, BMI SDS was negatively associated with concentrations of HDL (p = 6.7*10-4) and positively with TG (p = 9.7*10-6). Reductions in BMI SDS were associated with reductions in total body fat percentage (pobesity during multidisciplinary childhood obesity treatment are accompanied by improvements in body composition and fasting plasma lipid concentrations. Even in individuals increasing their BMI SDS, body composition and lipid concentrations may improve.

  17. Managing symptoms during cancer treatments: evaluating the implementation of evidence-informed remote support protocols

    Directory of Open Access Journals (Sweden)

    Stacey Dawn

    2012-11-01

    Full Text Available Abstract Background Management of cancer treatment-related symptoms is an important safety issue given that symptoms can become life-threatening and often occur when patients are at home. With funding from the Canadian Partnership Against Cancer, a pan-Canadian steering committee was established with representation from eight provinces to develop symptom protocols using a rigorous methodology (CAN-IMPLEMENT©. Each protocol is based on a systematic review of the literature to identify relevant clinical practice guidelines. Protocols were validated by cancer nurses from across Canada. The aim of this study is to build an effective and sustainable approach for implementing evidence-informed protocols for nurses to use when providing remote symptom assessment, triage, and guidance in self-management for patients experiencing symptoms while undergoing cancer treatments. Methods A prospective mixed-methods study design will be used. Guided by the Knowledge to Action Framework, the study will involve (a establishing an advisory knowledge user team in each of three targeted settings; (b assessing factors influencing nurses’ use of protocols using interviews/focus groups and a standardized survey instrument; (c adapting protocols for local use, ensuring fidelity of the content; (d selecting intervention strategies to overcome known barriers and implementing the protocols; (e conducting think-aloud usability testing; (f evaluating protocol use and outcomes by conducting an audit of 100 randomly selected charts at each of the three settings; and (g assessing satisfaction with remote support using symptom protocols and change in nurses’ barriers to use using survey instruments. The primary outcome is sustained use of the protocols, defined as use in 75% of the calls. Descriptive analysis will be conducted for the barriers, use of protocols, and chart audit outcomes. Content analysis will be conducted on interviews/focus groups and usability testing

  18. Remote Sensing and Reflectance Profiling in Entomology.

    Science.gov (United States)

    Nansen, Christian; Elliott, Norman

    2016-01-01

    Remote sensing describes the characterization of the status of objects and/or the classification of their identity based on a combination of spectral features extracted from reflectance or transmission profiles of radiometric energy. Remote sensing can be benchtop based, and therefore acquired at a high spatial resolution, or airborne at lower spatial resolution to cover large areas. Despite important challenges, airborne remote sensing technologies will undoubtedly be of major importance in optimized management of agricultural systems in the twenty-first century. Benchtop remote sensing applications are becoming important in insect systematics and in phenomics studies of insect behavior and physiology. This review highlights how remote sensing influences entomological research by enabling scientists to nondestructively monitor how individual insects respond to treatments and ambient conditions. Furthermore, novel remote sensing technologies are creating intriguing interdisciplinary bridges between entomology and disciplines such as informatics and electrical engineering.

  19. Seed disinfection effect of atmospheric pressure plasma and low pressure plasma on Rhizoctonia solani.

    Science.gov (United States)

    Nishioka, Terumi; Takai, Yuichiro; Kawaradani, Mitsuo; Okada, Kiyotsugu; Tanimoto, Hideo; Misawa, Tatsuya; Kusakari, Shinichi

    2014-01-01

    Gas plasma generated and applied under two different systems, atmospheric pressure plasma and low pressure plasma, was used to investigate the inactivation efficacy on the seedborne pathogenic fungus, Rhizoctonia solani, which had been artificially introduced to brassicaceous seeds. Treatment with atmospheric plasma for 10 min markedly reduced the R. solani survival rate from 100% to 3% but delayed seed germination. The low pressure plasma treatment reduced the fungal survival rate from 83% to 1.7% after 10 min and the inactivation effect was dependent on the treatment time. The seed germination rate after treatment with the low pressure plasma was not significantly different from that of untreated seeds. The air temperature around the seeds in the low pressure system was lower than that of the atmospheric system. These results suggested that gas plasma treatment under low pressure could be effective in disinfecting the seeds without damaging them.

  20. Evolution of plasma homovanillic acid (HVA) levels during treatment in schizo-affective disorder.

    Science.gov (United States)

    Galinowski, A; Castelnau, C; Spreux-Varoquaux, O; Bourdel, M C; Olie, J P; Loo, H; Poirier, M F

    2000-11-01

    1. Plasma Homovanillic Acid (p HVA) levels were measured by HPLC (high performance liquid chromatography) in 5 schizo-affective depressed patients receiving a standardized treatment. (lithium, chlorpromazine and clomipramine) during 4 weeks. 2. Four patients were pretreated, without a washout period. 3. No significant difference was observed between patients and normal controls at baseline. Under treatment, pHVA levels increased (ppHVA levels that increase with clinical improvement, unlike schizophrenic patients whose increased pHVA concentrations decline with neuroleptic treatment.

  1. Roughness transitions of diamond(100) induced by hydrogen-plasma treatment

    Science.gov (United States)

    Koslowski, B.; Strobel, S.; Wenig, M. J.; Ziemann, P.

    To investigate the influence of hydrogen-plasma treatment on diamond(100) surfaces, heavily boron (B)-doped HPHT diamond crystals were mechanically and chemo-mechanically polished, and exposed to a microwave-assisted hydrogen plasma on a time scale of several minutes. The resulting surface morphology was analyzed on macroscopic scales by stylus profilometry (PFM) and on microscopic scales by STM and AFM. The polished samples have a roughness of typically 100 pmrms (PFM), with no obvious anisotropic structures at the surface. After exposure of the B-doped diamond(100) to the H-plasma, the roughness increases dramatically, and pronounced anisotropic structures appear, these being closely aligned with the crystallographic axis' and planes. An exposure for 3 minutes to the plasma leads to an increase of the roughness to 2-4 nmrms (STM), and a `brick-wall' pattern appears, formed by weak cusps running along . Very frequently, the cusps are replaced by `negative' pyramids that are bordered by {11X} facets. After an exposure of an additional 5 minutes, the surface roughness of the B-doped samples increases further to 20-40 nmrms (STM), and frequently exhibits a regular pattern with structures at a characteristic length scale of about 100 nm. Those structures are aligned approximately with and they are faceted with faces of approximately {XX1}. These results will be discussed in terms of strain relaxation, similar to the surface roughening observed on SiGe/Si and anisotropic etching.

  2. Progress In Magnetized Target Fusion Driven by Plasma Liners

    Science.gov (United States)

    Thio, Francis Y. C.; Kirkpatrick, Ronald C.; Knapp, Charles E.; Cassibry, Jason; Eskridge, Richard; Lee, Michael; Smith, James; Martin, Adam; Wu, S. T.; Schmidt, George; hide

    2001-01-01

    Magnetized target fusion (MTF) attempts to combine the favorable attributes of magnetic confinement fusion (MCF) for energy confinement with the attributes of inertial confinement fusion (ICF) for efficient compression heating and wall-free containment of the fusing plasma. It uses a material liner to compress and contain a magnetized plasma. For practical applications, standoff drivers to deliver the imploding momentum flux to the target plasma remotely are required. Spherically converging plasma jets have been proposed as standoff drivers for this purpose. The concept involves the dynamic formation of a spherical plasma liner by the merging of plasma jets, and the use of the liner so formed to compress a spheromak or a field reversed configuration (FRC).

  3. Effect of oxygen plasma treatment on crystal growth mode at pentacene/Ni interface in organic thin-film transistors.

    Science.gov (United States)

    Song, Bang Joo; Hong, Kihyon; Kim, Woong-Kwon; Kim, Kisoo; Kim, Sungjun; Lee, Jong-Lam

    2010-11-25

    We report how treatment of nickel (Ni) with O(2) plasma affects the polarity of Ni surface, crystallinity of pentacene film on the Ni, and electrical properties of pentacene organic thin-film transistors (OTFTs) that use Ni as source-drain electrodes. The polar component of surface energy in Ni surface increased from 8.1 to 43.3 mJ/m(2) after O(2)-plasma treatment for 10 s. From X-ray photoelectron spectra and secondary electron emission spectra, we found that NiO(x) was formed on the O(2)-plasma-treated Ni surface and the work function of O(2)-plasma-treated Ni was 0.85 eV higher than that of untreated Ni. X-ray diffraction and atomic force microscopy measurements showed that pentacene molecules are well aligned as a thin-film and grains grow much larger on O(2)-plasma-treated Ni than on untreated Ni. This change in the growth mode is attributed to the reduction of interaction energy between pentacene and Ni due to formation of oxide at the Ni/pentacene interface. Thus, O(2)-plasma treatment promoted the growth of well-ordered pentacene film and lowered both the hole injection barrier and the contact resistance between Ni and pentacene by forming NiO(x), enhancing the electrical property of bottom-contact OTFTs.

  4. In-vessel remote maintenance of the Compact Ignition Tokamak

    International Nuclear Information System (INIS)

    Tabor, M.A.; Hager, E.R.; Creedon, R.L.; Fisher, M.V.; Atkin, S.D.

    1987-01-01

    The Compact Ignition Tokamak (CIT) is the first deuterium-tritium (D-T) fusion device that will study the physics of an ignited plasma. The ability of the tokamak vacuum vessel to be maintained remotely while under vacuum has not been fully demonstrated on previous machines, and this ability will be critical to the efficient and safe operation of ignition devices. Although manned entry into the CIT vacuum vessel will be possible during the nonactivated stages of operation, remotely automated equipment will be used to assist in initial assembly of the vessel as well as to maintain all in-vessel components once the D-T burn is achieved. Remote maintenance and operation will be routinely required for replacement of thermal protection tiles, inspection of components, leak detection, and repair welding activities. Conceptual design to support these remote maintenance activities has been integrated with the conceptual design of the in-vessel components to provide a complete and practical remote maintenance system for CIT. The primary remote assembly and maintenance operations on CIT will be accomplished through two dedicated 37- x 100-cm ports on the main toroidal vessel. Each port contains a single articulated boom manipulator (ABM), which is capable of accessing half of the torus. The proposed ABM consists of a movable carriage assembly, telescoping two-part mast, and articulated link sections. 1 ref

  5. Possibility for a self-consistent treatment of transport processes in a turbulent plasma

    International Nuclear Information System (INIS)

    Mondt, J.P.

    1985-06-01

    All commonly used models of plasma dynamics share a common flaw in their a priori validity. In particular, a solid foundation of plasma modelling on microscopic dynamics, as exists for moderately dilute gases, is obscured because of the difficulties inherent in the treatment of the potentially very important interplay between plasma waves and collisional processes. The present report briefly discusses the nature of these difficulties and presents a possible approach towards the establishment of a plasma theory founded on the microscopic particle dynamics. The essence of this approach is the realization that only discrete particle interactions can create correlations. These therefore come into being on different spatial scales depending on their cluster number, after which collective effects magnify them analogous to the growth of intial perturbations in an unstable system. Truncation of the Born-Bogolyubov-Green-Kirkwood-Yvon ('BBGKY') hierarchy thereby becomes a possibility through the introduction of a small parameter in intial conditions although the dynamical system in itself does not contain a uniformly small parameter

  6. Oxidation of Inconel 625 superalloy upon treatment with oxygen or hydrogen plasma at high temperature

    Science.gov (United States)

    Vesel, Alenka; Drenik, Aleksander; Elersic, Kristina; Mozetic, Miran; Kovac, Janez; Gyergyek, Tomaz; Stockel, Jan; Varju, Jozef; Panek, Radomir; Balat-Pichelin, Marianne

    2014-06-01

    Initial stages of Inconel 625 superalloy (Ni60Cr30Mo10Ni4Nb1) oxidation upon short treatment with gaseous plasma at different temperatures up to about 1600 K were studied. Samples were treated for different periods up to a minute by oxygen or hydrogen plasma created with a microwave discharge in the standing-wave mode at a pressure of 40 Pa and a power 500 W. Simultaneous heating of the samples was realized by focusing concentrated solar radiation from a 5 kW solar furnace directly onto the samples. The morphological changes upon treatment were monitored using scanning electron microscopy, compositional depth profiling was performed using Auger electron spectroscopy, while structural changes were determined by X-ray diffraction. The treatment in oxygen plasma caused formation of metal oxide clusters of three dimensional crystallites initially rich in nickel oxide with the increasing chromium oxide content as the temperature was increasing. At about 1100 K iron and niobium oxides prevailed on the surface causing a drop of the material emissivity at 5 μm. Simultaneously the NiCr2O4 compound started growing at the interface between the oxide film and bulk alloy and the compound persisted up to temperatures close to the Inconel melting point. Intensive migration of minority alloying elements such as Fe and Ti was observed at 1600 K forming mixed surface oxides of sub-micrometer dimensions. The treatment in hydrogen plasma with small admixture of water vapor did not cause much modification unless the temperature was close to the melting point. At such conditions aluminum segregated on the surface and formed well-defined Al2O3 crystals.

  7. LASER ABLATION-INDUCTIVELY COUPLED PLASMA-ATOMIC EMISSION SPECTROSCOPY STUDY AT THE 222-S LABORATORY USING HOT-CELL GLOVE BOX PROTOTYPE SYSTEM

    International Nuclear Information System (INIS)

    Lockrem, L.L.; Owens, J.W.; Seidel, C.M.

    2009-01-01

    This report describes the installation, testing and acceptance of the Waste Treatment and Immobilization Plant procured laser ablation-inductively coupled plasma-atomic emission spectroscopy (LA-ICP-AES) system for remotely analyzing high-level waste samples in a hot cell environment. The 2005-003; ATS MP 1027, Management Plan for Waste Treatment Plant Project Work Performed by Analytical Technical Services. The APD group at the 222-S laboratory demonstrated acceptable turnaround time (TAT) and provide sufficient data to assess sensitivity, accuracy, and precision of the LA-ICP-AES method

  8. A prospective comparison of times to presentation and treatment of regional and remote head and neck patients in North Queensland, Australia.

    Science.gov (United States)

    Tan, J Y-A; Otty, Z A; Vangaveti, V N; Buttner, P; Varma, S C; Joshi, A J; Kelly, J; Collins, M; Sabesan, S S

    2016-08-01

    This study aims to examine differences between outer regional (OR) and remote/very remote (RVR) patients in northern Queensland, Australia in the times taken to receive various aspects of head and neck cancer management. Our study prospectively recruited head and neck cancer patients presenting to three North Queensland regional hospitals from January 2009 to January 2011. Data on demographic and cancer-specific details, comorbidities and timing of presentation to various services, were collected using a self-administered questionnaire that included two questions in relation to possible reason for delays to health services. Multivariate linear regression analyses were conducted to assess the effects of various demographic characteristics on time delays. Survival and disease recurrence data were analysed in 2014. One hundred and fifty-eight patients participated. RVR patients had significantly longer median times between diagnosis and first treatment compared with OR patients (P = 0.015). Indigenous patients had significant delays from diagnosis to first treatment (P = 0.013) and visit to first specialist and treatment (P = 0.031) compared to non-Indigenous patients. Longer median times between symptoms and first treatment was associated with low income (P = 0.03) and lower education level (P = 0.04). Disease recurrence was higher for RVR patients compared with OR patients (P = 0.04), without significant differences in overall survival. Possible reasons for delays included patient and professional factors. Significant delays in various aspects of head and neck cancer management were associated with remoteness, Indigenous and socioeconomic status. While patient and professional factors could be addressed at local levels, sustainable improvement in outcomes requires a state and national level approach. © 2016 Royal Australasian College of Physicians.

  9. Plasma metallization

    International Nuclear Information System (INIS)

    Crowther, J.M.

    1997-09-01

    Many methods are currently used for the production of thin metal films. However, all of these have drawbacks associated with them, for example the need for UHV conditions, high temperatures, exotic metal precursors, or the inability to coat complex shaped objects. Reduction of supported metal salts by non-isothermal plasma treatment does not suffer from these drawbacks. In order to produce and analyse metal films before they become contaminated, a plasma chamber which could be attached directly to a UHV chamber with XPS capability was designed and built. This allowed plasma treatment of supported metal salts and surface analysis by XPS to be performed without exposure of the metal film to the atmosphere. Non-equilibrium plasma treatment of Nylon 66 supported gold(lll) chloride using hydrogen as the feed gas resulted in a 95% pure gold film, the remaining 5% of the film being carbon. If argon or helium were used as the feed gases during plasma treatment the resultant gold films were 100% pure. Some degree of surface contamination of the films due to plasma treatment was observed but was easily removed by argon ion cleaning. Hydrogen plasma reduction of glass supported silver(l) nitrate and palladium(ll) acetate films reveals that this metallization technique is applicable to a wide variety of metal salts and supports, and has also shown the ability of plasma reduction to retain the complex 'fern-like' structures seen for spin coated silver(l) nitrate layers. Some metal salts are susceptible to decomposition by X-rays. The reduction of Nylon 66 supported gold(lll) chloride films by soft X-rays to produce nanoscopic gold particles has been studied. The spontaneous reduction of these X-ray irradiated support gold(lll) chloride films on exposure to the atmosphere to produce gold rich metallic films has also been reported. (author)

  10. Mechanical strength and hydrophobicity of cotton fabric after SF6 plasma treatment

    Science.gov (United States)

    Kamlangkla, K.; Paosawatyanyong, B.; Pavarajarn, V.; Hodak, Jose H.; Hodak, Satreerat K.

    2010-08-01

    Surface treatments to tailor fabric properties are in high demand by the modern garment industry. We studied the effect of radio-frequency inductively coupled SF plasma on the surface characteristics of cotton fabric. The duration of the treatment and the SF pressure were varied systematically. We measured the hydrophobicity of treated cotton as a function of storage time and washing cycles. We used the weight loss (%) along with the etching rate, the tensile strength, the morphology changes and the hydrophobicity of the fabric as observables after treatments with different plasma conditions. The weight loss remains below 1% but it significantly increases when the treatment time is longer than 5 min. Substantial changes in the surface morphology of the fiber are concomitant with the increased etching rate and increased weight loss with measurable consequences in their mechanical characteristics. The measured water absorption time reaches the maximum of 210 min when the SF pressure is higher than 0.3 Torr. The water contact angle ( 149°) and the absorption time (210 min) of cotton treated with extreme conditions appear to be durable as long as the fabric is not washed. X-ray photoelectron spectroscopy analysis reveals that the water absorption time of the fabric follows the same increasing trend as the fluorine/carbon ratio at the fabric surface and atom density of fluorine measured by Ar actinometer.

  11. Mechanical strength and hydrophobicity of cotton fabric after SF6 plasma treatment

    International Nuclear Information System (INIS)

    Kamlangkla, K.; Paosawatyanyong, B.; Pavarajarn, V.; Hodak, Jose H.; Hodak, Satreerat K.

    2010-01-01

    Surface treatments to tailor fabric properties are in high demand by the modern garment industry. We studied the effect of radio-frequency inductively coupled SF 6 plasma on the surface characteristics of cotton fabric. The duration of the treatment and the SF 6 pressure were varied systematically. We measured the hydrophobicity of treated cotton as a function of storage time and washing cycles. We used the weight loss (%) along with the etching rate, the tensile strength, the morphology changes and the hydrophobicity of the fabric as observables after treatments with different plasma conditions. The weight loss remains below 1% but it significantly increases when the treatment time is longer than 5 min. Substantial changes in the surface morphology of the fiber are concomitant with the increased etching rate and increased weight loss with measurable consequences in their mechanical characteristics. The measured water absorption time reaches the maximum of 210 min when the SF 6 pressure is higher than 0.3 Torr. The water contact angle (149 deg.) and the absorption time (210 min) of cotton treated with extreme conditions appear to be durable as long as the fabric is not washed. X-ray photoelectron spectroscopy analysis reveals that the water absorption time of the fabric follows the same increasing trend as the fluorine/carbon ratio at the fabric surface and atom density of fluorine measured by Ar actinometer.

  12. Clinical significance of determination of changes of serum TNF-α and plasma VEGF contents after treatment in pediatric patients with acute nephritis

    International Nuclear Information System (INIS)

    Ding Guomin

    2008-01-01

    Objective: To investigate the serum TNF-α and plasma VEGF levels after treatment in pediatric patients with acute nephritis. Methods: Serum TNF-α levels (with RIA) and plasma VEGF levels (with ELISA) were determined in 32 pediatric patients with acute nephritis both before and after treatment as well as in 35 controls. Results: Before treatment the serum TNF-α and plasma VEGF levels in the patients were significantly higher than those in the controls (P<0.01). After one month of treatment with combined traditional Chinese and western medicine, the levels though dropped markedly, still remained significantly higher than those in controls (P<0.05). Conclusion: Development of acute nephritis in pediatric patients was closely related to the serum TNF-α and plasma VEGF levels. (authors)

  13. Cell treatment and surface functionalization using a miniature atmospheric pressure glow discharge plasma torch

    International Nuclear Information System (INIS)

    Yonson, S; Coulombe, S; Leveille, V; Leask, R L

    2006-01-01

    A miniature atmospheric pressure glow discharge plasma torch was used to detach cells from a polystyrene Petri dish. The detached cells were successfully transplanted to a second dish and a proliferation assay showed the transplanted cells continued to grow. Propidium iodide diffused into the cells, suggesting that the cell membrane had been permeabilized, yet the cells remained viable 24 h after treatment. In separate experiments, hydrophobic, bacteriological grade polystyrene Petri dishes were functionalized. The plasma treatment reduced the contact angle from 93 0 to 35 0 , and promoted cell adhesion. Two different torch nozzles, 500 μm and 150 μm in internal diameter, were used in the surface functionalization experiments. The width of the tracks functionalized by the torch, as visualized by cell adhesion, was approximately twice the inside diameter of the nozzle. These results indicate that the miniature plasma torch could be used in biological micropatterning, as it does not use chemicals like the present photolithographic techniques. Due to its small size and manouvrability, the torch also has the ability to pattern complex 3D surfaces

  14. Radioactive Waste Treatment and Conditioning Using Plasma Technology Pilot Plant: Testing and Commissioning

    International Nuclear Information System (INIS)

    Rafizi Salihuddin; Rohyiza Baan; Norasalwa Zakaria

    2016-01-01

    Plasma pilot plant was commissioned for research and development program on radioactive waste treatment. The plant is equipped with a 50 kW direct current of non-transferred arc plasma torch which mounted vertically on top of the combustion chamber. The plant also consists of a dual function chamber, a water cooling system, a compress air supply system and a control system. This paper devoted the outcome after testing and commissioning of the plant. The problems arise was discussed in order to find the possible suggestion to overcome the issues. (author)

  15. Advancements and applications of plasma arc centrifugal treatment

    International Nuclear Information System (INIS)

    Eschenbach, R.C.; Leland, L.B.; Chen, W.M.

    1997-01-01

    A process using a transferred arc plasma to heat material charged into a spinning tube inside a sealed, water-cooled container has been applied to radioactive and hazardous waste treatment in several countries. Inorganic material in the feed is melted into a leach-resistant slag, while organic material is vaporized and reacted to form carbon dioxide and water vapor. Any acid gases formed plus particulates are removed in a gas cleanup system. Design features and their relations to design objectives are described. Current and near-future applications are reported for treating nuclear power plant wastes and for remediating contamination from past nuclear weapons activities

  16. Reel-to-Reel Atmospheric Pressure Dielectric Barrier Discharge (DBD Plasma Treatment of Polypropylene Films

    Directory of Open Access Journals (Sweden)

    Lukas JW Seidelmann

    2017-03-01

    Full Text Available Atmospheric pressure plasma treatment of the surface of a polypropylene film can significantly increase its surface energy and, thereby improve the printability of the film. A laboratory-scale dielectric barrier discharge (DBD system has therefore been developed, which simulates the electrode configuration and reel-to-reel web transport mechanism used in a typical industrial-scale system. By treating the polypropylene in a nitrogen discharge, we have shown that the water contact angle could be reduced by as much as 40° compared to the untreated film, corresponding to an increase in surface energy of 14 mNm−1. Ink pull-off tests showed that the DBD plasma treatment resulted in excellent adhesion of solvent-based inks to the polypropylene film.

  17. Chaos and Structures in Nonlinear Plasmas

    Science.gov (United States)

    Chen, James

    In recent decades, the concepts and applications of chaos, complexity, and nonlinear dynamics have profoundly influenced scientific as well as literary thinking. Some aspects of these concepts are used in almost all of the geophysical disciplines. Chaos and Structures in Nonlinear Plasmas, written by two respected plasma physicists, focuses on nonlinear phenomena in laboratory and space plasmas, which are rich in nonlinear and complex collective effects. Chaos is treated only insofar as it relates to some aspects of nonlinear plasma physics.At the outset, the authors note that plasma physics research has made fundamental contributions to modern nonlinear sciences. For example, the Poincare surface of section technique was extensively used in studies of stochastic field lines in magnetically confined plasmas and turbulence. More generally, nonlinearity in plasma waves and wave-wave and wave-particle interactions critically determines the propagation of energy through a plasma medium. The book also makes it clear that the importance of understanding nonlinear waves goes beyond plasma physics, extending to such diverse fields as solid state physics, fluid dynamics, atmospheric physics, and optics. In space physics, non-linear plasma physics is essential for interpreting in situ as well as remote-sensing data.

  18. Conceptual studies of plasma engineering test facility

    International Nuclear Information System (INIS)

    Hiraoka, Toru; Tazima, Teruhiko; Sugihara, Masayoshi; Kasai, Masao; Shinya, Kichiro

    1979-04-01

    Conceptual studies have been made of a Plasma Engineering Test Facility, which is to be constructed following JT-60 prior to the experimental power reactor. The physical aim of this machine is to examine self-ignition conditions. This machine possesses all essential technologies for reactor plasma, i.e. superconducting magnet, remote maintenance, shielding, blanket test modules, tritium handling. Emphasis in the conceptual studies was on structural consistency of the machine and whether the machine would be constructed practically. (author)

  19. Remote Monitoring of Cardiac Implantable Electronic Devices.

    Science.gov (United States)

    Cheung, Christopher C; Deyell, Marc W

    2018-01-08

    Over the past decade, technological advancements have transformed the delivery of care for arrhythmia patients. From early transtelephonic monitoring to new devices capable of wireless and cellular transmission, remote monitoring has revolutionized device care. In this article, we review the current evolution and evidence for remote monitoring in patients with cardiac implantable electronic devices. From passive transmission of device diagnostics, to active transmission of patient- and device-triggered alerts, remote monitoring can shorten the time to diagnosis and treatment. Studies have shown that remote monitoring can reduce hospitalization and emergency room visits, and improve survival. Remote monitoring can also reduce the health care costs, while providing increased access to patients living in rural or marginalized communities. Unfortunately, as many as two-thirds of patients with remote monitoring-capable devices do not use, or are not offered, this feature. Current guidelines recommend remote monitoring and interrogation, combined with annual in-person evaluation in all cardiac device patients. Remote monitoring should be considered in all eligible device patients and should be considered standard of care. Copyright © 2018 Canadian Cardiovascular Society. Published by Elsevier Inc. All rights reserved.

  20. Thinning and functionalization of few-layer graphene sheets by CF4 plasma treatment

    KAUST Repository

    Shen, Chao; Cao, Ronggen; Cheng, Yingchun; Ding, Fei; Huang, Gaoshan; Mei, Yongfeng; Schwingenschlö gl, Udo

    2012-01-01

    of the graphene lattice as well as functionalization during the plasma treatment. The F/CF3 adsorption and the lattice distortion produced are proved by theoretical simulation using density functional theory, which also predicts p-type doping and Dirac cone