WorldWideScience

Sample records for remote plasma chemical

  1. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  2. Chemical modeling of a high-density inductively-coupled plasma reactor containing silane

    NARCIS (Netherlands)

    Kovalgin, Alexeij Y.; Boogaard, A.; Brunets, I.; Holleman, J.; Schmitz, Jurriaan

    We carried out the modeling of chemical reactions in a silane-containing remote Inductively Coupled Plasma Enhanced Chemical Vapor Deposition (ICPECVD) system, intended for deposition of silicon, silicon oxide, and silicon nitride layers. The required electron densities and Electron Energy

  3. Post-CMP cleaning for metallic contaminant removal by using a remote plasma and UV/ozone

    International Nuclear Information System (INIS)

    Lim, Jong Min; Jeon, Bu Yong; Lee, Chong Mu

    2000-01-01

    For the chemical mechanical polishing (CMP) process to be successful, it is important to establish a good post-CMP cleaning process that will remove not only slurry and particles but also metallic impurities from the polished surface. The common metallic contaminants found after oxide CMP and Cu CMP include Cu, K, and Fe. Scrubbing, a popular method for post-CMP cleaning, is effective in removing particles, but removal of metallic contaminants using this method is not so effective. In this study, the removal of Fe metallic contaminants like Fe, which are commonly found on the wafer surface after CMP processes, was investigated using remote-hydrogen-plasma and UV/O 3 cleaning techniques. Our results show that metal contaminants, including Fe, can be effectively removed by using a hydrogen-plasma or UV/O 3 cleaning technique performed under optimal process conditions. In remote plasma H 2 cleaning, contaminant removal is enhanced with decreasing plasma exposure time and increasing rf-power. The optimal process condition for the removal of the Fe impurities existing on the wafer surface is an rf-power of 100 W. Plasma cleaning for 5 min or less is effective in removing Fe contaminants, but a plasma exposure time of 1 min is more appropriate than 5 min in view of the process time, The surface roughness decreased by 30∼50 % after remote-H 2 -plasma cleaning. On the other hand, the highest efficiency of Fe-impurity removal was achieved for an UV exposure time of 30 s. The removal mechanism for the Fe contaminants in the remote-H 2 -plasma and the UV/O 3 cleaning processes is considered to be the liftoff of Fe atoms when the SiO is removed by evaporation after the chemical or native SiO 2 formed underneath the metal atoms reacts with H + and e - to form SiO

  4. Effect of additive gases and injection methods on chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F2 remote plasmas

    International Nuclear Information System (INIS)

    Yun, Y. B.; Park, S. M.; Kim, D. J.; Lee, N.-E.; Kim, K. S.; Bae, G. H.

    2007-01-01

    The authors investigated the effects of various additive gases and different injection methods on the chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F 2 remote plasmas. N 2 and N 2 +O 2 gases in the F 2 /Ar/N 2 and F 2 /Ar/N 2 /O 2 remote plasmas effectively increased the etch rate of the layers. The addition of direct-injected NO gas increased the etch rates most significantly. NO radicals generated by the addition of N 2 and N 2 +O 2 or direct-injected NO molecules contributed to the effective removal of nitrogen and oxygen in the silicon nitride and oxide layers, by forming N 2 O and NO 2 by-products, respectively, and thereby enhancing SiF 4 formation. As a result of the effective removal of the oxygen, nitrogen, and silicon atoms in the layers, the chemical dry etch rates were enhanced significantly. The process regime for the etch rate enhancement of the layers was extended at elevated temperature

  5. Remote operation of the vertical plasma stabilization @ the GOLEM tokamak for the plasma physics education

    Energy Technology Data Exchange (ETDEWEB)

    Svoboda, V., E-mail: svoboda@fjfi.cvut.cz [Faculty of Nuclear Sciences and Physical Engineering CTU Prague, CZ-115 19 (Czech Republic); Kocman, J.; Grover, O. [Faculty of Nuclear Sciences and Physical Engineering CTU Prague, CZ-115 19 (Czech Republic); Krbec, J.; Stöckel, J. [Faculty of Nuclear Sciences and Physical Engineering CTU Prague, CZ-115 19 (Czech Republic); Institute of Plasma Physics AS CR, CZ-182 21 Prague (Czech Republic)

    2015-10-15

    Graphical abstract: * Understandable remote operation of a vertical plasma position control system in the tokamak GOLEM for educational purposes.* Two combinable modes of real-time plasma position control: position based feedback and a pre-defined waveform.* More than 20% plasma life prolongation with plasma position control in feedback mode. - Highlights: • Understandable remote operation of a vertical plasma position control system in the tokamak GOLEM for educational purposes. • Two combinable modes of real-time plasma position control: position based feedback and a pre-defined waveform. • More than 20% plasma life prolongation with plasma position control in feedback mode. - Abstract: The GOLEM tokamak at the Czech Technical University has been established as an educational tokamak device for domestic and foreign students. Remote participation in the scope of several laboratory practices, plasma physics schools and workshops has been successfully performed from abroad. A new enhancement allowing understandable remote control of vertical plasma position in two modes (i) predefined and (ii) feedback control is presented. It allows to drive the current in the stabilization coils in any time-dependent scenario, which can include as a parameter the actual plasma position measured by magnetic diagnostics. Arbitrary movement of the plasma column in a vertical direction, stabilization of the plasma column in the center of the tokamak vessel as well as prolongation/shortening of plasma life according to the remotely defined request are demonstrated.

  6. Remote plasma enhanced chemical deposition of non-crystalline GeO2 on Ge and Si substrates.

    Science.gov (United States)

    Lucovsky, Gerald; Zeller, Daniel

    2011-09-01

    Non-crystalline GeO2 films remote were plasma deposited at 300 degrees C onto Ge substrates after a final rinse in NH4OH. The reactant precursors gas were: (i) down-stream injected 2% GeH4 in He as the Ge precursor, and (ii) up-stream, plasma excited O2-He mixtures as the O precursor. Films annealed at 400 degrees C displayed no evidence for loss of O resulting in Ge sub-oxide formation, and for a 5-6 eV mid-gap absorption associated with formation of GeOx suboxide bonding, x deposited on Ge and annealed at 600 degrees C and 700 degrees C display spectra indicative of loss of O-atoms, accompanied with a 5.5 eV absorption. X-ray absorption spectroscopy and many-electron theory are combined to describe symmetries and degeneracies for O-vacancy bonding defects. These include comparisons with remote plasma-deposited non-crystalline SiO2 on Si substrates with SiON interfacial layers. Three different properties of remote plasma GeO2 films are addressed comparisons between (i) conduction band and band edge states of GeO2 and SiO2, and (ii) electronic structure of O-atom vacancy defects in GeO2 and SiO2, and differences between (iii) annealing of GeO2 films on Ge substrates, and Si substrates passivated with SiON interfacial transition regions important for device applications.

  7. On the intrinsic moisture permeation rate of remote microwave plasma-deposited silicon nitride layers

    NARCIS (Netherlands)

    van Assche, F. J. H.; Unnikrishnan, S.; Michels, J. J.; van Mol, A. M. B.; van de Weijer, P.; M. C. M. van de Sanden,; Creatore, M.

    2014-01-01

    We report on a low substrate temperature (110 °C) remote microwave plasma-enhanced chemical vapor deposition (PECVD) process of silicon nitride barrier layers against moisture permeation for organic light emitting diodes (OLEDs) and other moisture sensitive devices such as organic

  8. Stages of polymer transformation during remote plasma oxidation (RPO) at atmospheric pressure

    Science.gov (United States)

    Luan, P.; Oehrlein, G. S.

    2018-04-01

    The interaction of cold temperature plasma sources with materials can be separated into two types: ‘direct’ and ‘remote’ treatments. Compared to the ‘direct’ treatment which involves energetic charged species along with short-lived, strongly oxidative neutral species, ‘remote’ treatment by the long-lived weakly oxidative species is less invasive and better for producing uniformly treated surfaces. In this paper, we examine the prototypical case of remote plasma oxidation (RPO) of polymer materials by employing a surface micro-discharge (in a N2/O2 mixture environment) treatment on polystyrene. Using material characterization techniques including real-time ellipsometry, x-ray photoelectron spectroscopy, and Fourier-transform infrared spectroscopy, the time evolution of polymer film thickness, refractive index, surface, and bulk chemical composition were evaluated. These measurements revealed three consecutive stages of polymer transformation, i.e. surface adsorption and oxidation, bulk film permeation and thickness expansion followed by the material removal as a result of RPO. By correlating the observed film thickness changes with simultaneously obtained chemical information, we found that the three stages were due to the three effects of weakly oxidative species on polymers: (1) surface oxidation and nitrate (R-ONO2) chemisorption, (2) bulk oxidation, and (3) etching. Our results demonstrate that surface adsorption and oxidation, bulk oxidation, and etching can all happen during one continuous plasma treatment. We show that surface nitrate is only adsorbed on the top few nanometers of the polymer surface. The polymer film expansion also provided evidence for the diffusion and reaction of long-lived plasma species in the polymer bulk. Besides, we found that the remote plasma etched surface was relatively rich in O-C=O (ester or carboxylic acid). These findings clarify the roles of long-lived weakly oxidative plasma species on polymers and advance

  9. The design of remote participation platform for EAST plasma control

    Energy Technology Data Exchange (ETDEWEB)

    Yuan, Q.P., E-mail: qpyuan@ipp.ac.cn [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei (China); Xiao, B.J. [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei (China); University of Science & Technology of China, Hefei (China); Zhang, R.R. [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei (China); Chai, W.T.; Liu, J.; Xiao, R.; Zhou, Z.C.; Pei, X.F. [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei (China); University of Science & Technology of China, Hefei (China)

    2016-11-15

    Highlights: • The remote participation platform for EAST plasma control is composed of real time control service and scenario management. • The web based interface has been developed for supporting remote participation. • The functionality module has been designed and assistant tools have been developed. - Abstract: EAST has become a physics experimental platform for high parameter and steady-state long-pulse plasma operation. A new remote participation platform for EAST plasma control is designed, which is composed of gatekeeper system, web-based user interface system, discharge scenario management system, online simulation system and data interface with on-site plasma control system (PCS). The identification and access privilege of remote participator is validated by the gatekeeper system. Only authorized users can set control parameters for next shot plasma control or making discharge scenario for future shot through WebPCS which is a web-based user interface and designed based on B/S structure. The systematic architecture design and preliminary deployment of such remote platform will be presented in this paper.

  10. Developments in remote participation in plasma physics experiments

    International Nuclear Information System (INIS)

    Blackwell, B.

    1999-01-01

    Recent growth in the size of plasma experiments and developments in network based software have contributed to a high level of interest in remote participation. Highlights of the recent conferences on this subject, and the ensuing 'white paper' are presented, with demonstrations of various Data Server/Web/Java based remote access techniques. These not only allow AINSE/AFRG users convenient access to H-1NF data from their home laboratory, but are (or soon will be) available to and from many overseas laboratories with similar systems. Many large plasma laboratories predict a large increase in remote access in the next two years. Several demonstrations of remote experiment control have been performed over medium speed networks, and several new experiments are planning on remote access from the beginning. In this paper we consider data access rights and security, access to common documents, and access to processed and raw data. The full version of this document can be viewed on the ANU's H-1NF web page at: http://rsphysse.anu.edu.au/

  11. Substrate Effect on Plasma Clean Efficiency in Plasma Enhanced Chemical Vapor Deposition System

    Directory of Open Access Journals (Sweden)

    Shiu-Ko JangJian

    2007-01-01

    Full Text Available The plasma clean in a plasma-enhanced chemical vapor deposition (PECVD system plays an important role to ensure the same chamber condition after numerous film depositions. The periodic and applicable plasma clean in deposition chamber also increases wafer yield due to less defect produced during the deposition process. In this study, the plasma clean rate (PCR of silicon oxide is investigated after the silicon nitride deposited on Cu and silicon oxide substrates by remote plasma system (RPS, respectively. The experimental results show that the PCR drastically decreases with Cu substrate compared to that with silicon oxide substrate after numerous silicon nitride depositions. To understand the substrate effect on PCR, the surface element analysis and bonding configuration are executed by X-ray photoelectron spectroscopy (XPS. The high resolution inductively coupled plasma mass spectrometer (HR-ICP-MS is used to analyze microelement of metal ions on the surface of shower head in the PECVD chamber. According to Cu substrate, the results show that micro Cu ion and the CuOx bonding can be detected on the surface of shower head. The Cu ion contamination might grab the fluorine radicals produced by NF3 ddissociation in the RPS and that induces the drastic decrease on PCR.

  12. Physics-electrical hybrid model for real time impedance matching and remote plasma characterization in RF plasma sources.

    Science.gov (United States)

    Sudhir, Dass; Bandyopadhyay, M; Chakraborty, A

    2016-02-01

    Plasma characterization and impedance matching are an integral part of any radio frequency (RF) based plasma source. In long pulse operation, particularly in high power operation where plasma load may vary due to different reasons (e.g. pressure and power), online tuning of impedance matching circuit and remote plasma density estimation are very useful. In some cases, due to remote interfaces, radio activation and, due to maintenance issues, power probes are not allowed to be incorporated in the ion source design for plasma characterization. Therefore, for characterization and impedance matching, more remote schemes are envisaged. Two such schemes by the same authors are suggested in these regards, which are based on air core transformer model of inductive coupled plasma (ICP) [M. Bandyopadhyay et al., Nucl. Fusion 55, 033017 (2015); D. Sudhir et al., Rev. Sci. Instrum. 85, 013510 (2014)]. However, the influence of the RF field interaction with the plasma to determine its impedance, a physics code HELIC [D. Arnush, Phys. Plasmas 7, 3042 (2000)] is coupled with the transformer model. This model can be useful for both types of RF sources, i.e., ICP and helicon sources.

  13. Studies on surface modification of poly(tetrafluoroethylene) film by remote and direct Ar plasma

    International Nuclear Information System (INIS)

    Wang Chen; Chen Jierong; Li Ru

    2008-01-01

    Poly(tetrafluoroethylene) (PTFE) surfaces are modified with remote and direct Ar plasma, and the effects of the modification on the hydrophilicity of PTFE are investigated. The surface microstructures and compositions of the PTFE film were characterized with the goniometer, scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS). Results show that the remote and direct plasma treatments modify the PTFE surface in morphology and composition, and both modifications cause surface oxidation of PTFE films, in the forming of some polar functional groups enhancing polymer wettability. When the remote and direct Ar plasma treats PTFE film, the contact angles decrease from the untreated 108-58 o and 65.2 o , respectively. The effect of the remote Ar plasma is more noticeable. The role of all kinds of active species, e.g. electrons, ions and free radicals involved in plasma surface modification is further evaluated. This shows that remote Ar plasma can restrain the ion and electron etching reaction and enhance radical reaction

  14. Plasma-chemical processes and systems

    International Nuclear Information System (INIS)

    Castro B, J.

    1987-01-01

    The direct applications of plasma technology on chemistry and metallurgy are presented. The physical fundaments of chemically active non-equilibrium plasma, the reaction kinetics, and the physical chemical transformations occuring in the electrical discharges, which are applied in the industry, are analysed. Some plasma chemical systems and processes related to the energy of hydrogen, with the chemical technology and with the metallurgy are described. Emphasis is given to the optimization of the energy effectiveness of these processes to obtain reducers and artificial energetic carriers. (M.C.K.) [pt

  15. Production and transport chemistry of atomic fluorine in remote plasma source and cylindrical reaction chamber

    International Nuclear Information System (INIS)

    Gangoli, S P; Johnson, A D; Fridman, A A; Pearce, R V; Gutsol, A F; Dolgopolsky, A

    2007-01-01

    Increasingly, NF 3 -based plasmas are being used in semiconductor manufacturing to clean chemical vapour deposition (CVD) chambers. With advantages such as faster clean times, substantially lower emissions of gases having high global warming potentials, and reduced chamber damage, NF 3 plasmas are now favoured over fluorocarbon-based processes. Typically, a remote plasma source (RPS) is used to dissociate the NF 3 gas and produce atomic fluorine that etches the CVD residues from the chamber surfaces. However, it is important to efficiently transport F atoms from the plasma source into the process chamber. The current work is aimed at understanding and improving the key processes involved in the production and transport of atomic fluorine atoms. A zero-dimensional model of NF 3 dissociation and F production chemistry in the RPS is developed based on various known and derived plasma parameters. Additionally, a model describing the transport of atomic fluorine is proposed that includes both physical (diffusion, adsorption and desorption) and chemical processes (surface and three-body volume recombination). The kinetic model provides an understanding of the impact of chamber geometry, gas flow rates, pressure and temperature on fluorine recombination. The plasma-kinetic model is validated by comparing model predictions (percentage F atom density) with experimental results (etch rates)

  16. Plasmas for the low-temperature growth of high-quality GaN films by molecular beam epitaxy and remote plasma MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Capezzuto, P.; Bruno, G. [Plasmachemistry Research Center, CNR, Bari (Italy); Namkoong, G.; Doolittle, W.A.; Brown, A.S. [Georgia Inst. of Tech., Atlanta (United States). School of Electrical and Computer Engineering, Microelectronic Research Center

    2002-03-16

    GaN heteroepitaxial growth on sapphire (0001) substrates was carried out by both radio-frequency (rf) remote plasma metalorganic chemical vapor deposition (RP-MOCVD) and molecular beam epitaxy (MBE). A multistep growth process including substrate plasma cleaning and nitridation, buffer growth, its subsequent annealing and epilayer growth was used. In order to achieve a better understanding of the GaN growth, in-situ real time investigation of the surface chemistry is performed for all the steps using the conventional reflection high-energy electron spectroscopy (RHEED) during the MBE process, while laser reflectance interferometry (LRI) and spectroscopic ellipsometry (SE), which do not require UHV conditions, are used for the monitoring of the RP-MOCVD process. The chemistry of the rf N{sub 2} plasma sapphire nitridation and its effect on the epilayer growth and quality are discussed in both MBE and RP-MOCVD. (orig.)

  17. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    Science.gov (United States)

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  18. Study of plasma-material surface interaction using langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2012-01-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisiloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  19. Study of plasma-material surface interaction using Langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2009-06-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisoloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  20. Development and verification test on remote plasma cutting of large metallic waste

    International Nuclear Information System (INIS)

    Ozawa, Tamotsu; Yamada, Kunitaka; Abe, Tadashi

    1979-01-01

    Plasma cutting is the cutting method to melt and scatter cut objects by generating arc between an electrode in a nozzle and the cut objects and making working gas fed to surround it into high temperature, high speed plasma jet. In case of remote plasma cutting, a torch for the plasma cutting is operated remotely with a manipulator from the outside of a cell. At the time of planning the method of breaking up solid wastes, the type of cutting machines and the method of remote operation of the cutting machines and cut objects were examined. It was decided to adopt plasma cutting machines, because their cutting capability such as materials, thickness and cutting speed is excellent, and the construction and handling are simple. The form of the solid wastes to be cut is not uniform, accordingly the method of manipulator operation was adopted to respond to various forms flexibly. Cut objects are placed on a turntable to change the position successively. In case of remote plasma cutting, the controls of torch speed and gap must be made with a manipulator. The use of light-shielding glasses reduces largely the watchability of cut objects and becomes hindrance in the operation. As for the safety aspect, the suitable gas for cutting, which does not contain hydrogen, must be selected. The tests carried out for two years since November, 1977, are reported in this paper, and most of the problems have been solved. (Kako, I.)

  1. Remote-LIBS characterization of ITER-like plasma facing materials

    International Nuclear Information System (INIS)

    Almaviva, S.; Caneve, L.; Colao, F.; Fantoni, R.; Maddaluno, G.

    2012-01-01

    Graphical abstract: Display Omitted Highlights: ► Description of a LIBS set-up as remote diagnostics in new generation fusion machines. ► Identification of the atomic composition of samples simulating plasma facing components. ► Submicrometric resolution in depth profiling the elemental composition of the samples. ► Identification of elements present in traces or as impurities on the sample surface. ► Discussion on the applicability of the Calibration Free method for quantitative analysis. - Abstract: The occurrence of several plasma-wall interaction processes, eventually affecting the overall system performances, is expected in a working fusion device chamber. Monitoring the changes in the composition of the plasma facing component (PFC) surface layer, as a result of erosion and redeposition mechanisms, can provide useful information on the possible plasma pollution and fuel retention. To this aim, suitable diagnostic techniques able to perform depth profiling analysis of the superficial layers on the PFCs have been developed. Due to the constraints commonly found in fusion devices, the measuring apparatus must be non invasive, remote and sensitive to light elements. These requirements make LIBS (Laser Induced Breakdown Spectroscopy) an ideal candidate for on-line monitoring the walls of current and of next generation (as ITER) fusion devices. LIBS is a well established tool for qualitative, semi-quantitative and quantitative analysis of surfaces, with micro-destructive characteristics and some capabilities for stratigraphy. In this work, LIBS depth profiling capability has been verified for the determination of the composition of multilayer structures simulating plasma facing components covered with deposited impurity layers. A new experimental setup has been designed and realized in order to optimize the characteristics of a LIBS system working in vacuum conditions and remotely, two noticeable properties for an ITER-relevant diagnostics. A quantitative

  2. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber.

    Science.gov (United States)

    Dechana, A; Thamboon, P; Boonyawan, D

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films-analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques-will be discussed.

  3. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Energy Technology Data Exchange (ETDEWEB)

    Dechana, A. [Program of Physics and General Science, Faculty of Science and Technology, Songkhla Rajabhat University, Songkhla 90000 (Thailand); Thamboon, P. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Boonyawan, D., E-mail: dheerawan.b@cmu.ac.th [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand)

    2014-10-15

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al{sub 2}O{sub 3} layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al{sub 2}O{sub 3} films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  4. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Science.gov (United States)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  5. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    International Nuclear Information System (INIS)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-01-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al 2 O 3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al 2 O 3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed

  6. Remote automatic control scheme for plasma arc cutting of contaminated waste

    International Nuclear Information System (INIS)

    Dudar, A.M.; Ward, C.R.; Kriikku, E.M.

    1993-01-01

    Plasma arc cutting is a popular technique used for size reduction of radioactively contaminated metallic waste such as glove boxes, vessels, and ducts. It is a very aggressive process and is capable of cutting metal objects up to 3 in. thick. The crucial control criteria in plasma cutting is maintaining a open-quotes stand-offclose quotes distance between the plasma torch tip and the material being cut. Manual plasma cutting techniques in radioactive environments require the operator to wear a plastic suit covered by a metallic suit. This is very cumbersome, time-consuming, and also generates additional waste (plastic and metallic suits). Teleoperated remote cutting is preferable to manual cutting, but our experience has shown that remote control of the stand-off distance is particularly difficult because of the brightness of the plasma arc and inadequate viewing angles. Also, the heat generated by the torch causes the sheet metal to deform and warp during plasma cutting, creating a dynamically changing metal surface. The aforementioned factors make it extremely difficult, if not impossible, to perform plasma cuts of waste with a variety of shapes and sizes in a teleoperated fashion with an operator in the loop. Automating the process is clearly desirable

  7. Effect of silane/hydrogen ratio on microcrystalline silicon thin films by remote inductively coupled plasma

    Science.gov (United States)

    Guo, Y. N.; Wei, D. Y.; Xiao, S. Q.; Huang, S. Y.; Zhou, H. P.; Xu, S.

    2013-05-01

    Hydrogenated microcrystalline silicon (μc-Si:H) thin films were prepared by remote low frequency inductively coupled plasma (ICP) chemical vapor deposition system, and the effect of silane/hydrogen ratio on the microstructure and electrical properties of μc-Si:H films was systematically investigated. As silane/hydrogen ratio increases, the crystalline volume fraction Fc decreases and the ratio of the intensity of (220) peak to that of (111) peak drops as silane flow rate is increased. The FTIR result indicates that the μc-Si:H films prepared by remote ICP have a high optical response with a low hydrogen content, which is in favor of reducing light-induced degradation effect. Furthermore, the processing window of the phase transition region for remote ICP is much wider than that for typical ICP. The photosensitivity of μc-Si:H films can exceed 100 at the transition region and this ensures the possibility of the fabrication of microcrystalline silicon thin film solar cells with a open-circuit voltage of about 700 mV.

  8. Remote handling needs of the Princeton Plasma Physics Laboratory

    International Nuclear Information System (INIS)

    Smiltnieks, V.

    1982-07-01

    This report is the result of a Task Force study commissioned by the Canadian Fusion Fuels Technology Project (CFFTP) to investigate the remote handling requirements at the Princeton Plasma Physics Laboratory (PPPL) and identify specific areas where CFFTP could offer a contractual or collaborative participation, drawing on the Canadian industrial expertise in remote handling technology. The Task Force reviewed four areas related to remote handling requirements; the TFTR facility as a whole, the service equipment required for remote maintenance, the more complex in-vessel components, and the tritium systems. Remote maintenance requirements both inside the vacuum vessel and around the periphery of the machine were identified as the principal areas where Canadian resources could effectively provide an input, initially in requirement definition, concept evaluation and feasibility design, and subsequently in detailed design and manufacture. Support requirements were identified in such areas as the mock-up facility and a variety of planning studies relating to reliability, availability, and staff training. Specific tasks are described which provide an important data base to the facility's remote handling requirements. Canadian involvement in the areas is suggested where expertise exists and support for the remote handling work is warranted. Reliability, maintenance operations, inspection strategy and decommissioning are suggested for study. Several specific components are singled out as needing development

  9. Photoluminescence from PP-HMDSO thin films deposited using a remote plasma of 13.56 MHz hollow cathode discharge

    International Nuclear Information System (INIS)

    Naddaf, M; Saloum, S; Hamadeh, H

    2007-01-01

    Room temperature photoluminescence (PL) from plasma-polymerized hexamethyldisiloxane (PP-HMDSO) thin films deposited on silicon wafers has been investigated as a function of both the applied RF power and the monomer flow rate. Films were deposited in a low pressure-low temperature remote plasma ignited in a 13.56 MHz hollow cathode discharge reactor, using pure HMDSO as a monomer and Ar as a feed gas. The substrate temperature during the deposition was as low as 40 deg. C and the total pressure was about 0.03 mbar. Optical emission spectroscopy (OES) has been used as in situ tool for monitoring the different chemical species present in the plasma during deposition processes. The deposited PP-HMDSO films showed a strong, broad 'green/yellow' PL band. The RF power and the flow rate of the HMDSO monomer are found to have a significant impact on the PL intensity of the deposited film. The changes in the chemical bonding of the film as a function of deposition parameters have been investigated by using the Fourier transform infrared (FTIR) spectroscopic analysis and are related to PL and OES results. The 'green/yellow' PL band is ascribed to chemical groups and bonds of silicon, hydrogen and/or oxygen constituting the films, in particular, SiH, SiO bonds and silanol Si-O-H groups

  10. Photoluminescence from PP-HMDSO thin films deposited using a remote plasma of 13.56 MHz hollow cathode discharge

    Science.gov (United States)

    Naddaf, M.; Saloum, S.; Hamadeh, H.

    2007-07-01

    Room temperature photoluminescence (PL) from plasma-polymerized hexamethyldisiloxane (PP-HMDSO) thin films deposited on silicon wafers has been investigated as a function of both the applied RF power and the monomer flow rate. Films were deposited in a low pressure-low temperature remote plasma ignited in a 13.56 MHz hollow cathode discharge reactor, using pure HMDSO as a monomer and Ar as a feed gas. The substrate temperature during the deposition was as low as 40 °C and the total pressure was about 0.03 mbar. Optical emission spectroscopy (OES) has been used as in situ tool for monitoring the different chemical species present in the plasma during deposition processes. The deposited PP-HMDSO films showed a strong, broad 'green/yellow' PL band. The RF power and the flow rate of the HMDSO monomer are found to have a significant impact on the PL intensity of the deposited film. The changes in the chemical bonding of the film as a function of deposition parameters have been investigated by using the Fourier transform infrared (FTIR) spectroscopic analysis and are related to PL and OES results. The 'green/yellow' PL band is ascribed to chemical groups and bonds of silicon, hydrogen and/or oxygen constituting the films, in particular, SiH, SiO bonds and silanol Si-O-H groups.

  11. Photoluminescence from PP-HMDSO thin films deposited using a remote plasma of 13.56 MHz hollow cathode discharge

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M; Saloum, S; Hamadeh, H [Department of Physics, Atomic Energy Commission of Syria (AECS), PO Box 6091, Damascus (Syrian Arab Republic)

    2007-07-07

    Room temperature photoluminescence (PL) from plasma-polymerized hexamethyldisiloxane (PP-HMDSO) thin films deposited on silicon wafers has been investigated as a function of both the applied RF power and the monomer flow rate. Films were deposited in a low pressure-low temperature remote plasma ignited in a 13.56 MHz hollow cathode discharge reactor, using pure HMDSO as a monomer and Ar as a feed gas. The substrate temperature during the deposition was as low as 40 deg. C and the total pressure was about 0.03 mbar. Optical emission spectroscopy (OES) has been used as in situ tool for monitoring the different chemical species present in the plasma during deposition processes. The deposited PP-HMDSO films showed a strong, broad 'green/yellow' PL band. The RF power and the flow rate of the HMDSO monomer are found to have a significant impact on the PL intensity of the deposited film. The changes in the chemical bonding of the film as a function of deposition parameters have been investigated by using the Fourier transform infrared (FTIR) spectroscopic analysis and are related to PL and OES results. The 'green/yellow' PL band is ascribed to chemical groups and bonds of silicon, hydrogen and/or oxygen constituting the films, in particular, SiH, SiO bonds and silanol Si-O-H groups.

  12. Photoluminescence from PP-HMDSO thin films deposited using a remote plasma of 13.56 MHz hollow cathode discharge

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.; Hamadeh, H.

    2008-01-01

    Room temperature photoluminescence (PL) from plasma-polymerized hexamethyldisiloxane (PP-HMDSO) thin films deposited on silicon wafers has been investigated as a function of both the applied RF power and the monomer flow rate. Films were deposited in a low pressure-low temperature remote plasma ignited in a 13.56 MHz hollow cathode discharge reactor, using pure HMDSO as a monomer and Ar as a feed gas. The substrate temperature during the deposition was as low as 40 deg. C and the total pressure was about 0.03 mbar. Optical emission spectroscopy (OES) has been used as in situ tool for monitoring the different chemical species present in the plasma during deposition processes. The deposited PP-HMDSO films showed a strong, broad 'green/yellow' PL band. The RF power and the flow rate of the HMDSO monomer are found to have a significant impact on the PL intensity of the deposited film. The changes in the chemical bonding of the film as a function of deposition parameters have been investigated by using the Fourier transform infrared (FTIR) spectroscopic analysis and are related to PL and OES results. The 'green/yellow' PL band is ascribed to chemical groups and bonds of silicon, hydrogen and/or oxygen constituting the films, in particular, SiH, SiO bonds and silanol Si-O-H groups. (Authors)

  13. Remote network control plasma diagnostic system for Tokamak T-10

    International Nuclear Information System (INIS)

    Troynov, V I; Zimin, A M; Krupin, V A; Notkin, G E; Nurgaliev, M R

    2016-01-01

    The parameters of molecular plasma in closed magnetic trap is studied in this paper. Using the system of molecular diagnostics, which was designed by the authors on the «Tokamak T-10» facility, the radiation of hydrogen isotopes at the plasma edge is investigated. The scheme of optical radiation registration within visible spectrum is described. For visualization, identification and processing of registered molecular spectra a new software is developed using MatLab environment. The software also includes electronic atlas of electronic-vibrational-rotational transitions for molecules of protium and deuterium. To register radiation from limiter cross-section a network control system is designed using the means of the Internet/Intranet. Remote control system diagram and methods are given. The examples of web-interfaces for working out equipment control scenarios and viewing of results are provided. After test run in Intranet, the remote diagnostic system will be accessible through Internet. (paper)

  14. On improved understanding of plasma-chemical processes in complex low-temperature plasmas

    Science.gov (United States)

    Röpcke, Jürgen; Loffhagen, Detlef; von Wahl, Eric; Nave, Andy S. C.; Hamann, Stephan; van Helden, Jean-Piere H.; Lang, Norbert; Kersten, Holger

    2018-05-01

    Over the last years, chemical sensing using optical emission spectroscopy (OES) in the visible spectral range has been combined with methods of mid infrared laser absorption spectroscopy (MIR-LAS) in the molecular fingerprint region from 3 to 20 μm, which contains strong rotational-vibrational absorption bands of a large variety of gaseous species. This optical approach established powerful in situ diagnostic tools to study plasma-chemical processes of complex low-temperature plasmas. The methods of MIR-LAS enable to detect stable and transient molecular species in ground and excited states and to measure the concentrations and temperatures of reactive species in plasmas. Since kinetic processes are inherent to discharges ignited in molecular gases, high time resolution on sub-second timescales is frequently desired for fundamental studies as well as for process monitoring in applied research and industry. In addition to high sensitivity and good temporal resolution, the capacity for broad spectral coverage enabling multicomponent detection is further expanding the use of OES and MIR-LAS techniques. Based on selected examples, this paper reports on recent achievements in the understanding of complex low-temperature plasmas. Recently, a link with chemical modeling of the plasma has been provided, which is the ultimate objective for a better understanding of the chemical and reaction kinetic processes occurring in the plasma. Contribution to the Topical Issue "Fundamentals of Complex Plasmas", edited by Jürgen Meichsner, Michael Bonitz, Holger Fehske, Alexander Piel.

  15. Remote Metrology, Mapping, and Motion Sensing of Plasma Facing Components Using FM Coherent Laser Radar

    International Nuclear Information System (INIS)

    Menon, M.M.; Barry, R.E.; Slotwinsky, A.; Kugel, H.W.; Skinner, C.H.

    2000-01-01

    Metrology inside a D/T burning fusion reactor must necessarily be conducted remotely since the in-vessel environment would be highly radioactive due to neutron activation of the torus walls. A technique based on frequency modulated coherent laser radar (FM CLR) for such remote metrology is described. Since the FM CLR relies on frequency shift to measure distances, the results are largely insensitive to surface reflectance characteristics. Results of measurements in TFTR and NSTX fusion devices using a prototype FM CLR unit, capable of remotely measuring distances (range) up to 22 m with better than 0.1-mm precision, are provided. These results illustrate that the FM CLR can be used for precision remote metrology as well as viewing. It is also shown that by conducting Doppler corrected range measurements using the CLR, the motion of objects can be tracked. Thus, the FM CLR has the potential to remotely measure the motion of plasma facing components (PFCs) during plasma disruptions

  16. TELEMATICS APPLICATIONS REMOT: Description of the intended plasma physics demonstrator

    NARCIS (Netherlands)

    Kemmerling, G.; van der Meer, E.; Ephraïm, M.; Balke, C.; Lourens, W.; Korten, M.

    2012-01-01

    This document presents the intended plasma physics demonstator in the REMOT Project. Due to the complexity of the system the demonstrator should be kept as simple as possible without sacrificing flexibility. The demonstrator should be made in such a way that it can easily be modified and expanded.

  17. Improved size distribution control of silicon nanocrystals in a spatially confined remote plasma

    NARCIS (Netherlands)

    Dogan, I.; Westerman, R. H. J.; M. C. M. van de Sanden,

    2015-01-01

    This work demonstrates how to improve the size distribution of silicon nanocrystals (Si-NCs) synthesized in a remote plasma, in which the flow dynamics and the particular chemistry initially resulted in the formation of small (2-10 nm) and large (50-120 nm) Si-NCs. Plasma consists of two regions: an

  18. Combustion flame-plasma hybrid reactor systems, and chemical reactant sources

    Science.gov (United States)

    Kong, Peter C

    2013-11-26

    Combustion flame-plasma hybrid reactor systems, chemical reactant sources, and related methods are disclosed. In one embodiment, a combustion flame-plasma hybrid reactor system comprising a reaction chamber, a combustion torch positioned to direct a flame into the reaction chamber, and one or more reactant feed assemblies configured to electrically energize at least one electrically conductive solid reactant structure to form a plasma and feed each electrically conductive solid reactant structure into the plasma to form at least one product is disclosed. In an additional embodiment, a chemical reactant source for a combustion flame-plasma hybrid reactor comprising an elongated electrically conductive reactant structure consisting essentially of at least one chemical reactant is disclosed. In further embodiments, methods of forming a chemical reactant source and methods of chemically converting at least one reactant into at least one product are disclosed.

  19. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    Science.gov (United States)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  20. Influence of Chemical Precleaning on the Plasma Treatment Efficiency of Aluminum by RF Plasma Pencil

    International Nuclear Information System (INIS)

    Prysiazhnyi, Vadym; Slavicek, Pavel; Klima, Milos; Mikmekova, Eliska

    2016-01-01

    This paper is aimed to show the influence of initial chemical pretreatment prior to subsequent plasma activation of aluminum surfaces. The results of our study showed that the state of the topmost surface layer (i.e. the surface morphology and chemical groups) of plasma modified aluminum significantly depends on the chemical precleaning. Commonly used chemicals (isopropanol, trichlorethane, solution of NaOH in deionized water) were used as precleaning agents. The plasma treatments were done using a radio frequency driven atmospheric pressure plasma pencil developed at Masaryk University, which operates in Ar, Ar/O 2 gas mixtures. The effectiveness of the plasma treatment was estimated by the wettability measurements, showing high wettability improvement already after 0.3 s treatment. The effects of surface cleaning (hydrocarbon removal), surface oxidation and activation (generation of OH groups) were estimated using infrared spectroscopy. The changes in the surface morphology were measured using scanning electron microscopy. Optical emission spectroscopy measurements in the near-to-surface region with temperature calculations showed that plasma itself depends on the sample precleaning procedure. (paper)

  1. Influence of Chemical Precleaning on the Plasma Treatment Efficiency of Aluminum by RF Plasma Pencil

    Science.gov (United States)

    Vadym, Prysiazhnyi; Pavel, Slavicek; Eliska, Mikmekova; Milos, Klima

    2016-04-01

    This paper is aimed to show the influence of initial chemical pretreatment prior to subsequent plasma activation of aluminum surfaces. The results of our study showed that the state of the topmost surface layer (i.e. the surface morphology and chemical groups) of plasma modified aluminum significantly depends on the chemical precleaning. Commonly used chemicals (isopropanol, trichlorethane, solution of NaOH in deionized water) were used as precleaning agents. The plasma treatments were done using a radio frequency driven atmospheric pressure plasma pencil developed at Masaryk University, which operates in Ar, Ar/O2 gas mixtures. The effectiveness of the plasma treatment was estimated by the wettability measurements, showing high wettability improvement already after 0.3 s treatment. The effects of surface cleaning (hydrocarbon removal), surface oxidation and activation (generation of OH groups) were estimated using infrared spectroscopy. The changes in the surface morphology were measured using scanning electron microscopy. Optical emission spectroscopy measurements in the near-to-surface region with temperature calculations showed that plasma itself depends on the sample precleaning procedure.

  2. Permanent magnet electron cyclotron resonance plasma source with remote window

    International Nuclear Information System (INIS)

    Berry, L.A.; Gorbatkin, S.M.

    1995-01-01

    An electron cyclotron resonance (ECR) plasma has been used in conjunction with a solid metal sputter target for Cu deposition over 200 mm diameters. The goal is to develop a deposition system and process suitable for filling submicron, high-aspect ratio ULSI features. The system uses a permanent magnet for creation of the magnetic field necessary for ECR, and is significantly more compact than systems equipped with electromagnets. A custom launcher design allows remote microwave injection with the microwave entrance window shielded from the copper flux. When microwaves are introduced at an angle with respect to the plasma, high electron densities can be produced with a plasma frequency significantly greater than the electron cyclotron frequency. Copper deposition rates of 1000 A/min have been achieved

  3. Ex-vessel remote maintenance development plans for the Burning Plasma Experiment

    International Nuclear Information System (INIS)

    Burgess, T.W.; Davis, F.C.

    1991-01-01

    Remote maintenance (RM) is fundamental to the basic design requirements of the Burning Plasma Experiment (BPX), and an extensive RM development and demonstration program is planned to meet these requirements. The program first draws from the experience base that exists in the fission community and Europe's Joint European Torus (JET) Project. Successful solutions are applied where possible and, in many cases, improved in order to achieve the performance demanded by a multiyear program that must be capable of efficiently executing RM procedures. Early, concurrent efforts in the design and fabrication of prototype remote handling (RH) equipment, remote tooling, and maintainable machine components will precede an extensive use of mock-up equipment in order to test, develop, and demonstrate the technology. 7 refs,. 5 figs

  4. Role of plasma activation in tailoring the nanostructure of multifunctional oxides thin films

    Energy Technology Data Exchange (ETDEWEB)

    Giangregorio, Maria M.; Losurdo, Maria; Capezzuto, Pio [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, and Department of Chemistry, University of Bari, via Orabona, 4-70125 Bari (Italy); Bruno, Giovanni [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, and Department of Chemistry, University of Bari, via Orabona, 4-70125 Bari (Italy)], E-mail: giovanni.bruno@ba.imip.cnr.it

    2009-03-01

    Potential of O{sub 2} remote plasmas for improving structural, morphological and optical properties of various multifunctional oxides thin films both during plasma assisted growth as well as by post-growth treatments is discussed. In particular, an O{sub 2} remote plasma metalorganic chemical vapor deposition (RP-MOCVD) route is presented for tailoring the structural, morphological and optical properties of Er{sub 2}O{sub 3} and ZnO films. Furthermore, post-growth room-temperature remote O{sub 2} plasma treatments of indium-tin-oxides (ITO) films are demonstrated to be effective in improving morphology of ITO films.

  5. Fundamental aspects of plasma chemical physics Thermodynamics

    CERN Document Server

    Capitelli, Mario; D'Angola, Antonio

    2012-01-01

    Fundamental Aspects of Plasma Chemical Physics - Thermodynamics develops basic and advanced concepts of plasma thermodynamics from both classical and statistical points of view. After a refreshment of classical thermodynamics applied to the dissociation and ionization regimes, the book invites the reader to discover the role of electronic excitation in affecting the properties of plasmas, a topic often overlooked by the thermal plasma community. Particular attention is devoted to the problem of the divergence of the partition function of atomic species and the state-to-state approach for calculating the partition function of diatomic and polyatomic molecules. The limit of ideal gas approximation is also discussed, by introducing Debye-Huckel and virial corrections. Throughout the book, worked examples are given in order to clarify concepts and mathematical approaches. This book is a first of a series of three books to be published by the authors on fundamental aspects of plasma chemical physics.  The next bo...

  6. Wave propagation in a quasi-chemical equilibrium plasma

    Science.gov (United States)

    Fang, T.-M.; Baum, H. R.

    1975-01-01

    Wave propagation in a quasi-chemical equilibrium plasma is studied. The plasma is infinite and without external fields. The chemical reactions are assumed to result from the ionization and recombination processes. When the gas is near equilibrium, the dominant role describing the evolution of a reacting plasma is played by the global conservation equations. These equations are first derived and then used to study the small amplitude wave motion for a near-equilibrium situation. Nontrivial damping effects have been obtained by including the conduction current terms.

  7. Evaluation of remote maintenance schemes by plasma equilibrium analysis in Tokamak DEMO reactor

    International Nuclear Information System (INIS)

    Utoh, Hiroyasu; Tobita, Kenji; Asakura, Nobuyuki; Sakamoto, Yoshiteru

    2014-01-01

    Highlights: • The remote maintenance schemes in DEMO reactor were evaluated by the plasma equilibrium analysis. • Horizontal sector transport maintenance scheme requires the largest total PF coil current. • The difference of total PF coil current for MHD equilibrium in between the large segmented divertor maintenance and the segmentalized divertor maintenance was about 10%. - Abstract: The remote maintenance schemes in a DEMO reactor are categorized by insertion direction, blanket segmentation, and divertor maintenance scheme, and are quantitatively evaluated by analysing the plasma equilibrium. The positions of the poloidal field (PF) coil are limited by the size of the toroidal field (TF) coil and the maintenance port layout of each remote maintenance scheme. Because the PF coils are located near the larger TF coil and far from the plasma surface, the horizontal sector transport maintenance scheme requires the largest part of total PF coil current, 25% larger than that required for separated sector transport using vertical maintenance ports with segmented divertor maintenance (SDM). In the unsegmented divertor maintenance (UDM) scheme, the total magnetic stored energy in the PF coils at plasma equilibrium is about 30% larger than that stored in the SDM scheme, but the time required for removal and installation of all the divertor cassettes in the UDM scheme is roughly a third of that required in the SDM scheme because the number of divertor cassettes in the UDM scheme is a third of that in the SDM scheme. From the viewpoint of simple maintenance operations, the merit of the UDM scheme has more merit than the SDM scheme

  8. Plasma density remote control system of experimental advanced superconductive tokamak

    International Nuclear Information System (INIS)

    Zhang Mingxin; Luo Jiarong; Li Guiming; Wang Hua; Zhao Dazheng; Xu Congdong

    2007-01-01

    In Tokamak experiments, experimental data and information on the density control are stored in the local computer system. Therefore, the researchers have to be in the control room for getting the data. Plasma Density Remote Control System (DRCS), which is implemented by encapsulating the business logic on the client in the B/S module, conducts the complicated science computation and realizes the synchronization with the experimental process on the client. At the same time, Web Services and Data File Services are deployed for the data exchange. It is proved in the experiments that DRCS not only meets the requirements for the remote control, but also shows an enhanced capability on the data transmission. (authors)

  9. PTFE treatment by remote atmospheric Ar/O2 plasmas : a simple reaction scheme model proposal

    NARCIS (Netherlands)

    Carbone, E.A.D.; Verhoeven, M.W.G.M.; Keuning, W.; van der Mullen, J.J.A.M.

    2016-01-01

    Polytetrafluoroethylene (PTFE) samples were treated by a remote atmospheric pressure microwave plasma torch and analyzed by water contact angle (WCA) and X-ray photoelectron spectroscopy (XPS). In the case of pure argon plasma a decrease of WCA is observed meanwhile an increase of hydrophobicity was

  10. Remote operation of the vertical plasma stabilization @ the GOLEM tokamak for the plasma physics education

    Czech Academy of Sciences Publication Activity Database

    Svoboda, V.; Kocman, J.; Grover, O.; Krbec, Jaroslav; Stöckel, Jan

    96-97, October (2015), s. 974-979 ISSN 0920-3796. [Symposium on Fusion Technology 2014(SOFT-28)/28./. San Sebastián, 29.09.2014-03.10.2014] Institutional support: RVO:61389021 Keywords : tokamak technology * remote participation * plasma stabilization Subject RIV: JF - Nuclear Energetics OBOR OECD: Nuclear related engineering Impact factor: 1.301, year: 2015 http://dx.doi.org/10.1016/j.fusengdes.2015.06.044

  11. Strangeness Production in a Chemically Equilibrating Quark-Gluon Plasma

    Institute of Scientific and Technical Information of China (English)

    HE Ze-Jun; LONG Jia-Li; MA Yu-Gang; MA Guo-Liang

    2004-01-01

    @@ We study the strangeness of a chemically equilibrating quark-gluon plasma at finite baryon density based on the and will accelerate with the change of the initial system from a chemically non-equilibrated to an equilibrated system. We also find that the calculated strangeness is very different from the one in the thermodynamic equilibrium system. This study may be helpful to understand the formation of quark-gluon plasma via a chemically non-equilibrated evolution framework.

  12. Grafting of copolymer styrene maleic anhydride on poly(ethylene terephthalate) film by chemical reaction and by plasma method

    Energy Technology Data Exchange (ETDEWEB)

    Bigan, Muriel; Bigot, Julien [Laboratoire de Chimie Organique et Macromoleculaire (UMR 8009), Universite des Sciences et Technologies de Lille, 59655 Villeneuve d' Ascq Cedex (France); Mutel, Brigitte [Laboratoire de Genie des Procedes d' Interactions Fluides reactifs-Materiaux (UPRES-EA 3751), Batiment C5, Universite des Sciences et Technologies de Lille, 59655 Villeneuve d' Ascq Cedex (France)], E-mail: Brigitte.mutel@univ-lille1.fr; Coqueret, Xavier [Laboratoire Reactions Selectives et Applications (UMR-CNRS 6519) Universite de Reims Champagne-Ardennes, B.P. 1039, 51687 Reims Cedex 2 (France)

    2008-02-15

    This work deals with the chemical grafting of a styrene maleic anhydride copolymer on the surface of a previously hydrolyzed polyethylene terephthalate (PET) film 12 {mu}m thick via covalent bond. Two different ways are studied. The first one involves an activation of the hydrolyzed PET by the triethylamine before the grafting step. In the second one, the copolymer reacts with the 4-dimethylaminopyridine in order to form maleinyl pyridinium salt which reacts with alcohol function of the hydrolyzed PET. Characterization and quantification of the grafting are performed by Fourier transform infrared spectroscopy. Factorial experiment designs are used to optimize the process and to estimate experimental parameters effects. The opportunity to associate the chemical process to a cold remote nitrogen plasma one is also examined.

  13. Computers in plasma physics: remote data access and magnetic configuration design

    International Nuclear Information System (INIS)

    Blackwell, B.D.; McMillan, B.F.; Searle, A.C.; Gardner, H.J.; Price, D.M.; Fredian, T.W.

    2000-01-01

    Full text: Two graphically intensive examples of the application of computers in plasma physics are described remote data access for plasma confinement experiments, and a code for real-time magnetic field tracing and optimisation. The application for both of these is the H-1NF National Plasma Fusion Research Facility, a Commonwealth Major National Research Facility within the Research School of Physical Science, Institute of Advanced Studies, ANU. It is based on the 'flexible' heliac stellarator H-1, a plasma confinement device in which the confining fields are generated solely by external conductors. These complex, fully three dimensional magnetic fields are used as examples for the magnetic design application, and data from plasma physics experiments are used to illustrate the remote access techniques. As plasma fusion experiments grow in size, increased remote access allows physicists to participate in experiments and data analysis from their home base. Three types of access will be described and demonstrated - a simple Java-based web interface, an example TCP client-server built around the widely used MDSPlus data system and the visualisation package IDL (RSI Inc), and a virtual desktop Environment (VNC: AT and T Research) that simulates terminals local to the plasma facility. A client server TCP/IP - web interface to the programmable logic controller that provides user interface to the programmable high power magnet power supplies is described. A very general configuration file allows great flexibility, and allows new displays and interfaces to be created (usually) without changes to the underlying C++ and Java code. The magnetic field code BLINE provides accurate calculation of complex magnetic fields, and 3D visualisation in real time, using a low cost multiprocessor computer and an OpenGL-compatible graphics accelerator. A fast, flexible multi-mesh interpolation method is used for tracing vacuum magnetic field lines created by arbitrary filamentary

  14. Atmospheric Pressure Plasma Induced Sterilization and Chemical Neutralization

    Science.gov (United States)

    Garate, Eusebio; Evans, Kirk; Gornostaeva, Olga; Alexeff, Igor; Lock Kang, Weng; Wood, Thomas K.

    1998-11-01

    We are studying chemical neutralization and surface decontamination using atmospheric pressure plasma discharges. The plasma is produced by corona discharge from an array of pins and a ground plane. The array is constructed so that various gases, like argon or helium, can be flowed past the pins where the discharge is initiated. The pin array can be biased using either DC, AC or pulsed discharges. Results indicate that the atmospheric plasma is effective in sterilizing surfaces with biological contaminants like E-coli and bacillus subtilus cells. Exposure times of less than four minutes in an air plasma result in a decrease in live colony counts by six orders of magnitude. Greater exposure times result in a decrease of live colony counts of up to ten orders of magnitude. The atmospheric pressure discharge is also effective in decomposing organic phosphate compounds that are simulants for chemical warfare agents. Details of the decomposition chemistry, by-product formation, and electrical energy consumption of the system will be discussed.

  15. Remote plasma sputtering of indium tin oxide thin films for large area flexible electronics

    International Nuclear Information System (INIS)

    Yeadon, A.D.; Wakeham, S.J.; Brown, H.L.; Thwaites, M.J.; Whiting, M.J.; Baker, M.A.

    2011-01-01

    Indium tin oxide (ITO) thin films with a specific resistivity of 3.5 × 10 −4 Ω cm and average visible light transmission (VLT) of 90% have been reactively sputtered onto A4 Polyethylene terephthalate (PET), glass and silicon substrates using a remote plasma sputtering system. This system offers independent control of the plasma density and the target power enabling the effect of the plasma on ITO properties to be studied. Characterization of ITO on glass and silicon has shown that increasing the plasma density gives rise to a decrease in the specific resistivity and an increase in the optical band gap of the ITO films. Samples deposited at plasma powers of 1.5 kW, 2.0 kW and 2.5 kW and optimized oxygen flow rates exhibited specific resistivity values of 3.8 × 10 −4 Ω cm, 3.7 × 10 −4 Ω cm and 3.5 × 10 −4 Ω cm and optical gaps of 3.48 eV, 3.51 eV and 3.78 eV respectively. The increase in plasma density also influenced the crystalline texture and the VLT increased from 70 to 95%, indicating that more oxygen is being incorporated into the growing film. It has been shown that the remote plasma sputter technique can be used in an in-line process to produce uniform ITO coatings on PET with specific resistivities of between 3.5 × 10 −4 and 4.5 × 10 −4 Ω cm and optical transmission of greater than 85% over substrate widths of up to 30 cm.

  16. Titanium nitride plasma-chemical synthesis with titanium tetrachloride raw material in the DC plasma-arc reactor

    Science.gov (United States)

    Kirpichev, D. E.; Sinaiskiy, M. A.; Samokhin, A. V.; Alexeev, N. V.

    2017-04-01

    The possibility of plasmochemical synthesis of titanium nitride is demonstrated in the paper. Results of the thermodynamic analysis of TiCl4 - H2 - N2 system are presented; key parameters of TiN synthesis process are calculated. The influence of parameters of plasma-chemical titanium nitride synthesis process in the reactor with an arc plasmatron on characteristics on the produced powders is experimentally investigated. Structure, chemical composition and morphology dependencies on plasma jet enthalpy, stoichiometric excess of hydrogen and nitrogen in a plasma jet are determined.

  17. The Chemical Potential of Plasma Membrane Cholesterol: Implications for Cell Biology.

    Science.gov (United States)

    Ayuyan, Artem G; Cohen, Fredric S

    2018-02-27

    Cholesterol is abundant in plasma membranes and exhibits a variety of interactions throughout the membrane. Chemical potential accounts for thermodynamic consequences of molecular interactions, and quantifies the effective concentration (i.e., activity) of any substance participating in a process. We have developed, to our knowledge, the first method to measure cholesterol chemical potential in plasma membranes. This was accomplished by complexing methyl-β-cyclodextrin with cholesterol in an aqueous solution and equilibrating it with an organic solvent containing dissolved cholesterol. The chemical potential of cholesterol was thereby equalized in the two phases. Because cholesterol is dilute in the organic phase, here activity and concentration were equivalent. This equivalence allowed the amount of cholesterol bound to methyl-β-cyclodextrin to be converted to cholesterol chemical potential. Our method was used to determine the chemical potential of cholesterol in erythrocytes and in plasma membranes of nucleated cells in culture. For erythrocytes, the chemical potential did not vary when the concentration was below a critical value. Above this value, the chemical potential progressively increased with concentration. We used standard cancer lines to characterize cholesterol chemical potential in plasma membranes of nucleated cells. This chemical potential was significantly greater for highly metastatic breast cancer cells than for nonmetastatic breast cancer cells. Chemical potential depended on density of the cancer cells. A method to alter and fix the cholesterol chemical potential to any value (i.e., a cholesterol chemical potential clamp) was also developed. Cholesterol content did not change when cells were clamped for 24-48 h. It was found that the level of activation of the transcription factor STAT3 increased with increasing cholesterol chemical potential. The cholesterol chemical potential may regulate signaling pathways. Copyright © 2018. Published by

  18. Reduced chemical warfare agent sorption in polyurethane-painted surfaces via plasma-enhanced chemical vapor deposition of perfluoroalkanes.

    Science.gov (United States)

    Gordon, Wesley O; Peterson, Gregory W; Durke, Erin M

    2015-04-01

    Perfluoralkalation via plasma chemical vapor deposition has been used to improve hydrophobicity of surfaces. We have investigated this technique to improve the resistance of commercial polyurethane coatings to chemicals, such as chemical warfare agents. The reported results indicate the surface treatment minimizes the spread of agent droplets and the sorption of agent into the coating. The improvement in resistance is likely due to reduction of the coating's surface free energy via fluorine incorporation, but may also have contributing effects from surface morphology changes. The data indicates that plasma-based surface modifications may have utility in improving chemical resistance of commercial coatings.

  19. Fundamental aspects of plasma chemical physics transport

    CERN Document Server

    Capitelli, Mario; Laricchiuta, Annarita

    2013-01-01

    Fundamental Aspects of Plasma Chemical Physics: Tranpsort develops basic and advanced concepts of plasma transport to the modern treatment of the Chapman-Enskog method for the solution of the Boltzmann transport equation. The book invites the reader to consider actual problems of the transport of thermal plasmas with particular attention to the derivation of diffusion- and viscosity-type transport cross sections, stressing the role of resonant charge-exchange processes in affecting the diffusion-type collision calculation of viscosity-type collision integrals. A wide range of topics is then discussed including (1) the effect of non-equilibrium vibrational distributions on the transport of vibrational energy, (2) the role of electronically excited states in the transport properties of thermal plasmas, (3) the dependence of transport properties on the multitude of Saha equations for multi-temperature plasmas, and (4) the effect of the magnetic field on transport properties. Throughout the book, worked examples ...

  20. Influence of Chemical Precleaning on the Plasma Treatment Efficiency of Aluminum by RF Plasma Pencil

    Czech Academy of Sciences Publication Activity Database

    Prysiazhnyi, V.; Slavíček, P.; Mikmeková, Eliška; Klíma, M.

    2016-01-01

    Roč. 18, č. 4 (2016), s. 430-437 ISSN 1009-0630 Institutional support: RVO:68081731 Keywords : atmospheric pressure plasma * plasma jet * aluminium * surface treatment * surface processing * chemical precleaning Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering Impact factor: 0.830, year: 2016

  1. Epitaxial growth and processing of InP films in a ``novel`` remote plasma-MOCVD apparatus

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, G. [Bari Univ. (Italy). Centro di Studio per la Chimica; Losurdo, M. [Bari Univ. (Italy). Centro di Studio per la Chimica; Capezzuto, P. [Bari Univ. (Italy). Centro di Studio per la Chimica; Capozzi, V. [Bari Univ. (Italy). Ist. di Fisica; Lorusso, F.G. [Bari Univ. (Italy). Ist. di Fisica; Minafra, A. [Bari Univ. (Italy). Ist. di Fisica

    1996-06-01

    A new remote plasma MOCVD apparatus for the treatment and deposition of III-V materials and, specifically, of indium phosphide, has been developed. The plasma source is used to produce hydrogen atoms and to predissociate phosphine for, respectively, the reduction of native oxide on InP substrate surface and the InP deposition. In situ diagnostics by optical emission spectroscopy, mass spectrometry, and spectroscopic ellipsometry are used to fingerprint the gas phase and the growth surface. The plasma cleaning process effectively reduce the InP oxide layer without surface damage. Indium phosphide epilayers deposited from trimethylindium and plasma activated PH{sub 3} show singular photoluminescence spectra with signal intensity higher than that of the best InP film deposited under conventional MOCVD condition (without PH{sub 3} plasma preactivation). (orig.)

  2. Chemical analysis of plasma-assisted antimicrobial treatment on cotton

    International Nuclear Information System (INIS)

    Kan, C W; Lam, Y L; Yuen, C W M; Luximon, A; Lau, K W; Chen, K S

    2013-01-01

    This paper explores the use of plasma treatment as a pretreatment process to assist the application of antimicrobial process on cotton fabric with good functional effect. In this paper, antimicrobial finishing agent, Microfresh Liquid Formulation 9200-200 (MF), and a binder (polyurethane dispersion, Microban Liquid Formulation R10800-0, MB) will be used for treating the cotton fabric for improving the antimicrobial property and pre-treatment of cotton fabric by plasma under atmospheric pressure will be employed to improve loading of chemical agents. The chemical analysis of the treated cotton fabric will be conducted by Fourier transform Infrared Spectroscopy.

  3. A remotely interrogatable sensor for chemical monitoring

    Science.gov (United States)

    Stoyanov, P. G.; Doherty, S. A.; Grimes, C. A.; Seitz, W. R.

    1998-01-01

    A new type of continuously operating, in-situ, remotely monitored sensor is presented. The sensor is comprised of a thin film array of magnetostatically coupled, magnetically soft ferromagnetic thin film structures, adhered to or encased within a thin polymer layer. The polymer is made so that it swells or shrinks in response to the chemical analyte of interest, which in this case is pH. As the polymer swells or shrinks, the magnetostatic coupling between the magnetic elements changes, resulting in changes in the magnetic switching characteristics of the sensor. Placed within a sinusoidal magnetic field the magnetization vector of the coupled sensor elements periodically reverses directions, generating magnetic flux that can be remotely detected as a series of voltage spikes in appropriately placed pickup coils. one preliminary sensor design consists of four triangles, initially spaced approximately 50 micrometers apart, arranged to form a 12 mm x 12 mm square with the triangle tips centered at a common origin. Our preliminary work has focused on monitoring of pH using a lightly crosslinked pH sensitive polymer layer of hydroxyethylmethacrylate and 2-(dimethylamino) ethylmethacrylate. As the polymer swells or shrinks the magnetostatic coupling between the triangles changes, resulting in measurable changes in the amplitude of the detected voltage spirits.

  4. Time-resolved resonance fluorescence spectroscopy for study of chemical reactions in laser-induced plasmas.

    Science.gov (United States)

    Liu, Lei; Deng, Leimin; Fan, Lisha; Huang, Xi; Lu, Yao; Shen, Xiaokang; Jiang, Lan; Silvain, Jean-François; Lu, Yongfeng

    2017-10-30

    Identification of chemical intermediates and study of chemical reaction pathways and mechanisms in laser-induced plasmas are important for laser-ablated applications. Laser-induced breakdown spectroscopy (LIBS), as a promising spectroscopic technique, is efficient for elemental analyses but can only provide limited information about chemical products in laser-induced plasmas. In this work, time-resolved resonance fluorescence spectroscopy was studied as a promising tool for the study of chemical reactions in laser-induced plasmas. Resonance fluorescence excitation of diatomic aluminum monoxide (AlO) and triatomic dialuminum monoxide (Al 2 O) was used to identify these chemical intermediates. Time-resolved fluorescence spectra of AlO and Al 2 O were used to observe the temporal evolution in laser-induced Al plasmas and to study their formation in the Al-O 2 chemistry in air.

  5. Remote Ischemic Conditioning

    Science.gov (United States)

    Heusch, Gerd; Bøtker, Hans Erik; Przyklenk, Karin; Redington, Andrew; Yellon, Derek

    2014-01-01

    In remote ischemic conditioning (RIC) brief, reversible episodes of ischemia with reperfusion in one vascular bed, tissue or organ confer a global protective phenotype and render remote tissues and organs resistant to ischemia/reperfusion injury. The peripheral stimulus can be chemical, mechanical or electrical and involves activation of peripheral sensory nerves. The signal transfer to the heart or other organs is through neuronal and humoral communications. Protection can be transferred, even across species, with plasma-derived dialysate and involves nitric oxide, stromal derived factor-1α, microRNA-144, but also other, not yet identified factors. Intracardiac signal transduction involves: adenosine, bradykinin, cytokines, and chemokines, which activate specific receptors; intracellular kinases; and mitochondrial function. RIC by repeated brief inflation/deflation of a blood pressure cuff protects against endothelial dysfunction and myocardial injury in percutaneous coronary interventions, coronary artery bypass grafting and reperfused acute myocardial infarction. RIC is safe and effective, noninvasive, easily feasible and inexpensive. PMID:25593060

  6. Applying chemical engineering concepts to non-thermal plasma reactors

    Science.gov (United States)

    Pedro AFFONSO, NOBREGA; Alain, GAUNAND; Vandad, ROHANI; François, CAUNEAU; Laurent, FULCHERI

    2018-06-01

    Process scale-up remains a considerable challenge for environmental applications of non-thermal plasmas. Undersanding the impact of reactor hydrodynamics in the performance of the process is a key step to overcome this challenge. In this work, we apply chemical engineering concepts to analyse the impact that different non-thermal plasma reactor configurations and regimes, such as laminar or plug flow, may have on the reactor performance. We do this in the particular context of the removal of pollutants by non-thermal plasmas, for which a simplified model is available. We generalise this model to different reactor configurations and, under certain hypotheses, we show that a reactor in the laminar regime may have a behaviour significantly different from one in the plug flow regime, often assumed in the non-thermal plasma literature. On the other hand, we show that a packed-bed reactor behaves very similarly to one in the plug flow regime. Beyond those results, the reader will find in this work a quick introduction to chemical reaction engineering concepts.

  7. Fundamental limits on gas-phase chemical reduction of NOx in a plasma

    Energy Technology Data Exchange (ETDEWEB)

    Penetrante, B.M.; Hsiao, M.C.; Merritt, B.T.; Vogtlin, G.E. [Lawrence Livermore National Lab., CA (United States)

    1997-12-31

    In the plasma, the electrons do not react directly with the NOx molecules. The electrons collide mainly with the background gas molecules like N{sub 2}, O{sub 2} and H{sub 2}O. Electron impact on these molecules result partly in dissociation reactions that produce reactive species like N, O and OH. The NOx in the engine exhaust gas initially consist mostly of NO. The ground state nitrogen atom, N, is the only species that could lead to the chemical reduction of NO to N{sub 2}. The O radical oxidizes NO to NO{sub 2} leaving the same amount of NOx. The OH radical converts NO{sub 2} to nitric acid. Acid products in the plasma can easily get adsorbed on surfaces in the plasma reactor and in the pipes. When undetected, the absence of these oxidation products can often be mistaken for chemical reduction of NOx. In this paper the authors will examine the gas-phase chemical reduction of NOx. They will show that under the best conditions, the plasma can chemically reduce 1.6 grams of NOx per brake-horsepower-hour [g(NOx)/bhp-hr] when 5% of the engine output energy is delivered to the plasma.

  8. Improvement of Plating Characteristics Between Nickel and PEEK by Plasma Treatment and Chemical Etching

    International Nuclear Information System (INIS)

    Lee, Hye W.; Lee, Jong K.; Park, Ki Y.

    2009-01-01

    Surface of PEEK(poly-ether-ether-ketone) was modified by chemical etching, plasma treatment and mechanical grinding to improve the plating adhesion. The plating characteristics of these samples were studied by the contact angle, plating thickness, gloss and adhesion. Chemical etching and plasma treatment increased wettability, adhesion and gloss. The contact angle of as-received PEEK was 61 .deg. . The contact angles of chemical etched, plasma treated or both were improved to the range of 15∼33 .deg. . In the case of electroless plating, the thickest layer without blister was 1.6 μm. The adhesion strengths by chemical etching, plasma treatment or both chemical etching and plasma treatment were 75 kgf/cm 2 , 102 kgf/cm 2 , 113 kgf/cm 2 , respectively, comparing to the 24 kgf/cm 2 of as-received. In the case of mechanically ground PEEKs, the adhesion strengths were higher than those unground, with the sacrifice of surface gloss. The gloss of untreated PEEK were greater than mechanically ground PEEKs. Plating thickness increased linearly with the plating times

  9. A Self-Calibrating Remote Control Chemical Monitoring System

    Energy Technology Data Exchange (ETDEWEB)

    Jessica Croft

    2007-06-01

    The Susie Mine, part of the Upper Tenmile Mining Area, is located in Rimini, MT about 15 miles southwest of Helena, MT. The Upper Tenmile Creek Mining Area is an EPA Superfund site with 70 abandoned hard rock mines and several residential yards prioritized for clean up. Water from the Susie mine flows into Tenmile Creek from which the city of Helena draws part of its water supply. MSE Technology Applications in Butte, Montana was contracted by the EPA to build a treatment system for the Susie mine effluent and demonstrate a system capable of treating mine waste water in remote locations. The Idaho National Lab was contracted to design, build and demonstrate a low maintenance self-calibrating monitoring system that would monitor multiple sample points, allow remote two-way communications with the control software and allow access to the collected data through a web site. The Automated Chemical Analysis Monitoring (ACAM) system was installed in December 2006. This thesis documents the overall design of the hardware, control software and website, the data collected while MSE-TA’s system was operational, the data collected after MSE-TA’s system was shut down and suggested improvements to the existing system.

  10. Crystalline phase control and growth selectivity of β-MnO{sub 2} thin films by remote plasma assisted pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Abi-Akl, M.; Tabbal, M., E-mail: malek.tabbal@aub.edu.lb; Kassem, W.

    2016-08-01

    In this paper, we exploit the effect of coupling an oxygen remote plasma source to Pulsed Laser Deposition (PLD) for the growth of pure and well crystallized β-MnO{sub 2} films. Films were grown on Si substrates by laser ablation of a MnO target in oxygen ambient and remote plasma. X-Ray Diffraction, Fourier Transform Infra-Red spectroscopy and Raman scattering were used to determine the crystalline structure and bonding in the grown layers, whereas Atomic Force Microscopy was used to study their morphology and surface roughness. Deposition at 500 °C and high oxygen pressure (33.3–66.6 Pa) resulted in the formation of films with roughness of 12 nm consisting of nsutite γ-MnO{sub 2}, a structure characterized by the intergrowth of the pyrolusite β-MnO{sub 2} in a ramsdellite R-MnO{sub 2} matrix. Deposition at the same temperature but low pressure (1.33–3.33 Pa) in oxygen ambient lead to the formation of Mn{sub 2}O{sub 3} whereas plasma activation within the same pressure range induced the growth of single phase highly crystalline β-MnO{sub 2} having smooth surfaces with a roughness value of 0.6 nm. Such results underline the capability of remote plasma assisted PLD in selecting and controlling the crystalline phase of manganese oxide layers. - Highlights: • MnO{sub 2} films were grown by Remote Plasma Assisted Pulsed Laser Deposition. • Crystalline MnO{sub 2} is formed at a substrate temperature of 500 °C. • Smooth crystalline single phase β-MnO{sub 2} films were obtained at 1.33–3.33 Pa. • Deposition at 1.33–3.33 Pa without plasma activation lead to the growth of Mn{sub 2}O{sub 3}. • Without plasma, mixed phases of MnO{sub 2} polymorphs are obtained at 33.3 Pa and above.

  11. High degree reduction and restoration of graphene oxide on SiO2 at low temperature via remote Cu-assisted plasma treatment

    Science.gov (United States)

    Obata, Seiji; Sato, Minoru; Akada, Keishi; Saiki, Koichiro

    2018-06-01

    A high throughput synthesis method of graphene has been required for a long time to apply graphene to industrial applications. Of the various synthesis methods, the chemical exfoliation of graphite via graphene oxide (GO) is advantageous as far as productivity is concerned; however, the quality of the graphene produced by this method is far inferior to that synthesized by other methods, such as chemical vapor deposition on metals. Developing an effective reduction and restoration method for GO on dielectric substrates has been therefore a key issue. Here, we present a method for changing GO deposited on a dielectric substrate into high crystallinity graphene at 550 °C this method uses CH4/H2 plasma and a Cu catalyst. We found that Cu remotely catalyzed the high degree reduction and restoration of GO on SiO2 and the effect ranged over at least 8 mm. With this method, field-effect transistor devices can be fabricated without any post treatment such as a transfer process. This plasma treatment increased electron and hole mobilities of GO to 480 cm2 V‑1 s‑1 and 460 cm2 V‑1 s‑1 respectively; these values were more than 50 times greater than that of conventional reduced GO. Furthermore, the on-site conversion ensured that the shape of the GO sheets remained unchanged after the treatment. This plasma treatment realizes the high throughput synthesis of a desired shaped graphene on any substrate without any residue and damage being caused by the transfer process; as such, it expands the potential applicability of graphene.

  12. A remote in-vessel and ex-vessel force-reflecting telerobotic system for the burning plasma experiment

    International Nuclear Information System (INIS)

    Kuban, D.P.; Busko, N.

    1992-01-01

    The Burning Plasma Experiment (BPX) has made an applaudable commitment to total remote maintenance which will undoubtedly move fusion energy closer to commercial reality. This commitment poses new and formidable challenges due to the dimensional constraints, diversity of maintenance operations, and the geometrically intricate equipment arrangements. These challenges must be addressed for successful hot operation of the Princeton Plasma Physics Laboratory BPX. This paper reports on a new manipulator system, called the TeleMate, which is under development to contribute to this needed capability. This system combines enhancements to a proven mechanical design with state-of-the-art controls technology, to produce a flexible system that can be configured to address the numerous remote fusion applications. The mechanical portion of the system has many years of operation in existing radioactive facilities. This paper presents a system description, the development status, initial test data, and control system performance

  13. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  14. The Synergistic Effect between Electrical and Chemical Factors in Plasma Gene/Molecule-Transfection

    Science.gov (United States)

    Jinno, Masafumi

    2016-09-01

    This study has been done to know what kind of factors in plasma and processes on cells promote plasma gene/molecule transfection. We have discovered a new plasma source using a microcapillary electrode which enables high transfection efficiency and high cell survivability simultaneously. However, the mechanism of the transfection by plasma was not clear. To clarify the transfection mechanisms by micro plasma, we focused on the effects of electrical (current, charge, field, etc.) and chemical (radicals, RONS, etc.) factors generated by the micro plasma and evaluated the contribution weight of three groups of the effects and processes, i.e. electrical, chemical and biochemical ones. At first, the necessity of the electrical factors was estimated by the laser produced plasma (LPP). Mouse L-929 fibroblast cell was cultured on a 96-well plate or 12-well micro slide chamber. Plasmids pCX-EGFP in Tris-EDTA buffer was dropped on the cells and they were exposed to the capillary discharge plasma (CDP) or the LPP. In the case of the CDP, the plasma was generated between the tip of the capillary electrode and the cells so that both electrical and chemical factors were supplied to the cells. In this setup, about 20% of average transfection efficiency was obtained. In the case of the LPP, the plasma was generated apart from the cells so that electrical factors were not supplied to the cells. In this setup, no transfection was observed. These results show that the electrical factors are necessary for the plasma gene transfection. Next, the necessity of the chemical factors was estimated the effect of catalase to remove H2O2 in CDP. The transfection efficiency decreased to 0.4 by scavenging H2O2 with catalase. However, only the solution of H2O2 caused no gene transfection in cells. These results shows that H2O2 is important species to cause gene/molecule transfection but still needs a synergistic effect with electrical or other chemical factors. This work was partly supported by

  15. Hard graphitelike hydrogenated amorphous carbon grown at high rates by a remote plasma

    DEFF Research Database (Denmark)

    Singh, Shailendra Vikram; Zaharia, T.; Creatore, M.

    2010-01-01

    Hydrogenated amorphous carbon (a-C:H) deposited from an Ar-C 2H2 expanding thermal plasma chemical vapor deposition (ETP-CVD) is reported. The downstream plasma region of an ETP is characterized by a low electron temperature (∼0.3 eV), which leads to an ion driven chemistry and negligible physical...

  16. PumpKin: A tool to find principal pathways in plasma chemical models

    Science.gov (United States)

    Markosyan, A. H.; Luque, A.; Gordillo-Vázquez, F. J.; Ebert, U.

    2014-10-01

    PumpKin is a software package to find all principal pathways, i.e. the dominant reaction sequences, in chemical reaction systems. Although many tools are available to integrate numerically arbitrarily complex chemical reaction systems, few tools exist in order to analyze the results and interpret them in relatively simple terms. In particular, due to the large disparity in the lifetimes of the interacting components, it is often useful to group reactions into pathways that recycle the fastest species. This allows a researcher to focus on the slow chemical dynamics, eliminating the shortest timescales. Based on the algorithm described by Lehmann (2004), PumpKin automates the process of finding such pathways, allowing the user to analyze complex kinetics and to understand the consumption and production of a certain species of interest. We designed PumpKin with an emphasis on plasma chemical systems but it can also be applied to atmospheric modeling and to industrial applications such as plasma medicine and plasma-assisted combustion.

  17. Device performance of in situ steam generated gate dielectric nitrided by remote plasma nitridation

    International Nuclear Information System (INIS)

    Al-Shareef, H. N.; Karamcheti, A.; Luo, T. Y.; Bersuker, G.; Brown, G. A.; Murto, R. W.; Jackson, M. D.; Huff, H. R.; Kraus, P.; Lopes, D.

    2001-01-01

    In situ steam generated (ISSG) oxides have recently attracted interest for use as gate dielectrics because of their demonstrated reliability improvement over oxides formed by dry oxidation. [G. Minor, G. Xing, H. S. Joo, E. Sanchez, Y. Yokota, C. Chen, D. Lopes, and A. Balakrishna, Electrochem. Soc. Symp. Proc. 99-10, 3 (1999); T. Y. Luo, H. N. Al-Shareef, G. A. Brown, M. Laughery, V. Watt, A. Karamcheti, M. D. Jackson, and H. R. Huff, Proc. SPIE 4181, 220 (2000).] We show in this letter that nitridation of ISSG oxide using a remote plasma decreases the gate leakage current of ISSG oxide by an order of magnitude without significantly degrading transistor performance. In particular, it is shown that the peak normalized transconductance of n-channel devices with an ISSG oxide gate dielectric decreases by only 4% and the normalized drive current by only 3% after remote plasma nitridation (RPN). In addition, it is shown that the reliability of the ISSG oxide exhibits only a small degradation after RPN. These observations suggest that the ISSG/RPN process holds promise for gate dielectric applications. [copyright] 2001 American Institute of Physics

  18. Improving plasma resistance and lowering roughness in an ArF photoresist by adding a chemical reaction inhibitor

    International Nuclear Information System (INIS)

    Jinnai, Butsurin; Uesugi, Takuji; Koyama, Koji; Samukawa, Seiji; Kato, Keisuke; Yasuda, Atsushi; Maeda, Shinichi; Momose, Hikaru

    2010-01-01

    Major challenges associated with 193 nm lithography using an ArF photoresist are low plasma resistance and roughness formation in the ArF photoresist during plasma processes. We have previously found decisive factors affecting the plasma resistance and roughness formation in an ArF photoresist: plasma resistance is determined by UV/VUV radiation, and roughness formation is dominated by chemical reactions. In this study, based on our findings on the interaction between plasma radiation species and ArF photoresist polymers, we proposed an ArF photoresist with a chemical reaction inhibitor, which can trap reactive species from the plasma, and characterized the performances of the resultant ArF photoresist through neutral beam experiments. Hindered amine light stabilizers, i.e. 4-hydroxy-2,2,6,6-tetramethyl-1-piperidinyloxy (HO-TEMPO), were used as the chemical reaction inhibitor. Etching rates of the ArF photoresist films were not dependent on the HO-TEMPO content in the irradiations without chemical reactions or under UV/VUV radiation. However, in the irradiation with chemical reactions, the etching rates of the ArF photoresist films decreased as the HO-TEMPO content increased. In addition, the surface roughness decreased with the increase in the additive amount of chemical reaction inhibitor. According to FTIR analysis, a chemical reaction inhibitor can inhibit the chemical reactions in ArF photoresist films through plasma radicals. These results indicate that a chemical reaction inhibitor is effective against chemical reactions, resulting in improved plasma resistance and less roughness in an ArF photoresist. These results also support our suggested mechanism of plasma resistance and roughness formation in an ArF photoresist.

  19. Chemical analysis of refractories by plasma spectrometry

    International Nuclear Information System (INIS)

    Coutinho, C.A.

    1990-01-01

    X-ray spectrometry has been, since the last two or three decades, the traditional procedure for the chemical analysis of refractories, due to its high degree of accuracy and speed to produce analytical results. An interesting alternative to X-ray fluorescence is provided by the Inductively Coupled Plasma Spectrometry technique, for those laboratories where wet chemistry facilities are already available or process control is not required at high speed, or investiment costs have to be low. This paper presents results obtained by plasma spectroscopy for the analysis of silico - aluminous refractories, showing calibration curves, precion and detection limits. Considerations and comparisons with X-ray fluorescence are also made. (author) [pt

  20. A solid-state nuclear magnetic resonance study of post-plasma reactions in organosilicone microwave plasma-enhanced chemical vapor deposition (PECVD) coatings.

    Science.gov (United States)

    Hall, Colin J; Ponnusamy, Thirunavukkarasu; Murphy, Peter J; Lindberg, Mats; Antzutkin, Oleg N; Griesser, Hans J

    2014-06-11

    Plasma-polymerized organosilicone coatings can be used to impart abrasion resistance and barrier properties to plastic substrates such as polycarbonate. Coating rates suitable for industrial-scale deposition, up to 100 nm/s, can be achieved through the use of microwave plasma-enhanced chemical vapor deposition (PECVD), with optimal process vapors such as tetramethyldisiloxane (TMDSO) and oxygen. However, it has been found that under certain deposition conditions, such coatings are subject to post-plasma changes; crazing or cracking can occur anytime from days to months after deposition. To understand the cause of the crazing and its dependence on processing plasma parameters, the effects of post-plasma reactions on the chemical bonding structure of coatings deposited with varying TMDSO-to-O2 ratios was studied with (29)Si and (13)C solid-state magic angle spinning nuclear magnetic resonance (MAS NMR) using both single-pulse and cross-polarization techniques. The coatings showed complex chemical compositions significantly altered from the parent monomer. (29)Si MAS NMR spectra revealed four main groups of resonance lines, which correspond to four siloxane moieties (i.e., mono (M), di (D), tri (T), and quaternary (Q)) and how they are bound to oxygen. Quantitative measurements showed that the ratio of TMDSO to oxygen could shift the chemical structure of the coating from 39% to 55% in Q-type bonds and from 28% to 16% for D-type bonds. Post-plasma reactions were found to produce changes in relative intensities of (29)Si resonance lines. The NMR data were complemented by Fourier transform infrared (FTIR) spectroscopy. Together, these techniques have shown that the bonding environment of Si is drastically altered by varying the TMDSO-to-O2 ratio during PECVD, and that post-plasma reactions increase the cross-link density of the silicon-oxygen network. It appears that Si-H and Si-OH chemical groups are the most susceptible to post-plasma reactions. Coatings produced at a

  1. Fundamental aspects of plasma chemical physics kinetics

    CERN Document Server

    Capitelli, Mario; Colonna, Gianpiero; Esposito, Fabrizio; Gorse, Claudine; Hassouni, Khaled; Laricchiuta, Annarita; Longo, Savino

    2016-01-01

    Describing non-equilibrium "cold" plasmas through a chemical physics approach, this book uses the state-to-state plasma kinetics, which considers each internal state as a new species with its own cross sections. Extended atomic and molecular master equations are coupled with Boltzmann and Monte Carlo methods to solve the electron energy distribution function. Selected examples in different applied fields, such as microelectronics, fusion, and aerospace, are presented and discussed including the self-consistent kinetics in RF parallel plate reactors, the optimization of negative ion sources and the expansion of high enthalpy flows through nozzles of different geometries. The book will cover the main aspects of the state-to-state kinetic approach for the description of nonequilibrium cold plasmas, illustrating the more recent achievements in the development of kinetic models including the self-consistent coupling of master equations and Boltzmann equation for electron dynamics. To give a complete portrayal, the...

  2. Studies on surface graft polymerization of acrylic acid onto PTFE film by remote argon plasma initiation

    International Nuclear Information System (INIS)

    Wang Chen; Chen Jierong

    2007-01-01

    The graft polymerization of acrylic acid (AAc) was carried out onto poly(tetrafluoroethylene) (PTFE) films that had been pretreated with remote argon plasma and subsequently exposed to oxygen to create peroxides. Peroxides are known to be the species responsible for initiating the graft polymerization when PTFE reacts with AAc. We chose different parameters of remote plasma treatment to get the optimum condition for introducing maximum peroxides (2.87 x 10 -11 mol/cm 2 ) on the surface. The influence of grafted reaction conditions on the grafting degree was investigated. The maximum grafting degree was 25.2 μg/cm 2 . The surface microstructures and compositions of the AAc grafted PTFE film were characterized with the water contact angle meter, Fourier-transform infrared spectroscopy (ATR-FTIR) and X-ray photoelectron spectroscopy (XPS). Contact angle measurements revealed that the water contact angle decreased from 108 o to 41 o and the surface free energy increased from 22.1 x 10 -5 to 62.1 x 10 -5 N cm -1 by the grafting of the AAc chains. The hydrophilicity of the PTFE film surface was greatly enhanced. The time-dependent activity of the grafted surface was better than that of the plasma treated film

  3. Activating basal-plane catalytic activity of two-dimensional MoS2 monolayer with remote hydrogen plasma

    KAUST Repository

    Cheng, Chia-Chin

    2016-09-10

    Two-dimensional layered transition metal dichalcogenide (TMD) materials such as Molybdenum disufide (MoS2) have been recognized as one of the low-cost and efficient electrocatalysts for hydrogen evolution reaction (HER). The crystal edges that account for a small percentage of the surface area, rather than the basal planes, of MoS2 monolayer have been confirmed as their active catalytic sites. As a result, extensive efforts have been developing in activating the basal planes of MoS2 for enhancing their HER activity. Here, we report a simple and efficient approach-using a remote hydrogen-plasma process-to creating S-vacancies on the basal plane of monolayer crystalline MoS2; this process can generate high density of S-vacancies while mainly maintaining the morphology and structure of MoS2 monolayer. The density of S-vacancies (defects) on MoS2 monolayers resulted from the remote hydrogen-plasma process can be tuned and play a critical role in HER, as evidenced in the results of our spectroscopic and electrical measurements. The H2-plasma treated MoS2 also provides an excellent platform for systematic and fundamental study of defect-property relationships in TMDs, which provides insights for future applications including electrical, optical and magnetic devices. © 2016 Elsevier Ltd.

  4. Liquid assisted plasma enhanced chemical vapour deposition with a non-thermal plasma jet at atmospheric pressure

    Czech Academy of Sciences Publication Activity Database

    Schäfer, J.; Fricke, K.; Mika, Filip; Pokorná, Zuzana; Zajíčková, L.; Foest, R.

    2017-01-01

    Roč. 630, MAY 30 (2017), s. 71-78 ISSN 0040-6090 R&D Projects: GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01 Institutional support: RVO:68081731 Keywords : plasma jet * liquid assisted plasma enhanced chemical * vapour deposition * silicon oxide Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering OBOR OECD: Coating and films Impact factor: 1.879, year: 2016

  5. Typical parameters of the plasma chemical similarity in non-isothermal reactive plasmas

    International Nuclear Information System (INIS)

    Gundermann, S.; Jacobs, H.; Miethke, F.; Rutsher, A.; Wagner, H.E.

    1996-01-01

    The substance of physical similarity principles is contained in parameters which govern the comparison of different realizations of a model device. Because similarity parameters for non-isothermal plasma chemical reactors are unknown to a great extent, an analysis of relevant equations is given together with some experimental results. Modelling of the reactor and experimental results for the ozone synthesis are presented

  6. Destruction of chemical warfare surrogates using a portable atmospheric pressure plasma jet

    Science.gov (United States)

    Škoro, Nikola; Puač, Nevena; Živković, Suzana; Krstić-Milošević, Dijana; Cvelbar, Uroš; Malović, Gordana; Petrović, Zoran Lj.

    2018-01-01

    Today's reality is connected with mitigation of threats from the new chemical and biological warfare agents. A novel investigation of cold plasmas in contact with liquids presented in this paper demonstrated that the chemically reactive environment produced by atmospheric pressure plasma jet (APPJ) is potentially capable of rapid destruction of chemical warfare agents in a broad spectrum. The decontamination of three different chemical warfare agent surrogates dissolved in liquid is investigated by using an easily transportable APPJ. The jet is powered by a kHz signal source connected to a low-voltage DC source and with He as working gas. The detailed investigation of electrical properties is performed for various plasmas at different distances from the sample. The measurements of plasma properties in situ are supported by the optical spectrometry measurements, whereas the high performance liquid chromatography measurements before and after the treatment of aqueous solutions of Malathion, Fenitrothion and Dimethyl Methylphosphonate. These solutions are used to evaluate destruction and its efficiency for specific neural agent simulants. The particular removal rates are found to be from 56% up to 96% during 10 min treatment. The data obtained provide basis to evaluate APPJ's efficiency at different operating conditions. The presented results are promising and could be improved with different operating conditions and optimization of the decontamination process.

  7. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  8. Low temperature metal free growth of graphene on insulating substrates by plasma assisted chemical vapor deposition

    Science.gov (United States)

    Muñoz, R.; Munuera, C.; Martínez, J. I.; Azpeitia, J.; Gómez-Aleixandre, C.; García-Hernández, M.

    2017-03-01

    Direct growth of graphene films on dielectric substrates (quartz and silica) is reported, by means of remote electron cyclotron resonance plasma assisted chemical vapor deposition r-(ECR-CVD) at low temperature (650 °C). Using a two step deposition process- nucleation and growth- by changing the partial pressure of the gas precursors at constant temperature, mostly monolayer continuous films, with grain sizes up to 500 nm are grown, exhibiting transmittance larger than 92% and sheet resistance as low as 900 Ω sq-1. The grain size and nucleation density of the resulting graphene sheets can be controlled varying the deposition time and pressure. In additon, first-principles DFT-based calculations have been carried out in order to rationalize the oxygen reduction in the quartz surface experimentally observed. This method is easily scalable and avoids damaging and expensive transfer steps of graphene films, improving compatibility with current fabrication technologies.

  9. A two-temperature chemical non-equilibrium modeling of DC arc plasma

    International Nuclear Information System (INIS)

    Qian Haiyang; Wu Bin

    2011-01-01

    To a better understanding of non-equilibrium characteristics of DC arc plasma,a two-dimensional axisymmetric two-temperature chemical non-equilibrium (2T-NCE) model is applied for direct current arc argon plasma generator with water-cooled constrictor at atmospheric pressure. The results show that the electron temperature and heavy particle temperature has a relationship under different working parameters, indicating that DC arc plasma has a strong non-equilibrium characteristic, and the variation is obvious. (authors)

  10. Characteristics of nanosized zirconia prepared by plasma and chemical technique

    International Nuclear Information System (INIS)

    Kuznetsova, L.; Grabis, J.; Heidemane, G.

    2003-01-01

    The studied preparation method of zirconia using the plasma technique, azeotropic distillation and glycine routes ensure obtaining of nano sized powders with close average particle size but different crystallite size and phase composition. The sinterability of nano sized zirconia particles prepared by plasma technique or wet-chemical methods is similar and depends on the green density of pressed powders, improvement of with can be achieved by using of granulated precursors. (authors)

  11. Laser-based instrumentation for the detection of chemical agents

    International Nuclear Information System (INIS)

    Hartford, A. Jr.; Sander, R.K.; Quigley, G.P.; Radziemski, L.J.; Cremers, D.A.

    1982-01-01

    Several laser-based techniques are being evaluated for the remote, point, and surface detection of chemical agents. Among the methods under investigation are optoacoustic spectroscopy, laser-induced breakdown spectroscopy (LIBS), and synchronous detection of laser-induced fluorescence (SDLIF). Optoacoustic detection has already been shown to be capable of extremely sensitive point detection. Its application to remote sensing of chemical agents is currently being evaluated. Atomic emission from the region of a laser-generated plasma has been used to identify the characteristic elements contained in nerve (P and F) and blister (S and Cl) agents. Employing this LIBS approach, detection of chemical agent simulants dispersed in air and adsorbed on a variety of surfaces has been achieved. Synchronous detection of laser-induced fluorescence provides an attractive alternative to conventional LIF, in that an artificial narrowing of the fluorescence emission is obtained. The application of this technique to chemical agent simulants has been successfully demonstrated. 19 figures

  12. Experimental setup for producing tungsten coated graphite tiles using plasma enhanced chemical vapor deposition technique for fusion plasma applications

    International Nuclear Information System (INIS)

    Chauhan, Sachin Singh; Sharma, Uttam; Choudhary, K.K.; Sanyasi, A.K.; Ghosh, J.; Sharma, Jayshree

    2013-01-01

    Plasma wall interaction (PWI) in fusion grade machines puts stringent demands on the choice of materials in terms of high heat load handling capabilities and low sputtering yields. Choice of suitable material still remains a challenge and open topic of research for the PWI community. Carbon fibre composites (CFC), Beryllium (Be), and Tungsten (W) are now being considered as first runners for the first wall components of future fusion machines. Tungsten is considered to be one of the suitable materials for the job because of its superior properties than carbon like low physical sputtering yield and high sputter energy threshold, high melting point, fairly high re-crystallization temperature, low fuel retention capabilities, low chemical sputtering with hydrogen and its isotopes and most importantly the reparability with various plasma techniques both ex-situ and in-situ. Plasma assisted chemical vapour deposition is considered among various techniques as the most preferable technique for fabricating tungsten coated graphite tiles to be used as tokamak first wall and target components. These coated tiles are more favourable compared to pure tungsten due to their light weight and easier machining. A system has been designed, fabricated and installed at SVITS, Indore for producing tungsten coated graphite tiles using Plasma Enhanced Chemical Vapor Deposition (PE-CVD) technique for Fusion plasma applications. The system contains a vacuum chamber, a turbo-molecular pump, two electrodes, vacuum gauges, mass analyzer, mass flow controllers and a RF power supply for producing the plasma using hydrogen gas. The graphite tiles will be put on one of the electrodes and WF6 gas will be inserted in a controlled manner in the hydrogen plasma to achieve the tungsten-coating with WF6 dissociation. The system is integrated at SVITS, Indore and a vacuum of the order of 3*10 -6 is achieved and glow discharge plasma has been created to test all the sub-systems. The system design with

  13. Chemical and physical reactions under thermal plasmas conditions

    International Nuclear Information System (INIS)

    Fauchais, P.; Vardelle, A.; Vardelle, M.; Coudert, J.F.

    1987-01-01

    Basic understanding of the involved phenomena lags far behind industrial development that requires now a better knowledge of the phenomena to achieve a better control of the process allowing to improve the quality of the products. Thus the authors try to precise what is their actual knowledge in the fields of: plasma generators design; plasma flow models with the following key points: laminar or turbulent flow, heat transfer to walls, 2D or 3D models, non equilibrium effects, mixing problems when chemical reactions are to be taken into account with very fast kinetics, electrode regions, data for transport properties and kinetic rates; nucleation problems; plasma flow characteristics measurements: temperature or temperatures and population of excited states (automatized emission spectroscopy, LIF, CARS) as well as flow velocity (LDA with small particles, Doppler effects...); plasma and particles momentum and heat transfer either with models taking into account particles size and injection velocity distributions, heat propagation, vaporization, Kundsen effect, turbulences ... or with measurements: particles velocity and flux distributions (Laser Anemometry) as well as surface temperature distributions (two colour pyrometry in flight statistical or not)

  14. Chemical Changes in Nonthermal Plasma-Treated N-Acetylcysteine (NAC) Solution and Their Contribution to Bacterial Inactivation.

    Science.gov (United States)

    Ercan, Utku K; Smith, Josh; Ji, Hai-Feng; Brooks, Ari D; Joshi, Suresh G

    2016-02-02

    In continuation of our previous reports on the broad-spectrum antimicrobial activity of atmospheric non-thermal dielectric barrier discharge (DBD) plasma treated N-Acetylcysteine (NAC) solution against planktonic and biofilm forms of different multidrug resistant microorganisms, we present here the chemical changes that mediate inactivation of Escherichia coli. In this study, the mechanism and products of the chemical reactions in plasma-treated NAC solution are shown. UV-visible spectrometry, FT-IR, NMR, and colorimetric assays were utilized for chemical characterization of plasma treated NAC solution. The characterization results were correlated with the antimicrobial assays using determined chemical species in solution in order to confirm the major species that are responsible for antimicrobial inactivation. Our results have revealed that plasma treatment of NAC solution creates predominantly reactive nitrogen species versus reactive oxygen species, and the generated peroxynitrite is responsible for significant bacterial inactivation.

  15. Temperature dependence on plasma-induced damage and chemical reactions in GaN etching processes using chlorine plasma

    Science.gov (United States)

    Liu, Zecheng; Ishikawa, Kenji; Imamura, Masato; Tsutsumi, Takayoshi; Kondo, Hiroki; Oda, Osamu; Sekine, Makoto; Hori, Masaru

    2018-06-01

    Plasma-induced damage (PID) on GaN was optimally reduced by high-temperature chlorine plasma etching. Energetic ion bombardments primarily induced PID involving stoichiometry, surface roughness, and photoluminescence (PL) degradation. Chemical reactions under ultraviolet (UV) irradiation and chlorine radical exposure at temperatures higher than 400 °C can be controlled by taking into account the synergism of simultaneous photon and radical irradiations to effectively reduce PID.

  16. A study of the potential of plasma processing in the chemical industry

    International Nuclear Information System (INIS)

    Estey, P.N.; Connolly, T.J.

    1984-01-01

    This work describes a systematic approach to determine the potential for plasma processing in the United States chemical industry. A model was developed that describes the physical inputs and outputs from a plasma based processing system. Based on these mass flows and the energy flows to the processor an economic assessment of the plasma processing system is made. This economic assessment which also includes the capital costs of the processor, can be used to determine if the plasma system is competitive with the conventional system

  17. Quasi-equilibria and plasma chemical similarity in non-isothermal reactive plasmas

    International Nuclear Information System (INIS)

    Miethke, F.; Rutscher, A.; Wagner, H.E.

    2000-01-01

    With regard to the output of stable products the mode of operation of non-isothermal plasma chemical reactors shows physical and chemical well defined states, which represent limiting cases and may be interpreted as quasi-equilibrium states. The occurrence and the characteristics of these states, meanwhile more than once observed and described, are demonstrated by an instructive model reaction. Within the frame of the so-called Macroscopic Kinetics a central parameter is dominating the reactor operation. This result may be generalized and is linked up to the application of similarity principles for the reactor operation. After the general formulation of such principles, starting from the balance equations of particles and energy, a dimensionless similarity parameter is formulated, characterizing the composition of the effluent gas of the reactor. The applicability of this parameter is demonstrated by experimental examples. (Authors)

  18. Diagnostics of N2 Ar plasma mixture excited in a 13.56 MHz hollow cathode discharge system: application to remote plasma treatment of polyamide surface

    Science.gov (United States)

    Saloum, S.; Naddaf, M.; Alkhaled, B.

    2008-02-01

    N2-x% Ar plasma gas mixture, generated in a hollow cathode RF discharge system, has been characterized by both optical emission spectroscopy (OES) and double Langmuir probe, as a function of experimental parameters: total pressure (5-33 Pa), and different fractions of argon (7 <= x <= 80), at a constant applied RF power of 300 W. N2 dissociation degree has been investigated qualitatively by both the actinometry method and the ratio I_N/I_{N_2} of the atomic nitrogen line emission intensity at 672.3 nm to the vibrational band (0-0) of the N2 second positive system at 337.1 nm. Both methods showed that the increase in argon fraction enhances the dissociation of N2, with a maximum at x = 50 for the pressure of 5 Pa, although the two methods give two opposite trends as a function of total pressure. Spectroscopic measurements showed that the vibrational temperature of the N2 second positive system increases with both argon fraction and total pressure increase, it lies between 4900 and 12 300 K. Langmuir probe measurements showed that, in the remote zone, the electron temperature falls in the range 1.57-1.75 eV, the N_{2}^{+} density varies between 5 × 109 and 1.4 × 1010 cm-3 and that both the plasma ionization degree and electron temperature increase towards the source. In addition, the process of plasma-polyamide (PA) surface interaction, in the remote plasma zone, has been studied through OES analysis during plasma treatment of PA to monitor the possible emissions due to the polymer etching. An increase in atomic nitrogen line (672.3 nm) intensity is obtained, atomic carbon line (833.52 nm) and the band emission (0-0) from the CN (B 2Σ+-X 2Σ+) violet system were observed. The PA surface modification has been confirmed through the improvement of its hydrophilic character as the water contact angle measured after the plasma treatment significantly decreased.

  19. Diagnostics of N2-Ar plasma mixture excited in A 13.56 MHz hollow cathode discharge system: Application to remote plasma treatment of polyamide surface

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.; Al-khaled, B.

    2009-01-01

    N 2 -x % Ar plasma gas mixture, generated in a hollow cathode RF discharge system, has been characterized by both optical emission spectroscopy (OES) and double langmuir probe, as a function of experimental parameters: Total pressure (5-33 Pa), and different fractions of argon (7≤ x ≤ 80), at a constant applied RF power of 300 W. N 2 dissociation degree has been investigated qualitatively by both actinometry method and the ratio of the atomic nitrogen line emission intensity at 672.3 nm to the vibrational band (0-0) of the N 2 second positive system at 337.1 nm. Both methods showed that the increase of argon fraction enhances the dissociation of N 2 , with a maximum at x=50 for the pressure of 5 Pa, although the two methods give two opposite trends as a function of total pressure. Spectroscopic measurements showed that the vibrational temperature of N 2 second positive system increases with both argon fraction and total pressure increase, it lies between 4900 K and 12300 K. Langmuir probe measurements showed that, in the remote zone, the electron temperature falls in the range 1.57-1.75 eV, the N 2 + density varies between 5.10 9 cm-3 and 1.4 10 10 cm -3 , and that both the plasma ionization degree and electron temperature increase towards the source. In addition, the process of plasma-polyamide surface interaction, in the remote plasma zone, has been studied through optical emission spectroscopy analysis during plasma treatment of polyamide to monitor the possible emissions due to the polymer etching. An increase of atomic nitrogen line (672.3 nm) intensity is obtained, atomic carbon line (833.52 nm) and the band emission (0-0) from CN (B 2 Σ + -X 2 Σ + ) violet system were observed. The polyamide surface modification has been confirmed through the improvement of its hydrophilic character as the water contact angle measured after the plasma treatment significantly decreased. (author)

  20. Diagnostics of N2-Ar plasma mixture excited in a 13.56 MHz hollow cathode discharge system: application to remote plasma treatment of polyamide surface

    International Nuclear Information System (INIS)

    Saloum, S; Naddaf, M; Alkhaled, B

    2008-01-01

    N 2 -x% Ar plasma gas mixture, generated in a hollow cathode RF discharge system, has been characterized by both optical emission spectroscopy (OES) and double Langmuir probe, as a function of experimental parameters: total pressure (5-33 Pa), and different fractions of argon (7 ≤ x ≤ 80), at a constant applied RF power of 300 W. N 2 dissociation degree has been investigated qualitatively by both the actinometry method and the ratio I N /I N 2 of the atomic nitrogen line emission intensity at 672.3 nm to the vibrational band (0-0) of the N 2 second positive system at 337.1 nm. Both methods showed that the increase in argon fraction enhances the dissociation of N 2 , with a maximum at x = 50 for the pressure of 5 Pa, although the two methods give two opposite trends as a function of total pressure. Spectroscopic measurements showed that the vibrational temperature of the N 2 second positive system increases with both argon fraction and total pressure increase, it lies between 4900 and 12 300 K. Langmuir probe measurements showed that, in the remote zone, the electron temperature falls in the range 1.57-1.75 eV, the N 2 + density varies between 5 x 10 9 and 1.4 x 10 10 cm -3 and that both the plasma ionization degree and electron temperature increase towards the source. In addition, the process of plasma-polyamide (PA) surface interaction, in the remote plasma zone, has been studied through OES analysis during plasma treatment of PA to monitor the possible emissions due to the polymer etching. An increase in atomic nitrogen line (672.3 nm) intensity is obtained, atomic carbon line (833.52 nm) and the band emission (0-0) from the CN (B 2 Σ + -X 2 Σ + ) violet system were observed. The PA surface modification has been confirmed through the improvement of its hydrophilic character as the water contact angle measured after the plasma treatment significantly decreased

  1. Plasma flame for mass purification of contaminated air with chemical and biological warfare agents

    International Nuclear Information System (INIS)

    Uhm, Han S.; Shin, Dong H.; Hong, Yong C.

    2006-01-01

    An elimination of airborne simulated chemical and biological warfare agents was carried out by making use of a plasma flame made of atmospheric plasma and a fuel-burning flame, which can purify the interior air of a large volume in isolated spaces such as buildings, public transportation systems, and military vehicles. The plasma flame generator consists of a microwave plasma torch connected in series to a fuel injector and a reaction chamber. For example, a reaction chamber, with the dimensions of a 22 cm diameter and 30 cm length, purifies an airflow rate of 5000 lpm contaminated with toluene (the simulated chemical agent) and soot from a diesel engine (the simulated aerosol for biological agents). Large volumes of purification by the plasma flame will free mankind from the threat of airborne warfare agents. The plasma flame may also effectively purify air that is contaminated with volatile organic compounds, in addition to eliminating soot from diesel engines as an environmental application

  2. Diffusion in plasma: The Hall effect, compositional waves, and chemical spots

    Energy Technology Data Exchange (ETDEWEB)

    Urpin, V., E-mail: Vadim.urpin@uv.es [Ioffe Institute of Physics and Technology (Russian Federation)

    2017-03-15

    Diffusion caused by a combined influence of the electric current and Hall effect is considered, and it is argued that such diffusion can form inhomogeneities of a chemical composition in plasma. The considered mechanism can be responsible for the formation of element spots in laboratory and astrophysical plasmas. This current-driven diffusion can be accompanied by propagation of a particular type of waves in which the impurity number density oscillates alone. These compositional waves exist if the magnetic pressure in plasma is much greater than the gas pressure.

  3. 2006, REMOTE SENSING AND GIS IN THE REMEDIATION OF CHEMICAL WEAPONS CONTAMINATION IN AN URBAN LANDSCAPE

    Science.gov (United States)

    This presentation will document the use of historical imagery, GIS, photogrammetry and hyperspectral remote sensing in locating and removing chemical weapons such as Mustard Gas, Phosgene, Ricin, and Lewisite from the environment and establishing a risk assessment methodology for...

  4. Novel Miniature Spectrometer For Remote Chemical Detection

    International Nuclear Information System (INIS)

    Pipino, Andrew C.R.

    2000-01-01

    total reflectance (ATR)11 spectroscopy. Many diagnostic problems can be solved by ATR methods that are intractable by ordinary methods, but ATR typically lacks sensitivity for ultra-trace chemical detection. In EWCRDS, the ring-down time of a resonator sensitively responds to chemical species present in the evanescent wave thereby combining the advantages of ATR with the sensitivity of CRDS. Furthermore, EW-CRDS forms the basis for a rugged miniature chemical sensor for which the laser source and photodetector can be located remotely by using optical fiber. Work on EW-CRDS began at NIST with the NRC postdoctoral associateship of the current Principal Investigator during fiscal 1996-1997. Since completion of the NRC associateship, work on EW-CRDS has been majority funded through Project 60231, with some additional funding from the Advanced Technology Program (35K/year in 2000)

  5. Surface chemical changes of atmospheric pressure plasma treated rabbit fibres important for felting process

    Energy Technology Data Exchange (ETDEWEB)

    Štěpánová, Vlasta, E-mail: vstepanova@mail.muni.cz [Department of Physical Electronics, Faculty of Science Masaryk University, Kotlářská 2, 611 37 Brno (Czech Republic); Slavíček, Pavel; Stupavská, Monika; Jurmanová, Jana [Department of Physical Electronics, Faculty of Science Masaryk University, Kotlářská 2, 611 37 Brno (Czech Republic); Černák, Mirko [Department of Physical Electronics, Faculty of Science Masaryk University, Kotlářská 2, 611 37 Brno (Czech Republic); Department of Experimental Physics, Faculty of Mathematics, Physics and Informatics, Comenius University, Mlynská dolina F2, 842 48 Bratislava (Slovakia)

    2015-11-15

    Graphical abstract: - Highlights: • Rabbit fibres plasma treatment is an effective method for fibres modification. • Atmospheric pressure plasma treatment is able to affect fibres properties. • Surface changes on fibres after plasma treatment were analysed via SEM, ATR-FTIR, XPS. • Significant increase of fibres wettability after plasma treatment was observed. • Plasma treatment at atmospheric pressure can replace the chemical treatment of fibres. - Abstract: We introduce the atmospheric pressure plasma treatment as a suitable procedure for in-line industrial application of rabbit fibres pre-treatment. Changes of rabbit fibre properties due to the plasma treatment were studied in order to develop new technology of plasma-based treatment before felting. Diffuse Coplanar Surface Barrier Discharge (DCSBD) in ambient air at atmospheric pressure was used for plasma treatment. Scanning electron microscopy was used for determination of the fibres morphology before and after plasma treatment. X-ray photoelectron spectroscopy and attenuated total reflectance-Fourier transform infrared spectroscopy were used for evaluation of reactive groups. The concentration of carbon decreased and conversely the concentration of nitrogen and oxygen increased after plasma treatment. Aging effect of plasma treated fibres was also investigated. Using Washburn method the significant increase of fibres wettability was observed after plasma treatment. New approach of pre-treatment of fibres before felting using plasma was developed. Plasma treatment of fibres at atmospheric pressure can replace the chemical method which consists of application of strong acids on fibres.

  6. Plasma effects in aligned carbon nanoflake growth by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wang, B.B. [College of Chemistry and Chemical Engineering, Chongqing University of Technology, 69 Hongguang Rd, Lijiatuo, Banan District, Chongqing 400054 (China); Zheng, K. [Institute of Microstructure and Properties of Advanced Materials, Beijing University of Technology, Beijing 100124 (China); Cheng, Q.J., E-mail: qijin.cheng@xmu.edu.cn [School of Energy Research, Xiamen University, Xiamen 361005 (China); Ostrikov, K. [Plasma Nanoscience Center Australia (PNCA), Manufacturing Flagship, Commonwealth Scientific and Industrial Research Organization, PO Box 218, Lindfield 2070, NSW (Australia); Institute for Future Environments and School of Chemistry, Physics and Mechanical Engineering, Queensland University of Technology, Brisbane 4000, QLD (Australia); Plasma Nanoscience, School of Physics, The University of Sydney, Sydney 2006, NSW (Australia)

    2015-01-15

    Highlights: • Plasma-specific effects in the growth of carbon nanoflakes (CNFs) are studied. • Electic field in the plasma sheath promotes separation of CNFs from the substrate. • The orentention of GNFs is related to the combined electic force and growth effects. • The high growth grates of aligned GNFs are plasma-related. - Abstract: Carbon nanofilms are directly grown on silicon substrates by plasma-enhanced hot filament chemical vapor deposition in methane environment. It is shown that the nanofilms are composed of aligned carbon nanoflakes by extensive investigation of experimental results of field emission scanning electron microscopy, micro-Raman spectroscopy and transmission electron microscopy. In comparison with the graphene-like films grown without plasmas, the carbon nanoflakes grow in an alignment mode and the growth rate of the films is increased. The effects of the plasma on the growth of the carbon nanofilms are studied. The plasma plays three main effects of (1) promoting the separation of the carbon nanoflakes from the silicon substrate, (2) accelerating the motion of hydrocarbon radicals, and (3) enhancing the deposition of hydrocarbon ions onto the substrate surface. Due to these plasma-specific effects, the carbon nanofilms can be formed from the aligned carbon nanoflakes with a high rate. These results advance our knowledge on the synthesis, properties and applications of graphene-based materials.

  7. Lightweight Portable Plasma Medical Device - Plasma Engineering Research Laboratory

    Science.gov (United States)

    2014-10-01

    by Remote Exposure of Resistive Barrier Cold Plasma." Biotechnology and Bioengineering, vol. 111, No. 3. p. 565 - 574 (2014). 16. Magesh...remote exposure of resistive barrier cold plasma.” Biotechnology and Bioengineering. (Accepted for publication in the next issue in 2013) 11. Magesh...foes-Safety-and-Security--1945) 4. “University Touts ’Superbug’ Killing Technology”, Quality Assurance and Food Safety Magazine , July 2013. (Link

  8. Modification of optical and electrical properties of chemical bath deposited CdS using plasma treatments

    International Nuclear Information System (INIS)

    Gonzalez, G.; Krishnan, B.; Avellaneda, D.; Castillo, G. Alan; Das Roy, T.K.; Shaji, S.

    2011-01-01

    Cadmium sulphide (CdS) is a well known n-type semiconductor that is widely used in solar cells. Here we report preparation and characterization of chemical bath deposited CdS thin films and modification of their optical and electrical properties using plasma treatments. CdS thin films were prepared from a chemical bath containing Cadmium chloride, Triethanolamine and Thiourea under various deposition conditions. Good quality thin films were obtained during deposition times of 5, 10 and 15 min. CdS thin films prepared for 10 min. were treated using a glow discharge plasma having nitrogen and argon carrier gases. The changes in morphology, optical and electrical properties of these plasma treated CdS thin films were analyzed in detail. The results obtained show that plasma treatment is an effective technique in modification of the optical and electrical properties of chemical bath deposited CdS thin films.

  9. Modification of optical and electrical properties of chemical bath deposited CdS using plasma treatments

    Energy Technology Data Exchange (ETDEWEB)

    Gonzalez, G. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); Krishnan, B. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); CIIDIT, Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico); Avellaneda, D.; Castillo, G. Alan; Das Roy, T.K. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); CIIDIT, Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico)

    2011-08-31

    Cadmium sulphide (CdS) is a well known n-type semiconductor that is widely used in solar cells. Here we report preparation and characterization of chemical bath deposited CdS thin films and modification of their optical and electrical properties using plasma treatments. CdS thin films were prepared from a chemical bath containing Cadmium chloride, Triethanolamine and Thiourea under various deposition conditions. Good quality thin films were obtained during deposition times of 5, 10 and 15 min. CdS thin films prepared for 10 min. were treated using a glow discharge plasma having nitrogen and argon carrier gases. The changes in morphology, optical and electrical properties of these plasma treated CdS thin films were analyzed in detail. The results obtained show that plasma treatment is an effective technique in modification of the optical and electrical properties of chemical bath deposited CdS thin films.

  10. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  11. Radio frequency plasma power dependence of the moisture permeation barrier characteristics of Al2O3 films deposited by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Jung, Hyunsoo; Choi, Hagyoung; Lee, Sanghun; Jeon, Heeyoung; Jeon, Hyeongtag

    2013-01-01

    In the present study, we investigated the gas and moisture permeation barrier properties of Al 2 O 3 films deposited on polyethersulfone films (PES) by capacitively coupled plasma (CCP) type Remote Plasma Atomic Layer Deposition (RPALD) at Radio Frequency (RF) plasma powers ranging from 100 W to 400 W in 100 W increments using Trimethylaluminum [TMA, Al(CH 3 ) 3 ] as the Al source and O 2 plasma as the reactant. To study the gas and moisture permeation barrier properties of 100-nm-thick Al 2 O 3 at various plasma powers, the Water Vapor Transmission Rate (WVTR) was measured using an electrical Ca degradation test. WVTR decreased as plasma power increased with WVTR values for 400 W and 100 W of 2.6 × 10 −4 gm −2 day −1 and 1.2 × 10 −3 gm −2 day −1 , respectively. The trends for life time, Al-O and O-H bond, density, and stoichiometry were similar to that of WVTR with improvement associated with increasing plasma power. Further, among plasma power ranging from 100 W to 400 W, the highest power of 400 W resulted in the best moisture permeation barrier properties. This result was attributed to differences in volume and amount of ion and radical fluxes, to join the ALD process, generated by O 2 plasma as the plasma power changed during ALD process, which was determined using a plasma diagnosis technique called the Floating Harmonic Method (FHM). Plasma diagnosis by FHM revealed an increase in ion flux with increasing plasma power. With respect to the ALD process, our results indicated that higher plasma power generated increased ion and radical flux compared with lower plasma power. Thus, a higher plasma power provides the best gas and moisture permeation barrier properties

  12. Remote plasma-assisted nitridation (RPN): applications to Zr and Hf silicate alloys and Al2O3

    International Nuclear Information System (INIS)

    Hinkle, Chris; Lucovsky, Gerry

    2003-01-01

    Remote plasma-assisted nitridation or RPN is demonstrated to be a processing pathway for nitridation of Zr and Hf silicate alloys, and for Al 2 O 3 , as well. The dependence of nitrogen incorporation on the process pressure is qualitatively similar to what has been reported for the plasma-assisted nitridation of SiO 2 , the lower the process pressure the greater the nitrogen incorporation in the film. The increased incorporation of nitrogen has been correlated with the penetration of the plasma-glow into the process chamber, and the accompanying increase in the concentration of N 2 + ions that participate in the reactions leading to bulk incorporation. The nitrogen incorporation as been studied by Auger electron spectroscopy (AES), secondary ion mass spectrometry (SIMS) and X-ray absorption spectroscopy (XAS)

  13. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  14. Radioimmunoassay and chemical ionization/mass spectrometry compared for plasma cortisol determination

    International Nuclear Information System (INIS)

    Lindberg, C.; Johnson, S.; Hedner, P.; Gustafsson, A.

    1982-01-01

    A method is described for determination of cortisol in plasma and urine, based on chemical ionization/mass spectrometry with deuterium-labeled cortisol as the internal standard. The within-run precision (CV) was 2.5-5.7%, the between-run precision 4.6%. Results by this method were compared with those by a radioimmunological method (RIANEN Cortisol, New England Nuclear) for 395 plasma samples. The latter method gave significantly higher (approx. 25%) cortisol values

  15. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  16. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  17. Patterned growth of carbon nanotubes obtained by high density plasma chemical vapor deposition

    Science.gov (United States)

    Mousinho, A. P.; Mansano, R. D.

    2015-03-01

    Patterned growth of carbon nanotubes by chemical vapor deposition represents an assembly approach to place and orient nanotubes at a stage as early as when they are synthesized. In this work, the carbon nanotubes were obtained at room temperature by High Density Plasmas Chemical Vapor Deposition (HDPCVD) system. This CVD system uses a new concept of plasma generation, where a planar coil coupled to an RF system for plasma generation was used with an electrostatic shield for plasma densification. In this mode, high density plasmas are obtained. We also report the patterned growth of carbon nanotubes on full 4-in Si wafers, using pure methane plasmas and iron as precursor material (seed). Photolithography processes were used to pattern the regions on the silicon wafers. The carbon nanotubes were characterized by micro-Raman spectroscopy, the spectra showed very single-walled carbon nanotubes axial vibration modes around 1590 cm-1 and radial breathing modes (RBM) around 120-400 cm-1, confirming that high quality of the carbon nanotubes obtained in this work. The carbon nanotubes were analyzed by atomic force microscopy and scanning electron microscopy too. The results showed that is possible obtain high-aligned carbon nanotubes with patterned growth on a silicon wafer with high reproducibility and control.

  18. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  19. RF-plasma vapor deposition of siloxane on paper. Part 2: Chemical evolution of paper surface

    International Nuclear Information System (INIS)

    Sahin, Halil Turgut

    2013-01-01

    Highlights: ► Investigate the detailed RF-cold plasma surface modified paper by XPS and ATR-FTIR. ► Some chemical analysis of RF-cold plasma surface modified paper after RF plasma treatment. ► Identify the connection between RF plasma treatment and the surface chemistry of paper surface. - Abstract: Survey and high-resolution (HR) XPS studies indicate that OMCTSO plasma treatment created a new silicon containing functional groups and changed the hydroxyl content on the surface of paper. Four intense survey XPS spectrum peaks were observed for the OMCTSO plasma treated paper. They were the Si 2p at 100 eV, Si 2s at 160 eV, C 1s at 285 eV, and O 1s at 525 eV for the plasma modified surface. It was realized that the macromolecular chain-breaking mechanisms and plasma-induced etching processes control the number and the availability of OH-functionalities during OMCTSO plasma exposure on paper. The reaction, initiated by these species, depends mainly on the nature of chemicals in the plasma as well as on the energy level of the plasma and the nature of the surface effects in the modification of the paper. The ATR-FTIR spectrum of paper treated with OMCTSO plasma has characteristic absorption bands attributed to the Si-O and Si-O-Si formations on the surface.

  20. Development and Testing of a High Capacity Plasma Chemical Reactor in the Ukraine

    Energy Technology Data Exchange (ETDEWEB)

    Reilly, Raymond W.

    2012-07-30

    This project, Development and Testing of a High Capacity Plasma Chemical Reactor in the Ukraine was established at the Kharkiv Institute of Physics and Technology (KIPT). The associated CRADA was established with Campbell Applied Physics (CAP) located in El Dorado Hills, California. This project extends an earlier project involving both CAP and KIPT conducted under a separate CRADA. The initial project developed the basic Plasma Chemical Reactor (PCR) for generation of ozone gas. This project built upon the technology developed in the first project, greatly enhancing the output of the PCR while also improving reliability and system control.

  1. Chemical reactions inside the plasma chamber of the SEAFP reactor plant models

    International Nuclear Information System (INIS)

    Gay, J.M.; Ebert, E.; Mazille, F.

    1995-01-01

    Loss of coolant or loss of vacuum accidents may lead to chemical reactions between the protecting materials of the plasma facing components and air or water. A production of energy, reaction products and hydrogen may be induced. The paper defines the operating conditions and chemical reactions and presents the main results from the underlying studies. (orig.)

  2. Chemically different non-thermal plasmas target distinct cell death pathways

    Czech Academy of Sciences Publication Activity Database

    Lunov, O.; Zablotskyy, V.; Chrupina, O.; Lunova, M.; Jirsa, M.; Dejneka, A.; Kubinová, Šárka

    2017-01-01

    Roč. 7, apr (2017), s. 600 ISSN 2045-2322 R&D Projects: GA MŠk(CZ) LO1309 Institutional support: RVO:68378041 Keywords : chemically different * non-thermal plasmas * target distinct cell death pathways Subject RIV: FP - Other Medical Disciplines OBOR OECD: Biophysics Impact factor: 4.259, year: 2016

  3. BPX commitment to total remote maintenance

    International Nuclear Information System (INIS)

    Davis, F.C.; Burgess, T.W.

    1991-01-01

    The Burning Plasma Experiment (BPX), to be located at Princeton Plasma Physics Laboratory, is the next major experimental machine in the US Fusion Program. It will be fueled with deuterium-tritium (D-T) that, when burned, will generate high-energy neutrons. This will activate the various materials used in construction of the machine, which will result in high levels of gamma radiation. Any subsequent maintenance activities on the machine or in the test cell area must be performed remotely. The initial criteria for BPX assumed that failure of toroidal field (TF) coil or poloidal field (PF) coil was an unlikely event. Therefore, no provisions were made for remote replacement. Expected failures were limited to the plasma-facing components and the external auxiliary equipment such as heating systems and diagnostics. Recent coil failures experienced at the Tokamak Fusion Test Reactor (TFTR), the Joint European Torus (JET), JT-60, and Tore Supra caused the BPX project staff to reconsider the need for remote replacement. A study was undertaken to investigate how the project would be affected if the capability to recover from a coil failure were required. Potential effects including configuration changes to the machine and facility, project cost, and project operation were considered. The study revealed that it is indeed feasible to design BPX for remote recovery from any coil failure. However, for this to be accomplished effectively, it is imperative to incorporate the necessary remote maintenance features of the components to be remotely replaced into the original design along with all of the other functional features. The remote maintenance capability cannot be retrofitted after the design is complete or the equipment is built. This paper discusses the impacts of the coil remote replacement study and the subsequent changes to the design. 4 figs., 1 tab

  4. Plasma-chemical simulation of negative corona near the inception voltage

    Science.gov (United States)

    Pontiga, Francisco; Duran-Olivencia, Francisco J.; Castellanos, Antonio

    2013-09-01

    The spatiotemporal development of Trichel pulses in oxygen between a spherical electrode and a grounded plane has been simulated using a fluid approximation that incorporates the plasma chemistry of the electrical discharge. Elementary plasma processes, such as ionization, electron attachment, electron detachment, recombination between ions and chemical reactions between neutral species, are all included in a chemical model consisting of 55 reactions between 8 different species (electrons, O2+,O2-,O3-,O-, O2, O, O3). Secondary emission at the cathode by the impact of positive ions and photons is also considered. The spatial distribution of species is computed in three dimensions (2D-axysimmetrical) by solving Poisson's equation for the electric field and the continuity equations for the species, with the inclusion of the chemical gain/loss rate due to the particle interaction. The results of the simulation reveal the interplay between the different negative ions during the development of every Trichel pulse, and the rate of production of atomic oxygen and ozone by the corona discharge. This work was supported by the Consejeria de Innovacion, Ciencia y Empresa (Junta de Andalucia) and by the Ministerio de Ciencia e Innovacion, Spain, within the European Regional Development Fund contracts FQM-4983 and FIS2011-25161.

  5. Diagnostic study of low-pressure Ar-O2 remote plasma generated in HCD-L 300 system: Relative density of O atom

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.

    2007-01-01

    The relative density of O atom of Ar-O 2 remote plasma excited in a low pressure 13.56 HMz hollow cathode discharge system has been investigated. The measurements were carried out at a total pressure of 0.05 mbar, radiofrequency (RF) power of 200 W and at three different axial distances in the plasma chamber below the outlet of the discharge source. Using optical emission spectroscopy (OES), the relative density of O ground state was determined from intensity ratio of O(844.6 nm) and Ar(750.4 nm) lines. The electron temperature and O 2 + densities have been measured using double langmuir probe measurements. The kinetic study of Ar-O 2 plasma, combined with both spectroscopy and langmuir probe measurements, revealed that the main production mechanism of the excited O(3p 3 P) is direct excitation by electron impact. A maximum of O ground state relative density and correspondingly a minimum of O 2 + density are obtained for the ratio O 2 /Ar: 60/40. The maximum O density in the remote zone is found to be 4.5 times higher than at the outlet of source. (author)

  6. Mockup testing of remote systems for zirconium fuel dissolution process at the Idaho Chemical Processing Plant

    International Nuclear Information System (INIS)

    Paige, D.M.

    1979-01-01

    A facility is being constructed at the Idaho National Engineering Laboratory for storage and dissolution of spent zirconium reactor fuels. The dissolution is carried out in chemical type equipment contained in a large shielded cell. The design provides for remote operations and maintenance as required. Equipment predicted to fail within 5 years is designed for remote maintenance. Each system was fabricated for mockup testing using readily available materials. The mockups were tested, redesigned, and retested until satisfactory remote designs were achieved. Records were made of all the work. All design changes were then incorporated into the ongoing detailed design for the actual equipment. Several of these systems are discussed and they include valve replacement, pump replacement, waste solids handling, mechanism operations and others. The mockup program has saved time and money by eliminating many future problems. In addition, the mockup program will continue through construction, cold startup, and hot operations

  7. Physical-morphological and chemical changes leading to an increase in adhesion between plasma treated polyester fibres and a rubber matrix

    International Nuclear Information System (INIS)

    Krump, H.; Hudec, I.; Jasso, M.; Dayss, E.; Luyt, A.S.

    2006-01-01

    The effects of plasma treatment, used to increase adhesion strength between poly(ethylene terephtalate) (PET) fibres and a rubber matrix, were investigated and compared. Morphological changes as a result of atmospheric plasma treatment were observed using scanning electron microscopy (SEM) and atomic force microscopy (AFM). Wettability analysis using a surface energy evaluation system (SEE system) suggested that the plasma treated fibre was more wetting towards a polar liquid. When treated, these fibres showed a new lamellar crystallization, as shown by a new melting peak using differential scanning calorimetry (DSC). X-ray photoelectron spectroscopy (XPS) has been used to study the chemical effect of inert (argon), active and reactive (nitrogen and oxygen) microwave-plasma treatments of a PET surface. Reactive oxygen plasma treatment by a de-convolution method shows new chemical species that drastically alter the chemical reactivity of the PET surface. These studies have also shown that the surface population of chemical species formed after microwave-plasma treatment is dependent on the plasma gas. All these changes cause better adhesion strength of the PET fibres to the rubber matrix

  8. Diagnostics of N{sub 2}-Ar plasma mixture excited in a 13.56 MHz hollow cathode discharge system: application to remote plasma treatment of polyamide surface

    Energy Technology Data Exchange (ETDEWEB)

    Saloum, S; Naddaf, M; Alkhaled, B [Atomic Energy Commission of Syria (AECS), Physics Department, PO Box 6091, Damascus (Syrian Arab Republic)], E-mail: scientific@aec.org.sy

    2008-02-21

    N{sub 2}-x% Ar plasma gas mixture, generated in a hollow cathode RF discharge system, has been characterized by both optical emission spectroscopy (OES) and double Langmuir probe, as a function of experimental parameters: total pressure (5-33 Pa), and different fractions of argon (7 {<=} x {<=} 80), at a constant applied RF power of 300 W. N{sub 2} dissociation degree has been investigated qualitatively by both the actinometry method and the ratio I{sub N}/I{sub N{sub 2}} of the atomic nitrogen line emission intensity at 672.3 nm to the vibrational band (0-0) of the N{sub 2} second positive system at 337.1 nm. Both methods showed that the increase in argon fraction enhances the dissociation of N{sub 2}, with a maximum at x = 50 for the pressure of 5 Pa, although the two methods give two opposite trends as a function of total pressure. Spectroscopic measurements showed that the vibrational temperature of the N{sub 2} second positive system increases with both argon fraction and total pressure increase, it lies between 4900 and 12 300 K. Langmuir probe measurements showed that, in the remote zone, the electron temperature falls in the range 1.57-1.75 eV, the N{sub 2}{sup +} density varies between 5 x 10{sup 9} and 1.4 x 10{sup 10} cm{sup -3} and that both the plasma ionization degree and electron temperature increase towards the source. In addition, the process of plasma-polyamide (PA) surface interaction, in the remote plasma zone, has been studied through OES analysis during plasma treatment of PA to monitor the possible emissions due to the polymer etching. An increase in atomic nitrogen line (672.3 nm) intensity is obtained, atomic carbon line (833.52 nm) and the band emission (0-0) from the CN (B {sup 2}{sigma}{sup +}-X {sup 2}{sigma}{sup +}) violet system were observed. The PA surface modification has been confirmed through the improvement of its hydrophilic character as the water contact angle measured after the plasma treatment significantly decreased.

  9. The material balance of process of plasma-chemical conversion of polymer wastes into synthesis gas

    International Nuclear Information System (INIS)

    Tazmeev, A Kh; Tazmeeva, R N

    2017-01-01

    The process of conversion of polymer wastes in the flow of water-steam plasma which are created by the liquid electrodes plasma generators was experimentally studied. The material balance was calculated. The regularities of the participating of hydrogen and oxygen which contained in the water-steam plasma, in formation of chemical compounds in the final products were revealed. (paper)

  10. The material balance of process of plasma-chemical conversion of polymer wastes into synthesis gas

    Science.gov (United States)

    Tazmeev, A. Kh; Tazmeeva, R. N.

    2017-01-01

    The process of conversion of polymer wastes in the flow of water-steam plasma which are created by the liquid electrodes plasma generators was experimentally studied. The material balance was calculated. The regularities of the participating of hydrogen and oxygen which contained in the water-steam plasma, in formation of chemical compounds in the final products were revealed.

  11. TPX in-vessel remote maintenance tooling

    International Nuclear Information System (INIS)

    Rennich, M.J.; Silke, G.W.

    1995-01-01

    The Tokamak Physics Experiment (TPX) has used the lessons learned from successful remote maintenance and remote handling facilities to develop both a concept and philosophy for incorporation of remote design from the earliest phases of the project. Initiation of mockup testing during the conceptual design phase leads to significant improvements in the basic maintenance equipment configuration. In addition, remote handling features and capabilities have been incorporated into the design of the plasma-facing components (PFCs) as part of the total PFC design effort

  12. Remote-controlling chemical reactions by light: towards chemistry with high spatio-temporal resolution.

    Science.gov (United States)

    Göstl, Robert; Senf, Antti; Hecht, Stefan

    2014-03-21

    The foundation of the chemical enterprise has always been the creation of new molecular entities, such as pharmaceuticals or polymeric materials. Over the past decades, this continuing effort of designing compounds with improved properties has been complemented by a strong effort to render their preparation (more) sustainable by implementing atom as well as energy economic strategies. Therefore, synthetic chemistry is typically concerned with making specific bonds and connections in a highly selective and efficient manner. However, to increase the degree of sophistication and expand the scope of our work, we argue that the modern aspiring chemist should in addition be concerned with attaining (better) control over when and where chemical bonds are being made or broken. For this purpose, photoswitchable molecular systems, which allow for external modulation of chemical reactions by light, are being developed and in this review we are covering the current state of the art of this exciting new field. These "remote-controlled synthetic tools" provide a remarkable opportunity to perform chemical transformations with high spatial and temporal resolution and should therefore allow regulating biological processes as well as material and device performance.

  13. Modelling chemical reactions in dc plasma inside oxygen bubbles in water

    International Nuclear Information System (INIS)

    Takeuchi, N; Ishii, Y; Yasuoka, K

    2012-01-01

    Plasmas generated inside oxygen bubbles in water have been developed for water purification. Zero-dimensional numerical simulations were used to investigate the chemical reactions in plasmas driven by dc voltage. The numerical and experimental results of the concentrations of hydrogen peroxide and ozone in the solution were compared with a discharge current between 1 and 7 mA. Upon increasing the water vapour concentration inside bubbles, we saw from the numerical results that the concentration of hydrogen peroxide increased with discharge current, whereas the concentration of ozone decreased. This finding agreed with the experimental results. With an increase in the discharge current, the heat flux from the plasma to the solution increased, and a large amount of water was probably vaporized into the bubbles.

  14. Modelling chemical reactions in dc plasma inside oxygen bubbles in water

    Science.gov (United States)

    Takeuchi, N.; Ishii, Y.; Yasuoka, K.

    2012-02-01

    Plasmas generated inside oxygen bubbles in water have been developed for water purification. Zero-dimensional numerical simulations were used to investigate the chemical reactions in plasmas driven by dc voltage. The numerical and experimental results of the concentrations of hydrogen peroxide and ozone in the solution were compared with a discharge current between 1 and 7 mA. Upon increasing the water vapour concentration inside bubbles, we saw from the numerical results that the concentration of hydrogen peroxide increased with discharge current, whereas the concentration of ozone decreased. This finding agreed with the experimental results. With an increase in the discharge current, the heat flux from the plasma to the solution increased, and a large amount of water was probably vaporized into the bubbles.

  15. Chemically different non-thermal plasmas target distinct cell death pathways

    Czech Academy of Sciences Publication Activity Database

    Lunov, Oleg; Zablotskyy, Vitaliy A.; Churpita, Olexandr; Lunova, M.; Jirsa, M.; Dejneka, Alexandr; Kubinová, Šárka

    2017-01-01

    Roč. 7, č. 1 (2017), s. 1-17, č. článku 600. ISSN 2045-2322 Grant - others:AV ČR(CZ) Fellowship J. E. Purkyně Institutional support: RVO:68378271 Keywords : chemically different * non-thermal plasmas * target distinct cell death pathways Subject RIV: BO - Biophysics OBOR OECD: Biophysics Impact factor: 4.259, year: 2016

  16. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jiang Hao [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States)]. E-mail: hao.jiang@wpafb.af.mil; Hong Lianggou [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States); Venkatasubramanian, N. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Grant, John T. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Eyink, Kurt [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Wiacek, Kevin [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Fries-Carr, Sandra [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Enlow, Jesse [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Bunning, Timothy J. [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States)

    2007-02-26

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant ({epsilon} {sub r}) and dielectric loss (tan {delta}) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F {sub b}) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F{sub b} of 610 V/{mu}m, an {epsilon} {sub r} of 3.07, and a tan {delta} of 7.0 x 10{sup -3} at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss.

  17. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    International Nuclear Information System (INIS)

    Jiang Hao; Hong Lianggou; Venkatasubramanian, N.; Grant, John T.; Eyink, Kurt; Wiacek, Kevin; Fries-Carr, Sandra; Enlow, Jesse; Bunning, Timothy J.

    2007-01-01

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant (ε r ) and dielectric loss (tan δ) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F b ) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F b of 610 V/μm, an ε r of 3.07, and a tan δ of 7.0 x 10 -3 at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss

  18. Aluminum metal surface cleaning and activation by atmospheric-pressure remote plasma

    Energy Technology Data Exchange (ETDEWEB)

    Muñoz, J., E-mail: jmespadero@uco.es; Bravo, J.A.; Calzada, M.D.

    2017-06-15

    Highlights: • Atmospheric-pressure postdischarges have been applied on aluminium surfaces. • The outer hydrocarbon layer is reduced by the action of the postdischarge. • The treatment promotes the appearance of hydrophilic OH radicals in the surface. • Effectivity for distances up to 5 cm allows for treating irregular surfaces. • Ageing in air due to the disappearance of OH radicals has been reported. - Abstract: The use of the remote plasma (postdischarge) of argon and argon-nitrogen microwave plasmas for cleaning and activating the surface of metallic commercial aluminum samples has been studied. The influence of the nitrogen content and the distance between the treated samples and the end of the discharge on the hydrophilicity and the surface energy has been analyzed by means of the sessile drop technique and the Owens-Wendt method. A significant increase in the hydrophilicity has been noted in the treated samples, together with an increase in the surface energy from values around 37 mJ/m{sup 2} to 77 mJ/m{sup 2}. Such increase weakly depends on the nitrogen content of the discharge, and the effectivity of the treatment extends to distances up to 5 cm from the end of the discharge, much longer than those reported in other plasma-based treatments. The analysis of the treated samples using X-ray photoelectron spectroscopy reveals that such increase in the surface energy takes place due to a reduction of the carbon content and an increase in the amount of OH radicals in the surface. These radicals tend to disappear within 24–48 h after the treatment when the samples are stored in contact with ambient air, resulting in the ageing of the treated surface and a partial retrieval of the hydrophobicity of the surface.

  19. Comparison of hollow cathode discharge plasma configurations

    International Nuclear Information System (INIS)

    Farnell, Casey C; Farnell, Cody C; Williams, John D

    2011-01-01

    Hollow cathodes used in plasma contactor and electric propulsion devices provide electrons for sustaining plasma discharges and enabling plasma bridge neutralization. Life tests show erosion on hollow cathodes exposed to the plasma environment produced in the region downstream of these devices. To explain the observed erosion, plasma flow field measurements are presented for hollow cathode generated plasmas using both directly immersed probes and remotely located plasma diagnostics. Measurements on two cathode discharge configurations are presented: (1) an open, no magnetic field configuration and (2) a setup simulating the discharge chamber environment of an ion thruster. In the open cathode configuration, large amplitude plasma potential oscillations, ranging from 20 to 85 V within a 34 V discharge, were observed using a fast response emissive probe. These oscillations were observed over a dc potential profile that included a well-defined potential hill structure. A remotely located electrostatic analyzer (ESA) was used to measure the energy of ions produced within the plasma, and energies were detected that met, and in some cases exceeded, the peak oscillatory plasma potentials detected by the emissive probe. In the ion thruster discharge chamber configuration, plasma potentials from the emissive probe again agreed with ion energies recorded by the remotely located ESA; however, much lower ion energies were detected compared with the open configuration. A simplified ion-transit model that uses temporal and spatial plasma property measurements is presented and used to predict far-field plasma streaming properties. Comparisons between the model and remote measurements are presented.

  20. Defect properties of ZnO nanopowders and their modifications induced by remote plasma treatments

    Energy Technology Data Exchange (ETDEWEB)

    Paramo, J A; Peters, R M; Quarles, C A; Strzhemechny, Y M [Physics Department, Texas Christian University, Fort Worth, TX 76129 (United States); Vallejo, H [North Side High School, Fort Worth, TX 79129 (United States)

    2009-11-15

    Photoluminescence (PL) and positron lifetime (LT) measurements were used on several commercial ZnO nanopowders. We observed that sample-to-sample differences in the quality of the powders overshadow any observation of probable size effects. However, the average LT for all nanocrystals is longer than in a bulk sample, consistent with the hypothesis of crystals with surface and subsurface layers rich in defects. Temperature-dependent PL spectra from the ZnO nanopowders were analyzed in detail for the bound-exciton (BEx) range and the numerical fits of the peak positions yielded activation energies that suggested different channels of recombination for the BEx. Also, fits for the full width at half maximum (FWHM) show nonlinear behavior, indicating contribution from surface phonons. We, also, used remote nitrogen and hydrogen plasma treatment on the ZnO nanosystems to manipulate their surface and subsurface defect states. We demonstrated that those plasma species induce a variety of changes in the deep defect visible emission as well as in the BEx luminescence, most likely associated with the surface/subsurface states.

  1. Defect properties of ZnO nanopowders and their modifications induced by remote plasma treatments

    International Nuclear Information System (INIS)

    Paramo, J A; Peters, R M; Quarles, C A; Strzhemechny, Y M; Vallejo, H

    2009-01-01

    Photoluminescence (PL) and positron lifetime (LT) measurements were used on several commercial ZnO nanopowders. We observed that sample-to-sample differences in the quality of the powders overshadow any observation of probable size effects. However, the average LT for all nanocrystals is longer than in a bulk sample, consistent with the hypothesis of crystals with surface and subsurface layers rich in defects. Temperature-dependent PL spectra from the ZnO nanopowders were analyzed in detail for the bound-exciton (BEx) range and the numerical fits of the peak positions yielded activation energies that suggested different channels of recombination for the BEx. Also, fits for the full width at half maximum (FWHM) show nonlinear behavior, indicating contribution from surface phonons. We, also, used remote nitrogen and hydrogen plasma treatment on the ZnO nanosystems to manipulate their surface and subsurface defect states. We demonstrated that those plasma species induce a variety of changes in the deep defect visible emission as well as in the BEx luminescence, most likely associated with the surface/subsurface states.

  2. Remote sensing of soybean stress as an indicator of chemical concentration of biosolid amended surface soils

    Science.gov (United States)

    Sridhar, B. B. Maruthi; Vincent, Robert K.; Roberts, Sheila J.; Czajkowski, Kevin

    2011-08-01

    The accumulation of heavy metals in the biosolid amended soils and the risk of their uptake into different plant parts is a topic of great concern. This study examines the accumulation of several heavy metals and nutrients in soybeans grown on biosolid applied soils and the use of remote sensing to monitor the metal uptake and plant stress. Field and greenhouse studies were conducted with soybeans grown on soils applied with biosolids at varying rates. The plant growth was monitored using Landsat TM imagery and handheld spectroradiometer in field and greenhouse studies, respectively. Soil and plant samples were collected and then analyzed for several elemental concentrations. The chemical concentrations in soils and roots increased significantly with increase in applied biosolid concentrations. Copper (Cu) and Molybdenum (Mo) accumulated significantly in the shoots of the metal-treated plants. Our spectral and Landsat TM image analysis revealed that the Normalized Difference Vegetative Index (NDVI) can be used to distinguish the metal stressed plants. The NDVI showed significant negative correlation with increase in soil Cu concentrations followed by other elements. This study suggests the use of remote sensing to monitor soybean stress patterns and thus indirectly assess soil chemical characteristics.

  3. Hydrogen plasma enhanced alignment on CNT-STM tips grown by liquid catalyst-assisted microwave plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Tung, Fa-Kuei; Yoshimura, Masamichi; Ueda, Kazuyuki; Ohira, Yutaka; Tanji, Takayoshi

    2008-01-01

    Carbon nanotubes are grown directly on a scanning tunneling microscopy tip by liquid catalyst-assisted microwave-enhanced chemical vapor deposition, and effects of hydrogen plasma treatment on the tip have been investigated in detail by field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and Raman spectroscopy. The unaligned CNTs on the as-grown tip apex have been realigned and reshaped by subsequent hydrogen plasma treatment. The diameter of CNTs is enlarged mainly due to amorphous layers being re-sputtered over their outer shells

  4. Remote Systems Design & Deployment

    Energy Technology Data Exchange (ETDEWEB)

    Bailey, Sharon A.; Baker, Carl P.; Valdez, Patrick LJ

    2009-08-28

    The Pacific Northwest National Laboratory (PNNL) was tasked by Washington River Protection Solutions, LLC (WRPS) to provide information and lessons learned relating to the design, development and deployment of remote systems, particularly remote arm/manipulator systems. This report reflects PNNL’s experience with remote systems and lays out the most important activities that need to be completed to successfully design, build, deploy and operate remote systems in radioactive and chemically contaminated environments. It also contains lessons learned from PNNL’s work experiences, and the work of others in the national laboratory complex.

  5. Remote sensing of auroral E region plasma structures by radio, radar, and UV techniques at solar minimum

    International Nuclear Information System (INIS)

    Basu, S.; Valladares, C.E.; Basu, S.; Eastes, R.; Huffman, R.E.; Daniell, R.E.; Chaturvedi, P.K.; Livingston, R.C.

    1993-01-01

    The unique capability of the Polar BEAR satellite to simultaneously image auroral luminosities at multiple ultraviolet (UV) wavelengths and to remote sense large-scale (hundreds to tens of kilometers) and small-scale (kilometers to hundreds of meters) plasma density structures with its multifrequency beacon package is utilized to probe the auroral E region in the vicinity of the incoherent scatter radar (ISR) facility near Sondrestrom. In particular, we present coordinated observations on two nights obtained during the sunspot minimum (sunspot number < 10) January-February 1987 period when good spatial and temporal conjunction was obtained between Polar BEAR overflights and Sondrestrom ISR measurements. With careful coordinated observations we were able to confirm that the energetic particle precipitation responsible for the UV emissions causes the electron density increases in the E region. The integrations up to the topside of these ISR electron density profiles were consistent with the total electron content (TEC) measured by the Polar BEAR satellite. An electron transport model was utilized to determine quantitatively the electron density profiles which could be produced by the particle precipitation, which also produced multiple UV emissions measured by the imager; these profiles were found to be in good agreement with the observed ISR profiles in the E region. This outer scale size is also consistent with the measured phase to amplitude scintillation ratio. An estimate of the linear growth rate of the gradient-drift instability in the E region shows that these plasma density irregularities could have been generated by this process. The mutual consistency of these different sets of measurements provides confidence in the ability of the different techniques to remote sense large- and small-scale plasma density structures in the E region at least during sunspot minimum when the convection-dominated high-latitude F region is fairly weak. 56 refs., 16 figs

  6. Sensing signatures mediated by chemical structure of molecular solids in laser-induced plasmas.

    Science.gov (United States)

    Serrano, Jorge; Moros, Javier; Laserna, J Javier

    2015-03-03

    Laser ablation of organic compounds has been investigated for almost 30 years now, either in the framework of pulse laser deposition for the assembling of new materials or in the context of chemical sensing. Various monitoring techniques such as atomic and molecular fluorescence, time-of-flight mass spectrometry, and optical emission spectroscopy have been used for plasma diagnostics in an attempt to understand the spectral signature and potential origin of gas-phase ions and fragments from organic plasmas. Photochemical and photophysical processes occurring within these systems are generally much more complex than those suggested by observation of optical emission features. Together with laser ablation parameters, the structural and chemical-physical properties of molecules seem to be closely tied to the observed phenomena. The present manuscript, for the first time, discusses the role of molecular structure in the optical emission of organic plasmas. Factors altering the electronic distribution within the organic molecule have been found to have a direct impact on its ensuing optical emissions. The electron structure of an organic molecule, resulting from the presence, nature, and position of its atoms, governs the breakage of the molecule and, as a result, determines the extent of atomization and fragmentation that has proved to directly impact the emissions of CN radicals and C2 dimers. Particular properties of the molecule respond more positively depending on the laser irradiation wavelength, thereby redirecting the ablation process through photochemical or photothermal decomposition pathways. It is of paramount significance for chemical identification purposes how, despite the large energy stored and dissipated by the plasma and the considerable number of transient species formed, the emissions observed never lose sight of the original molecule.

  7. Chemical Evolution of Strongly Interacting Quark-Gluon Plasma

    International Nuclear Information System (INIS)

    Pan, Ying-Hua; Zhang, Wei-Ning

    2014-01-01

    At very initial stage of relativistic heavy ion collisions a wave of quark-gluon matter is produced from the break-up of the strong color electric field and then thermalizes at a short time scale (~1 fm/c). However, the quark-gluon plasma (QGP) system is far out of chemical equilibrium, especially for the heavy quarks which are supposed to reach chemical equilibrium much late. In this paper a continuing quark production picture for strongly interacting QGP system is derived, using the quark number susceptibilities and the equation of state; both of them are from the results calculated by the Wuppertal-Budapest lattice QCD collaboration. We find that the densities of light quarks increase by 75% from the temperature T=400 MeV to T=150 MeV, while the density of strange quark annihilates by 18% in the temperature region. We also offer a discussion on how this late production of quarks affects the final charge-charge correlations

  8. Strangeness chemical equilibration in a quark-gluon plasma

    International Nuclear Information System (INIS)

    Letessier, Jean; Rafelski, Johann

    2007-01-01

    We study, in the dynamically evolving quark-gluon plasma (QGP) fireball formed in relativistic heavy ion collisions at the BNL Relativistic Heavy Ion Collider (RHIC) and CERN Large Hadron Collider (LHC), the growth of strangeness yield toward and beyond the chemical equilibrium. We account for the contribution of the direct strangeness production and evaluate the thermal-QCD strangeness production mechanisms. The specific yield of strangeness per entropy, s/S, is the primary target variable. We explore the effect of collision impact parameter, i.e., fireball size, on kinetic strangeness chemical equilibration in QGP. Insights gained in studying the RHIC data with regard to the dynamics of the fireball are applied to the study of strangeness production at the LHC. We use these results and consider the strange hadron relative particle yields at RHIC and LHC in a systematic fashion. We consider both the dependence on s/S and the direct dependence on the participant number

  9. Comparison of some effects of modification of a polylactide surface layer by chemical, plasma, and laser methods

    Energy Technology Data Exchange (ETDEWEB)

    Moraczewski, Krzysztof, E-mail: kmm@ukw.edu.pl [Department of Materials Engineering, Kazimierz Wielki University, Department of Materials Engineering, ul. Chodkiewicza 30, 85-064 Bydgoszcz (Poland); Rytlewski, Piotr [Department of Materials Engineering, Kazimierz Wielki University, Department of Materials Engineering, ul. Chodkiewicza 30, 85-064 Bydgoszcz (Poland); Malinowski, Rafał [Institute for Engineering of Polymer Materials and Dyes, ul. M. Skłodowskiej–Curie 55, 87-100 Toruń (Poland); Żenkiewicz, Marian [Department of Materials Engineering, Kazimierz Wielki University, Department of Materials Engineering, ul. Chodkiewicza 30, 85-064 Bydgoszcz (Poland)

    2015-08-15

    Highlights: • We modified polylactide surface layer with chemical, plasma or laser methods. • We tested selected properties and surface structure of modified samples. • We stated that the plasma treatment appears to be the most beneficial. - Abstract: The article presents the results of studies and comparison of selected properties of the modified PLA surface layer. The modification was carried out with three methods. In the chemical method, a 0.25 M solution of sodium hydroxide in water and ethanol was utilized. In the plasma method, a 50 W generator was used, which produced plasma in the air atmosphere under reduced pressure. In the laser method, a pulsed ArF excimer laser with fluency of 60 mJ/cm{sup 2} was applied. Polylactide samples were examined by using the following techniques: scanning electron microscopy (SEM), atomic force microscopy (AFM), goniometry and X-ray photoelectron spectroscopy (XPS). Images of surfaces of the modified samples were recorded, contact angles were measured, and surface free energy was calculated. Qualitative and quantitative analyses of chemical composition of the PLA surface layer were performed as well. Based on the survey it was found that the best modification results are obtained using the plasma method.

  10. Distributed least-squares estimation of a remote chemical source via convex combination in wireless sensor networks.

    Science.gov (United States)

    Cao, Meng-Li; Meng, Qing-Hao; Zeng, Ming; Sun, Biao; Li, Wei; Ding, Cheng-Jun

    2014-06-27

    This paper investigates the problem of locating a continuous chemical source using the concentration measurements provided by a wireless sensor network (WSN). Such a problem exists in various applications: eliminating explosives or drugs, detecting the leakage of noxious chemicals, etc. The limited power and bandwidth of WSNs have motivated collaborative in-network processing which is the focus of this paper. We propose a novel distributed least-squares estimation (DLSE) method to solve the chemical source localization (CSL) problem using a WSN. The DLSE method is realized by iteratively conducting convex combination of the locally estimated chemical source locations in a distributed manner. Performance assessments of our method are conducted using both simulations and real experiments. In the experiments, we propose a fitting method to identify both the release rate and the eddy diffusivity. The results show that the proposed DLSE method can overcome the negative interference of local minima and saddle points of the objective function, which would hinder the convergence of local search methods, especially in the case of locating a remote chemical source.

  11. Distributed Least-Squares Estimation of a Remote Chemical Source via Convex Combination in Wireless Sensor Networks

    Directory of Open Access Journals (Sweden)

    Meng-Li Cao

    2014-06-01

    Full Text Available This paper investigates the problem of locating a continuous chemical source using the concentration measurements provided by a wireless sensor network (WSN. Such a problem exists in various applications: eliminating explosives or drugs, detecting the leakage of noxious chemicals, etc. The limited power and bandwidth of WSNs have motivated collaborative in-network processing which is the focus of this paper. We propose a novel distributed least-squares estimation (DLSE method to solve the chemical source localization (CSL problem using a WSN. The DLSE method is realized by iteratively conducting convex combination of the locally estimated chemical source locations in a distributed manner. Performance assessments of our method are conducted using both simulations and real experiments. In the experiments, we propose a fitting method to identify both the release rate and the eddy diffusivity. The results show that the proposed DLSE method can overcome the negative interference of local minima and saddle points of the objective function, which would hinder the convergence of local search methods, especially in the case of locating a remote chemical source.

  12. Chemically reactive species in liquids generated by atmospheric-pressure plasmas and their roles in plasma medicine

    International Nuclear Information System (INIS)

    Hamaguchi, Satoshi

    2013-01-01

    Plasmas whose gas temperatures are close to room temperature may be generated in ambient air or a gas at atmospheric pressure with the use of low-frequency high voltage or low-power radio-frequency (RF) or microwave power applied to electrodes. Such plasmas can serve as a powerful source of free radicals and/or chemically reactive species that arise from atoms and molecules of the ambient gas. Recently use of such plasmas for medical purposes has attracted much attention as they can be implemented in possible medical devices that can cause blood coagulation, heal wounds, facilitate angiogenesis, sterilize surgical devices as well as living tissues without harming healthy cells, and selectively inactivate cancer cells. Especially of interest among reactive species generated by atmospheric-pressure plasmas (APP) are reactive oxygen species (ROS) and reactive nitrogen species (RNS) that are generated in liquid phase. Since most living tissues and cells are immersed in liquids (such as blood or culture media), reactive species generated by APPs in the gas phase are transported to the liquid phase and possibly converted to different types of reactive species therein before causing some influence on the tissues or cells. In this study, the rate equations are solved to evaluate concentrations of various reactive species in pure water that are originated by plasma reactions in atmosphere and possible effects of such species (including ROS/RNS) on living tissues and cells are discussed

  13. Chemically reactive species in liquids generated by atmospheric-pressure plasmas and their roles in plasma medicine

    Energy Technology Data Exchange (ETDEWEB)

    Hamaguchi, Satoshi [Center for Atomic and Molecular Technologies, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan)

    2013-07-11

    Plasmas whose gas temperatures are close to room temperature may be generated in ambient air or a gas at atmospheric pressure with the use of low-frequency high voltage or low-power radio-frequency (RF) or microwave power applied to electrodes. Such plasmas can serve as a powerful source of free radicals and/or chemically reactive species that arise from atoms and molecules of the ambient gas. Recently use of such plasmas for medical purposes has attracted much attention as they can be implemented in possible medical devices that can cause blood coagulation, heal wounds, facilitate angiogenesis, sterilize surgical devices as well as living tissues without harming healthy cells, and selectively inactivate cancer cells. Especially of interest among reactive species generated by atmospheric-pressure plasmas (APP) are reactive oxygen species (ROS) and reactive nitrogen species (RNS) that are generated in liquid phase. Since most living tissues and cells are immersed in liquids (such as blood or culture media), reactive species generated by APPs in the gas phase are transported to the liquid phase and possibly converted to different types of reactive species therein before causing some influence on the tissues or cells. In this study, the rate equations are solved to evaluate concentrations of various reactive species in pure water that are originated by plasma reactions in atmosphere and possible effects of such species (including ROS/RNS) on living tissues and cells are discussed.

  14. Observation of non-chemical equilibrium effect on Ar-CO2-H2 thermal plasma model by changing pressure

    International Nuclear Information System (INIS)

    Al-Mamun, Sharif Abdullah; Tanaka, Yasunori; Uesugi, Yoshihiko

    2009-01-01

    The authors developed a two-dimensional one-temperature chemical non-equilibrium (1T-NCE) model of Ar-CO 2 -H 2 inductively coupled thermal plasmas (ICTP) to investigate the effect of pressure variation. The basic concept of one-temperature model is the assumption and treatment of the same energy conservation equation for electrons and heavy particles. The energy conservation equations consider reaction heat effects and energy transfer among the species produced as well as enthalpy flow resulting from diffusion. Assuming twenty two (22) different particles in this model and by solving mass conservation equations for each particle, considering diffusion, convection and net production terms resulting from hundred and ninety eight (198) chemical reactions, chemical non-equilibrium effects were taken into account. Transport and thermodynamic properties of Ar-CO 2 -H 2 thermal plasmas were self-consistently calculated using the first-order approximation of the Chapman-Enskog method. Finally results obtained at atmospheric pressure (760 Torr) and at reduced pressure (500, 300 Torr) were compared with results from one-temperature chemical equilibrium (1T-CE) model. And of course, this comparison supported discussion of chemical non-equilibrium effects in the inductively coupled thermal plasmas (ICTP).

  15. Inactivation of Escherichia coli on blueberries using cold plasma with chemical augmentation inside a partial vacuum

    Science.gov (United States)

    Justification: The mechanism by which cold plasma inactivates pathogens is through the production of free reactive chemical species. Unfortunately, the most reactive chemical species have the shortest half-life. In a vacuum their half-life is believed to be prolonged. Additionally, these reactive sp...

  16. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  17. Hydrogen desorption from hydrogen fluoride and remote hydrogen plasma cleaned silicon carbide (0001) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    King, Sean W., E-mail: sean.king@intel.com; Tanaka, Satoru; Davis, Robert F. [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Nemanich, Robert J. [Department of Physics, North Carolina State University, Raleigh, North Carolina 27695 (United States)

    2015-09-15

    Due to the extreme chemical inertness of silicon carbide (SiC), in-situ thermal desorption is commonly utilized as a means to remove surface contamination prior to initiating critical semiconductor processing steps such as epitaxy, gate dielectric formation, and contact metallization. In-situ thermal desorption and silicon sublimation has also recently become a popular method for epitaxial growth of mono and few layer graphene. Accordingly, numerous thermal desorption experiments of various processed silicon carbide surfaces have been performed, but have ignored the presence of hydrogen, which is ubiquitous throughout semiconductor processing. In this regard, the authors have performed a combined temperature programmed desorption (TPD) and x-ray photoelectron spectroscopy (XPS) investigation of the desorption of molecular hydrogen (H{sub 2}) and various other oxygen, carbon, and fluorine related species from ex-situ aqueous hydrogen fluoride (HF) and in-situ remote hydrogen plasma cleaned 6H-SiC (0001) surfaces. Using XPS, the authors observed that temperatures on the order of 700–1000 °C are needed to fully desorb C-H, C-O and Si-O species from these surfaces. However, using TPD, the authors observed H{sub 2} desorption at both lower temperatures (200–550 °C) as well as higher temperatures (>700 °C). The low temperature H{sub 2} desorption was deconvoluted into multiple desorption states that, based on similarities to H{sub 2} desorption from Si (111), were attributed to silicon mono, di, and trihydride surface species as well as hydrogen trapped by subsurface defects, steps, or dopants. The higher temperature H{sub 2} desorption was similarly attributed to H{sub 2} evolved from surface O-H groups at ∼750 °C as well as the liberation of H{sub 2} during Si-O desorption at temperatures >800 °C. These results indicate that while ex-situ aqueous HF processed 6H-SiC (0001) surfaces annealed at <700 °C remain terminated by some surface C–O and

  18. Informing the Human Plasma Protein Binding of Environmental Chemicals by Machine Learning in the Pharmaceutical Space: Applicability Domain and Limits of Predictability

    Science.gov (United States)

    The free fraction of a xenobiotic in plasma (Fub) is an important determinant of chemical adsorption, distribution, metabolism, elimination, and toxicity, yet experimental plasma protein binding data is scarce for environmentally relevant chemicals. The presented work explores th...

  19. Polyethylene Oxide Films Polymerized by Radio Frequency Plasma-Enhanced Chemical Vapour Phase Deposition and Its Adsorption Behaviour of Platelet-Rich Plasma

    International Nuclear Information System (INIS)

    Wen-Juan, Hu; Fen-Yan, Xie; Qiang, Chen; Jing, Weng

    2008-01-01

    We present polyethylene oxide (PEO) functional films polymerized by rf plasma-enhanced vapour chemical deposition (rf-PECVD) on p-Si (100) surface with precursor ethylene glycol dimethyl ether (EGDME) and diluted Ar in pulsed plasma mode. The influences of discharge parameters on the film properties and compounds are investigated. The film structure is analysed by Fourier transform infrared (FTIR) spectroscopy. The water contact angle measurement and atomic force microscope (AFM) are employed to examine the surface polarity and to detect surface morphology, respectively. It is concluded that the smaller duty cycle in pulsed plasma mode contributes to the rich C-O-C (EO) group on the surfaces. As an application, the adsorption behaviour of platelet-rich plasma on plasma polymerization films performed in-vitro is explored. The shapes of attached cells are studied in detail by an optic invert microscope, which clarifies that high-density C-O-C groups on surfaces are responsible for non-fouling adsorption behaviour of the PEO films

  20. Polyethylene Oxide Films Polymerized by Radio Frequency Plasma-Enhanced Chemical Vapour Phase Deposition and Its Adsorption Behaviour of Platelet-Rich Plasma

    Science.gov (United States)

    Hu, Wen-Juan; Xie, Fen-Yan; Chen, Qiang; Weng, Jing

    2008-10-01

    We present polyethylene oxide (PEO) functional films polymerized by rf plasma-enhanced vapour chemical deposition (rf-PECVD) on p-Si (100) surface with precursor ethylene glycol dimethyl ether (EGDME) and diluted Ar in pulsed plasma mode. The influences of discharge parameters on the film properties and compounds are investigated. The film structure is analysed by Fourier transform infrared (FTIR) spectroscopy. The water contact angle measurement and atomic force microscope (AFM) are employed to examine the surface polarity and to detect surface morphology, respectively. It is concluded that the smaller duty cycle in pulsed plasma mode contributes to the rich C-O-C (EO) group on the surfaces. As an application, the adsorption behaviour of platelet-rich plasma on plasma polymerization films performed in-vitro is explored. The shapes of attached cells are studied in detail by an optic invert microscope, which clarifies that high-density C-O-C groups on surfaces are responsible for non-fouling adsorption behaviour of the PEO films.

  1. Remote PECVD silicon nitride films with improved electrical properties for GaAs P-HEMT passivation

    CERN Document Server

    Sohn, M K; Kim, K H; Yang, S G; Seo, K S

    1998-01-01

    In order to obtain thin silicon nitride films with excellent electrical and mechanical properties, we employed RPECVD (Remote Plasma Enhanced Chemical Vapor Deposition) process which produces less plasma-induced damage than the conventional PECVD. Through the optical and electrical measurements of the deposited films, we optimized the various RPECVD process parameters. The optimized silicon nitride films showed excellent characteristics such as small etch rate (approx 33 A/min by 7:1 BHF), high breakdown field (>9 MV/cm), and low compressive stress (approx 3.3x10 sup 9 dyne/cm sup 2). We successfully applied thin RPECVD silicon nitride films to the surface passivation of GaAs pseudomorphic high electron mobility transistors (P-HEMTs) with negligible degradations in DC and RF characteristics.

  2. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kumar, A.; Voevodin, A.A.; Paul, R.; Altfeder, I.; Zemlyanov, D.; Zakharov, D.N.; Fisher, T.S.

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface

  3. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, A., E-mail: kumar50@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Voevodin, A.A. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Paul, R. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Altfeder, I. [Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Zemlyanov, D.; Zakharov, D.N. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Fisher, T.S., E-mail: tsfisher@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States)

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface.

  4. Multi-mode remote participation on the GOLEM tokamak

    International Nuclear Information System (INIS)

    Svoboda, V.; Huang, B.; Mlynar, J.; Pokol, G.I.; Stoeckel, J.; Vondrasek, G.

    2011-01-01

    The GOLEM tokamak (formerly CASTOR) at Czech Technical University is demonstrated as an educational tokamak device for domestic and foreign students. Remote participation of several foreign universities (in Hungary, Belgium, Poland and Costa Rica) has been successfully performed. A unique feature of the GOLEM device is functionality which enables complete remote participation and control, solely through Internet access. Basic remote control is possible either in online mode via WWW/SSH interface or offline mode using batch processing code. Discharge parameters are set in each case to configure the tokamak for a plasma discharge. Using the X11 protocol it is possible to control in an advanced mode many technological aspects of the tokamak operation, including: i) vacuum pump initialization, ii) chamber baking, iii) charging of power supplies, iv) plasma discharge scenario, v) data acquisition system.

  5. Study on stability of a-SiCOF films deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Ding Shijin; Zhang Qingquan; Wang Pengfei; Zhang Wei; Wang Jitao

    2001-01-01

    Low-dielectric-constant a-SiCOF films have been prepared from TEOS, C 4 F 8 and Ar by using plasma enhanced chemical vapor deposition method. With the aid of X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), the chemical bonding configuration, thermal stability and resistance to water of the films are explored

  6. Plasma Enhanced Chemical Vapour Deposition of Horizontally Aligned Carbon Nanotubes

    Directory of Open Access Journals (Sweden)

    Matthew T. Cole

    2013-05-01

    Full Text Available A plasma-enhanced chemical vapour deposition reactor has been developed to synthesis horizontally aligned carbon nanotubes. The width of the aligning sheath was modelled based on a collisionless, quasi-neutral, Child’s law ion sheath where these estimates were empirically validated by direct Langmuir probe measurements, thereby confirming the proposed reactors ability to extend the existing sheath fields by up to 7 mm. A 7 mbar growth atmosphere combined with a 25 W plasma permitted the concurrent growth and alignment of carbon nanotubes with electric fields of the order of 0.04 V μm−1 with linear packing densities of up to ~5 × 104 cm−1. These results open up the potential for multi-directional in situ alignment of carbon nanotubes providing one viable route to the fabrication of many novel optoelectronic devices.

  7. The kinetics of nonequilibrium chain plasma-chemical oxidation in heterogeneous media

    International Nuclear Information System (INIS)

    Deminskii, M.A.; Potapkin, B.V.; Rusanov, V.D.

    1994-01-01

    The kinetics of oxidation of low-impurity components in air mixtures under heterogeneous conditions was studied. The principal kinetic features of the process were determined on the basis of theoretical analysis of plasma-chemical oxidation in heterogeneous media. The analysis also showed that low concentrations of impurities in liquid aerosol particles can be efficiently oxidized via a chain process induced by reactive species formed in the gas

  8. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  9. Plasma Assisted Chemical Vapour Deposition – Technological Design Of Functional Coatings

    Directory of Open Access Journals (Sweden)

    Januś M.

    2015-06-01

    Full Text Available Plasma Assisted Chemical Vapour Deposition (PA CVD method allows to deposit of homogeneous, well-adhesive coatings at lower temperature on different substrates. Plasmochemical treatment significantly impacts on physicochemical parameters of modified surfaces. In this study we present the overview of the possibilities of plasma processes for the deposition of diamond-like carbon coatings doped Si and/or N atoms on the Ti Grade2, aluminum-zinc alloy and polyetherketone substrate. Depending on the type of modified substrate had improved the corrosion properties including biocompatibility of titanium surface, increase of surface hardness with deposition of good adhesion and fine-grained coatings (in the case of Al-Zn alloy and improving of the wear resistance (in the case of PEEK substrate.

  10. Composition and partition functions of partially ionized hydrogen plasma in Non-Local Thermal Equilibrium (Non-LThE) and Non-Local Chemical Equilibrium (Non-LChE)

    International Nuclear Information System (INIS)

    Chen Kuan; Eddy, T.L.

    1993-01-01

    A GTME (Generalized MultiThermodynamic Equilibrium) plasma model is developed for plasmas in both Non-LThE (Non-Local Thermal Equilibrium) and Non-LChE (Non-Local Chemical Equilibrium). The model uses multitemperatures for thermal nonequilibrium and non-zero chemical affinities as a measure of the deviation from chemical equilibrium. The plasma is treated as an ideal gas with the Debye-Hueckel approximation employed for pressure correction. The proration method is used when the cutoff energy level is between two discrete levels. The composition and internal partition functions of a hydrogen plasma are presented for electron temperatures ranging from 5000 to 35000 K and pressures from 0.1 to 1000 kPa. Number densities of 7 different species of hydrogen plasma and internal partition functions of different energy modes (rotational, vibrational, and electronic excitation) are computed for three affinity values. The results differ from other plasma properties in that they 1) are not based on equilibrium properties; and 2) are expressed as a function of different energy distribution parameters (temperatures) within each energy mode of each species as appropriate. The computed number densities and partition functions are applicable to calculating the thermodynamic, transport, and radiation properties of a hydrogen plasma not in thermal and chemical equilibria. The nonequilibrium plasma model and plasma compositions presented in this paper are very useful to the diagnosis of high-speed and/or low-pressure plasma flows in which the assumptions of local thermal and chemical equilibrium are invalid. (orig.)

  11. The annealing effect on work function variation of WN{sub x}C{sub y} films deposited by remote plasma atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hyunjung; Shin, Changhee; Lim, Heewoo; Kim, Manseok [Department of Nano-Scale Semiconductor Engineering, Hanyang University, Seoul (Korea, Republic of); Jang, Woochool; Lee, Kunyoung [Division of Materials Science and Engineering, Hanyang University, Seoul (Korea, Republic of); Yuh, Junhan [Division of Steel Solution, POSCO, Seoul (Korea, Republic of); Jeon, Hyeongtag [Department of Nano-Scale Semiconductor Engineering, Hanyang University, Seoul (Korea, Republic of); Division of Materials Science and Engineering, Hanyang University, Seoul (Korea, Republic of)

    2017-07-15

    Tungsten-nitrogen-carbide (WN{sub x}C{sub y}) thin films were investigated as the metal gate of complementary metal-oxide-semiconductor (CMOS) devices. WN{sub x}C{sub y} thin films were deposited by employing the remote plasma atomic layer deposition (RPALD) using a bis(tert-butylimido) bis (dimethylamido) tungsten (BTBMW) precursor and hydrogen plasma as a reactant. The growth rate of the WN{sub x}C{sub y} films was about 0.12 nm/cycle. X-ray diffraction (XRD) analysis indicated that the films consisted of a mixture of tungsten carbide and tungsten nitride phases. The atomic force microscope (AFM) analysis further confirmed that the WN{sub x}C{sub y} film surfaces deposited by RPALD were smooth. In addition, the chemical bonding state analysis showed that the WN{sub x}C{sub y} films consisted of WN, WC, and WO phases. To measure the work function of the WN{sub x}C{sub y} film, a MOSCAP (metal oxide semiconductor capacitor) stack was fabricated and the flat band voltage was measured by current-voltage (C-V) measurements. A WN{sub x}C{sub y} work function value of 4.91 eV was suitable for p-MOS and the work function of the WN{sub x}C{sub y} films varied depending on the annealing treatment, and was higher than the work function of the as-deposited WN{sub x}C{sub y} film. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  12. Plasma for Electrification of Chemical Industry: a Case Study on CO2 Reduction

    NARCIS (Netherlands)

    van Rooij, G. J.; Akse, H.; Bongers, W.; van de Sanden, M. C. M.

    2018-01-01

    Significantly increasing the share of (intermittent) renewable power in the chemical industry is imperative to meet increasingly stricter limits on CO2 exhaust that are being implemented within Europe. This paper aims to evaluate the potential of a plasma process that converts input CO2 into a pure

  13. Real time ellipsometry for monitoring plasma-assisted epitaxial growth of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Losurdo, Maria [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy)]. E-mail: maria.losurdo@ba.imip.cnr.it; Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Capezzuto, Pio [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Brown, April S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Kim, Tong-Ho [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Choi, Soojeong [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States)

    2006-10-31

    GaN is grown on Si-face 4H-SiC(0 0 0 1) substrates using remote plasma-assisted methods including metalorganic chemical vapour deposition (RP-MOCVD) and molecular beam epitaxy (MBE). Real time spectroscopic ellipsometry is used for monitoring all the steps of substrate pre-treatments and the heteroepitaxial growth of GaN on SiC. Our characterization emphasis is on understanding the nucleation mechanism and the GaN growth mode, which depend on the SiC surface preparation.

  14. Comparative evaluation of remote maintenance schemes for fusion DEMO reactor

    Energy Technology Data Exchange (ETDEWEB)

    Utoh, Hiroyasu, E-mail: uto.hiroyasu@jaea.go.jp; Tobita, Kenji; Someya, Youji; Asakura, Nobuyuki; Sakamoto, Yoshiteru; Hoshino, Kazuo; Nakamura, Makoto

    2015-10-15

    Highlights: • Various remote maintenance schemes for DEMO were comparatively assessed based on requirements for DEMO remote maintenance. • The banana shape segment transport using all vertical maintenance ports would be more probable DEMO reactor maintenance scheme. • The key engineering issues are in-vessel transferring mechanism of segment, pipe connection and conducting shell design for plasma vertical stability. - Abstract: Maintenance schemes are one of the critical issues in DEMO design, significantly affecting the configuration of in-vessel components, the size of toroidal field (TF) coil, the arrangement of poloidal field (PF) coils, reactor building, hot cell and so forth. Therefore, the maintenance schemes should satisfy many design requirements and criteria to assure reliable and safe plant operation and to attain reasonable plant availability. The plant availability depends on reliability of remote maintenance scheme, inspection of pipe connection and plasma operation. In this paper, various remote maintenance schemes for DEMO were comparatively assessed based on requirements for DEMO remote maintenance. From the view points of the reliability of inspection on hot cell, TF coil size, stored energy of PF coil and portability of segment, the banana shape segment transport using all vertical maintenance ports would be more probable DEMO reactor maintenance scheme, and it has key engineering issues such as in-vessel transferring mechanism of segment, pipe connection and conducting shell design for plasma vertical stability.

  15. Comparative evaluation of remote maintenance schemes for fusion DEMO reactor

    International Nuclear Information System (INIS)

    Utoh, Hiroyasu; Tobita, Kenji; Someya, Youji; Asakura, Nobuyuki; Sakamoto, Yoshiteru; Hoshino, Kazuo; Nakamura, Makoto

    2015-01-01

    Highlights: • Various remote maintenance schemes for DEMO were comparatively assessed based on requirements for DEMO remote maintenance. • The banana shape segment transport using all vertical maintenance ports would be more probable DEMO reactor maintenance scheme. • The key engineering issues are in-vessel transferring mechanism of segment, pipe connection and conducting shell design for plasma vertical stability. - Abstract: Maintenance schemes are one of the critical issues in DEMO design, significantly affecting the configuration of in-vessel components, the size of toroidal field (TF) coil, the arrangement of poloidal field (PF) coils, reactor building, hot cell and so forth. Therefore, the maintenance schemes should satisfy many design requirements and criteria to assure reliable and safe plant operation and to attain reasonable plant availability. The plant availability depends on reliability of remote maintenance scheme, inspection of pipe connection and plasma operation. In this paper, various remote maintenance schemes for DEMO were comparatively assessed based on requirements for DEMO remote maintenance. From the view points of the reliability of inspection on hot cell, TF coil size, stored energy of PF coil and portability of segment, the banana shape segment transport using all vertical maintenance ports would be more probable DEMO reactor maintenance scheme, and it has key engineering issues such as in-vessel transferring mechanism of segment, pipe connection and conducting shell design for plasma vertical stability.

  16. Mathematical modeling of quartz particle melting process in plasma-chemical reactor

    Energy Technology Data Exchange (ETDEWEB)

    Volokitin, Oleg, E-mail: volokitin-oleg@mail.ru; Volokitin, Gennady, E-mail: vgg-tomsk@mail.ru; Skripnikova, Nelli, E-mail: nks2003@mai.ru; Shekhovtsov, Valentin, E-mail: shehovcov2010@yandex.ru [Tomsk State University of Architecture and Building, 2, Solyanaya Sq., 634003, Tomsk (Russian Federation); Vlasov, Viktor, E-mail: rector@tsuab.ru [Tomsk State University of Architecture and Building, 2, Solyanaya Sq., 634003, Tomsk (Russian Federation); National Research Tomsk Polytechnic University, 30, Lenin Ave., 634050, Tomsk (Russian Federation)

    2016-01-15

    Among silica-based materials vitreous silica has a special place. The paper presents the melting process of a quartz particle under conditions of low-temperature plasma. A mathematical model is designed for stages of melting in the experimental plasma-chemical reactor. As calculation data show, quartz particles having the radius of 0.21≤ r{sub p} ≤0.64 mm completely melt at W = 0.65 l/s particle feed rate depending on the Nusselt number, while 0.14≤ r{sub p} ≤0.44 mm particles melt at W = 1.4 l/s. Calculation data showed that 2 mm and 0.4 mm quartz particles completely melted during and 0.1 s respectively. Thus, phase transformations occurred in silicon dioxide play the important part in its heating up to the melting temperature.

  17. Determination of clebopride in plasma by capillary gas chromatography-negative-ion chemical ionization mass spectrometry.

    Science.gov (United States)

    Robinson, P R; Jones, M D; Maddock, J

    1988-11-18

    A procedure for the analysis of clebopride in plasma using capillary gas chromatography-negative-ion chemical ionization mass spectrometry has been developed. Employing an ethoxy analogue as internal standard, the two compounds were extracted from basified plasma using dichloromethane. Subsequent reaction with heptafluorobutyryl imidazole produced volatile monoheptafluorobutyryl derivatives whose ammonia negative-ion mass spectra proved ideal for selected-ion monitoring. The recovery of clebopride from plasma at 0.536 nmol/l was found to be 85.5 +/- 0.9% (n = 3) whilst measurement down to 0.268 nmol/l was possible with a coefficient of variation of 7.9%. Plasma levels of the compound are reported in two volunteers following ingestion of 1 mg of clebopride as the malate salt.

  18. Fusing Mobile In Situ Observations and Satellite Remote Sensing of Chemical Release Emissions to Improve Disaster Response

    Directory of Open Access Journals (Sweden)

    Ira Leifer

    2016-09-01

    Full Text Available Chemical release disasters have serious consequences, disrupting ecosystems, society, and causing significant loss of life. Mitigating the destructive impacts relies on identification and mapping, monitoring, and trajectory forecasting. Improvements in sensor capabilities are enabling airborne and spacebased remote sensing to support response activities. Key applications are improving transport models in complex terrain and improved disaster response.Chemical release disasters have serious consequences, disrupting ecosystems, society, and causing significant loss of life. Mitigating the destructive impacts relies on identification and mapping, monitoring, and trajectory forecasting. Improvements in sensor capabilities are enabling airborne and space-based remote sensing to support response activities. Key applications are improving transport models in complex terrain and improved disaster response.Understanding urban atmospheric transport in the Los Angeles Basin, where topographic influences on transport patterns are significant, was improved by leveraging the Aliso Canyon leak as an atmospheric tracer. Plume characterization data was collected by the AutoMObile trace Gas (AMOG Surveyor, a commuter car modified for science. Mobile surface in situ CH4 and winds were measured by AMOG Surveyor under Santa Ana conditions to estimate an emission rate of 365±30% Gg yr-1. Vertical profiles were collected by AMOG Surveyor by leveraging local topography for vertical profiling to identify the planetary boundary layer at ~700 m. Topography significantly constrained plume dispersion by up to a factor of two. The observed plume trajectory was used to validate satellite aerosol optical depth-inferred atmospheric transport, which suggested the plume first was driven offshore, but then veered back towards land. Numerical long-range transport model predictions confirm this interpretation. This study demonstrated a novel application of satellite aerosol remote

  19. One-step synthesis of chlorinated graphene by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fan, Liwei; Zhang, Hui; Zhang, Pingping; Sun, Xuhui, E-mail: xhsun@suda.edu.cn

    2015-08-30

    Highlights: • We developed a simple approach to synthesize the single layer chlorinated graphene. • CuCl{sub 2} on Cu surface is used as Cl source under the plasma treatment. • The formation of covalent C−Cl bond has been investigated by Raman and XPS. • Raman results indicate the p-type doping effect of chlorination. - Abstract: We developed an approach to synthesize the chlorinated single layer graphene (Cl-G) by one-step plasma enhanced chemical vapor deposition. Copper foil was simply treated with hydrochloric acid and then CuCl{sub 2} formed on the surface was used as Cl source under the assistance of plasma treatment. Compared with other two-step methods by post plasma/photochemical treatment of CVD-grown single layer graphene (SLG), one-step Cl-G synthesis approach is quite straightforward and effective. X-ray photoelectron spectroscopy (XPS) revealed that ∼2.45 atom% Cl remained in SLG. Compared with the pristine SLG, the obvious blue shifts of G band and 2D band along with the appearance of D’ band and D + G band in the Raman spectra indicate p-type doping of Cl-G.

  20. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  1. Epitaxial growth of GaN by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) in the downflow of a very high frequency (VHF) N2/H2 excited plasma - effect of TMG flow rate and VHF power

    Science.gov (United States)

    Lu, Yi; Kondo, Hiroki; Ishikawa, Kenji; Oda, Osamu; Takeda, Keigo; Sekine, Makoto; Amano, Hiroshi; Hori, Masaru

    2014-04-01

    Gallium nitride (GaN) films have been grown by using our newly developed Radical-Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD) system. This system has three features: (1) application of very high frequency (60 MHz) power in order to increase the plasma density, (2) introduction of H2 gas together with N2 gas in the plasma discharge region to generate not only nitrogen radicals but also active NHx molecules, and (3) radical supply under remote plasma arrangement with suppression of charged ions and photons by employing a Faraday cage. Using this new system, we have studied the effect of the trimethylgallium (TMG) source flow rate and of the plasma generation power on the GaN crystal quality by using scanning electron microscopy (SEM) and double crystal X-ray diffraction (XRD). We found that this REMOCVD allowed the growth of epitaxial GaN films of the wurtzite structure of (0001) orientation on sapphire substrates with a high growth rate of 0.42 μm/h at a low temperature of 800 °C. The present REMOCVD is a promising method for GaN growth at relatively low temperature and without using costly ammonia gas.

  2. Aqueous media treatment and decontamination of hazardous chemical and biological substances by contact plasma

    International Nuclear Information System (INIS)

    Pivovarov, A.; Kravchenko, A.; Kublanovsky, V.

    2009-01-01

    Usage of non-equilibrium contact plasma for processes of decontamination and neutralization in conditions of manifestation of chemical, biological and radiation terrorism takes on special significance due to portability of equipment and its mobility in places where toxic liquid media hazardous for people's health are located. Processes of decontamination of aqueous media, seminated with pathogenic microorganisms and viruses, treatment of water containing toxic heavy metals, cyanides, surface-active substances, and heavy radioactive elements, are investigated. Examples of activation processes in infected water and toxic aqueous solutions present convincing evidence of the way, how new quality technological approach for achievement of high enough degree of the said media treatment is used in each specific case. Among new properties of water activated as a result of action of non-equilibrium contact plasma, it is necessary to mention presence of cluster structure, confirmed by well-known spectral and physical-chemical methods, presence of peroxide compounds, active particles and radicals. Anti-microbial activity which is displayed under action of plasma in aqueous media (chemically pure water, drinking water, aqueous solutions of sodium chloride, potassium iodide, as well as other inorganic compounds) towards wide range of pathogenic and conventionally pathogenic microorganisms allows use them as reliable, accessible and low-cost preparations for increasing the degree of safety of food products. Combination of such processes with known methods of filtration and ultra-filtration gives an efficient and available complex capable of withstanding any threats, which may arise for population and living organisms. Present-day level of machine-building, electrical engineering, and electronics allows predict creation of industrial plasma installations, adapted to conditions of various terrorist threats, with minimized power consumption and optimized technological parameters

  3. Aqueous media treatment and decontamination of hazardous chemical and biological substances by contact plasma

    Energy Technology Data Exchange (ETDEWEB)

    Pivovarov, A; Kravchenko, A [Ukrainian State University of Chemical Engineering, Dnepropetrovsk (Ukraine); Kublanovsky, V [V. I. Vernadsky Institute of General and Inorganic Chemistry of National Academy of Science, Kiev (Ukraine)

    2009-07-01

    Usage of non-equilibrium contact plasma for processes of decontamination and neutralization in conditions of manifestation of chemical, biological and radiation terrorism takes on special significance due to portability of equipment and its mobility in places where toxic liquid media hazardous for people's health are located. Processes of decontamination of aqueous media, seminated with pathogenic microorganisms and viruses, treatment of water containing toxic heavy metals, cyanides, surface-active substances, and heavy radioactive elements, are investigated. Examples of activation processes in infected water and toxic aqueous solutions present convincing evidence of the way, how new quality technological approach for achievement of high enough degree of the said media treatment is used in each specific case. Among new properties of water activated as a result of action of non-equilibrium contact plasma, it is necessary to mention presence of cluster structure, confirmed by well-known spectral and physical-chemical methods, presence of peroxide compounds, active particles and radicals. Anti-microbial activity which is displayed under action of plasma in aqueous media (chemically pure water, drinking water, aqueous solutions of sodium chloride, potassium iodide, as well as other inorganic compounds) towards wide range of pathogenic and conventionally pathogenic microorganisms allows use them as reliable, accessible and low-cost preparations for increasing the degree of safety of food products. Combination of such processes with known methods of filtration and ultra-filtration gives an efficient and available complex capable of withstanding any threats, which may arise for population and living organisms. Present-day level of machine-building, electrical engineering, and electronics allows predict creation of industrial plasma installations, adapted to conditions of various terrorist threats, with minimized power consumption and optimized technological parameters

  4. Dry-plasma-free chemical etch technique for variability reduction in multi-patterning (Conference Presentation)

    Science.gov (United States)

    Kal, Subhadeep; Mohanty, Nihar; Farrell, Richard A.; Franke, Elliott; Raley, Angelique; Thibaut, Sophie; Pereira, Cheryl; Pillai, Karthik; Ko, Akiteru; Mosden, Aelan; Biolsi, Peter

    2017-04-01

    Scaling beyond the 7nm technology node demands significant control over the variability down to a few angstroms, in order to achieve reasonable yield. For example, to meet the current scaling targets it is highly desirable to achieve sub 30nm pitch line/space features at back-end of the line (BEOL) or front end of line (FEOL); uniform and precise contact/hole patterning at middle of line (MOL). One of the quintessential requirements for such precise and possibly self-aligned patterning strategies is superior etch selectivity between the target films while other masks/films are exposed. The need to achieve high etch selectivity becomes more evident for unit process development at MOL and BEOL, as a result of low density films choices (compared to FEOL film choices) due to lower temperature budget. Low etch selectivity with conventional plasma and wet chemical etch techniques, causes significant gouging (un-intended etching of etch stop layer, as shown in Fig 1), high line edge roughness (LER)/line width roughness (LWR), non-uniformity, etc. In certain circumstances this may lead to added downstream process stochastics. Furthermore, conventional plasma etches may also have the added disadvantage of plasma VUV damage and corner rounding (Fig. 1). Finally, the above mentioned factors can potentially compromise edge placement error (EPE) and/or yield. Therefore a process flow enabled with extremely high selective etches inherent to film properties and/or etch chemistries is a significant advantage. To improve this etch selectivity for certain etch steps during a process flow, we have to implement alternate highly selective, plasma free techniques in conjunction with conventional plasma etches (Fig 2.). In this article, we will present our plasma free, chemical gas phase etch technique using chemistries that have high selectivity towards a spectrum of films owing to the reaction mechanism ( as shown Fig 1). Gas phase etches also help eliminate plasma damage to the

  5. Chemical interaction and adhesion characteristics at the interface of metals (Cu, Ta) and low-k cyclohexane-based plasma polymer (CHexPP) films

    International Nuclear Information System (INIS)

    Kim, K.J.; Kim, K.S.; Lee, N.-E.; Choi, J.; Jung, D.

    2001-01-01

    Chemical interaction and adhesion characteristics between metals (Cu, Ta) and low-k plasma-treated cyclohexane-based plasma polymer (CHexPP) films were studied. In order to generate new functional groups that may contribute to the improvement of adhesion between metal and plasma polymer, we performed O 2 , N 2 , and H 2 /He mixture plasma treatment on the surfaces of CHexPP films. Chemical interactions at the interface between metals (Cu, Ta) and plasma-treated CHexPP films were analyzed by x-ray photoelectron spectroscopy. The effect of plasma treatment and thermal annealing on the adhesion characteristics was measured by a tape test and scratch test. The formation of new binding states on the surface of plasma-treated CHexPP films improved adhesion characteristics between metals and CHexPP films. Thermal annealing improves the adhesion property of Cu/CHexPP films, but degrades the adhesion property of Ta/CHexPP films

  6. The ITER remote maintenance system

    International Nuclear Information System (INIS)

    Tesini, A.; Palmer, J.

    2007-01-01

    ITER is a joint international research and development project that aims to demonstrate the scientific and technological feasibility of fusion power. As soon as the plasma operation begins using tritium, the replacement of the vacuum vessel internal components will need to be done with remote handling techniques. To accomplish these operations ITER has equipped itself with a Remote Maintenance System; this includes the Remote Handling equipment set and the Hot Cell facility. Both need to work in a cooperative way, with the aim of minimizing the machine shutdown periods and to maximize the machine availability. The ITER Remote Handling equipment set is required to be available, robust, reliable and retrievable. The machine components, to be remotely handle-able, are required to be designed simply so as to ease their maintenance. The baseline ITER Remote Handling equipment is described. The ITER Hot Cell Facility is required to provide a controlled and shielded area for the execution of repair operations (carried out using dedicated remote handling equipment) on those activated components which need to be returned to service, inside the vacuum vessel. The Hot Cell provides also the equipment and space for the processing and temporary storage of the operational and decommissioning radwaste. A conceptual ITER Hot Cell Facility is described. (orig.)

  7. Effect of oxygen plasma on field emission characteristics of single-wall carbon nanotubes grown by plasma enhanced chemical vapour deposition system

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Avshish; Parveen, Shama; Husain, Samina; Ali, Javid; Zulfequar, Mohammad [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Harsh [Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia, New Delhi 110025 (India); Husain, Mushahid, E-mail: mush-reslab@rediffmail.com [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia, New Delhi 110025 (India)

    2014-02-28

    Field emission properties of single wall carbon nanotubes (SWCNTs) grown on iron catalyst film by plasma enhanced chemical vapour deposition system were studied in diode configuration. The results were analysed in the framework of Fowler-Nordheim theory. The grown SWCNTs were found to be excellent field emitters, having emission current density higher than 20 mA/cm{sup 2} at a turn-on field of 1.3 V/μm. The as grown SWCNTs were further treated with Oxygen (O{sub 2}) plasma for 5 min and again field emission characteristics were measured. The O{sub 2} plasma treated SWCNTs have shown dramatic improvement in their field emission properties with emission current density of 111 mA/cm{sup 2} at a much lower turn on field of 0.8 V/μm. The as grown as well as plasma treated SWCNTs were also characterized by various techniques, such as scanning electron microscopy, high resolution transmission electron microscopy, Raman spectroscopy, and Fourier transform infrared spectroscopy before and after O{sub 2} plasma treatment and the findings are being reported in this paper.

  8. Time-dependent two-temperature chemically non-equilibrium modelling of high-power Ar-N2 pulse-modulated inductively coupled plasmas at atmospheric pressure

    International Nuclear Information System (INIS)

    Tanaka, Yasunori

    2006-01-01

    A time-dependent, two-dimensional, two-temperature and chemical non-equilibrium model was developed for high-power Ar-N 2 pulse-modulated inductively coupled plasmas (PMICPs) at atmospheric pressure. The high-power PMICP is a new technique for sustaining high-power induction plasmas. It can control the plasma temperature and radical densities in the time domain. The PMICP promotes non-equilibrium effects by a sudden application of electric field, even in the high-power density plasmas. The developed model accounts separately for the time-dependent energy conservation equations of electrons and heavy particles. This model also considers reaction heat effects and energy transfer between electrons and heavy particles as well as enthalpy flow resulting from diffusion caused by the particle density gradient. Chemical non-equilibrium effects are also taken into account by solving time-dependent mass conservation equations for each particle, considering diffusion, convection and net production terms resulting from 30 chemical reactions. Transport and thermodynamic properties of Ar-N 2 plasmas are calculated self-consistently using the first order approximation of the Chapman-Enskog method at each position and iteration using the local particle composition, heavy particle temperature and electron temperature. This model is useful to discuss time evolution in temperature, gas flow fields and distribution of chemical species

  9. Plasma diagnostics and device properties of AlGaN/GaN HEMT passivated with SiN deposited by plasma-enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Romero, M F; Sanz, M M; Munoz, E [ISOM-Universidad Politecnica de Madrid (UPM). ETSIT, Madrid (Spain); Tanarro, I [Instituto de Estructura de la Materia, CSIC, Madrid (Spain); Jimenez, A, E-mail: itanarro@iem.cfmac.csic.e [Departamento Electronica, Escuela Politecnica Superior, Universidad de Alcala, Alcala de Henares, Madrid (Spain)

    2010-12-15

    In this work, silicon nitride thin films have been deposited by plasma enhanced chemical vapour deposition on both silicon samples and AlGaN/GaN high electron mobility transistors (HEMT) grown on sapphire substrates. Commercial parallel-plate RF plasma equipment has been used. During depositions, the dissociation rates of SiH{sub 4} and NH{sub 3} precursors and the formation of H{sub 2} and N{sub 2} have been analysed by mass spectrometry as a function of the NH{sub 3}/SiH{sub 4} flow ratio and the RF power applied to the plasma reactor. Afterwards, the properties of the films and the HEMT electrical characteristics have been studied. Plasma composition has been correlated with the SiN deposition rate, refractive index, H content and the final electric characteristics of the passivated transistors.

  10. Time-Resolved Quantum Cascade Laser Absorption Spectroscopy of Pulsed Plasma Assisted Chemical Vapor Deposition Processes Containing BCl3

    Science.gov (United States)

    Lang, Norbert; Hempel, Frank; Strämke, Siegfried; Röpcke, Jürgen

    2011-08-01

    In situ measurements are reported giving insight into the plasma chemical conversion of the precursor BCl3 in industrial applications of boriding plasmas. For the online monitoring of its ground state concentration, quantum cascade laser absorption spectroscopy (QCLAS) in the mid-infrared spectral range was applied in a plasma assisted chemical vapor deposition (PACVD) reactor. A compact quantum cascade laser measurement and control system (Q-MACS) was developed to allow a flexible and completely dust-sealed optical coupling to the reactor chamber of an industrial plasma surface modification system. The process under the study was a pulsed DC plasma with periodically injected BCl3 at 200 Pa. A synchronization of the Q-MACS with the process control unit enabled an insight into individual process cycles with a sensitivity of 10-6 cm-1·Hz-1/2. Different fragmentation rates of the precursor were found during an individual process cycle. The detected BCl3 concentrations were in the order of 1014 molecules·cm-3. The reported results of in situ monitoring with QCLAS demonstrate the potential for effective optimization procedures in industrial PACVD processes.

  11. Plasma flow reactor for steady state monitoring of physical and chemical processes at high temperatures.

    Science.gov (United States)

    Koroglu, Batikan; Mehl, Marco; Armstrong, Michael R; Crowhurst, Jonathan C; Weisz, David G; Zaug, Joseph M; Dai, Zurong; Radousky, Harry B; Chernov, Alex; Ramon, Erick; Stavrou, Elissaios; Knight, Kim; Fabris, Andrea L; Cappelli, Mark A; Rose, Timothy P

    2017-09-01

    We present the development of a steady state plasma flow reactor to investigate gas phase physical and chemical processes that occur at high temperature (1000 flow injector). We have modeled the system using computational fluid dynamics simulations that are bounded by measured temperatures. In situ line-of-sight optical emission and absorption spectroscopy have been used to determine the structures and concentrations of molecules formed during rapid cooling of reactants after they pass through the plasma. Emission spectroscopy also enables us to determine the temperatures at which these dynamic processes occur. A sample collection probe inserted from the open end of the reactor is used to collect condensed materials and analyze them ex situ using electron microscopy. The preliminary results of two separate investigations involving the condensation of metal oxides and chemical kinetics of high-temperature gas reactions are discussed.

  12. Plasma for electrification of chemical industry : a case study on CO2 reduction

    NARCIS (Netherlands)

    Van Rooij, G.J.; Akse, H.N.; Bongers, W.A.; Van De Sanden, M.C.M.

    2018-01-01

    Significant growth of the share of (intermittent) renewable power in the chemical industry is imperative to meet increasingly stricter limits on CO2 exhaust that are being implemented within Europe. This paper aims to evaluate the potential of a plasma process that converts input CO2 into a pure

  13. Expanding thermal plasma chemical vapour deposition of ZnO:Al layers for CIGS solar cells

    NARCIS (Netherlands)

    Sharma, K.; Williams, B.L.; Mittal, A.; Knoops, H.C.M.; Kniknie, B.J.; Bakker, N.J.; Kessels, W.M.M.; Schropp, R.E.I.; Creatore, M.

    2014-01-01

    Aluminium-doped zinc oxide (ZnO:Al) grown by expanding thermal plasma chemical vapour deposition (ETP-CVD) has demonstrated excellent electrical and optical properties, which make it an attractive candidate as a transparent conductive oxide for photovoltaic applications. However, when depositing

  14. Comparison of some effects of modification of a polylactide surface layer by chemical, plasma, and laser methods

    Science.gov (United States)

    Moraczewski, Krzysztof; Rytlewski, Piotr; Malinowski, Rafał; Żenkiewicz, Marian

    2015-08-01

    The article presents the results of studies and comparison of selected properties of the modified PLA surface layer. The modification was carried out with three methods. In the chemical method, a 0.25 M solution of sodium hydroxide in water and ethanol was utilized. In the plasma method, a 50 W generator was used, which produced plasma in the air atmosphere under reduced pressure. In the laser method, a pulsed ArF excimer laser with fluency of 60 mJ/cm2 was applied. Polylactide samples were examined by using the following techniques: scanning electron microscopy (SEM), atomic force microscopy (AFM), goniometry and X-ray photoelectron spectroscopy (XPS). Images of surfaces of the modified samples were recorded, contact angles were measured, and surface free energy was calculated. Qualitative and quantitative analyses of chemical composition of the PLA surface layer were performed as well. Based on the survey it was found that the best modification results are obtained using the plasma method.

  15. The calculation of electron chemical potential and ion charge state and their influence on plasma conductivity in electrical explosion of metal wire

    International Nuclear Information System (INIS)

    Shi, Zongqian; Wang, Kun; Li, Yao; Shi, Yuanjie; Wu, Jian; Jia, Shenli

    2014-01-01

    The electron chemical potential and ion charge state (average ion charge and ion distribution) are important parameters in calculating plasma conductivity in electrical explosion of metal wire. In this paper, the calculating method of electron chemical potential and ion charge state is discussed at first. For the calculation of electron chemical potential, the ideal free electron gas model and Thomas-Fermi model are compared and analyzed in terms of the coupling constant of plasma. The Thomas-Fermi ionization model, which is used to calculate ion charge state, is compared with the method based on Saha equation. Furthermore, the influence of electron degenerated energy levels and ion excited states in Saha equation on the ion charge state is also analyzed. Then the influence of different calculating methods of electron chemical potential and ion charge state on plasma conductivity is discussed by applying them in the Lee-More conductivity model

  16. Chemical characterization of materials by inductively coupled plasma mass spectrometry

    International Nuclear Information System (INIS)

    Deb, S.B.; Nagar, B.K.; Saxena, M.K.; Ramakumar, K.L.

    2009-11-01

    An Inductively Coupled Plasma Mass Spectrometer was procured for trace elemental determination in diverse samples. Since its installation a number of analytical measurements have been carried out on different sample matrices. These include chemical quality control measurements of nuclear fuel and other materials such as uranium metal. Uranium peroxide, ADU, ThO 2 , UO 2 ; isotopic composition of B, Li; chemical characterization of simulated ThO 2 + 2%UO 2 fuel; sodium zirconium phosphate and trace metallic elements in zirconium; Antarctica rock samples and wet phosphoric acid. Necessary separation methodologies required for effective removal of matrix were indigenously developed. In addition, a rigorous analytical protocol, which includes various calibration methodologies such as mass calibration, response calibration, detector cross calibration and linearity check over the entire dynamic range of 109 required for quantitative determination of elements at trace and ultra trace level,, has been standardized. This report summarizes efforts of RACD that have been put in this direction for the application of ICP-MS for analytical measurements. (author)

  17. Electron cyclotron current drive experiments in LHCD plasmas using a remote steering antenna on the TRIAM-1M tokamak

    International Nuclear Information System (INIS)

    Idei, H.; Hanada, K.; Zushi, H.; Ohkubo, K.; Hasegawa, M.; Kubo, S.; Nishi, S.; Fukuyama, A.; Sato, K.N.; Nakamura, K.; Sakamoto, M.; Iyomasa, A.; Kawasaki, S.; Nakashima, H.; Higashijima, A.; Notake, T.; Shimozuma, T.; Ito, S.; Hoshika, H.; Maezono, N.; Nakashima, K.; Ogawa, M.

    2006-01-01

    A remote steering antenna was recently developed for electron cyclotron heating and current drive (ECH/ECCD) experiments on the TRIAM-1M tokamak. This is the first application of the remote steering antenna concept for ECH/ECCD experiments, which have conditions relevant to the International Thermonuclear Experimental Reactor (ITER). Fundamental ECH and ECCD experiments were conducted in the ITER frequency from the low field using this antenna system. In addition to the angles near 0 0 , the launcher was a symmetric direction antenna with an extended steering-angle capability of ±(8 0 -19 0 ). The output beam from the antenna was a well-defined Gaussian with a proper steering angle. The Gaussian content and the steering-angle accuracy were 0.85 and -0.5 0 , respectively. The high power tests measured the antenna transmission efficiency at 0.90-0.94. The efficiencies obtained in the low and high power tests were consistent with the calculations using higher-order modes. In order to excite the pure O/X-modes in the oblique injection, two polarizers were used to control the elliptical polarization of the incident beam for the ECCD experiments. The fundamental O/X-mode ECH/ECCD was applied to lower hyrid current drive plasmas at the optimized incident polarization. In the X-mode experiment, at medium density (∼1 x 10 19 m -3 ), clear differences in the plasma current and the hard x-ray intensity were observed between the co- and counter-steering injections due to the ECCD effect on the coupling of forward fast electrons

  18. Drag force in strongly coupled, anisotropic plasma at finite chemical potential

    Energy Technology Data Exchange (ETDEWEB)

    Chakraborty, Somdeb; Haque, Najmul [Theory Division, Saha Institute of Nuclear Physics,1/AF Bidhannagar, Kolkata-700 064 (India)

    2014-12-30

    We employ methods of gauge/string duality to analyze the drag force on a heavy quark moving through a strongly coupled, anisotropic N=4,SU(N) super Yang-Mills plasma in the presence of a finite U(1) chemical potential. We present numerical results valid for any value of the anisotropy parameter and the U(1) charge density and arbitrary direction of the quark velocity with respect to the direction of anisotropy. In the small anisotropy limit we are also able to furnish analytical results.

  19. Apparatus and method for enhanced chemical processing in high pressure and atmospheric plasmas produced by high frequency electromagnetic waves

    Science.gov (United States)

    Efthimion, Philip C.; Helfritch, Dennis J.

    1989-11-28

    An apparatus and method for creating high temperature plasmas for enhanced chemical processing of gaseous fluids, toxic chemicals, and the like, at a wide range of pressures, especially at atmospheric and high pressures includes an electro-magnetic resonator cavity, preferably a reentrant cavity, and a wave guiding structure which connects an electro-magnetic source to the cavity. The cavity includes an intake port and an exhaust port, each having apertures in the conductive walls of the cavity sufficient for the intake of the gaseous fluids and for the discharge of the processed gaseous fluids. The apertures are sufficiently small to prevent the leakage of the electro-magnetic radiation from the cavity. Gaseous fluid flowing from the direction of the electro-magnetic source through the guiding wave structure and into the cavity acts on the plasma to push it away from the guiding wave structure and the electro-magnetic source. The gaseous fluid flow confines the high temperature plasma inside the cavity and allows complete chemical processing of the gaseous fluids at a wide range of pressures.

  20. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  1. Investigation of detached recombining deuterium plasma and carbon chemical erosion in the toroidal divertor simulator NAGDIS-T

    International Nuclear Information System (INIS)

    Yada, K.; Matsui, N.; Ohno, N.; Kajita, S.; Takamura, S.; Takagi, M.

    2009-01-01

    Detached deuterium recombining plasma has been generated in the toroidal divertor simulator. The electron temperature (0.1-0.4 eV) and density (∼10 18 m -3 ) in the detached plasmas were evaluated with a spectroscopic method using a series of deuterium Balmer line emission from highly excited levels and the Stark broadening of D(2-12). We have investigated the role of volume plasma recombination through Electron-Ion Recombination (EIR) and Molecular Activated Recombination (MAR) processes. Moreover, the carbon erosion in the detached deuterium plasma has been studied with a weight loss method. It is found that deuterium neutrals generated by EIR process could have strong influence on the carbon chemical erosion.

  2. Control, data acquisition and remote participation for steady-state operation in LHD

    International Nuclear Information System (INIS)

    Sudo, S.; Nagayama, Y.; Emoto, M.; Nakanishi, H.; Chikaraishi, H.; Imazu, S.; Iwata, C.; Kogi, Y.; Kojima, M.; Komada, S.; Kubo, S.; Kumazawa, R.; Mase, A.; Miyazawa, J.; Mutoh, T.; Nakamura, Y.; Nonomura, M.; Ohsuna, M.; Saito, K.; Sakamoto, R.; Seki, T.; Shoji, M.; Tsuda, K.; Yoshida, M.

    2006-01-01

    Control, data acquisition, plasma monitoring and remote participation for steady state operation in the large helical device (LHD) are reviewed. By controlling the impedance matching of ICH, the plasma position and the electron density, high temperature plasma is confined for 1905s. The plasma parameters are monitored in real time. Data are continuously sampled by the YOKOGAWA WE7000 system and by the NATIONAL INSTRUMENTS CompactPCI system. Those data are managed by the object-oriented database system based on ObjectStore in distributed servers with mass storage. By using the multi protocol label switching-virtual private network (MPLS-VPN) technology, the local area network of LHD is expanded to the Japanese fusion community. This provides the remote participants with the same environment of the LHD control room

  3. Control, data acquisition and remote participation for steady-state operation in LHD

    Energy Technology Data Exchange (ETDEWEB)

    Sudo, S. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan)]. E-mail: sudo@nifs.ac.jp; Nagayama, Y. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan); Emoto, M. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan); Nakanishi, H. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan); Chikaraishi, H. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan); Imazu, S. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan); Iwata, C. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan); Kogi, Y. [KASTEC, Kyushu University, Kasuga 816-8580 (Japan); Kojima, M. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan); Komada, S. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan); Kubo, S. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan); Kumazawa, R. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan); Mase, A. [KASTEC, Kyushu University, Kasuga 816-8580 (Japan); Miyazawa, J. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan); Mutoh, T. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan); Nakamura, Y. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan); Nonomura, M. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan); Ohsuna, M. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan); Saito, K. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan); Sakamoto, R.; Seki, T.; Shoji, M.; Tsuda, K.; Yoshida, M. [National Institute of Natural Sciences, 322-6 Oroshi, Toki 509-5292 (Japan)

    2006-07-15

    Control, data acquisition, plasma monitoring and remote participation for steady state operation in the large helical device (LHD) are reviewed. By controlling the impedance matching of ICH, the plasma position and the electron density, high temperature plasma is confined for 1905s. The plasma parameters are monitored in real time. Data are continuously sampled by the YOKOGAWA WE7000 system and by the NATIONAL INSTRUMENTS CompactPCI system. Those data are managed by the object-oriented database system based on ObjectStore in distributed servers with mass storage. By using the multi protocol label switching-virtual private network (MPLS-VPN) technology, the local area network of LHD is expanded to the Japanese fusion community. This provides the remote participants with the same environment of the LHD control room.

  4. Difference in chemical reactions in bulk plasma and sheath regions during surface modification of graphene oxide film using capacitively coupled NH{sub 3} plasma

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Sung-Youp; Kim, Chan; Kim, Hong Tak, E-mail: zam89blue@gmail.com [Department of Physics, Kyungpook National University, Daegu 702-701 (Korea, Republic of)

    2015-09-14

    Reduced graphene oxide (r-GO) films were obtained from capacitively coupled NH{sub 3} plasma treatment of spin-coated graphene oxide (GO) films at room temperature. Variations were evaluated according to the two plasma treatment regions: the bulk plasma region (R{sub bulk}) and the sheath region (R{sub sheath}). Reduction and nitridation of the GO films began as soon as the NH{sub 3} plasma was exposed to both regions. However, with the increase in treatment time, the reduction and nitridation reactions differed in each region. In the R{sub bulk}, NH{sub 3} plasma ions reacted chemically with oxygen functional groups on the GO films, which was highly effective for reduction and nitridation. While in the R{sub sheath}, physical reactions by ion bombardment were dominant because plasma ions were accelerated by the strong electrical field. The accelerated plasma ions reacted not only with the oxygen functional groups but also with the broken carbon chains, which caused the removal of the GO films by the formation of hydrocarbon gas species. These results showed that reduction and nitridation in the R{sub bulk} using capacitively coupled NH{sub 3} plasma were very effective for modifying the properties of r-GO films for application as transparent conductive films.

  5. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    Science.gov (United States)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  6. Buffalo plasma fibronectin: a physico-chemical study.

    Science.gov (United States)

    Ahmed, N; Chandra, R; Raj, H G

    2001-12-01

    Plasma fibronectin (FN) of buffalo (Babulis babulis) was purified to apparent homogeneity, using gelatin-Sepharose and heparin-Sepharose affinity columns. It was found to have two subunits of molecular mass 246 kDa and 228 kDa, on SDS-gel. Its immunological cross-reactivity with anti-human plasma FN was confirmed by Western blotting. The amino acid composition was found to be similar to that of human and bovine plasma FNs. Buffalo plasma FN contained 2.23% neutral hexoses and 1.18% sialic acids. No titrable sulfhydryl group could be detected in the absence of denaturant. Reaction with DTNB indicated 3.4 sulfhydryl groups in the molecule, whereas BDC-OH titration gave a value of 3.8 -SH groups in buffalo plasma FN. Stoke's radius, intrinsic viscosity, diffusion coefficient and frictional ratio indicated that buffalo plasma FN did not have a compact globular conformation at physiological pH and ionic strength. Molecular dimensions (average length, 120 nm; molar mass to length ratio, 3950 nm(-1) and mean diameter, 2.4 nm) as revealed by rotary shadowing electron microscopy further supported the extended conformation of buffalo plasma FN. These results show that buffalo plasma FN has similar properties as that of human plasma FN.

  7. Development of divertor remote maintenance system

    Energy Technology Data Exchange (ETDEWEB)

    Takeda, Nobukazu; Oka, Kiyoshi; Akou, Kentaro; Takiguchi, Yuji [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment

    1998-04-01

    The ITER divertor is categorized as a scheduled maintenance component because of extreme heat and particle loads it is exposed to by plasma. It is also highly activated by 14 MeV neutrons. Reliable remote handling equipment and tools are required for divertor maintenance under intense gamma radiation. To facilitate remote maintenance, the divertor is segmented into 60 cassettes, and each cassette weighing about 25 tons and maintained and replaced through four maintenance ports each 90 degrees. Divertor cassettes must be transported toroidally and radially for replacement through maintenance ports. Remote handling involving cassette movers and carriers for toroidal and radial transport has been developed. Under the ITER R and D program, technology critical to divertor cassette maintenance is being developed jointly by Japan, E.U., and U.S. home teams. This paper summarizes divertor remote maintenance design and the status of technology development by the Japan Home Team. (author)

  8. Development of divertor remote maintenance system

    International Nuclear Information System (INIS)

    Takeda, Nobukazu; Oka, Kiyoshi; Akou, Kentaro; Takiguchi, Yuji

    1998-01-01

    The ITER divertor is categorized as a scheduled maintenance component because of extreme heat and particle loads it is exposed to by plasma. It is also highly activated by 14 MeV neutrons. Reliable remote handling equipment and tools are required for divertor maintenance under intense gamma radiation. To facilitate remote maintenance, the divertor is segmented into 60 cassettes, and each cassette weighing about 25 tons and maintained and replaced through four maintenance ports each 90 degrees. Divertor cassettes must be transported toroidally and radially for replacement through maintenance ports. Remote handling involving cassette movers and carriers for toroidal and radial transport has been developed. Under the ITER R and D program, technology critical to divertor cassette maintenance is being developed jointly by Japan, E.U., and U.S. home teams. This paper summarizes divertor remote maintenance design and the status of technology development by the Japan Home Team. (author)

  9. A quantitative assay of cortisol in human plasma by high performance liquid chromatography using a selective chemically bonded stationary phase

    NARCIS (Netherlands)

    van den Berg, J.H.M.; Mol, C.R.; Deelder, R.S.; Thijssen, J.H.H.

    1977-01-01

    The extraction and subsequent liquid chromatographic analysis of human plasma samples for cortisol is described. Extraction and chromatography are optimized, resulting in a recovery for cortisol of 96% and a detection limit of 1 microgram cortisol in 100 ml plasma. The application of two chemically

  10. Simulated experiment for elimination of chemical and biological warfare agents by making use of microwave plasma torch

    International Nuclear Information System (INIS)

    Hong, Yong C.; Kim, Jeong H.; Uhm, Han S.

    2004-01-01

    The threat of chemical and biological warfare agents in a domestic terrorist attack and in military conflict is increasing worldwide. Elimination and decontamination of chemical and biological warfare (CBW) agents are immediately required after such an attack. Simulated experiment for elimination of CBW agents by making use of atmospheric-pressure microwave plasma torches is carried out. Elimination of biological warfare agents indicated by the vitrification or burnout of sewage sludge powders and decomposition of toluene gas as a chemical agent stimulant are presented. A detailed characterization for the elimination of the simulant chemicals using Fourier transform infrared and gas chromatography is also presented

  11. Simulated experiment for elimination of chemical and biological warfare agents by making use of microwave plasma torch

    Science.gov (United States)

    Hong, Yong C.; Kim, Jeong H.; Uhm, Han S.

    2004-02-01

    The threat of chemical and biological warfare agents in a domestic terrorist attack and in military conflict is increasing worldwide. Elimination and decontamination of chemical and biological warfare (CBW) agents are immediately required after such an attack. Simulated experiment for elimination of CBW agents by making use of atmospheric-pressure microwave plasma torches is carried out. Elimination of biological warfare agents indicated by the vitrification or burnout of sewage sludge powders and decomposition of toluene gas as a chemical agent stimulant are presented. A detailed characterization for the elimination of the simulant chemicals using Fourier transform infrared and gas chromatography is also presented.

  12. Growth of highly oriented carbon nanotubes by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Z.P.; Xu, J.W.; Ren, Z.F.; Wang, J.H. [Materials Synthesis Laboratory, Departments of Physics and Chemistry, and Center for Advanced Photonic and Electronic Materials (CAPEM), State University of New York at Buffalo, Buffalo, New York 14260 (United States); Siegal, M.P.; Provencio, P.N. [Sandia National Laboratories, Albuquerque, New Mexico 87185-1421 (United States)

    1998-12-01

    Highly oriented, multiwalled carbon nanotubes were grown on polished polycrystalline and single crystal nickel substrates by plasma enhanced hot filament chemical vapor deposition at temperatures below 666 {degree}C. The carbon nanotubes range from 10 to 500 nm in diameter and 0.1 to 50 {mu}m in length depending on growth conditions. Acetylene is used as the carbon source for the growth of the carbon nanotubes and ammonia is used for dilution gas and catalysis. The plasma intensity, acetylene to ammonia gas ratio, and their flow rates, etc. affect the diameters and uniformity of the carbon nanotubes. {copyright} {ital 1998 American Institute of Physics.}

  13. The Influences of H2Plasma Pretreatment on the Growth of Vertically Aligned Carbon Nanotubes by Microwave Plasma Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Wen Hua-Chiang

    2008-01-01

    Full Text Available AbstractThe effects of H2flow rate during plasma pretreatment on synthesizing the multiwalled carbon nanotubes (MWCNTs by using the microwave plasma chemical vapor deposition are investigated in this study. A H2and CH4gas mixture with a 9:1 ratio was used as a precursor for the synthesis of MWCNT on Ni-coated TaN/Si(100 substrates. The structure and composition of Ni catalyst nanoparticles were investigated using scanning electron microscopy (SEM and transmission electron microscopy (TEM. The present findings showed that denser Ni catalyst nanoparticles and more vertically aligned MWCNTs could be effectively achieved at higher flow rates. From Raman results, we found that the intensity ratio of G and D bands (ID/IG decreases with an increasing flow rate. In addition, TEM results suggest that H2plasma pretreatment can effectively reduce the amorphous carbon and carbonaceous particles. As a result, the pretreatment plays a crucial role in modifying the obtained MWCNTs structures.

  14. Tungsten Deposition on Graphite using Plasma Enhanced Chemical Vapour Deposition

    International Nuclear Information System (INIS)

    Sharma, Uttam; Chauhan, Sachin S; Sharma, Jayshree; Sanyasi, A K; Ghosh, J; Choudhary, K K; Ghosh, S K

    2016-01-01

    The tokamak concept is the frontrunner for achieving controlled thermonuclear reaction on earth, an environment friendly way to solve future energy crisis. Although much progress has been made in controlling the heated fusion plasmas (temperature ∼ 150 million degrees) in tokamaks, technological issues related to plasma wall interaction topic still need focused attention. In future, reactor grade tokamak operational scenarios, the reactor wall and target plates are expected to experience a heat load of 10 MW/m 2 and even more during the unfortunate events of ELM's and disruptions. Tungsten remains a suitable choice for the wall and target plates. It can withstand high temperatures, its ductile to brittle temperature is fairly low and it has low sputtering yield and low fuel retention capabilities. However, it is difficult to machine tungsten and hence usages of tungsten coated surfaces are mostly desirable. To produce tungsten coated graphite tiles for the above-mentioned purpose, a coating reactor has been designed, developed and made operational at the SVITS, Indore. Tungsten coating on graphite has been attempted and successfully carried out by using radio frequency induced plasma enhanced chemical vapour deposition (rf -PECVD) for the first time in India. Tungsten hexa-fluoride has been used as a pre-cursor gas. Energy Dispersive X-ray spectroscopy (EDS) clearly showed the presence of tungsten coating on the graphite samples. This paper presents the details of successful operation and achievement of tungsten coating in the reactor at SVITS. (paper)

  15. Remote detection of radioactive material using high-power pulsed electromagnetic radiation.

    Science.gov (United States)

    Kim, Dongsung; Yu, Dongho; Sawant, Ashwini; Choe, Mun Seok; Lee, Ingeun; Kim, Sung Gug; Choi, EunMi

    2017-05-09

    Remote detection of radioactive materials is impossible when the measurement location is far from the radioactive source such that the leakage of high-energy photons or electrons from the source cannot be measured. Current technologies are less effective in this respect because they only allow the detection at distances to which the high-energy photons or electrons can reach the detector. Here we demonstrate an experimental method for remote detection of radioactive materials by inducing plasma breakdown with the high-power pulsed electromagnetic waves. Measurements of the plasma formation time and its dispersion lead to enhanced detection sensitivity compared to the theoretically predicted one based only on the plasma on and off phenomena. We show that lower power of the incident electromagnetic wave is sufficient for plasma breakdown in atmospheric-pressure air and the elimination of the statistical distribution is possible in the presence of radioactive material.

  16. Chemical kinetics and relaxation of non-equilibrium air plasma generated by energetic photon and electron beams

    International Nuclear Information System (INIS)

    Maulois, Melissa; Ribière, Maxime; Eichwald, Olivier; Yousfi, Mohammed; Azaïs, Bruno

    2016-01-01

    The comprehension of electromagnetic perturbations of electronic devices, due to air plasma-induced electromagnetic field, requires a thorough study on air plasma. In the aim to understand the phenomena at the origin of the formation of non-equilibrium air plasma, we simulate, using a volume average chemical kinetics model (0D model), the time evolution of a non-equilibrium air plasma generated by an energetic X-ray flash. The simulation is undertaken in synthetic air (80% N_2 and 20% O_2) at ambient temperature and atmospheric pressure. When the X-ray flash crosses the gas, non-relativistic Compton electrons (low energy) and a relativistic Compton electron beam (high energy) are simultaneously generated and interact with the gas. The considered chemical kinetics scheme involves 26 influent species (electrons, positive ions, negative ions, and neutral atoms and molecules in their ground or metastable excited states) reacting following 164 selected reactions. The kinetics model describing the plasma chemistry was coupled to the conservation equation of the electron mean energy, in order to calculate at each time step of the non-equilibrium plasma evolution, the coefficients of reactions involving electrons while the energy of the heavy species (positive and negative ions and neutral atoms and molecules) is assumed remaining close to ambient temperature. It has been shown that it is the relativistic Compton electron beam directly created by the X-ray flash which is mainly responsible for the non-equilibrium plasma formation. Indeed, the low energy electrons (i.e., the non-relativistic ones) directly ejected from molecules by Compton collisions contribute to less than 1% on the creation of electrons in the plasma. In our simulation conditions, a non-equilibrium plasma with a low electron mean energy close to 1 eV and a concentration of charged species close to 10"1"3" cm"−"3 is formed a few nanoseconds after the peak of X-ray flash intensity. 200 ns after the

  17. Kinetic mechanism of molecular energy transfer and chemical reactions in low-temperature air-fuel plasmas.

    Science.gov (United States)

    Adamovich, Igor V; Li, Ting; Lempert, Walter R

    2015-08-13

    This work describes the kinetic mechanism of coupled molecular energy transfer and chemical reactions in low-temperature air, H2-air and hydrocarbon-air plasmas sustained by nanosecond pulse discharges (single-pulse or repetitive pulse burst). The model incorporates electron impact processes, state-specific N(2) vibrational energy transfer, reactions of excited electronic species of N(2), O(2), N and O, and 'conventional' chemical reactions (Konnov mechanism). Effects of diffusion and conduction heat transfer, energy coupled to the cathode layer and gasdynamic compression/expansion are incorporated as quasi-zero-dimensional corrections. The model is exercised using a combination of freeware (Bolsig+) and commercial software (ChemKin-Pro). The model predictions are validated using time-resolved measurements of temperature and N(2) vibrational level populations in nanosecond pulse discharges in air in plane-to-plane and sphere-to-sphere geometry; temperature and OH number density after nanosecond pulse burst discharges in lean H(2)-air, CH(4)-air and C(2)H(4)-air mixtures; and temperature after the nanosecond pulse discharge burst during plasma-assisted ignition of lean H2-mixtures, showing good agreement with the data. The model predictions for OH number density in lean C(3)H(8)-air mixtures differ from the experimental results, over-predicting its absolute value and failing to predict transient OH rise and decay after the discharge burst. The agreement with the data for C(3)H(8)-air is improved considerably if a different conventional hydrocarbon chemistry reaction set (LLNL methane-n-butane flame mechanism) is used. The results of mechanism validation demonstrate its applicability for analysis of plasma chemical oxidation and ignition of low-temperature H(2)-air, CH(4)-air and C(2)H(4)-air mixtures using nanosecond pulse discharges. Kinetic modelling of low-temperature plasma excited propane-air mixtures demonstrates the need for development of a more accurate

  18. Photon production in an expanding and chemically equilibrating gluon-enriched plasma

    International Nuclear Information System (INIS)

    Kaempfer, B.; Technische Univ. Dresden; Pavlenko, O.P.; AN Ukrainskoj SSR, Kiev

    1993-12-01

    Photon production in a longitudinally and transversely expanding gluon plasma with initially little quark admixture is considered. Chemical equilibration of quarks and gluons is followed by rate equations. The yields of hard photons with E ≥ 2 GeV are insensitive to chemical equilibration and depend mainly on the initial thermalized state. Medium-energy photons with E ∼ 1 GeV are more frequently produced in case of faster equilibration, despite of faster cooling. For an assumed fast equilibration we follow the evolution of matter through mixed and hadron phases. The transverse momentum kick, due to transverse expansion, of photons from hadron matter is shown to be reduced for an equation of state with reduced latent heat. The photon yield in the region E > 1 GeV from deconfined matter dominates for conditions, estimated to be achieved at RHIC, in case of a weakly first-order confinement transition. (orig.)

  19. Tailoring of the morphology and chemical composition of thin organosilane microwave plasma polymer layers on metal substrates

    Energy Technology Data Exchange (ETDEWEB)

    Grundmeier, G.; Thiemann, P.; Carpentier, J.; Shirtcliffe, N.; Stratmann, M

    2004-01-01

    The growth of thin microwave organosilicon plasma polymers on model zinc surfaces was investigated as a function of the film thickness and the oxygen partial pressure during film deposition. The evolution of the topology of the film was studied by atomic force microscopy (AFM). The nano- and micro-roughness was investigated at the inner and the outer surfaces of the plasma polymers. A special etching procedure was developed to reveal the underside of the plasma polymer and thereby its inner surface. Rough films contained voids at the interface, which reduced the polymer/metal contact area. The increase in oxygen partial pressure led to a smoother film growth with a perfect imitation of the substrate topography at the interface. The chemical structure of the films was determined by infrared reflection absorption spectroscopy (IRRAS), X-ray photoelectron spectroscopy and time-of-flight secondary ion mass spectroscopy (ToF-SIMS). ToF-SIMS at the outer and the inner surface of the plasma polymers showed that the density of methylsilyl groups increases in the outer surface layer of the plasma polymer and depends on the oxygen partial pressure. The chemical composition of the films could be altered to pure SiO{sub 2} without changing the morphology by using oxygen-plasma post-treatment. This was proved by means of IRRAS and AFM. Chemistry and topology of the films were correlated with the apparent water contact angle. It was found that a linear relationship exists between the nanoscopic roughness of the plasma polymer and the static contact angle of water. Superposition of a nanoscopic roughness of the metal surface and the nanoscopic roughness of methylsilyl-rich films led to ultra-hydrophobic films with water contact angles up to 160 deg.

  20. High-performance metabolic profiling of plasma from seven mammalian species for simultaneous environmental chemical surveillance and bioeffect monitoring.

    Science.gov (United States)

    Park, Youngja H; Lee, Kichun; Soltow, Quinlyn A; Strobel, Frederick H; Brigham, Kenneth L; Parker, Richard E; Wilson, Mark E; Sutliff, Roy L; Mansfield, Keith G; Wachtman, Lynn M; Ziegler, Thomas R; Jones, Dean P

    2012-05-16

    High-performance metabolic profiling (HPMP) by Fourier-transform mass spectrometry coupled to liquid chromatography gives relative quantification of thousands of chemicals in biologic samples but has had little development for use in toxicology research. In principle, the approach could be useful to detect complex metabolic response patterns to toxicologic exposures and to detect unusual abundances or patterns of potentially toxic chemicals. As an initial study to develop these possible uses, we applied HPMP and bioinformatics analysis to plasma of humans, rhesus macaques, marmosets, pigs, sheep, rats and mice to determine: (1) whether more chemicals are detected in humans living in a less controlled environment than captive species and (2) whether a subset of plasma chemicals with similar inter-species and intra-species variation could be identified for use in comparative toxicology. Results show that the number of chemicals detected was similar in humans (3221) and other species (range 2537-3373). Metabolite patterns were most similar within species and separated samples according to family and order. A total of 1485 chemicals were common to all species; 37% of these matched chemicals in human metabolomic databases and included chemicals in 137 out of 146 human metabolic pathways. Probability-based modularity clustering separated 644 chemicals, including many endogenous metabolites, with inter-species variation similar to intra-species variation. The remaining chemicals had greater inter-species variation and included environmental chemicals as well as GSH and methionine. Together, the data suggest that HPMP provides a platform that can be useful within human populations and controlled animal studies to simultaneously evaluate environmental exposures and biological responses to such exposures. Copyright © 2012 Elsevier Ireland Ltd. All rights reserved.

  1. Remotely operated top loading filter housing

    International Nuclear Information System (INIS)

    Ross, M.J.; Carter, J.A.

    1989-01-01

    A high-efficiency particulate air (HEPA) filter system was developed for the Fuel Processing Facility at the Idaho Chemical Processing Plant. The system utilizes commercially available HEPA filters and allows in-cell filters to be maintained using operator-controlled remote handling equipment. The remote handling tasks include transport of filters before and after replacement, removal and replacement of the filter from the housing, and filter containment

  2. Effects of the addition of blood plasma proteins on physico-chemical properties of emulsion-type pork sausage during cold storage.

    Science.gov (United States)

    Kim, Sungho; Jin, Sangkeun; Choi, Jungseok

    2017-10-01

    Most slaughter blood is discarded, resulting in problems related to costs for wastewater disposal and environmental pollution. However, animal blood contains various proteins such as albumin, globulin and globin and can be used as a natural emulsifier, stabiliser and colour additive. Thus, this study was carried out to investigate the effect of blood plasma proteins on the physico-chemical properties of emulsion-type pork sausages stored at 4°C over 5 weeks. The emulsion-type pork sausages with plasma powders had higher pH than the other treatments during week 5, and higher shear force than the control (P binder for the production of excellent meat products compared to other binders. © 2017 Society of Chemical Industry. © 2017 Society of Chemical Industry.

  3. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  4. Plan of ITER remote experimentation center

    Energy Technology Data Exchange (ETDEWEB)

    Ozeki, T., E-mail: ozeki.takahisa@jaea.go.jp [Japan Atomic Energy Agency, 2-166 Obuchi Rokkasho, Kitakami-gun, Aomori 039-3212 (Japan); Clement, S.L. [Fusion for Energy, Torres Diagonal Litoral, B3, 13/03, 08019 Barcelona (Spain); Nakajima, N. [National Institute for Fusion Science and Project Leader of IFERC, 2-166 Obuchi, Rokkasho, Kamikita-gun, Aomori 039-3212 (Japan)

    2014-05-15

    Plan of ITER remote experimentation center (REC) based on the broader approach (BA) activity of the joint program of Japan and Europe (EU) is described. Objectives of REC activity are (1) to identify the functions and solve the technical issues for the construction of the REC for ITER at Rokkasho, (2) to develop the remote experiment system and verify the functions required for the remote experiment by using the Satellite Tokamak (JT-60SA) facilities in order to make the future experiments of ITER and JT-60SA effectively and efficiently implemented, and (3) to test the functions of REC and demonstrate the total system by using JT-60SA and existing other facilities in EU. Preliminary identified items to be developed are (1) Functions of the remote experiment system, such as setting of experiment parameters, shot scheduling, real time data streaming, communication by video-conference between the remote-site and on-site, (2) Effective data transfer system that is capable of fast transfer of the huge amount of data between on-site and off-site and the network connecting the REC system, (3) Storage system that can store/access the huge amount of data, including database management, (4) Data analysis software for the data viewing of the diagnostic data on the storage system, (5) Numerical simulation for preparation and estimation of the shot performance and the analysis of the plasma shot. Detailed specifications of the above items will be discussed and the system will be made in these four years in collaboration with tokamak facilities of JT-60SA and EU tokamak, experts of informatics, activities of plasma simulation and ITER. Finally, the function of REC will be tested and the total system will be demonstrated by the middle of 2017.

  5. Remote RemoteRemoteRemote sensing potential for sensing ...

    African Journals Online (AJOL)

    Remote RemoteRemoteRemote sensing potential for sensing potential for sensing potential for sensing potential for sensing potential for sensing potential for sensing potential for sensing potential for sensing potential for sensing potential for sensing p. A Ngie, F Ahmed, K Abutaleb ...

  6. Effect of plasma composition on nanocrystalline diamond layers deposited by a microwave linear antenna plasma-enhanced chemical vapour deposition system

    Czech Academy of Sciences Publication Activity Database

    Taylor, Andrew; Ashcheulov, Petr; Čada, Martin; Fekete, Ladislav; Hubík, Pavel; Klimša, Ladislav; Olejníček, Jiří; Remeš, Zdeněk; Jirka, Ivan; Janíček, P.; Bedel-Pereira, E.; Kopeček, Jaromír; Mistrík, J.; Mortet, Vincent

    2015-01-01

    Roč. 212, č. 11 (2015), s. 2418-2423 ISSN 1862-6300 R&D Projects: GA ČR GA13-31783S; GA MŠk LO1409 Grant - others:FUNBIO(XE) CZ.2.16/3.1.00/21568 Institutional support: RVO:68378271 ; RVO:61388955 Keywords : diamond * electrical conductivity * nanocrystalline materials * optical emission spectroscopy * plasma enhanced chemical vapour deposition * SiC Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.648, year: 2015

  7. Remote maintenance for fusion experimental reactor

    International Nuclear Information System (INIS)

    Koizumi, Koichi; Takeda, Nobukazu

    2000-01-01

    Here was introduced on maintenance of reactor core portion operated by remote control among maintenance of the International Thermonuclear Experimental Reactor (ITER) begun on its design since 1988 under international cooperation of U.S.A., Europe, Russia and Japan. Every appliances constructing the reactor core portion is necessary to carry out all of their inspection and maintenance by using remote controlled apparatus because of their radiation due to neutron generated by DT combustion of plasma. For engineering design activity (EDA) in ITER, not only design and development of the remote control appliances but also design under consideration of remote maintenance for from structural design of maintained objective appliances to access method to appliances, transportation and preservation method of radiated matters, and out-reactor maintenance in a hot cell, is now under progress. Here were also reported on basic concept on maintenance and conservation of ITER, maintenance design of diverter and blanket with high maintenance frequency and present state on development of maintenance appliances. (G.K.)

  8. Measurement and control system for ITER remote maintenance equipment

    Energy Technology Data Exchange (ETDEWEB)

    Oka, Kiyoshi; Kakudate, Satoshi; Takeda, Nobukazu; Takiguchi, Yuji; Akou, Kentaro [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment

    1998-04-01

    ITER in-vessel components such as blankets and divertors are categorized as scheduled maintenance components because they are subjected to severe plasma heat and particle loads. Blanket maintenance requires remote handling equipment and tools able to handle Heavy payloads of about 4 tons within a 2 mm precision tolerance. Divertor maintenance requires remote replacement of 60 cassettes with a dead weight of about 25 tons each. In the ITER R and D program, full-scale remote handling equipment for blanket and divertor maintenance has been designed and assembled for demonstration tests. This paper reviews the measurement and control system developed for full-scale remote handling equipment, the Japan Home Team contribution. (author)

  9. Measurement and control system for ITER remote maintenance equipment

    International Nuclear Information System (INIS)

    Oka, Kiyoshi; Kakudate, Satoshi; Takeda, Nobukazu; Takiguchi, Yuji; Akou, Kentaro

    1998-01-01

    ITER in-vessel components such as blankets and divertors are categorized as scheduled maintenance components because they are subjected to severe plasma heat and particle loads. Blanket maintenance requires remote handling equipment and tools able to handle Heavy payloads of about 4 tons within a 2 mm precision tolerance. Divertor maintenance requires remote replacement of 60 cassettes with a dead weight of about 25 tons each. In the ITER R and D program, full-scale remote handling equipment for blanket and divertor maintenance has been designed and assembled for demonstration tests. This paper reviews the measurement and control system developed for full-scale remote handling equipment, the Japan Home Team contribution. (author)

  10. Decontamination of chemical and biological warfare (CBW) agents using an atmospheric pressure plasma jet (APPJ)

    Science.gov (United States)

    Herrmann, H. W.; Henins, I.; Park, J.; Selwyn, G. S.

    1999-05-01

    The atmospheric pressure plasma jet (APPJ) [A. Schütze et al., IEEE Trans. Plasma Sci. 26, 1685 (1998)] is a nonthermal, high pressure, uniform glow plasma discharge that produces a high velocity effluent stream of highly reactive chemical species. The discharge operates on a feedstock gas (e.g., He/O2/H2O), which flows between an outer, grounded, cylindrical electrode and an inner, coaxial electrode powered at 13.56 MHz rf. While passing through the plasma, the feedgas becomes excited, dissociated or ionized by electron impact. Once the gas exits the discharge volume, ions and electrons are rapidly lost by recombination, but the fast-flowing effluent still contains neutral metastable species (e.g., O2*, He*) and radicals (e.g., O, OH). This reactive effluent has been shown to be an effective neutralizer of surrogates for anthrax spores and mustard blister agent. Unlike conventional wet decontamination methods, the plasma effluent does not cause corrosion and it does not destroy wiring, electronics, or most plastics, making it highly suitable for decontamination of sensitive equipment and interior spaces. Furthermore, the reactive species in the effluent rapidly degrade into harmless products leaving no lingering residue or harmful by-products.

  11. Laser-pulsed Plasma Chemistry: Laser-initiated Plasma Oxidation Of Niobium

    OpenAIRE

    Marks R.F.; Pollak R.A.; Avouris Ph.; Lin C.T.; Thefaine Y.J.

    1983-01-01

    We report the first observation of the chemical modification of a solid surface exposed to an ambient gas plasma initiated by the interaction of laser radiation with the same surface. A new technique, which we designate laser-pulsed plasma chemistry (LPPC), is proposed for activating heterogeneous chemical reactions at solid surfaces in a gaseous ambient by means of a plasma initiated by laser radiation. Results for niobium metal in one atmosphere oxygen demonstrate single-pulse, self-limitin...

  12. Sterilization and Decontamination of Surfaces Contaminated With Biological and Chemical Warfare Agents Using Atmospheric Pressure Plasma Discharges

    National Research Council Canada - National Science Library

    Garate, Eusebio

    1999-01-01

    ... based on the application of an atmospheric pressure plasma. We used both a DC corona and dielectric barrier discharge for the sterilization tests which were conducted on a variety of substrates including metals and chemically resistant fabrics...

  13. Gene expression responses of HeLa cells to chemical species generated by an atmospheric plasma flow

    International Nuclear Information System (INIS)

    Yokoyama, Mayo; Johkura, Kohei; Sato, Takehiko

    2014-01-01

    Highlights: • Response of HeLa cells to a plasma-irradiated medium was revealed by DNA microarray. • Gene expression pattern was basically different from that in a H 2 O 2 -added medium. • Prominently up-/down-regulated genes were partly shared by the two media. • Gene ontology analysis showed both similar and different responses in the two media. • Candidate genes involved in response to ROS were detected in each medium. - Abstract: Plasma irradiation generates many factors able to affect the cellular condition, and this feature has been studied for its application in the field of medicine. We previously reported that hydrogen peroxide (H 2 O 2 ) was the major cause of HeLa cell death among the chemical species generated by high level irradiation of a culture medium by atmospheric plasma. To assess the effect of plasma-induced factors on the response of live cells, HeLa cells were exposed to a medium irradiated by a non-lethal plasma flow level, and their gene expression was broadly analyzed by DNA microarray in comparison with that in a corresponding concentration of 51 μM H 2 O 2 . As a result, though the cell viability was sufficiently maintained at more than 90% in both cases, the plasma-medium had a greater impact on it than the H 2 O 2 -medium. Hierarchical clustering analysis revealed fundamentally different cellular responses between these two media. A larger population of genes was upregulated in the plasma-medium, whereas genes were downregulated in the H 2 O 2 -medium. However, a part of the genes that showed prominent differential expression was shared by them, including an immediate early gene ID2. In gene ontology analysis of upregulated genes, the plasma-medium showed more diverse ontologies than the H 2 O 2 -medium, whereas ontologies such as “response to stimulus” were common, and several genes corresponded to “response to reactive oxygen species.” Genes of AP-1 proteins, e.g., JUN and FOS, were detected and notably elevated in

  14. Gene expression responses of HeLa cells to chemical species generated by an atmospheric plasma flow

    Energy Technology Data Exchange (ETDEWEB)

    Yokoyama, Mayo, E-mail: yokoyama@plasma.ifs.tohoku.ac.jp [Institute of Fluid Science, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan); Johkura, Kohei, E-mail: kohei@shinshu-u.ac.jp [Department of Histology and Embryology, Shinshu University School of Medicine, 3-1-1 Asahi, Matsumoto 390-8621 (Japan); Sato, Takehiko, E-mail: sato@ifs.tohoku.ac.jp [Institute of Fluid Science, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan)

    2014-08-08

    Highlights: • Response of HeLa cells to a plasma-irradiated medium was revealed by DNA microarray. • Gene expression pattern was basically different from that in a H{sub 2}O{sub 2}-added medium. • Prominently up-/down-regulated genes were partly shared by the two media. • Gene ontology analysis showed both similar and different responses in the two media. • Candidate genes involved in response to ROS were detected in each medium. - Abstract: Plasma irradiation generates many factors able to affect the cellular condition, and this feature has been studied for its application in the field of medicine. We previously reported that hydrogen peroxide (H{sub 2}O{sub 2}) was the major cause of HeLa cell death among the chemical species generated by high level irradiation of a culture medium by atmospheric plasma. To assess the effect of plasma-induced factors on the response of live cells, HeLa cells were exposed to a medium irradiated by a non-lethal plasma flow level, and their gene expression was broadly analyzed by DNA microarray in comparison with that in a corresponding concentration of 51 μM H{sub 2}O{sub 2}. As a result, though the cell viability was sufficiently maintained at more than 90% in both cases, the plasma-medium had a greater impact on it than the H{sub 2}O{sub 2}-medium. Hierarchical clustering analysis revealed fundamentally different cellular responses between these two media. A larger population of genes was upregulated in the plasma-medium, whereas genes were downregulated in the H{sub 2}O{sub 2}-medium. However, a part of the genes that showed prominent differential expression was shared by them, including an immediate early gene ID2. In gene ontology analysis of upregulated genes, the plasma-medium showed more diverse ontologies than the H{sub 2}O{sub 2}-medium, whereas ontologies such as “response to stimulus” were common, and several genes corresponded to “response to reactive oxygen species.” Genes of AP-1 proteins, e.g., JUN

  15. Gravimetrical and chemical characterization of SiOx structures deposited on fine powders by short plasma exposure in a plasma down stream reactor

    International Nuclear Information System (INIS)

    Spillmann, Adrian; Sonnenfeld, Axel; Rohr, Philipp Rudolf von

    2008-01-01

    The surface of lactose particles was modified by a plasma-enhanced chemical vapor deposition process to improve the flow behavior of the powder. For this, the particulates were treated in a plasma down stream reactor which provides a short (50 ms) and homogeneous exposure to the capacitively coupled RF discharge. The organosilicon monomer hexamethyldisiloxane (HMDSO) was used as a precursor for the formation of SiO x which is deposited on the substrate particle surface. For varying process gas mixtures (O 2 /Ar/HMDSO) and RF power applied, the amount of the deposited material was determined gravimetrically after dissolution of the lactose substrate particles and the chemical composition of the accumulated deposition material was investigated by means of attenuated total reflection Fourier transform infrared (ATR-FTIR) spectroscopy. The concentration of the deposited SiO x relating to the substrate material was found to be in the range of 0.1 wt.%. Based on the ATR-FTIR analysis, the inorganic, i.e. oxidic SiO x fraction of the obtained deposits was shown to be controllable by varying the process parameters, whilst a relatively large amount of organic structures must be considered.

  16. Surface chemical structure of poly(ethylene naphthalate) films during degradation in low-pressure high-frequency plasma treatments

    Science.gov (United States)

    Kamata, Noritsugu; Yuji, Toshifumi; Thungsuk, Nuttee; Arunrungrusmi, Somchai; Chansri, Pakpoom; Kinoshita, Hiroyuki; Mungkung, Narong

    2018-06-01

    The surface chemical structure of poly(ethylene naphthalate) (PEN) films treated with a low-pressure, high-frequency plasma was investigated by storing in a box at room temperature to protect the PEN film surface from dust. The functional groups on the PEN film surface changed over time. The functional groups of –C=O, –COH, and –COOH were abundant in the Ar + O2 mixture gas plasma-treated PEN samples as compared with those in untreated PEN samples. The changes occurred rapidly after 2 d following the plasma treatment, reaching steady states 8 d after the treatment. Hydrophobicity had an inverse relationship with the concentration of these functional groups on the surface. Thus, the effect of the low-pressure high-frequency plasma treatment on PEN varies as a function of storage time. This means that radical oxygen and oxygen molecules are clearly generated in the plasma, and this is one index to confirm that radical reaction has definitely occurred between the gas and the PEN film surface with a low-pressure high-frequency plasma.

  17. Plasma-activation of tap water using DBD for agronomy applications: Identification and quantification of long lifetime chemical species and production/consumption mechanisms.

    Science.gov (United States)

    Judée, F; Simon, S; Bailly, C; Dufour, T

    2018-04-15

    Cold atmospheric plasmas are weakly ionized gases that can be generated in ambient air. They produce energetic species (e.g. electrons, metastables) as well as reactive oxygen species, reactive nitrogen species, UV radiations and local electric field. Their interaction with a liquid such as tap water can hence change its chemical composition. The resulting "plasma-activated liquid" can meet many applications, including medicine and agriculture. Consequently, a complete experimental set of analytical techniques dedicated to the characterization of long lifetime chemical species has been implemented to characterize tap water treated using cold atmospheric plasma process and intended to agronomy applications. For that purpose, colorimetry and acid titrations are performed, considering acid-base equilibria, pH and temperature variations induced during plasma activation. 16 species are quantified and monitored: hydroxide and hydronium ions, ammonia and ammonium ions, orthophosphates, carbonate ions, nitrite and nitrate ions and hydrogen peroxide. The related consumption/production mechanisms are discussed. In parallel, a chemical model of electrical conductivity based on Kohlrausch's law has been developed to simulate the electrical conductivity of the plasma-activated tap water (PATW). Comparing its predictions with experimental measurements leads to a narrow fitting, hence supporting the self-sufficiency of the experimental set, I.e. the fact that all long lifetime radicals of interest present in PATW are characterized. Finally, to evaluate the potential of cold atmospheric plasmas for agriculture applications, tap water has been daily plasma-treated to irrigate lentils seeds. Then, seedlings lengths have been measured and compared with untreated tap water, showing an increase as high as 34.0% and 128.4% after 3 days and 6 days of activation respectively. The interaction mechanisms between plasma and tap water are discussed as well as their positive synergy on

  18. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J. [Geophysical Laboratory, Carnegie Institution of Washington, 5251 Broad Branch Rd., NW, Washington, DC 20015 (United States)

    2015-11-02

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH{sub 4}/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H{sub 2} into the deposition gas chemistry. Electronically excited species of CN, C{sub 2}, Ar, N{sub 2}, CH, H{sub β}, and H{sub α} were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T{sub 2g} phonon at 1333 cm{sup −1} peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  19. Charge trapping characteristics of Au nanocrystals embedded in remote plasma atomic layer-deposited Al2O3 film as the tunnel and blocking oxides for nonvolatile memory applications

    International Nuclear Information System (INIS)

    Lee, Jaesang; Kim, Hyungchul; Park, Taeyong; Ko, Youngbin; Ryu, Jaehun; Jeon, Heeyoung; Park, Jingyu; Jeon, Hyeongtag

    2012-01-01

    Remote plasma atomic layer deposited (RPALD) Al 2 O 3 films were investigated to apply as tunnel and blocking layers in the metal-oxide-semiconductor capacitor memory utilizing Au nanocrystals (NCs) for nonvolatile memory applications. The interface stability of an Al 2 O 3 film deposited by RPALD was studied to observe the effects of remote plasma on the interface. The interface formed during RPALD process has high oxidation states such as Si +3 and Si +4 , indicating that RPALD process can grow more stable interface which has a small amount of fixed oxide trap charge. The significant memory characteristics were also observed in this memory device through the electrical measurement. The memory device exhibited a relatively large memory window of 5.6 V under a 10/-10 V program/erase voltage and also showed the relatively fast programming/erasing speed and a competitive retention characteristic after 10 4 s. These results indicate that Al 2 O 3 films deposited via RPALD can be applied as the tunnel and blocking oxides for next-generation flash memory devices.

  20. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O2 induced remote plasma: effect of oxygen fraction

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.

    2009-01-01

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyldisiloxane (HMDSO)/O 2 mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions (χ0 2 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease (∼one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O 2 mixtures exhibit two separated green-blue and yellow-green PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm -1 ) in the spectral range of their PL emission, attractive for possible integrated optics devices. (authors)

  1. Capillary plasma jet: A low volume plasma source for life science applications

    Energy Technology Data Exchange (ETDEWEB)

    Topala, I., E-mail: ionut.topala@uaic.ro, E-mail: tmnagat@ipc.shizuoka.ac.jp [Alexandru Ioan Cuza University of Iasi, Faculty of Physics, Iasi Plasma Advanced Research Center (IPARC), Bd. Carol I No. 11, Iasi 700506 (Romania); Nagatsu, M., E-mail: ionut.topala@uaic.ro, E-mail: tmnagat@ipc.shizuoka.ac.jp [Graduate School of Science and Technology, Shizuoka University, 3-5-1 Johoku, Naka-ku, Hamamatsu 432-8561 (Japan)

    2015-02-02

    In this letter, we present results from multispectroscopic analysis of protein films, after exposure to a peculiar plasma source, i.e., the capillary plasma jet. This plasma source is able to generate very small pulsed plasma volumes, in kilohertz range, with characteristic dimensions smaller than 1 mm. This leads to specific microscale generation and transport of all plasma species. Plasma diagnosis was realized using general electrical and optical methods. Depending on power level and exposure duration, this miniature plasma jet can induce controllable modifications to soft matter targets. Detailed discussions on protein film oxidation and chemical etching are supported by results from absorption, X-ray photoelectron spectroscopy, and microscopy techniques. Further exploitation of principles presented here may consolidate research interests involving plasmas in biotechnologies and plasma medicine, especially in patterning technologies, modified biomolecule arrays, and local chemical functionalization.

  2. Capillary plasma jet: A low volume plasma source for life science applications

    Science.gov (United States)

    Topala, I.; Nagatsu, M.

    2015-02-01

    In this letter, we present results from multispectroscopic analysis of protein films, after exposure to a peculiar plasma source, i.e., the capillary plasma jet. This plasma source is able to generate very small pulsed plasma volumes, in kilohertz range, with characteristic dimensions smaller than 1 mm. This leads to specific microscale generation and transport of all plasma species. Plasma diagnosis was realized using general electrical and optical methods. Depending on power level and exposure duration, this miniature plasma jet can induce controllable modifications to soft matter targets. Detailed discussions on protein film oxidation and chemical etching are supported by results from absorption, X-ray photoelectron spectroscopy, and microscopy techniques. Further exploitation of principles presented here may consolidate research interests involving plasmas in biotechnologies and plasma medicine, especially in patterning technologies, modified biomolecule arrays, and local chemical functionalization.

  3. The first-step of EAST remote participation system

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Xiaoyang, E-mail: xysun@ipp.ac.cn; Ji, Zhenshan; Wang, Feng; Li, Shi; Wang, Yong

    2016-11-15

    Highlights: • A new design for remote participation system for EAST tokamak is proposed. • Rich Internet Application (RIA) and NoSQL Database was select to implement the system. • Two kind of technique for accessing EPICS PV data remotely through Internet was proposed. - Abstract: The EAST Tokamak at Institute of Plasma Physics Chinese Academy of Sciences (CASIPP) is the first fully-superconducting Tokamak facility. International collaboration can improve the quality and impact of fusion research. It is a key feature for EAST research. During 2014 EAST campaign, more than 60 physicists and experts visited CASIPP and participated in EAST discharging experiment. With an increasing number of collaborations, remote participation becomes important as an economical and effective alternative to traditional way. This paper presents an overview of the first-step development work of the EAST Remote Participation System (EAST RPS). At current stage, the EAST RPS is focused on remote access to engineering data, NoSQL-based data archiving engine, message service and video service.

  4. The first-step of EAST remote participation system

    International Nuclear Information System (INIS)

    Sun, Xiaoyang; Ji, Zhenshan; Wang, Feng; Li, Shi; Wang, Yong

    2016-01-01

    Highlights: • A new design for remote participation system for EAST tokamak is proposed. • Rich Internet Application (RIA) and NoSQL Database was select to implement the system. • Two kind of technique for accessing EPICS PV data remotely through Internet was proposed. - Abstract: The EAST Tokamak at Institute of Plasma Physics Chinese Academy of Sciences (CASIPP) is the first fully-superconducting Tokamak facility. International collaboration can improve the quality and impact of fusion research. It is a key feature for EAST research. During 2014 EAST campaign, more than 60 physicists and experts visited CASIPP and participated in EAST discharging experiment. With an increasing number of collaborations, remote participation becomes important as an economical and effective alternative to traditional way. This paper presents an overview of the first-step development work of the EAST Remote Participation System (EAST RPS). At current stage, the EAST RPS is focused on remote access to engineering data, NoSQL-based data archiving engine, message service and video service.

  5. Characteristics of SiOx-containing hard film prepared by low temperature plasma enhanced chemical vapor deposition using hexamethyldisilazane or vinyltrimethylsilane and post oxygen plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Wei, Yi-Syuan; Liu, Wan-Yu; Wu, Hsin-Ming [Department of Materials Engineering, Tatung University, Taipei, 104, Taiwan (China); Chen, Ko-Shao, E-mail: kschen@ttu.edu.tw [Department of Materials Engineering, Tatung University, Taipei, 104, Taiwan (China); Cech, Vladimir [Institute of Materials Chemistry, Brno University of Technology (Czech Republic)

    2017-03-01

    This study, monomers of hexamethyldisilazane (HMDSZ) and vinyltrimethylsilane (VTMS) were respectively used to deposit on the surface of polyethylene terephthalate (PET) substrate by plasma enhanced chemical vapor deposition. Oxygen plasma treatment follows the HMDSZ and VTMS deposition to produce a hydrophilic surface film on the deposited surface. Time for HMDSZ and VTMS plasma deposition was changed to investigate its influences on water contact angle, deposited film thickness, refractive index, and friction coefficient properties. The surface morphologies of the processed samples were observed by scanning electron microscope and their chemical compositions were measured by X-ray photoelectron spectroscopy. At 550 nm wavelength, the optical transmittance of PET after the HMDSZ treatment decreases from 89% to 83%, but increases from 89% to 95% for the VTMS treatment. With increase in HMDSZ and VTMS deposition times, the film thickness increases and the refractive index decreases. Result revealed by XPS, SiO{sub 2} film is formed on the sample surface after the O{sub 2} plasma treatment. The film adhesion capability by the HMDSZ+O{sub 2} and VTMS+O{sub 2} treatment was stronger than that by the HMDSZ and VTMS treatment only. The SiOx films produced by HMDSZ+O{sub 2} and VTMS+O{sub 2} treatment can increase the film hardness and improve light transmittance. - Highlights: • With increase in HMDSZ and VTMS deposition times, the film thickness increases and the refractive index decreases. • The optical transmittance of PET after the VTMS treatment increases from 89% to 95%. • The SiO{sub 2} films deposited by HMDSZ+O{sub 2} and VTMS+O{sub 2} plasma can increase the film hardness and improve light transmittance. • It is expected that they can be applied to the optical transmittance protective film on plastic substrate in the future.

  6. The divertor remote maintenance project

    International Nuclear Information System (INIS)

    Maisonnier, D.; Martin, E.; Akou, K.

    2001-01-01

    Remote replacement of the ITER divertor will be required several times during the life of ITER. To facilitate its regular exchange, the divertor is assembled in the ITER vacuum vessel from 60 cassettes. Radial movers transport each cassette along radial rails through the handling ports and into the vessel where a toroidal mover lifts and transports the cassette around a pair of toroidal rails. Once at its final position the cassette is locked to the toroidal rails and is accurately aligned in both poloidal and toroidal directions. A further requirement on the divertor is to minimise the amount of activated waste to be sent to a repository. To this end the cassettes have been designed to allow the remote replacement, in a hot cell, of their plasma facing components. The paper describes the two facilities built at ENEA Brasimone, Italy, whose aim is to demonstrate the reliable remote maintenance of the divertor cassettes. (author)

  7. The divertor remote maintenance project

    International Nuclear Information System (INIS)

    Maisonnier, D.; Martin, E.; Akou, K.

    1999-01-01

    Remote replacement of the ITER divertor will be required several times during the life of ITER. To facilitate its regular exchange, the divertor is assembled in the ITER vacuum vessel from 60 cassettes. Radial movers transport each cassette along radial rails through the handling ports and into the vessel where a toroidal mover lifts and transports the cassette around a pair of toroidal rails. Once at its final position the cassette is locked to the toroidal rails and is accurately aligned in both poloidal and toroidal directions. A further requirement on the divertor is to minimise the amount of activated waste to be sent to a repository. To this end the cassettes have been designed to allow the remote replacement, in a hot cell, of their plasma facing components. The paper describes the two facilities built at ENEA Brasimone, Italy, whose aim is to demonstrate the reliable remote maintenance of the divertor cassettes. (author)

  8. Contact glow discharge electrolysis: its origin, plasma diagnostics and non-faradaic chemical effects

    International Nuclear Information System (INIS)

    Sen Gupta, Susanta K

    2015-01-01

    Contact glow discharge electrolysis (CGDE) also termed plasma electrolysis is a novel electrolysis where a stable sheath of light emitting plasma develops around an electrode immersed well inside a relatively high-conductivity liquid electrolyte during normal electrolysis (NE) at several hundred volts. The phenomenon may develop in dc-, pulsed dc-, ac- as well as RF-driven electrolyses. The chemical effects of CGDE are remarkably non-faradaic in respect to the nature of the products as well as their yields. The article traces comprehensively the progress made in studies of CGDE in aqueous and non-aqueous solutions since 1844 and reviews the developments in the understanding of its origin, light emission, plasma state and non-faradaic effects leading to the elucidation of detailed mechanism of the origin of CGDE on the basis of the onset of hydrodynamic instabilities in local vaporization of the solvent near the working electrode during NE, and that of highly non-faradaic effects of CGDE based on a model of two reaction zones located within the electrode plasma and at the plasma–liquid interface producing solvent derived radicals at high local concentrations. Keeping in view the recent surge of interest in varied applications of CGDE, the article is appended with highlights of these applications across synthetic chemistry, waste water treatment, electrosurgical devices, nanoparticle fabrications, surface engineering and micro-machining. (topical review)

  9. Polycrystalline AlN films with preferential orientation by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Sanchez, G.; Wu, A.; Tristant, P.; Tixier, C.; Soulestin, B.; Desmaison, J.; Bologna Alles, A.

    2008-01-01

    AlN thin films for acoustic wave devices were prepared by Microwave Plasma Enhanced Chemical Vapor Deposition under different process conditions, employing Si (100) and Pt (111)/SiO 2 /Si (100) substrates. The films were characterized by X-ray diffraction, Fourier transform infrared transmission spectroscopy, atomic force microscopy, scanning electron microscopy, and transmission electron microscopy. The values of the distance between the plasma and the tri-methyl-aluminum precursor injector, the radiofrequency bias potential, and the substrate temperature were central in the development of polycrystalline films. The choice of the chamber total pressure during deposition allowed for the development of two different crystallographic orientations, i.e., or . The film microstructures exhibited in general a column-like growth with rounded tops, an average grain size of about 40 nm, and a surface roughness lower than 20 nm under the best conditions

  10. Non-equilibrium plasma reactor for natrual gas processing

    International Nuclear Information System (INIS)

    Shair, F.H.; Ravimohan, A.L.

    1974-01-01

    A non-equilibrium plasma reactor for natural gas processing into ethane and ethylene comprising means of producing a non-equilibrium chemical plasma wherein selective conversion of the methane in natural gas to desired products of ethane and ethylene at a pre-determined ethane/ethylene ratio in the chemical process may be intimately controlled and optimized at a high electrical power efficiency rate by mixing with a recycling gas inert to the chemical process such as argon, helium, or hydrogen, reducing the residence time of the methane in the chemical plasma, selecting the gas pressure in the chemical plasma from a wide range of pressures, and utilizing pulsed electrical discharge producing the chemical plasma. (author)

  11. Structural and optical characterization of self-assembled Ge nanocrystal layers grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Saeed, S.; Buters, F.; Dohnalova, K.; Wosinski, L.; Gregorkiewicz, T.

    2014-01-01

    We present a structural and optical study of solid-state dispersions of Ge nanocrystals prepared by plasma-enhanced chemical vapor deposition. Structural analysis shows the presence of nanocrystalline germanium inclusions embedded in an amorphous matrix of Si-rich SiO2. Optical characterization

  12. Decontamination of chemical and biological warfare (CBW) agents using an atmospheric pressure plasma jet (APPJ)

    International Nuclear Information System (INIS)

    Herrmann, H.W.; Henins, I.; Park, J.; Selwyn, G.S.

    1999-01-01

    The atmospheric pressure plasma jet (APPJ) [A. Schuetze et al., IEEE Trans. Plasma Sci. 26, 1685 (1998)] is a nonthermal, high pressure, uniform glow plasma discharge that produces a high velocity effluent stream of highly reactive chemical species. The discharge operates on a feedstock gas (e.g., He/O 2 /H 2 O), which flows between an outer, grounded, cylindrical electrode and an inner, coaxial electrode powered at 13.56 MHz rf. While passing through the plasma, the feedgas becomes excited, dissociated or ionized by electron impact. Once the gas exits the discharge volume, ions and electrons are rapidly lost by recombination, but the fast-flowing effluent still contains neutral metastable species (e.g., O 2 * , He * ) and radicals (e.g., O, OH). This reactive effluent has been shown to be an effective neutralizer of surrogates for anthrax spores and mustard blister agent. Unlike conventional wet decontamination methods, the plasma effluent does not cause corrosion and it does not destroy wiring, electronics, or most plastics, making it highly suitable for decontamination of sensitive equipment and interior spaces. Furthermore, the reactive species in the effluent rapidly degrade into harmless products leaving no lingering residue or harmful by-products. copyright 1999 American Institute of Physics

  13. Chemically reacting flow of a compressible thermally radiating two-component plasma

    International Nuclear Information System (INIS)

    Bestman, A.R.

    1990-12-01

    The paper studies the compressible flow of a hot two-component plasma in the presence of gravitation and chemical reaction in a vertical channel. For the optically thick gas approximation, closed form analytical solutions are possible. Asymptotic solutions are also obtained for the general differential approximation when the temperature of the two bounding walls are the same. In the general case the problem is reduced to the solution of standard nonlinear integral equations which can be tackled by iterative procedure. The results are discussed quantitatively. The problem may be applicable to the understanding of explosive hydrogen-burning model of solar flares. (author). 6 refs, 4 figs

  14. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  15. Exploring the human plasma proteome for humoral mediators of remote ischemic preconditioning--a word of caution.

    Directory of Open Access Journals (Sweden)

    Erik Helgeland

    Full Text Available Despite major advances in early revascularization techniques, cardiovascular diseases are still the leading cause of death worldwide, and myocardial infarctions contribute heavily to this. Over the past decades, it has become apparent that reperfusion of blood to a previously ischemic area of the heart causes damage in and of itself, and that this ischemia reperfusion induced injury can be reduced by up to 50% by mechanical manipulation of the blood flow to the heart. The recent discovery of remote ischemic preconditioning (RIPC provides a non-invasive approach of inducing this cardioprotection at a distance. Finding its endogenous mediators and their operative mode is an important step toward increasing the ischemic tolerance. The release of humoral factor(s upon RIPC was recently demonstrated and several candidate proteins were published as possible mediators of the cardioprotection. Before clinical applicability, these potential biomarkers and their efficiency must be validated, a task made challenging by the large heterogeneity in reported data and results. Here, in an attempt to reproduce and provide more experimental data on these mediators, we conducted an unbiased in-depth analysis of the human plasma proteome before and after RIPC. From the 68 protein markers reported in the literature, only 28 could be mapped to manually reviewed (Swiss-Prot protein sequences. 23 of them were monitored in our untargeted experiment. However, their significant regulation could not be reproducibly estimated. In fact, among the 394 plasma proteins we accurately quantified, no significant regulation could be confidently and reproducibly assessed. This indicates that it is difficult to both monitor and reproduce published data from experiments exploring for RIPC induced plasma proteomic regulations, and suggests that further work should be directed towards small humoral factors. To simplify this task, we made our proteomic dataset available via Proteome

  16. Exploring the Human Plasma Proteome for Humoral Mediators of Remote Ischemic Preconditioning - A Word of Caution

    Science.gov (United States)

    Helgeland, Erik; Breivik, Lars Ertesvåg; Vaudel, Marc; Svendsen, Øyvind Sverre; Garberg, Hilde; Nordrehaug, Jan Erik; Berven, Frode Steingrimsen; Jonassen, Anne Kristine

    2014-01-01

    Despite major advances in early revascularization techniques, cardiovascular diseases are still the leading cause of death worldwide, and myocardial infarctions contribute heavily to this. Over the past decades, it has become apparent that reperfusion of blood to a previously ischemic area of the heart causes damage in and of itself, and that this ischemia reperfusion induced injury can be reduced by up to 50% by mechanical manipulation of the blood flow to the heart. The recent discovery of remote ischemic preconditioning (RIPC) provides a non-invasive approach of inducing this cardioprotection at a distance. Finding its endogenous mediators and their operative mode is an important step toward increasing the ischemic tolerance. The release of humoral factor(s) upon RIPC was recently demonstrated and several candidate proteins were published as possible mediators of the cardioprotection. Before clinical applicability, these potential biomarkers and their efficiency must be validated, a task made challenging by the large heterogeneity in reported data and results. Here, in an attempt to reproduce and provide more experimental data on these mediators, we conducted an unbiased in-depth analysis of the human plasma proteome before and after RIPC. From the 68 protein markers reported in the literature, only 28 could be mapped to manually reviewed (Swiss-Prot) protein sequences. 23 of them were monitored in our untargeted experiment. However, their significant regulation could not be reproducibly estimated. In fact, among the 394 plasma proteins we accurately quantified, no significant regulation could be confidently and reproducibly assessed. This indicates that it is difficult to both monitor and reproduce published data from experiments exploring for RIPC induced plasma proteomic regulations, and suggests that further work should be directed towards small humoral factors. To simplify this task, we made our proteomic dataset available via ProteomeXchange, where

  17. Materials study for reacting plasma machine

    International Nuclear Information System (INIS)

    Kamada, Kohji; Hamada, Yasuji

    1982-01-01

    A new reacting plasma machine is designed, and will be constructed at the Institute of Plasma Physics, Nagoya University. It is important to avoid the activation of the materials for the machine, accordingly, aluminum alloy has been considered as the material since the induced activity of aluminum due to 14 MeV neutrons is small. The vacuum chamber of the new machine consists of four modules, and the remote control of each module is considered. However, the cost of the remote control of modules is expensive. To minimize the dependence on the remote control, the use of aluminum alloy is considered as the first step. The low electrical resistivity, over-ageing, weak mechanical strength and eddy current characteristics of aluminum alloy must be improved. The physical and electrical properties of various aluminum alloys have been investigated. Permeability of hydrogen through aluminum, the recycling characteristics and surface coating materials have been also studied. (Kato, T.)

  18. Progress on ITER remote experimentation centre

    International Nuclear Information System (INIS)

    Ozeki, Takahisa; Clement-Lorenzo, Susana; Nakajima, Noriyoshi

    2016-01-01

    Construction of ITER remote experimentation centre (REC) based on the broader approach (BA) activity of the joint program of Japan and Europe (EU) is progressing. In order to make the future experiments of ITER and JT-60SA effectively and efficiently implemented, development of a remote experiment system by using the Satellite Tokamak (JT-60SA) facilities was planned and the development of software for the remote experiment is ongoing, including the systems for the remote connection and the communication between the remote site and the on-site facility. The network system from REC in Rokkasho-site of Japan to the network in EU was established in collaboration with the National Institute of Informatics (NII). Effective data transfer method that is capable of fast transfer speeds in the gigabit range is investigated. Data transfer at the rate of several Gbps was successfully obtained between the institutes in Japan. The preliminary versions of the software for data analysis are developed, such as for visualization of time dependent experimental data and transport simulations, visualization of plasma boundary/equilibrium and spatial profiles of diagnostic data. The remote data access program and an integrated platform for Documentation and Experiment Management are also being developed. A remote experiment room in the Rokkasho-site in Japan was designed and the construction started. The function of REC will be tested and the total system will be demonstrated by the middle of 2017.

  19. Progress on ITER remote experimentation centre

    Energy Technology Data Exchange (ETDEWEB)

    Ozeki, Takahisa, E-mail: ozeki.takahisa@jaea.go.jp [Japan Atomic Energy Agency, 2-166 Obuchi Rokkasho, Kitakami-gun, Aomori 039-3212 (Japan); Clement-Lorenzo, Susana [Fusion for Energy, Torres Diagonal Litoral, B3, 13/03, Barcelona 08019 (Spain); Nakajima, Noriyoshi [National institute for Fusion Science and Project leader of IFERC, 2-166 Obuchi, Rokkasho, Kamikita-gun, Aomori 039-3212 (Japan)

    2016-11-15

    Construction of ITER remote experimentation centre (REC) based on the broader approach (BA) activity of the joint program of Japan and Europe (EU) is progressing. In order to make the future experiments of ITER and JT-60SA effectively and efficiently implemented, development of a remote experiment system by using the Satellite Tokamak (JT-60SA) facilities was planned and the development of software for the remote experiment is ongoing, including the systems for the remote connection and the communication between the remote site and the on-site facility. The network system from REC in Rokkasho-site of Japan to the network in EU was established in collaboration with the National Institute of Informatics (NII). Effective data transfer method that is capable of fast transfer speeds in the gigabit range is investigated. Data transfer at the rate of several Gbps was successfully obtained between the institutes in Japan. The preliminary versions of the software for data analysis are developed, such as for visualization of time dependent experimental data and transport simulations, visualization of plasma boundary/equilibrium and spatial profiles of diagnostic data. The remote data access program and an integrated platform for Documentation and Experiment Management are also being developed. A remote experiment room in the Rokkasho-site in Japan was designed and the construction started. The function of REC will be tested and the total system will be demonstrated by the middle of 2017.

  20. The Performance Improvement of N2 Plasma Treatment on ZrO2 Gate Dielectric Thin-Film Transistors with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition IGZO Channel.

    Science.gov (United States)

    Wu, Chien-Hung; Huang, Bo-Wen; Chang, Kow-Ming; Wang, Shui-Jinn; Lin, Jian-Hong; Hsu, Jui-Mei

    2016-06-01

    The aim of this paper is to illustrate the N2 plasma treatment for high-κ ZrO2 gate dielectric stack (30 nm) with indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs). Experimental results reveal that a suitable incorporation of nitrogen atoms could enhance the device performance by eliminating the oxygen vacancies and provide an amorphous surface with better surface roughness. With N2 plasma treated ZrO2 gate, IGZO channel is fabricated by atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique. The best performance of the AP-PECVD IGZO TFTs are obtained with 20 W-90 sec N2 plasma treatment with field-effect mobility (μ(FET)) of 22.5 cm2/V-s, subthreshold swing (SS) of 155 mV/dec, and on/off current ratio (I(on)/I(off)) of 1.49 x 10(7).

  1. An unstructured shock-fitting solver for hypersonic plasma flows in chemical non-equilibrium

    Science.gov (United States)

    Pepe, R.; Bonfiglioli, A.; D'Angola, A.; Colonna, G.; Paciorri, R.

    2015-11-01

    A CFD solver, using Residual Distribution Schemes on unstructured grids, has been extended to deal with inviscid chemical non-equilibrium flows. The conservative equations have been coupled with a kinetic model for argon plasma which includes the argon metastable state as independent species, taking into account electron-atom and atom-atom processes. Results in the case of an hypersonic flow around an infinite cylinder, obtained by using both shock-capturing and shock-fitting approaches, show higher accuracy of the shock-fitting approach.

  2. Bio-compatibility, surface and chemical characterization of glow discharge plasma modified ZnO nanocomposite polycarbonate

    Energy Technology Data Exchange (ETDEWEB)

    Bagra, Bhawna, E-mail: bhawnacct@gmail.com; Pimpliskar, Prashant, E-mail: bhawnacct@gmail.com [Centre for Converging Technologies, University of Rajasthan, Jaipur-302004 (India); Agrawal, Narendra Kumar [Department of Physics, Malaviya National Institute of Technology, Jaipur-302004 (India)

    2014-04-24

    Bio compatibility is an important issue for synthesis of biomedical devices, which can be tested by bioadoptability and creations of active site to enhance the bacterial/cell growth in biomedical devices. Hence a systematic study was carried out to characterize the effects of Nitrogen ion plasma for creations of active site in nano composite polymer membrane. Nano particles of ZnO are synthesized by chemical root, using solution casting nano composite polymeric membranes were prepared and treated with Nitrogen ion plasma. These membranes were characterized by different technique such as optical microscopy, SEM- Scanning electron microscope, optical transmittance, Fourier transform infrared spectroscopy. Then biocompatibility for membranes was tested by testing of bio-adoptability of membrane.

  3. Controlled density of vertically aligned carbon nanotubes in a triode plasma chemical vapor deposition system

    International Nuclear Information System (INIS)

    Lim, Sung Hoon; Park, Kyu Chang; Moon, Jong Hyun; Yoon, Hyun Sik; Pribat, Didier; Bonnassieux, Yvan; Jang, Jin

    2006-01-01

    We report on the growth mechanism and density control of vertically aligned carbon nanotubes using a triode plasma enhanced chemical vapor deposition system. The deposition reactor was designed in order to allow the intermediate mesh electrode to be biased independently from the ground and power electrodes. The CNTs grown with a mesh bias of + 300 V show a density of ∼ 1.5 μm -2 and a height of ∼ 5 μm. However, CNTs do not grow when the mesh electrode is biased to - 300 V. The growth of CNTs can be controlled by the mesh electrode bias which in turn controls the plasma density and ion flux on the sample

  4. Topographic, optical and chemical properties of zinc particle coatings deposited by means of atmospheric pressure plasma

    Science.gov (United States)

    Wallenhorst, L. M.; Loewenthal, L.; Avramidis, G.; Gerhard, C.; Militz, H.; Ohms, G.; Viöl, W.

    2017-07-01

    In this research, topographic, optical and chemical properties of zinc oxide layers deposited by a cold plasma-spray process were measured. Here, zinc micro particles were fed to the afterglow of a plasma spark discharge whereas the substrates were placed in a quite cold zone of the effluent plasma jet. In this vein, almost closed layers were realised on different samples. As ascertained by laser scanning and atomic force microscopic measurements the particle size of the basic layer is in the nanometre scale. Additionally, larger particles and agglomerates were found on its top. The results indicate a partial plasma-induced diminishment of the initial particles, most probably due to melting or vaporisation. It is further shown that the plasma gives rise to an increased oxidation of such particles as confirmed by X-ray photoelectron spectroscopy. Quantitative analysis of the resulting mixed layer was performed. It is shown that the deposited layers consist of zinc oxide and elemental zinc in approximately equal shares. In addition, the layer's band gap energy was determined by spectroscopic analysis. Here, considerable UV blocking properties of the deposited layers were observed. Possible underlying effects as well as potential applications are presented.

  5. Design of remote handling equipment for the ITER NBI

    Energy Technology Data Exchange (ETDEWEB)

    Oka, Kiyoshi; Tada, Eisuke [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment

    1999-08-01

    The ITER machine has three Neutral Beam Injectors (NBIs) placed tangential to the plasma at a minimum radius of 6.25 m. During operation, neutrons produced by the D-T reactions will irradiate the NBI structure and it will become radioactive. Radiation levels will be such that all subsequent maintenance of the NBIs must be carried out remotely. The presence of tritium and possibly radioactive dust requires that precautions be taken during maintenance to prevent the escape of these contaminants beyond the prescribed boundaries. The scope of this task is both the development of remote maintenance procedures and the design of the remote handling equipment to handle the NBIs. This report describes the design of remote handling tools for the ion source and its filaments, transfer cask, maintenance time, manufacturing schedule and cost estimation. (author)

  6. Modeling of Sheath Ion-Molecule Reactions in Plasma Enhanced Chemical Vapor Deposition of Carbon Nanotubes

    Science.gov (United States)

    Hash, David B.; Govindan, T. R.; Meyyappan, M.

    2004-01-01

    In many plasma simulations, ion-molecule reactions are modeled using ion energy independent reaction rate coefficients that are taken from low temperature selected-ion flow tube experiments. Only exothermic or nearly thermoneutral reactions are considered. This is appropriate for plasma applications such as high-density plasma sources in which sheaths are collisionless and ion temperatures 111 the bulk p!asma do not deviate significantly from the gas temperature. However, for applications at high pressure and large sheath voltages, this assumption does not hold as the sheaths are collisional and ions gain significant energy in the sheaths from Joule heating. Ion temperatures and thus reaction rates vary significantly across the discharge, and endothermic reactions become important in the sheaths. One such application is plasma enhanced chemical vapor deposition of carbon nanotubes in which dc discharges are struck at pressures between 1-20 Torr with applied voltages in the range of 500-700 V. The present work investigates The importance of the inclusion of ion energy dependent ion-molecule reaction rates and the role of collision induced dissociation in generating radicals from the feedstock used in carbon nanotube growth.

  7. Spectroscopic ellipsometry characterization of nano-crystalline diamondfilms prepared at various substrate temperatures and pulsed plasma frequencies using microwave plasma enhanced chemical vapor deposition apparatus with linear antenna delivery

    Czech Academy of Sciences Publication Activity Database

    Mistrík, J.; Janíček, P.; Taylor, Andrew; Fendrych, František; Fekete, Ladislav; Jäger, Aleš; Nesládek, M.

    2014-01-01

    Roč. 571, č. 1 (2014), s. 230-237 ISSN 0040-6090 R&D Projects: GA ČR GA13-31783S; GA MŠk(CZ) LM2011026 Grant - others: COST Nano TP(XE) MP0901; OP VK(XE) CZ.1.07/2.3.00/20.0306 Institutional support: RVO:68378271 Keywords : nanocrystalline diamond * thin films * microwave plasma-enhanced chemical vapor deposition * pulsed plasma * low deposition temperature Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.759, year: 2014

  8. Plasma-enhanced chemical vapor deposited silicon oxynitride films for optical waveguide bridges for use in mechanical sensors

    DEFF Research Database (Denmark)

    Storgaard-Larsen, Torben; Leistiko, Otto

    1997-01-01

    In this paper the influence of RF power, ammonia flow, annealing temperature, and annealing time on the optical and mechanical properties of plasma-enhanced chemically vapor deposited silicon oxynitride films, is presented. A low refractive index (1.47 to 1.48) film having tensile stress has been...

  9. Filament-induced remote surface ablation for long range laser-induced breakdown spectroscopy operation

    International Nuclear Information System (INIS)

    Rohwetter, Ph.; Stelmaszczyk, K.; Woeste, L.; Ackermann, R.; Mejean, G.; Salmon, E.; Kasparian, J.; Yu, J.; Wolf, J.-P.

    2005-01-01

    We demonstrate laser induced ablation and plasma line emission from a metallic target at distances up to 180 m from the laser, using filaments (self-guided propagation structures ∼ 100 μm in diameter and ∼ 5 x 10 13 W/cm 2 in intensity) appearing as femtosecond and terawatt laser pulses propagating in air. The remarkable property of filaments to propagate over a long distance independently of the diffraction limit opens the frontier to long range operation of the laser-induced breakdown spectroscopy technique. We call this special configuration of remote laser-induced breakdown spectroscopy 'remote filament-induced breakdown spectroscopy'. Our results show main features of filament-induced ablation on the surface of a metallic sample and associated plasma emission. Our experimental data allow us to estimate requirements for the detection system needed for kilometer-range remote filament-induced breakdown spectroscopy experiment

  10. Synthesis of N-graphene using microwave plasma-based methods

    Science.gov (United States)

    Dias, Ana; Tatarova, Elena; Henriques, Julio; Dias, Francisco; Felizardo, Edgar; Abrashev, Miroslav; Bundaleski, Nenad; Cvelbar, Uros

    2016-09-01

    In this work a microwave atmospheric plasma driven by surface waves is used to produce free-standing graphene sheets (FSG). Carbonaceous precursors are injected into a microwave plasma environment, where decomposition processes take place. The transport of plasma generated gas-phase carbon atoms and molecules into colder zones of plasma reactor results in carbon nuclei formation. The main part of the solid carbon is gradually carried from the ``hot'' plasma zone into the outlet plasma stream where carbon nanostructures assemble and grow. Subsequently, the graphene sheets have been N-doped using a N2-Ar large-scale remote plasma treatment, which consists on placing the FSG on a substrate in a remote zone of the N2-Ar plasma. The samples were treated with different compositions of N2-Ar gas mixtures, while maintaining 1 mbar pressure in the chamber and a power applied of 600 W. The N-doped graphene sheets were characterized by scanning and by high-resolution transmission electron microscopy, X-ray photoelectron spectroscopy and Raman spectroscopy. Plasma characterization was also performed by optical emission spectroscopy. Work partially funded by Portuguese FCT - Fundacao para a Ciencia e a Tecnologia, under grant SFRH/BD/52413/2013 (PD-F APPLAuSE).

  11. Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Shih, Huan-Yu; Chen, Miin-Jang; Lin, Ming-Chih; Chen, Liang-Yih

    2015-01-01

    The growth of uniform gallium nitride (GaN) thin films was reported on (100) Si substrate by remote plasma atomic layer deposition (RP-ALD) using triethylgallium (TEG) and NH 3 as the precursors. The self-limiting growth of GaN was manifested by the saturation of the deposition rate with the doses of TEG and NH 3 . The increase in the growth temperature leads to the rise of nitrogen content and improved crystallinity of GaN thin films, from amorphous at a low deposition temperature of 200 °C to polycrystalline hexagonal structures at a high growth temperature of 500 °C. No melting-back etching was observed at the GaN/Si interface. The excellent uniformity and almost atomic flat surface of the GaN thin films also infer the surface control mode of the GaN thin films grown by the RP-ALD technique. The GaN thin films grown by RP-ALD will be further applied in the light-emitting diodes and high electron mobility transistors on (100) Si substrate. (paper)

  12. Remote metal analysis by laser induced breakdown spectroscopy

    International Nuclear Information System (INIS)

    Duckworth, A.

    1996-01-01

    This paper describes a new technique by which the composition of irradiated or inaccessible reactor components can be determined remotely. The technique uses very short duration, high energy laser pulses at a wavelength which can be transmitted down an optical fibre to ablate a tiny plasma from the surface of a metal component. Light from the plasma is collected by a second fibre and returned to a spectrometer where it is split into the characteristic emission wavelengths of the elements in the sample. Comparison of the emission line amplitude for a particular element with that of a chosen calibration line can be used to deduce the concentration of the element in the sample. The technique has been used successfully to differentiate between different highly radioactive control rod batches at Sizewell ''A'' and Hinkley Point ''A'' Power Stations. The material analysis accuracy is comparable with that obtained from electron microphobe analysis and other direct spectroscopic methods. However, by analysing the mild steel control rod casing material remotely, difficult sample removal becomes unneccessary and the integrity of the component remains essentially unaltered. In addition, removal of deposits or surface corrosion is incorporated very neatly into the process. These factors make remote laser induced breakdown spectroscopy an ideal tool for material analysis in the nuclear environment. (UK)

  13. Remote metal analysis by laser induced breakdown spectroscopy

    International Nuclear Information System (INIS)

    Duckworth, A.

    1996-01-01

    This paper describes a new technique by which the composition of irradiated or inaccessible reactor components can be determined remotely. The technique uses very short duration, high energy laser pulses at a wavelength which can be transmitted down an optical fibre to ablate a tiny plasma from the surface of a metal component. Light from the plasma is collected by a second fibre and returned to a spectrometer where it is split into the characteristic emission wavelengths of the elements in the sample. Comparison of the emission line amplitude for a particular element with that of a chosen calibrationline can be used to deduce the concentration of the element in the sample. The technique has been used successfully to differentiate between highly radioactive control rod batches at Sizewell 'A' and Hinkley Point 'A Power Stations. The material analysis accuracy is comparable with that obtained from electron microprobe analysis and other direct spectroscopic methods. However, by analysing the mild steel control rod casing material remotely, difficult sample removal becomes unnecessary and the integrity of the component remains essentially unaltered. In addition, removal of deposits or surface corrosion is incorporated very neatly into the process. These factors make remote laser induced breakdown spectroscopy an ideal tool for material analysis in the nuclear environment. (Author)

  14. Remote Control of TJ-II Diagnostics

    International Nuclear Information System (INIS)

    Lopez Sanchez, A.; Vega, J.; Montoro, A.; Encabo, J.

    2001-01-01

    The present paper is about the design and development of ten remote control diagnostic systems used in the study of plasma fusion in the TJ-II device installed at CIEMAT. This development goes from the definition of sensors and devices necessary in carrying out these remote controls, to its assembly, wiring, development of electronic circuits inserted between sensors and PLC, development of programs for these PLC, connections and administration of the real time automation network, and later development of the necessary programs via the appropriate software tools for web access through a navigator to a specific web page, allowing visual and real time access over the auxiliary systems that make up all the diagnostics. (Author)

  15. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O2 induced remote plasma: effect of oxygen fraction

    Science.gov (United States)

    Naddaf, M.; Saloum, S.

    2008-09-01

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyledisiloxane (HMDSO)/O2 mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions ( \\chi _{O_2 } =0 , 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at \\chi _{O_2 } =0 exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease (~one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O2 mixtures exhibit two separated 'green-blue' and 'yellow-green' PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm-1) in the spectral range of their PL emission, attractive for possible integrated optics devices.

  16. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O2 induced remote plasma: effect of oxygen fraction

    International Nuclear Information System (INIS)

    Naddaf, M; Saloum, S

    2008-01-01

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyledisiloxane (HMDSO)/O 2 mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions (χ O 2 =0, 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at (χ O 2 =0 exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease (∼one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O 2 mixtures exhibit two separated 'green-blue' and 'yellow-green' PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm -1 ) in the spectral range of their PL emission, attractive for possible integrated optics devices

  17. Study of plasma-chemical NO-containing gas flow for treatment of wounds and inflammatory processes.

    Science.gov (United States)

    Pekshev, Alexander V; Shekhter, Anatoly B; Vagapov, Andrey B; Sharapov, Nikolay A; Vanin, Anatoly F

    2018-02-28

    This work is aimed at exhaustive and detailed study of chemical, physical and physico-chemical characteristics of NO-containing gas flow (NO-CGF) generated by a plasma-chemical generator of Plason device, which has been used in medical practice for more than 15 years for effectively healing wound and inflammatory conditions with exogenous nitric oxide (NO-therapy). Data was obtained on spatial structure of the gas flow, and values of its local parameters in axial and radial directions, such as nitric oxide content, velocity, temperature and mass flow density of nitric oxide, providing altogether the effectiveness of treatment by the exogenous NO-therapy method, were determined experimentally and by computations. It was demonstrated that plasma-chemical synthesis of NO from atmospheric air in a low direct current (DC) arc provides a high mass flow of nitric oxide at the level of 1.6-1.8 mg/s, while in the area of impact of NO-CGF on the biological tissue, on its axis, NO content is 400-600 ppm, flow velocity about 5 m/s, nitric oxide mass flow density 0.25-0.40 mg/(s·cm 2 ), temperature 40-60 °C. Tendencies were determined for designing new devices for further experimental biological and medical research in the field of NO-therapy: lowering the temperature of NO-CGF to ambient temperature will enable variation, in experiments, of the affecting flow parameters in a wide range up to their maximum values: NO content up to 2000 ppm, velocity up to 20 m/s, nitric oxide mass flow density up to 2.5 mg/(s·cm 2 ). Copyright © 2017. Published by Elsevier Inc.

  18. Multiscale multiphysics nonempirical approach to calculation of light emission properties of chemically active nonequilibrium plasma: application to Ar-GaI3 system

    International Nuclear Information System (INIS)

    Adamson, S; Astapenko, V; Chernysheva, I; Chorkov, V; Deminsky, M; Demchenko, G; Demura, A; Demyanov, A; Dyatko, N; Eletzkii, A; Knizhnik, A; Kochetov, I; Napartovich, A; Rykova, E; Sukhanov, L; Umanskii, S; Vetchinkin, A; Zaitsevskii, A; Potapkin, B

    2007-01-01

    Present-day computational techniques provide a possibility of evaluating properties of macrosystems using ab initio quantum chemistry and theories of elementary processes. Physical and chemical phenomena on very different timescales have to be taken into account (excitation, emission, chemical reactions, diffusion) at different levels of refining. This refining covers a very wide region of parameters starting from the structure of species up to the macro chemical mechanism of their conversion. This multilevel approach is described in detail in the paper and includes interaction and data transfer between different levels of phenomena description. In the framework of the approach, unknown properties of molecules, ions and atoms (structure, potential energy curves, transition dipole moments) are calculated based on quantum-chemical methods. The calculation results are used to evaluate rate characteristics of physical and chemical processes. The developed kinetic state-to-state scheme is then used to calculate the macro properties of the system under investigation. As an example of the multilevel approach, the emission properties of the Ar-GaI 3 positive column discharge plasma were calculated using the Chemical Work Bench computational environment. The calculations yield the electron energy balance and emission efficiency as functions of plasma parameters

  19. Control, data acquisition, data analysis and remote participation in LHD

    International Nuclear Information System (INIS)

    Nagayama, Y.; Emoto, M.; Nakanishi, H.; Sudo, S.; Imazu, S.; Inagaki, S.; Iwata, C.; Kojima, M.; Nonomura, M.; Ohsuna, M.; Tsuda, K.; Yoshida, M.; Chikaraishi, H.; Funaba, H.; Horiuchi, R.; Ishiguro, S.; Ito, Y.; Kubo, S.; Mase, A.; Mito, T.

    2008-01-01

    This paper presents the control, data acquisition, data analysis and remote participation facilities of the Large Helical Device (LHD), which is designed to confine the plasma in steady state. In LHD the plasma duration exceeds 3000 s by controlling the plasma position, the density and the ICRF heating. The 'LABCOM' data acquisition system takes both the short-pulse and the steady-state data. A two-layer Mass Storage System with RAIDs and Blu-ray Disk jukeboxes in a storage area network has been developed to increase capacity of storage. The steady-state data can be monitored with a Web browser in real time. A high-level data analysis system with Web interfaces is being developed in order to provide easier usage of LHD data and large FORTRAN codes in a supercomputer. A virtual laboratory system for the Japanese fusion community has been developed with Multi-protocol Label Switching Virtual Private Network Technology. Collaborators at remote sites can join the LHD experiment or use the NIFS supercomputer system as if they were working in the LHD control room

  20. Wearable Atmospheric Pressure Plasma Fabrics Produced by Knitting Flexible Wire Electrodes for the Decontamination of Chemical Warfare Agents

    Science.gov (United States)

    Jung, Heesoo; Seo, Jin Ah; Choi, Seungki

    2017-01-01

    One of the key reasons for the limited use of atmospheric pressure plasma (APP) is its inability to treat non-flat, three-dimensional (3D) surface structures, such as electronic devices and the human body, because of the rigid electrode structure required. In this study, a new APP system design—wearable APP (WAPP)—that utilizes a knitting technique to assemble flexible co-axial wire electrodes into a large-area plasma fabric is presented. The WAPP device operates in ambient air with a fully enclosed power electrode and grounded outer electrode. The plasma fabric is flexible and lightweight, and it can be scaled up for larger areas, making it attractive for wearable APP applications. Here, we report the various plasma properties of the WAPP device and successful test results showing the decontamination of toxic chemical warfare agents, namely, mustard (HD), soman (GD), and nerve (VX) agents.

  1. Apparatus for chemical synthesis

    Science.gov (United States)

    Kong, Peter C [Idaho Falls, ID; Herring, J Stephen [Idaho Falls, ID; Grandy, Jon D [Idaho Falls, ID

    2011-05-10

    A method and apparatus for forming a chemical hydride is described and which includes a pseudo-plasma-electrolysis reactor which is operable to receive a solution capable of forming a chemical hydride and which further includes a cathode and a movable anode, and wherein the anode is moved into and out of fluidic, ohmic electrical contact with the solution capable of forming a chemical hydride and which further, when energized produces an oxygen plasma which facilitates the formation of a chemical hydride in the solution.

  2. Chemical and microstructural characterizations of plasma polymer films by time-of-flight secondary ion mass spectrometry and principal component analysis

    International Nuclear Information System (INIS)

    Cossement, Damien; Renaux, Fabian; Thiry, Damien; Ligot, Sylvie; Francq, Rémy; Snyders, Rony

    2015-01-01

    Graphical abstract: - Highlights: • Plasma polymer films have a chemical selectivity and a cross-linking degree which are known to vary in opposite trends. • Three plasma polymers families were used as model organic layers for cross-linking evaluation by ToF-SIMS and principal component analysis. • The data were cross-checked with related functional properties that are known to depend on the cross-linking degree (stability in solvent, mechanical properties, …). • The suggested cross-linking evaluation method was validated for different families of plasma polymers demonstrating that it can be seen as a “general” method. - Abstract: It is accepted that the macroscopic properties of functional plasma polymer films (PPF) are defined by their functional density and their crosslinking degree (χ) which are quantities that most of the time behave in opposite trends. If the PPF chemistry is relatively easy to evaluate, it is much more challenging for χ. This paper reviews the recent work developed in our group on the application of principal component analysis (PCA) to time-of-flight secondary ion mass spectrometric (ToF-SIMS) positive spectra data in order to extract the relative cross-linking degree (χ) of PPF. NH_2-, COOR- and SH-containing PPF synthesized in our group by plasma enhanced chemical vapor deposition (PECVD) varying the applied radiofrequency power (P_R_F), have been used as model surfaces. For the three plasma polymer families, the scores of the first computed principal component (PC1) highlighted significant differences in the chemical composition supported by X-Ray photoelectron spectroscopy (XPS) data. The most important fragments contributing to PC1 (loadings > 90%) were used to compute an average C/H ratio index for samples synthesized at low and high P_R_F. This ratio being an evaluation of χ, these data, accordingly to the literature, indicates an increase of χ with P_R_F excepted for the SH-PPF. These results have been cross

  3. Chemical and microstructural characterizations of plasma polymer films by time-of-flight secondary ion mass spectrometry and principal component analysis

    Energy Technology Data Exchange (ETDEWEB)

    Cossement, Damien, E-mail: damien.cossement@materianova.be [Materia Nova Research Center, Parc Initialis, 1, Avenue Nicolas Copernic, B-7000 Mons (Belgium); Renaux, Fabian [Materia Nova Research Center, Parc Initialis, 1, Avenue Nicolas Copernic, B-7000 Mons (Belgium); Thiry, Damien; Ligot, Sylvie [Chimie des Interactions Plasma-Surface (ChIPS), CIRMAP, Université de Mons, 23 Place du Parc, B-7000 Mons (Belgium); Francq, Rémy; Snyders, Rony [Materia Nova Research Center, Parc Initialis, 1, Avenue Nicolas Copernic, B-7000 Mons (Belgium); Chimie des Interactions Plasma-Surface (ChIPS), CIRMAP, Université de Mons, 23 Place du Parc, B-7000 Mons (Belgium)

    2015-11-15

    Graphical abstract: - Highlights: • Plasma polymer films have a chemical selectivity and a cross-linking degree which are known to vary in opposite trends. • Three plasma polymers families were used as model organic layers for cross-linking evaluation by ToF-SIMS and principal component analysis. • The data were cross-checked with related functional properties that are known to depend on the cross-linking degree (stability in solvent, mechanical properties, …). • The suggested cross-linking evaluation method was validated for different families of plasma polymers demonstrating that it can be seen as a “general” method. - Abstract: It is accepted that the macroscopic properties of functional plasma polymer films (PPF) are defined by their functional density and their crosslinking degree (χ) which are quantities that most of the time behave in opposite trends. If the PPF chemistry is relatively easy to evaluate, it is much more challenging for χ. This paper reviews the recent work developed in our group on the application of principal component analysis (PCA) to time-of-flight secondary ion mass spectrometric (ToF-SIMS) positive spectra data in order to extract the relative cross-linking degree (χ) of PPF. NH{sub 2}-, COOR- and SH-containing PPF synthesized in our group by plasma enhanced chemical vapor deposition (PECVD) varying the applied radiofrequency power (P{sub RF}), have been used as model surfaces. For the three plasma polymer families, the scores of the first computed principal component (PC1) highlighted significant differences in the chemical composition supported by X-Ray photoelectron spectroscopy (XPS) data. The most important fragments contributing to PC1 (loadings > 90%) were used to compute an average C/H ratio index for samples synthesized at low and high P{sub RF}. This ratio being an evaluation of χ, these data, accordingly to the literature, indicates an increase of χ with P{sub RF} excepted for the SH-PPF. These results have

  4. Remote operation of the GOLEM tokamak for Fusion Education

    Energy Technology Data Exchange (ETDEWEB)

    Grover, O.; Kocman, J. [Faculty of Nuclear Sciences and Physical Engineering CTU Prague, CZ-115 19 (Czech Republic); Odstrcil, M. [University of Southampton, Southampton SO17 1BJ (United Kingdom); Odstrcil, T. [Max-Planck-Institut für Plasmaphysik, D-85748 Garching (Germany); Matusu, M. [Faculty of Nuclear Sciences and Physical Engineering CTU Prague, CZ-115 19 (Czech Republic); Stöckel, J. [Faculty of Nuclear Sciences and Physical Engineering CTU Prague, CZ-115 19 (Czech Republic); Institute of Plasma Physics AS CR, Prague CZ-182 21 (Czech Republic); Svoboda, V., E-mail: svoboda@fjfi.cvut.cz [Faculty of Nuclear Sciences and Physical Engineering CTU Prague, CZ-115 19 (Czech Republic); Vondrasek, G. [Faculty of Nuclear Sciences and Physical Engineering CTU Prague, CZ-115 19 (Czech Republic); Zara, J. [Faculty of Electrical Engineering CTU Prague, CZ-166 27 (Czech Republic)

    2016-11-15

    Highlights: • The remote operation of the tokamak GOLEM for educational purposes. - Abstract: Practically oriented education in the field of thermonuclear fusion is highly requested. However, the high complexity of appropriate experiments makes it difficult to develop and maintain laboratories where students can take part in hands-on experiments in this field of study. One possible solution is to establish centres with specific high temperature plasma experiments where students can visit such a laboratory and perform their experiments in-situ. With the advancements of IT technologies it naturally follows to make a step forward and connect these with necessary plasma physics technologies and thus allow to access even sophisticated experiments remotely. Tokamak GOLEM is a small, modest device with its infrastructure linked to web technologies allowing students to set-up necessary discharge parameters, submit them into a queue and within minutes obtain the results in the form of a discharge homepage.

  5. Remote operation of the GOLEM tokamak for Fusion Education

    International Nuclear Information System (INIS)

    Grover, O.; Kocman, J.; Odstrcil, M.; Odstrcil, T.; Matusu, M.; Stöckel, J.; Svoboda, V.; Vondrasek, G.; Zara, J.

    2016-01-01

    Highlights: • The remote operation of the tokamak GOLEM for educational purposes. - Abstract: Practically oriented education in the field of thermonuclear fusion is highly requested. However, the high complexity of appropriate experiments makes it difficult to develop and maintain laboratories where students can take part in hands-on experiments in this field of study. One possible solution is to establish centres with specific high temperature plasma experiments where students can visit such a laboratory and perform their experiments in-situ. With the advancements of IT technologies it naturally follows to make a step forward and connect these with necessary plasma physics technologies and thus allow to access even sophisticated experiments remotely. Tokamak GOLEM is a small, modest device with its infrastructure linked to web technologies allowing students to set-up necessary discharge parameters, submit them into a queue and within minutes obtain the results in the form of a discharge homepage.

  6. Remote welding and cutting techniques for fusion experimental reactors

    International Nuclear Information System (INIS)

    Onozuka, M.; Ishide, T.; Oda, Y.; Nagaoka, E.; Ue, K.; Kamei, H.

    1995-01-01

    Experimental investigation of the YAG laser cutting/welding and plasma gouging techniques has been conducted to examine their suitability for remote maintenance systems in future fusion experimental reactors. Using a hybrid beam coupling system, two laser beams of 500W and 740W powers were successfully combined to provide a 1,240W beam power. The combined laser was transmitted through the optical fiber for cutting and welding. The transmission loss for the beams is in the range of 13% to 14%, which is low. As for plasma gouging, the shallow gouging made a groove measuring 10 mm in width and 4 mm in depth on the stainless steel plates at a traversing speed of 75 cm/min, while the deep gouging made a groove of 12 mm in width and 7.5 mm in depth at a traversing speed of 50 cm/min. In addition, it was found that the shallow gouging did not leave byproducts from the material, providing a clean surface. Based on the findings, it is shown that the YAG laser cutting/welding and plasma gouging techniques can be us3ed for remote welding and cutting in future fusion experimental reactors

  7. Remote welding and cutting techniques for fusion experimental reactors

    Energy Technology Data Exchange (ETDEWEB)

    Onozuka, M.; Ishide, T.; Oda, Y.; Nagaoka, E.; Ue, K.; Kamei, H. [Mitsubishi Heavy Industries, Ltd., Yokohama (Japan)

    1995-12-31

    Experimental investigation of the YAG laser cutting/welding and plasma gouging techniques has been conducted to examine their suitability for remote maintenance systems in future fusion experimental reactors. Using a hybrid beam coupling system, two laser beams of 500W and 740W powers were successfully combined to provide a 1,240W beam power. The combined laser was transmitted through the optical fiber for cutting and welding. The transmission loss for the beams is in the range of 13% to 14%, which is low. As for plasma gouging, the shallow gouging made a groove measuring 10 mm in width and 4 mm in depth on the stainless steel plates at a traversing speed of 75 cm/min, while the deep gouging made a groove of 12 mm in width and 7.5 mm in depth at a traversing speed of 50 cm/min. In addition, it was found that the shallow gouging did not leave byproducts from the material, providing a clean surface. Based on the findings, it is shown that the YAG laser cutting/welding and plasma gouging techniques can be us3ed for remote welding and cutting in future fusion experimental reactors.

  8. Microwave plasma-enhanced chemical vapour deposition growth of carbon nanostructures

    Directory of Open Access Journals (Sweden)

    Shivan R. Singh

    2010-05-01

    Full Text Available The effect of various input parameters on the production of carbon nanostructures using a simple microwave plasma-enhanced chemical vapour deposition technique has been investigated. The technique utilises a conventional microwave oven as the microwave energy source. The developed apparatus is inexpensive and easy to install and is suitable for use as a carbon nanostructure source for potential laboratory-based research of the bulk properties of carbon nanostructures. A result of this investigation is the reproducibility of specific nanostructures with the variation of input parameters, such as carbon-containing precursor and support gas flow rate. It was shown that the yield and quality of the carbon products is directly controlled by input parameters. Transmission electron microscopy and scanning electron microscopy were used to analyse the carbon products; these were found to be amorphous, nanotubes and onion-like nanostructures.

  9. Nitrogen Fixation by Gliding Arc Plasma: Better Insight by Chemical Kinetics Modelling.

    Science.gov (United States)

    Wang, Weizong; Patil, Bhaskar; Heijkers, Stjin; Hessel, Volker; Bogaerts, Annemie

    2017-05-22

    The conversion of atmospheric nitrogen into valuable compounds, that is, so-called nitrogen fixation, is gaining increased interest, owing to the essential role in the nitrogen cycle of the biosphere. Plasma technology, and more specifically gliding arc plasma, has great potential in this area, but little is known about the underlying mechanisms. Therefore, we developed a detailed chemical kinetics model for a pulsed-power gliding-arc reactor operating at atmospheric pressure for nitrogen oxide synthesis. Experiments are performed to validate the model and reasonable agreement is reached between the calculated and measured NO and NO 2 yields and the corresponding energy efficiency for NO x formation for different N 2 /O 2 ratios, indicating that the model can provide a realistic picture of the plasma chemistry. Therefore, we can use the model to investigate the reaction pathways for the formation and loss of NO x . The results indicate that vibrational excitation of N 2 in the gliding arc contributes significantly to activating the N 2 molecules, and leads to an energy efficient way of NO x production, compared to the thermal process. Based on the underlying chemistry, the model allows us to propose solutions on how to further improve the NO x formation by gliding arc technology. Although the energy efficiency of the gliding-arc-based nitrogen fixation process at the present stage is not comparable to the world-scale Haber-Bosch process, we believe our study helps us to come up with more realistic scenarios of entering a cutting-edge innovation in new business cases for the decentralised production of fertilisers for agriculture, in which low-temperature plasma technology might play an important role. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Remote device control and monitor system for the LHD deuterium experiments

    Energy Technology Data Exchange (ETDEWEB)

    Nakanishi, Hideya, E-mail: nakanisi@nifs.ac.jp [National Institute for Fusion Science (NIFS), Toki, Gifu 509-5292 (Japan); Dept. Fusion Science, SOKENDAI (The Graduate University for Advanced Studies), Toki, Gifu 509-5292 (Japan); Ohsuna, Masaki; Ito, Tatsuki; Nonomura, Miki; Imazu, Setsuo; Emoto, Masahiko; Iwata, Chie; Yoshida, Masanobu; Yokota, Mitsuhiro; Maeno, Hiroya; Aoyagi, Miwa; Ogawa, Hideki; Nakamura, Osamu; Morita, Yoshitaka; Inoue, Tomoyuki; Watanabe, Kiyomasa [National Institute for Fusion Science (NIFS), Toki, Gifu 509-5292 (Japan); Ida, Katsumi; Ishiguro, Seiji; Kaneko, Osamu [National Institute for Fusion Science (NIFS), Toki, Gifu 509-5292 (Japan); Dept. Fusion Science, SOKENDAI (The Graduate University for Advanced Studies), Toki, Gifu 509-5292 (Japan)

    2016-11-15

    Highlights: • Device remote control will be significant for the LHD deuterium experiments. • A central management GUI to control the power distribution for devices. • For safety, power management is separated from operational commanding. • Wi-Fi was tested and found to be not reliable with fusion plasmas. - Abstract: Upon beginning the LHD deuterium experiment, the opportunity for maintenance work in the torus hall will be conspicuously reduced such that all instruments must be controlled remotely. The LHD data acquisition (DAQ) and archiving system have been using about 110 DAQ front-end, and the DAQ central control and monitor system has been implemented for their remote management. This system is based on the “multi-agent” model whose communication protocol has been unified. Since DAQ front-end electronics would suffer from the “single-event effect” (SEE) of D-D neutrons, software-based remote operation might become ineffective, and then securely intercepting or recycling the electrical power of the device would be indispensable for recovering from a non-responding fault condition. In this study, a centralized control and monitor system has been developed for a number of power distribution units (PDUs). This system adopts the plug-in structure in which the plug-in modules can absorb the differences among the commercial products of numerous vendors. The combination of the above-mentioned functionalities has led to realizing the flexible and highly reliable remote control infrastructure for the plasma diagnostics and the device management in LHD.

  11. Investigation of the flatband voltage (V(FB)) shift of Al2O3 on N2 plasma treated Si substrate.

    Science.gov (United States)

    Kim, Hyungchul; Lee, Jaesang; Jeon, Heeyoung; Park, Jingyu; Jeon, Hyeongtag

    2013-09-01

    The relationships between the physical and electrical characteristics of films treated with N2 plasma followed by forming gas annealing (FGA) were investigated. The Si substrates were treated with various radio frequency (RF) power levels under a N2 ambient. Al2O3 films were then deposited on Si substrates via remote plasma atomic-layer deposition. The plasma characteristics, such as the radical and ion density, were investigated using optical emission spectroscopy. Through X-ray photoelectron spectroscopy, the chemical-bonding configurations of the samples treated with N2 plasma and FGA were examined. The quantity of Si-N bonds increased as the RF power was increased, and Si--O--N bonds were generated after FGA. The flatband voltage (VFB) was shifted in the negative direction with increasing RF power, but the VFB values of the samples after FGA shifted in the positive direction due to the formation of Si--O--N bonds. N2 plasma treatment with various RF power levels slightly increased the leakage current due to the generation of defect sites.

  12. Topographic, optical and chemical properties of zinc particle coatings deposited by means of atmospheric pressure plasma

    International Nuclear Information System (INIS)

    Wallenhorst, L.M.; Loewenthal, L.; Avramidis, G.; Gerhard, C.; Militz, H.; Ohms, G.; Viöl, W.

    2017-01-01

    Highlights: • Zn/ZnO mixed systems were deposited from elemental zinc by a cold plasma-spray process. • Oxidation was confirmed by XPS. • The coatings exhibited a strong absorption in the UV spectral range, thus being suitable as protective layers, e.g. on thermosensitive materials. - Abstract: In this research, topographic, optical and chemical properties of zinc oxide layers deposited by a cold plasma-spray process were measured. Here, zinc micro particles were fed to the afterglow of a plasma spark discharge whereas the substrates were placed in a quite cold zone of the effluent plasma jet. In this vein, almost closed layers were realised on different samples. As ascertained by laser scanning and atomic force microscopic measurements the particle size of the basic layer is in the nanometre scale. Additionally, larger particles and agglomerates were found on its top. The results indicate a partial plasma-induced diminishment of the initial particles, most probably due to melting or vaporisation. It is further shown that the plasma gives rise to an increased oxidation of such particles as confirmed by X-ray photoelectron spectroscopy. Quantitative analysis of the resulting mixed layer was performed. It is shown that the deposited layers consist of zinc oxide and elemental zinc in approximately equal shares. In addition, the layer's band gap energy was determined by spectroscopic analysis. Here, considerable UV blocking properties of the deposited layers were observed. Possible underlying effects as well as potential applications are presented.

  13. Topographic, optical and chemical properties of zinc particle coatings deposited by means of atmospheric pressure plasma

    Energy Technology Data Exchange (ETDEWEB)

    Wallenhorst, L.M., E-mail: lena.wallenhorst@hawk-hhg.de [University of Applied Sciences and Arts, Laboratory of Laser and Plasma Technologies, Von-Ossietzky-Str. 99, 37085 Göttingen (Germany); Loewenthal, L.; Avramidis, G. [University of Applied Sciences and Arts, Laboratory of Laser and Plasma Technologies, Von-Ossietzky-Str. 99, 37085 Göttingen (Germany); Gerhard, C. [University of Applied Sciences and Arts, Laboratory of Laser and Plasma Technologies, Von-Ossietzky-Str. 99, 37085 Göttingen (Germany); Fraunhofer Institute for Surface Engineering and Thin Films, Application Center for Plasma and Photonics, Von-Ossietzky-Str. 100, 37085 Göttingen (Germany); Militz, H. [Wood Biology and Wood Products, Burckhardt Institute, Georg-August-University Göttingen, Büsgenweg 4, 37077 Göttingen (Germany); Ohms, G. [University of Applied Sciences and Arts, Laboratory of Laser and Plasma Technologies, Von-Ossietzky-Str. 99, 37085 Göttingen (Germany); Viöl, W. [University of Applied Sciences and Arts, Laboratory of Laser and Plasma Technologies, Von-Ossietzky-Str. 99, 37085 Göttingen (Germany); Fraunhofer Institute for Surface Engineering and Thin Films, Application Center for Plasma and Photonics, Von-Ossietzky-Str. 100, 37085 Göttingen (Germany)

    2017-07-15

    Highlights: • Zn/ZnO mixed systems were deposited from elemental zinc by a cold plasma-spray process. • Oxidation was confirmed by XPS. • The coatings exhibited a strong absorption in the UV spectral range, thus being suitable as protective layers, e.g. on thermosensitive materials. - Abstract: In this research, topographic, optical and chemical properties of zinc oxide layers deposited by a cold plasma-spray process were measured. Here, zinc micro particles were fed to the afterglow of a plasma spark discharge whereas the substrates were placed in a quite cold zone of the effluent plasma jet. In this vein, almost closed layers were realised on different samples. As ascertained by laser scanning and atomic force microscopic measurements the particle size of the basic layer is in the nanometre scale. Additionally, larger particles and agglomerates were found on its top. The results indicate a partial plasma-induced diminishment of the initial particles, most probably due to melting or vaporisation. It is further shown that the plasma gives rise to an increased oxidation of such particles as confirmed by X-ray photoelectron spectroscopy. Quantitative analysis of the resulting mixed layer was performed. It is shown that the deposited layers consist of zinc oxide and elemental zinc in approximately equal shares. In addition, the layer's band gap energy was determined by spectroscopic analysis. Here, considerable UV blocking properties of the deposited layers were observed. Possible underlying effects as well as potential applications are presented.

  14. Modification of glassy carbon surfaces by atmospheric pressure cold plasma torch

    DEFF Research Database (Denmark)

    Mortensen, Henrik Junge; Kusano, Yukihiro; Leipold, Frank

    2006-01-01

    The effect of plasma treatment on glassy carbon (GC) surfaces was studied with adhesion improvement in mind. A newly constructed remote plasma source was used to treat GC plates. Pure He and a dilute NH3/He mixture were used as feed gases. Optical emission spectroscopy was performed for plasma to...

  15. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  16. Comparisons of physical and chemical sputtering in high density divertor plasmas with the Monte Carlo Impurity (MCI) transport model

    International Nuclear Information System (INIS)

    Evans, T.E.; Loh, Y.S.; West, W.P.; Finkenthal, D.F.

    1997-11-01

    The MCI transport model was used to compare chemical and physical sputtering for a DIII-D divertor plasma near detachment. With physical sputtering alone the integrated carbon influx was 8.4 x 10 19 neutral/s while physical plus chemical sputtering produced an integrated carbon influx of 1.7 x 10 21 neutrals/s. The average carbon concentration in the computational volume increased from 0.012% with only physical sputtering to 0.182% with both chemical and physical sputtering. This increase in the carbon inventory produced more radiated power which is in better agreement with experimental measurements

  17. Remote Maintenance Design Guide for Compact Processing Units

    Energy Technology Data Exchange (ETDEWEB)

    Draper, J.V.

    2000-07-13

    Oak Ridge National Laboratory (ORNL) Robotics and Process Systems (RPSD) personnel have extensive experience working with remotely operated and maintained systems. These systems require expert knowledge in teleoperation, human factors, telerobotics, and other robotic devices so that remote equipment may be manipulated, operated, serviced, surveyed, and moved about in a hazardous environment. The RPSD staff has a wealth of experience in this area, including knowledge in the broad topics of human factors, modular electronics, modular mechanical systems, hardware design, and specialized tooling. Examples of projects that illustrate and highlight RPSD's unique experience in remote systems design and application include the following: (1) design of a remote shear and remote dissolver systems in support of U.S. Department of Energy (DOE) fuel recycling research and nuclear power missions; (2) building remotely operated mobile systems for metrology and characterizing hazardous facilities in support of remote operations within those facilities; (3) construction of modular robotic arms, including the Laboratory Telerobotic Manipulator, which was designed for the National Aeronautics and Space Administration (NASA) and the Advanced ServoManipulator, which was designed for the DOE; (4) design of remotely operated laboratories, including chemical analysis and biochemical processing laboratories; (5) construction of remote systems for environmental clean up and characterization, including underwater, buried waste, underground storage tank (UST) and decontamination and dismantlement (D&D) applications. Remote maintenance has played a significant role in fuel reprocessing because of combined chemical and radiological contamination. Furthermore, remote maintenance is expected to play a strong role in future waste remediation. The compact processing units (CPUs) being designed for use in underground waste storage tank remediation are examples of improvements in systems

  18. Suppression of Tritium Retention in Remote Areas of ITER by Nonperturbative Reactive Gas Injection

    NARCIS (Netherlands)

    Tabares, F. L.; Ferreira, J. A.; Ramos, A.; van Rooij, G. J.; Westerhout, J.; Al, R.; Rapp, J.; Drenik, A.; Mozetic, M.

    2010-01-01

    A technique based on reactive gas injection in the afterglow region of the divertor plasma is proposed for the suppression of tritium-carbon codeposits in remote areas of ITER when operated with carbon-based divertor targets. Experiments in a divertor simulator plasma device indicate that a 4 nm/min

  19. Remote and direct plasma regions for low-temperature growth of carbon nanotubes on glass substrates for display applications

    International Nuclear Information System (INIS)

    Tabatabaei, M K; Ghafouri fard, H; Koohsorkhi, J; Khatami, S; Mohajerzadeh, S

    2011-01-01

    A novel method for growing carbon nanotubes (CNTs) on glass substrates is introduced in this study. A two-stage plasma was used to achieve low-temperature and vertically aligned CNTs. Ni deposited on indium tin oxide/glass substrate was used as the catalyst and hydrogen and acetylene were used as gas feeds. In this investigation a new technique was developed to grow vertically aligned CNTs at temperatures below 400 deg. C while CNT growth by plasma-enhanced chemical vapour deposition required high temperatures. Low-temperature growth of vertically aligned CNTs was suitable for the fabrication of micro-lens and self-oriented displays on glass substrates. Also, we have reported a new configuration for CNT-based display by means of controlling the refractive index of liquid crystal around the CNT by applying a proper voltage to the top and bottom array.

  20. Numerical simulation of Trichel pulses of negative DC corona discharge based on a plasma chemical model

    Science.gov (United States)

    Chen, Xiaoyue; Lan, Lei; Lu, Hailiang; Wang, Yu; Wen, Xishan; Du, Xinyu; He, Wangling

    2017-10-01

    A numerical simulation method of negative direct current (DC) corona discharge based on a plasma chemical model is presented, and a coaxial cylindrical gap is adopted. There were 15 particle species and 61 kinds of collision reactions electrons involved, and 22 kinds of reactions between ions are considered in plasma chemical reactions. Based on this method, continuous Trichel pulses are calculated on about a 100 us timescale, and microcosmic physicochemical process of negative DC corona discharge in three different periods is discussed. The obtained results show that the amplitude of Trichel pulses is between 1-2 mA, and that pulse interval is in the order of 10-5 s. The positive ions produced by avalanche ionization enhanced the electric field near the cathode at the beginning of the pulse, then disappeared from the surface of cathode. The electric field decreases and the pulse ceases to develop. The negative ions produced by attachment slowly move away from the cathode, and the electric field increases gradually until the next pulse begins to develop. The positive and negative ions with the highest density during the corona discharge process are O4+ and O3- , respectively.

  1. A generic remote method invocation for intensive data processing

    International Nuclear Information System (INIS)

    Neto, A.; Alves, D.; Fernandes, H.; Ferreira, J.S.; Varandas, C.A.F.

    2006-01-01

    Based on the Extensible Markup Language (XML) and the Remote Method Invocation (RMI) standards, a client/server remote data analysis application has been developed for intensive data processing. This GRID oriented philosophy allows a powerful tool to maintain updated code and centralized computational resources. Another major feature is the ability to share proprietary algorithms in remote computers without the need of local code and libraries installation and maintenance. The 16 CPU Orionte cluster in operation at Centro de Fusao Nuclear (CFN) is currently used to provide remote data analysis. The codes running in languages such as Octave, C, Fortran or IDL are called through a script remote invocation and data is released to the client as soon as available. The remote calculations parameters are described in an XML file containing the configuration for the server runtime environment. Since the execution is made by calling a script any program can be launched to perform the analysis, the only requirement is the implementation of the protocol described in XML. Some plasma properties of the CFN tokamak (ISTTOK) that require heavy computational resources are already obtained using this approach, allowing ready inter-shot analysis and parameterization decisions

  2. A generic remote method invocation for intensive data processing

    Energy Technology Data Exchange (ETDEWEB)

    Neto, A. [Associacao Euratom/IST, Centro de Fusao Nuclear, Av. Rovisco Pais, P-1049-001 Lisbon (Portugal)]. E-mail: andre.neto@cfn.ist.utl.pt; Alves, D. [Associacao Euratom/IST, Centro de Fusao Nuclear, Av. Rovisco Pais, P-1049-001 Lisbon (Portugal); Fernandes, H. [Associacao Euratom/IST, Centro de Fusao Nuclear, Av. Rovisco Pais, P-1049-001 Lisbon (Portugal); Ferreira, J.S. [Associacao Euratom/IST, Centro de Fusao Nuclear, Av. Rovisco Pais, P-1049-001 Lisbon (Portugal); Varandas, C.A.F. [Associacao Euratom/IST, Centro de Fusao Nuclear, Av. Rovisco Pais, P-1049-001 Lisbon (Portugal)

    2006-07-15

    Based on the Extensible Markup Language (XML) and the Remote Method Invocation (RMI) standards, a client/server remote data analysis application has been developed for intensive data processing. This GRID oriented philosophy allows a powerful tool to maintain updated code and centralized computational resources. Another major feature is the ability to share proprietary algorithms in remote computers without the need of local code and libraries installation and maintenance. The 16 CPU Orionte cluster in operation at Centro de Fusao Nuclear (CFN) is currently used to provide remote data analysis. The codes running in languages such as Octave, C, Fortran or IDL are called through a script remote invocation and data is released to the client as soon as available. The remote calculations parameters are described in an XML file containing the configuration for the server runtime environment. Since the execution is made by calling a script any program can be launched to perform the analysis, the only requirement is the implementation of the protocol described in XML. Some plasma properties of the CFN tokamak (ISTTOK) that require heavy computational resources are already obtained using this approach, allowing ready inter-shot analysis and parameterization decisions.

  3. Structural characterization of H plasma-doped ZnO single crystals by positron annihilation spectroscopies

    Energy Technology Data Exchange (ETDEWEB)

    Anwand, Wolfgang; Brauer, Gerhard; Cowan, Thomas E. [Institut fuer Strahlenphysik, Forschungszentrum Dresden-Rossendorf, P.O. Box 510 119, 01314 Dresden (Germany); Grambole, Dieter; Skorupa, Wolfgang [Institut fuer Ionenstrahlphysik und Materialforschung, Forschungszentrum Dresden-Rossendorf, P.O. Box 510 119, 01314 Dresden (Germany); Cizek, Jakub; Kuriplach, Jan; Prochazka, Ivan [Department of Low Temperature Physics, Charles University, V Holesovickach 2, 18000 Prague (Czech Republic); Egger, Werner; Sperr, Peter [Institut fuer Angewandte Physik und Messtechnik, Fakultaet fuer Luft- und Raumfahrttechnik, Universitaet der Bundeswehr, Heisenbergweg 39, 85579 Neubiberg (Germany)

    2010-11-15

    Nominally undoped, hydrothermally grown ZnO single crystals have been investigated before and after exposure to remote H plasma. Structural characterizations have been made by various positron annihilation spectroscopies (continuous and pulsed slow positron beams, conventional lifetime). The content of bound hydrogen (H-b) before and after the remote H plasma treatment at the polished side of the crystals was determined at depths of 100 and 600 nm, respectively, using nuclear reaction analysis. At a depth of 100 nm, H-b increased from (11.8{+-}2.5) to (48.7{+-}7.6) x 10{sup 19} cm{sup -3} after remote H plasma treatment, whereas at 600 nm no change in H-b was observed. (Copyright copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. Chemically produced nanostructured ODS-lanthanum oxide-tungsten composites sintered by spark plasma

    International Nuclear Information System (INIS)

    Yar, Mazher Ahmed; Wahlberg, Sverker; Bergqvist, Hans; Salem, Hanadi G.; Johnsson, Mats; Muhammed, Mamoun

    2011-01-01

    High purity W and W-0.9La 2 O 3 (wt.%) nanopowders were produced by a wet chemical route. The precursor was prepared by the reaction of ammonium paratungstate (APT) with lanthanum salt in aqueous solutions. High resolution electron microscopy investigations revealed that the tungstate particles were coated with oxide precipitates. The precursor powder was reduced to tungsten metal with dispersed lanthanum oxide. Powders were consolidated by spark plasma sintering (SPS) at 1300 and 1400 o C to suppress grain growth during sintering. The final grain size relates to the SPS conditions, i.e. temperature and heating rate, regardless of the starting powder particle size. Scanning electron microscopy revealed that oxide phases were mainly accumulated at grain boundaries while the tungsten matrix constituted of nanosized sub-grains. The transmission electron microscopy revealed that the tungsten grains consist of micron-scale grains and finer sub-grains. EDX analysis confirmed the presence of W in dispersed oxide phases with varying chemical composition, which evidenced the presence of complex oxide phases (W-O-La) in the sintered metals.

  5. Remote in-situ laser-induced breakdown spectroscopy using optical fibers

    Science.gov (United States)

    Marquardt, Brian James

    The following dissertation describes the development of methods for performing remote Laser-Induced Breakdown Spectroscopy (LIBS) using optical fibers. Studies were performed to determine the optimal excitation and collection parameters for remote LIBS measurements of glasses, soils and paint. A number of fiber-optic LIBS probes were developed and used to characterize various samples by plasma emission spectroscopy. A novel method for launching high-power laser pulses into optical fibers without causing catastrophic failure is introduced. A systematic study of a number of commercially available optical fibers was performed to determine which optical fibers were best suited for delivering high-power laser pulses. The general design of an all fiber-optic LIBS probe is described and applied to the determination of Pb in soil. A fiber-optic probe was developed for the microanalysis of solid samples remotely by LIBS, Raman spectroscopy and Raman imaging. The design of the probe allows for real-time sample imaging in-situ using coherent imaging fibers. This allows for precise atomic emission and Raman measurements to be performed remotely on samples in hostile or inaccessible environments. A novel technique was developed for collecting spectral plasma images using an acousto-optic tunable filter (AOTF). The spatial and temporal characteristics of the plasma were studied as a function of delay time. From the plasma images the distribution of Pb emission could be determined and fiber-optic designs could be optimized for signal collection. The performance of a two fiber LIBS probe is demonstrated for the determination of the amount of lead in samples of dry paint. It is shown that dry paint samples can be analyzed for their Pb content in-situ using a fiber-optic LIBS probe with detection limits well below the levels currently regulated by the Consumer Products Safety Commission. It is also shown that these measurements can be performed on both latex and enamel paints, and

  6. Ex-vessel remote maintenance for the Compact Ignition Tokamak

    International Nuclear Information System (INIS)

    Spampinato, P.T.; Macdonald, D.

    1987-01-01

    The use of deuterium-tritium (D-T) fuel for operation of the Compact Ignition Tokamak (CIT) requires the use of remote handling technology to carry out maintenance operations on the machine. These operations consist in removing and repairing such components as diagnostic modules by using remotely operated maintenance equipment. The major equipment being developed for maintenance external to the plasma chamber includes a bridge-mounted manipulator system for test cell operations, decontamination (decon) equipment, hot cell equipment, and solid-radiation-waste-handling equipment. Wherever possible, the project will use commercially available equipment. Several areas of the maintenance system design were addressed in fiscal year (FY) 1987, including conceptual designs of manipulator systems, the start of a remote equipment research and development (RandD) program, and definition of the hot cell, decon, and equipment repair facility requirements. R and D work included preliminary demonstrations of remote handling operations on full-size, partial mock-ups of the CIT machine at the Oak Ridge National Laboratory (ORNL) Remote Operations and Maintenance Development (ROMD) Facility. 1 ref., 6 figs

  7. The ITER EC H&CD Upper Launcher: Analysis of vertical Remote Handling applied to the BSM maintenance

    NARCIS (Netherlands)

    Grossetti, G.; Aiello, G.; Heemskerk, C.; Elzendoorn, B.; Geßner, R.; Koning, J.; Meier, A.; Ronden, D.; Späh, P.; Scherer, T.; Schreck, S.; Strauß, D.; Vaccaro, A.

    2013-01-01

    This paper deals with Remote Handling activities foreseen on the Blanket Shield Module, the plasma facing component of the ITER Electron Cyclotron Heating and Current Drive Upper Launcher. The maintenance configuration considered here is the Vertical Remote Handling, meaning gravity acting along the

  8. Development of novel tungsten processing technologies for electro-chemical machining (ECM) of plasma facing components

    International Nuclear Information System (INIS)

    Holstein, Nils; Krauss, Wolfgang; Konys, Juergen

    2011-01-01

    Plasma facing components for fusion applications must exhibit long-term stability under extreme conditions, and therefore material imperfections cannot be tolerated due to a high risk of technical failures. To prevent or abolish defects in refractory metals components during the manufacturing process, some methods of electro-chemical machining as S-ECM and C-ECM were developed, enabling both the processing of smooth plain defect-free surfaces of different geometry and the removal of bulk material for the shaping of three-dimensional structures, also without cracks. It is discussed, that tungsten ablation with accurate electro-chemical molding is very sensitive to the kind of electric current, and therefore current investigations focused also on the effects of frequency profiles on the sharpness of edge rounding.

  9. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O{sub 2} induced remote plasma: effect of oxygen fraction

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M; Saloum, S [Department of Physics, Atomic Energy Commission of Syria (AECS), PO Box 6091 Damascus (Syrian Arab Republic)], E-mail: scientific6@aec.org.sy

    2008-09-07

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyledisiloxane (HMDSO)/O{sub 2} mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions ({chi}{sub O{sub 2}}=0, 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at ({chi}{sub O{sub 2}}=0 exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease ({approx}one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O{sub 2} mixtures exhibit two separated 'green-blue' and 'yellow-green' PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm{sup -1}) in the spectral range of their PL emission, attractive for possible integrated optics devices.

  10. Plasma catalysis for nitrogen fixation reactions

    NARCIS (Netherlands)

    Patil, B.S.; Wang, Q.; Hessel, V.; Lang, J.; Stankiewicz, A.; Stefanidis, G.

    2016-01-01

    The preferences for localized chemicals production and changing scenarios of renewable electricity cost gives a renewed boost to plasma-assisted valuable chemicals production. Especially, plasma-assisted nitrogen fixation for fertilizer production has the potential to largely change the energy

  11. Plasma processing and chemistry

    NARCIS (Netherlands)

    Schram, D.C.; Mullen, van der J.J.A.M.; Sanden, van de M.C.M.

    1994-01-01

    The growing field of applications of plasma as deposition, etching, surface modification and chemical conversion has stimulated a renewed interest in plasma science in the atomic physical chemistry regime. The necessity to optimize the various plasma processing techniques in terms of rates, and

  12. Blanket maintenance by remote means using the cassette blanket approach

    International Nuclear Information System (INIS)

    Werner, R.W.

    1978-01-01

    Induced radioactivity in the blanket and other parts of a fusion reactor close to the plasma zone will dictate remote assembly, disassembly, and maintenance procedures. Time will be of the essence in these procedures. They must be practicable and certain. This paper discusses the reduction of a complicated Tokamak reactor to a simpler assembly via the use of a vacuum building in which to house the reactor and the introduction in this new model of cassette blanket modules. The cassettes significantly simplify remote handling

  13. Optical constants of silicon-like (Si:Ox:Cy:Hz) thin films deposited on quartz using hexamethyldisiloxane in a remote RF hollow cathode discharge plasma

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.

    2008-01-01

    Deposition of amorphous silicon-like (Si:O x :C y :H z ) thin films in a remote RF hollow cathode discharge plasma using Hexamethyldisoloxane as monomer and Ar as feed gas; has been investigated for films optical constants and plasma diagnostic as a function of RF power (100-300 W) and precursor flow rate (1-10 sccm). Plasma diagnostic has been performed using optical emission spectroscopy (OES). The optical constants (refractive index, extinction coefficient and dielectric constant) have been obtained by reflection/transmission measurements in the range 300-700 nm. It is found that the refractive index increases from 1.92 to 1.97 with increasing power from 100 to 300 W, and from 1.70 to 1.92 with increasing precursor flow rate from 1 to 10 sccm. The optical energy-band gap E g and the optical-absorption tail ΔE have been estimated from optical absorption spectra, it is found that E g decreases from 3.28 eV to 3.14 eV with power increase from 100 to 300 W, and from 3.54 eV to 3.28 eV with precursor flow rate increase from 1 to 10 sccm. ΔE is found to increase with applied RF power and precursor flow rate increase. The dependence of optical constants on deposition parameters has been correlated to plasma OES. (author)

  14. Effects of pulse frequency of input power on the physical and chemical properties of pulsed streamer discharge plasmas in water

    Science.gov (United States)

    Ruma; Lukes, P.; Aoki, N.; Spetlikova, E.; Hosseini, S. H. R.; Sakugawa, T.; Akiyama, H.

    2013-03-01

    A repetitive pulsed-power modulator, which employs a magnetic pulse compression circuit with a high-speed thyristor switch, was used to study the effects of the pulse repetition rate of input power on the physical and chemical properties of pulsed discharges in water. Positive high-voltage pulses of 20 kV with repetition rates of up to 1 kHz were used to generate a discharge in water using the point-to-plane electrode geometry. By varying the pulse repetition rate, two distinct modes of the discharge plasma were formed in water. The first mode was characterized by the formation of a corona-like discharge propagating through water in the form of streamer channels. The second mode was formed typically above 500 Hz, when the formation of streamer channels in water was suppressed and all plasmas occurred inside a spheroidal aggregate of very fine gas bubbles surrounding the tip of the high-voltage electrode. The production of hydrogen peroxide, degradation of organic dye Acid Orange 7 (AO7) and inactivation of bacteria Escherichia coli by the discharge in water were studied under different discharge plasma modes in dependence on the pulse repetition rate of input power. The efficiency of both chemical and biocidal processes induced by the plasma in water decreased significantly with pulse repetition rates above 500 Hz.

  15. Effects of pulse frequency of input power on the physical and chemical properties of pulsed streamer discharge plasmas in water

    International Nuclear Information System (INIS)

    Ruma; Aoki, N; Hosseini, S H R; Sakugawa, T; Akiyama, H; Lukes, P; Spetlikova, E

    2013-01-01

    A repetitive pulsed-power modulator, which employs a magnetic pulse compression circuit with a high-speed thyristor switch, was used to study the effects of the pulse repetition rate of input power on the physical and chemical properties of pulsed discharges in water. Positive high-voltage pulses of 20 kV with repetition rates of up to 1 kHz were used to generate a discharge in water using the point-to-plane electrode geometry. By varying the pulse repetition rate, two distinct modes of the discharge plasma were formed in water. The first mode was characterized by the formation of a corona-like discharge propagating through water in the form of streamer channels. The second mode was formed typically above 500 Hz, when the formation of streamer channels in water was suppressed and all plasmas occurred inside a spheroidal aggregate of very fine gas bubbles surrounding the tip of the high-voltage electrode. The production of hydrogen peroxide, degradation of organic dye Acid Orange 7 (AO7) and inactivation of bacteria Escherichia coli by the discharge in water were studied under different discharge plasma modes in dependence on the pulse repetition rate of input power. The efficiency of both chemical and biocidal processes induced by the plasma in water decreased significantly with pulse repetition rates above 500 Hz. (paper)

  16. Foundations of atmospheric pressure non-equilibrium plasmas

    Science.gov (United States)

    Bruggeman, Peter J.; Iza, Felipe; Brandenburg, Ronny

    2017-12-01

    Non-equilibrium plasmas have been intensively studied over the past century in the context of material processing, environmental remediation, ozone generation, excimer lamps and plasma display panels. Research on atmospheric pressure non-equilibrium plasmas intensified over the last two decades leading to a large variety of plasma sources that have been developed for an extended application range including chemical conversion, medicine, chemical analysis and disinfection. The fundamental understanding of these discharges is emerging but there remain a lot of unexplained phenomena in these intrinsically complex plasmas. The properties of non-equilibrium plasmas at atmospheric pressure span over a huge range of electron densities as well as heavy particle and electron temperatures. This paper provides an overview of the key underlying processes that are important for the generation and stabilization of atmospheric pressure non-equilibrium plasmas. The unique physical and chemical properties of theses discharges are also summarized.

  17. Fuel gas production by microwave plasma in liquid

    International Nuclear Information System (INIS)

    Nomura, Shinfuku; Toyota, Hiromichi; Tawara, Michinaga; Yamashita, Hiroshi; Matsumoto, Kenya

    2006-01-01

    We propose to apply plasma in liquid to replace gas-phase plasma because we expect much higher reaction rates for the chemical deposition of plasma in liquid than for chemical vapor deposition. A reactor for producing microwave plasma in a liquid could produce plasma in hydrocarbon liquids and waste oils. Generated gases consist of up to 81% hydrogen by volume. We confirmed that fuel gases such as methane and ethylene can be produced by microwave plasma in liquid

  18. One-Step Reforming of CO2 and CH4 into High-Value Liquid Chemicals and Fuels at Room Temperature by Plasma-Driven Catalysis.

    Science.gov (United States)

    Wang, Li; Yi, Yanhui; Wu, Chunfei; Guo, Hongchen; Tu, Xin

    2017-10-23

    The conversion of CO 2 with CH 4 into liquid fuels and chemicals in a single-step catalytic process that bypasses the production of syngas remains a challenge. In this study, liquid fuels and chemicals (e.g., acetic acid, methanol, ethanol, and formaldehyde) were synthesized in a one-step process from CO 2 and CH 4 at room temperature (30 °C) and atmospheric pressure for the first time by using a novel plasma reactor with a water electrode. The total selectivity to oxygenates was approximately 50-60 %, with acetic acid being the major component at 40.2 % selectivity, the highest value reported for acetic acid thus far. Interestingly, the direct plasma synthesis of acetic acid from CH 4 and CO 2 is an ideal reaction with 100 % atom economy, but it is almost impossible by thermal catalysis owing to the significant thermodynamic barrier. The combination of plasma and catalyst in this process shows great potential for manipulating the distribution of liquid chemical products in a given process. © 2017 The Authors. Published by Wiley-VCH Verlag GmbH & Co. KGaA.

  19. Investigating chlorophyll and nitrogen levels of mangroves at Al-Khor, Qatar: an integrated chemical analysis and remote sensing approach.

    Science.gov (United States)

    Al-Naimi, Noora; Al-Ghouti, Mohammad A; Balakrishnan, Perumal

    2016-05-01

    Mangroves are unique ecosystems that dominate tropical and subtropical coastlines around the world. They provide shelter and nursery to wide variety of species such as fish and birds. Around 73 species of mangroves were recognized around the world. In Qatar, there is only one mangrove species Avicennia marina that is predominant along the northeastern coast. Assessing the health of these valuable ecosystems is vital for protection, management, and conservation of those resources. In this study, an integrated approach of chemical and remote sensing analysis was implemented to investigate the current status of the mangrove trees in Al-Khor, Qatar. Fifteen different A. marina trees from different locations in the mangrove forest were examined for their chlorophyll and nitrogen content levels. Soil analysis was also conducted to understand the effect of moisture on nitrogen availability. Results shows that currently, mangroves are in a good status in terms of nitrogen availability and chlorophyll levels which are related and both are key factors for photosynthesis. Remote sensing techniques were used for chlorophyll prediction. The results showed that these methods have the potential to be used for chlorophyll prediction and estimation.

  20. Remote maintenance lessons learned on prototypical reprocessing equipment

    International Nuclear Information System (INIS)

    Kring, C.T.; Schrock, S.L.

    1990-01-01

    A major objective of the Consolidated Fuel Reprocessing Program at the Oak Ridge National Laboratory is to develop and demonstrate the technology required to reprocess spent nuclear fuel. The Fuel Recycle Division, over the past 16 years, has undertaken this objective by designing and testing prototypical hardware representing essentially every major equipment item currently included in most fuel reprocessing plant conceptual designs. These designs are based on total remote maintenance to increase plant availability and reduce radiation exposure to plant operators. The designs include modular equipment to facilitate maintainability and the remote manipulation necessary to accomplish maintenance tasks. Prototypic equipment has been installed and tested in a cold mock-up of a reprocessing hot cell, called the remote operations and maintenance demonstration facility. The applied maintenance concept utilizes the dexterity and mobility of bridge-mounted, force-reflecting servomanipulators. Prototypic processing equipment includes a remote disassembly system, a remote shear system, a rotary dissolver, a remote automated sampler system, removable equipment racks to support chemical process equipment items, and the advanced servomanipulators. Each of these systems and a brief description of functions are discussed

  1. Plasma in wound healing

    NARCIS (Netherlands)

    Tipa, R.S.

    2012-01-01

    Plasmas, due to their electrical and chemical properties, release in the invironment a unique cocktail of charged species, energetic photons and active radicals. Plasmas have a miriad of applications. To mention just a few, plasmas are being used in the semiconductors industry, atomic layer

  2. Effect of electronegative additives on physical properties and chemical activity of gas discharge plasma

    Science.gov (United States)

    Kuznetsov, D. L.; Filatov, I. E.; Uvarin, V. V.

    2018-01-01

    Effect of electronegative additives (oxygen O2, sulfur dioxide SO2, carbon disulfide CS2, and carbon tetrachloride CCl4) on physical properties and chemical activity of plasma formed by pulsed corona discharge and by non-self-sustained discharge supported by pulsed electron beam in atmospheric pressure gas mixtures was investigated. It is shown that a decrease in discharge current depends on a sort of the additive and on its concentration. The reason is the difference in rate constants of electron attachment processes for the above molecules. In experiments on volatile organic compounds (VOCs) conversion in air by streamer corona it is obtained that an addition of CCl4 both decreases the discharge current amplitude and increases the VOCs conversion degree. An installation for investigation of electron attachment processes and for study of toxic impurities conversion in plasma formed by non-self-sustained discharge initiated by pulsed nanosecond electron beam is created.

  3. The design of remote discharge scenario management system on EAST

    Energy Technology Data Exchange (ETDEWEB)

    Chai, W.T, E-mail: wtchai@ipp.ac.cn [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei, Anhui (China); University of Science and Technology of China, Hefei, Anhui (China); Xiao, B.J [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei, Anhui (China); University of Science and Technology of China, Hefei, Anhui (China); Yuan, Q.P; Zhang, R.R. [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei, Anhui (China)

    2016-11-15

    Highlights: • The remote discharge scenario management system is established on EAST, it provides some useful function for operators to manage discharge scenarios and formulate discharge schedule. • Operators can use this system to formulate discharge schedule on account of it can electing optimal scenarios automatically. • The system is not only for local user but also for remote user. • In the future, we can combine with actual discharge data and data mining technology to acquire optimal configuration, which to generate expert database and guiding experiment. - Abstract: The discharge scenarios on EAST plasma control system (PCS), characterized by different waveform parameters and different hardware requirements, will need a systematic discharge scenario management system for remote and local operators, in order to optimize storage structure and rationally manage discharge time. The remote management of discharge scenarios will require extending the functionalities of the present PCS “future shot” and “next shot” modules. Taking advantage of database technique, the operators can acquire detail information of all discharge scenarios directly without PCS user interface and search the specified scenarios by key words. In addition, the system can elect optimal scenarios automatically based on discharge schedule and plasma pulse setting for later artificial selection. To this purpose, a new remote discharge scenario management system (RDSMS) basis for Web is being conceived on EAST. The system contains a database with functions of “user management”, “scenario verification”, “prepared scenario management”, “actual discharge scenario management” and “discharge schedule management”. This paper will present the relevant conceptual design and give an account of the test results for implementation on EAST discharges.

  4. The design of remote discharge scenario management system on EAST

    International Nuclear Information System (INIS)

    Chai, W.T; Xiao, B.J; Yuan, Q.P; Zhang, R.R.

    2016-01-01

    Highlights: • The remote discharge scenario management system is established on EAST, it provides some useful function for operators to manage discharge scenarios and formulate discharge schedule. • Operators can use this system to formulate discharge schedule on account of it can electing optimal scenarios automatically. • The system is not only for local user but also for remote user. • In the future, we can combine with actual discharge data and data mining technology to acquire optimal configuration, which to generate expert database and guiding experiment. - Abstract: The discharge scenarios on EAST plasma control system (PCS), characterized by different waveform parameters and different hardware requirements, will need a systematic discharge scenario management system for remote and local operators, in order to optimize storage structure and rationally manage discharge time. The remote management of discharge scenarios will require extending the functionalities of the present PCS “future shot” and “next shot” modules. Taking advantage of database technique, the operators can acquire detail information of all discharge scenarios directly without PCS user interface and search the specified scenarios by key words. In addition, the system can elect optimal scenarios automatically based on discharge schedule and plasma pulse setting for later artificial selection. To this purpose, a new remote discharge scenario management system (RDSMS) basis for Web is being conceived on EAST. The system contains a database with functions of “user management”, “scenario verification”, “prepared scenario management”, “actual discharge scenario management” and “discharge schedule management”. This paper will present the relevant conceptual design and give an account of the test results for implementation on EAST discharges.

  5. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    Science.gov (United States)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  6. Remotely controlled inspection and handling systems for decommissioning tasks in nuclear facilities

    International Nuclear Information System (INIS)

    Schreck, G.; Bach, W.; Haferkamp, H.

    1993-01-01

    The Institut fur Werkstoffkunde at the University of Hanover has recently developed three remotely controlled systems for different underwater inspection and dismantling tasks. ODIN I is a tool guiding device, particularly being designed for the dismantling of the steam dryer housing of the KRB A power plant at Gundremmingen, Germany. After being approved by the licencing organization TUEV Bayern, hot operation started in November 1992. The seven axes remotely controlled handling system ZEUS, consisting of a three translatory axes guiding machine and a tool handling device with four rotatory axes, has been developed for the demonstration of underwater plasma arc cutting of spherical metallic components with great wall thicknesses. A specially designed twin sensor system and a modular torch, exchanged by means of a remote controlled tool changing device, will be used for different complex cutting tasks. FAUST, an autonomous, freediving underwater vehicle, was designed for complex inspection, maintenance and dismantling tasks. It is equipped with two video cameras, an ultrasonic and a radiologic sensor and a small plasma torch. A gripper and a subsidiary vehicle for inspection may be attached. (author)

  7. An introduction to boundary plasma physics

    International Nuclear Information System (INIS)

    Shimizu, Katsuhiro; Takizuka, Tomonori

    2004-01-01

    History of tokamak experiments is briefly reviewed with a special focus on divertors. Two-point divertor model, which calculates plasma parameters up-stream and at the divertor plate for a given condition of particle flux and heat flux, is explained. The model is applied to ITER to discuss the heat flux onto the target plate. The important issues of divertor physics related to recycling, remote radiative cooling, detached plasma and MARFE are also introduced. (author)

  8. Carbon nanosheets by microwave plasma enhanced chemical vapor deposition in CH4-Ar system

    International Nuclear Information System (INIS)

    Wang Zhipeng; Shoji, Mao; Ogata, Hironori

    2011-01-01

    We employ a new gas mixture of CH 4 -Ar to fabricate carbon nanosheets by microwave plasma enhanced chemical vapor deposition at the growth temperature of less than 500 deg. C. The catalyst-free nanosheets possess flower-like structures with a large amount of sharp edges, which consist of a few layers of graphene sheets according to the observation by transmission electron microscopy. These high-quality carbon nanosheets demonstrated a faster electron transfer between the electrolyte and the nanosheet surface, due to their edge defects and graphene structures.

  9. Suppression of carbon desorption from 4H-SiC by irradiating a remote nitrogen plasma at a low temperature

    Science.gov (United States)

    Shimabayashi, Masaharu; Kurihara, Kazuaki; Sasaki, Koichi

    2018-05-01

    We remotely irradiated a nitrogen plasma onto the carbon-side surface of 4H-SiC at a low temperature, and examined the effect of sample cooling on the characteristics of the nitride layer. An improved nitride layer, which had higher concentrations of carbon and silicon and a lower concentration of oxygen, was formed in the region at depths of more than 0.6–0.9 nm from the top surface. The depth of the fragile nitride layer in the top region, where no improved characteristics of the nitride layer were observed, became smaller with sample cooling. In addition, on the basis of the experimental results, we discussed the difference in the activation energy of the nitriding reaction of 4H-SiC supported by atomic nitrogen and molecular nitrogen in the metastable \\text{A}3Σ \\text{u} + state.

  10. Remote control air sampling and fast chemical analysis of the composition

    Energy Technology Data Exchange (ETDEWEB)

    Kukuczka, A; Golisz, T

    1981-01-01

    In 1979 the Central mine rescue station in Bytom (Poland) developed and introduced into use a new method of remote control air and gas (from fires) sampling and swift chemical analysis of the samples' composition. The device in this method includes: a probe (situated beyond the fire detector directly in the control zone), thick-walled elastic hose, direr, piston pump, rotameter, chromatograph and minicomputer. The basic technical data included in the set: the capacity of the pump 8 1/min, 2.5 kg mass. run on 12 V current (built at the Main Mining Affairs Inst. in Poland); the chromatograph is VARIAN 1420-10 (USA produced),the working gas is helium (balloon volume 40 1), feed--alternating 220V, capacity--1.5 kW, time for measuring gas from fires-- 15 to 20 min (as apposed to the 1.5h spent before when the SRC device was being used) at an accuracy of /sup + -/ 0.05% (volume); the chromatograph works with a mini-computer (model CDS-111C) with a 20 kg mass.and a size of 16 x 46 x 56 cm. As tests in Moszczenica coal mines, where a fire in 504/2 occurred, showed (the chromatograph was located 750m from the probe for burning gases), the method proved to be a good one although the VARIAN 1420-10 was insufficiently reliable and the VARIAN-1400-AEROGRAF proved to be better.

  11. Improvement of the characteristics of chemical bath deposition-cadmium sulfide films deposited on an O{sub 2} plasma-treated polyethylene terephthalate substrate

    Energy Technology Data Exchange (ETDEWEB)

    Lim, Donggun [Department of Electronic Engineering, Korea National University of Transportation, Chungju-si, Chungcheongbuk-do 380-702 (Korea, Republic of); Lee, Jaehyeong [School of Electronic and Electrical Engineering, Sungkyunkwan University 300, Cheoncheon-dong, Jangan-gu, Sunwon, Kyeonggi-do, 440-746 (Korea, Republic of); Song, Woochang, E-mail: wcsong@kangwon.ac.kr [Department of Electrical Engineering, Kangwon National University, Samcheok-si, Gangwon-do 245-711 (Korea, Republic of)

    2013-11-01

    We prepared cadmium sulfide (CdS) films on a polyethylene terephthalate (PET) substrate by a chemical bath deposition (CBD) technique. To improve the adhesion between the CdS film and the PET substrate, the substrate was pre-treated with an O{sub 2} plasma by an inductively coupled plasma. The surface characterizations of the pre-treated PET substrate were analyzed by a contact angle measurement and atomic force microscopy. The results showed that that O{sub 2} plasma-treated PET films had more hydrophilic surface. The hydrophilic property of the substrate is one of the important factors when a film is prepared by CBD. The structural and the optical properties of the CdS films, deposited on PET substrates, were analyzed by using a scanning electron microscope, X-ray diffraction and a UV–visible spectrophotometer. The CdS films were formed on a compact and granular structure. The optical transmittance was also improved. Therefore, the O{sub 2} plasma treatment of a PET surface is an effective method of preparing CdS films deposited on substrates by CBD. - Highlights: • Chemical bath deposition of CdS film for flexible solar cells • O{sub 2} plasma treatment improved adhesion between the CdS and polymer substrate • Identification of best fabrication condition of CdS window layers for flexible solar cells.

  12. Controlling the Plasma-Polymerization Process of N-Vinyl-2-pyrrolidone

    DEFF Research Database (Denmark)

    Norrman, Kion; Winther-Jensen, Bjørn

    2005-01-01

    N-vinyl-2-pyrrolidone was plasma-polymerized on glass substrates using a pulsed AC plasma. Pulsed AC plasma produces a chemical surface structure different from that produced by conventional RF plasma; this is ascribed to the different power regimes used. A high degree of control over the structure...... of the chemical surface was obtained using pulsed AC plasma, as shown by ToF-SIMS. It is demonstrated how the experimental conditions to some extent control the chemical structure of the plasma-polymerized film, e.g., film thickness, density of post-plasma-polymerized oligomeric chains, and the density of intact...

  13. Chemical and microstructural characterizations of plasma polymer films by time-of-flight secondary ion mass spectrometry and principal component analysis

    Science.gov (United States)

    Cossement, Damien; Renaux, Fabian; Thiry, Damien; Ligot, Sylvie; Francq, Rémy; Snyders, Rony

    2015-11-01

    It is accepted that the macroscopic properties of functional plasma polymer films (PPF) are defined by their functional density and their crosslinking degree (χ) which are quantities that most of the time behave in opposite trends. If the PPF chemistry is relatively easy to evaluate, it is much more challenging for χ. This paper reviews the recent work developed in our group on the application of principal component analysis (PCA) to time-of-flight secondary ion mass spectrometric (ToF-SIMS) positive spectra data in order to extract the relative cross-linking degree (χ) of PPF. NH2-, COOR- and SH-containing PPF synthesized in our group by plasma enhanced chemical vapor deposition (PECVD) varying the applied radiofrequency power (PRF), have been used as model surfaces. For the three plasma polymer families, the scores of the first computed principal component (PC1) highlighted significant differences in the chemical composition supported by X-Ray photoelectron spectroscopy (XPS) data. The most important fragments contributing to PC1 (loadings > 90%) were used to compute an average C/H ratio index for samples synthesized at low and high PRF. This ratio being an evaluation of χ, these data, accordingly to the literature, indicates an increase of χ with PRF excepted for the SH-PPF. These results have been cross-checked by the evaluation of functional properties of the plasma polymers namely a linear correlation with the stability of NH2-PPF in ethanol and a correlation with the mechanical properties of the COOR-PPF. For the SH-PPF family, the peculiar evolution of χ is supported by the understanding of the growth mechanism of the PPF from plasma diagnostic. The whole set of data clearly demonstrates the potential of the PCA method for extracting information on the microstructure of plasma polymers from ToF-SIMS measurements.

  14. Towards ideal NOx control technology for bio-oils and a gas multi-fuel boiler system using a plasma-chemical hybrid process

    International Nuclear Information System (INIS)

    Fujishima, Hidekatsu; Takekoshi, Kenichi; Kuroki, Tomoyuki; Tanaka, Atsushi; Otsuka, Keiichi; Okubo, Masaaki

    2013-01-01

    Highlights: • A multi-fuel boiler system combined with NO x aftertreatment is developed. • NO x is removed from flue gas by a plasma-chemical hybrid process. • Waste bio-oils are utilized as renewable energy source and for CO 2 reduction. • Ultra low NO x emission less than 2 ppm is achieved. • The boiler system is applicable for industrial use. - Abstract: A super-clean boiler system comprising a multi-fuel boiler and a reactor for plasma-chemical hybrid NO x aftertreatment is developed, and its industrial applications are examined. The purpose of this research is to optimally reduce NO x emission and utilize waste bio-oil as a renewable energy source. First, NO oxidation using indirect plasma at elevated flue gas temperatures is investigated. It is clarified that more than 98% of NO is oxidized when the temperature of the flue gas is less than 130 °C. Three types of waste bio-oils (waste vegetable oil, rice bran oil, and fish oil) are burned in the boiler as fuels with a rotary-type burner for CO 2 reduction considering carbon neutrality. NO x in the flue gases of these bio-oils is effectively reduced by the indirect plasma-chemical hybrid treatment. Ultralow NO x emission less than 2 ppm is achieved for 450 min in the firing of city natural gas fuel. The boiler system can be successfully operated automatically according to unsteady steam demand and using an empirical equation for Na 2 SO 3 supply rate, and can be used in industries as an ideal NO x control technology

  15. Scenarios for remote gas production

    International Nuclear Information System (INIS)

    Tangen, Grethe; Molnvik, Mona J.

    2009-01-01

    The amount of natural gas resources accessible via proven production technology and existing infrastructure is declining. Therefore, smaller and less accessible gas fields are considered for commercial exploitation. The research project Enabling production of remote gas builds knowledge and technology aiming at developing competitive remote gas production based on floating LNG and chemical gas conversion. In this project, scenarios are used as basis for directing research related to topics that affect the overall design and operation of such plants. Selected research areas are safety, environment, power supply, operability and control. The paper summarises the scenario building process as a common effort among research institutes and industry. Further, it documents four scenarios for production of remote gas and outlines how the scenarios are applied to establish research strategies and adequate plans in a multidisciplinary project. To ensure relevance of the scenarios, it is important to adapt the building process to the current problem and the scenarios should be developed with extensive participation of key personnel.

  16. Experimental study of a RF plasma source with helicon configuration in the mix Ar/H_2. Application to the chemical etching of carbon materials surfaces in the framework of the plasma-wall interactions studies of ITER's divertor

    International Nuclear Information System (INIS)

    Bieber, T.

    2012-01-01

    The issue of the interaction wall-plasma is important in thermonuclear devices. The purpose of this work is to design a very low pressure atomic plasma source in order to study chemical etching of carbon surfaces in the same conditions as edge plasma in tokamaks. The experimental work has consisted in 2 stages: first, the characterisation of the new helicon configuration reactor developed for this research and secondly the atomic hydrogen source used for the chemical etching. The first chapter recalls what thermonuclear fusion is. The helicon configuration reactor as well as its diagnostics (optical emission spectroscopy, laser induced fluorescence - LIF, and Langmuir probe) are described in the second chapter. The third chapter deals with the different coupling modes (RF power and plasma) identified in pure argon plasmas and how they are obtained by setting experimental parameters such as injected RF power, magnetic fields or pressure. The fourth chapter is dedicated to the study of the difference in behavior between the electronic density and the relative density of metastable Ar"+ ions. The last chapter presents the results in terms of mass losses of the carbon material surfaces obtained with the atomic hydrogen source. (A.C.)

  17. Review on plasmas in extraordinary media: plasmas in cryogenic conditions and plasmas in supercritical fluids

    Science.gov (United States)

    Stauss, Sven; Muneoka, Hitoshi; Terashima, Kazuo

    2018-02-01

    Plasma science and technology has enabled advances in very diverse fields: micro- and nanotechnology, chemical synthesis, materials fabrication and, more recently, biotechnology and medicine. While many of the currently employed plasma tools and technologies are very advanced, the types of plasmas used in micro- and nanofabrication pose certain limits, for example, in treating heat-sensitive materials in plasma biotechnology and plasma medicine. Moreover, many physical properties of plasmas encountered in nature, and especially outer space, i.e. very-low-temperature plasmas or plasmas that occur in high-density media, are not very well understood. The present review gives a short account of laboratory plasmas generated under ’extreme’ conditions: at cryogenic temperatures and in supercritical fluids. The fundamental characteristics of these cryogenic plasmas and cryoplasmas, and plasmas in supercritical fluids, especially supercritical fluid plasmas, are presented with their main applications. The research on such exotic plasmas is expected to lead to further understanding of plasma physics and, at the same time, enable new applications in various technological fields.

  18. A new ground-penetrating radar system for remote site characterization

    International Nuclear Information System (INIS)

    Davis, K.C.; Sandness, G.A.

    1994-08-01

    The cleanup of waste burial sites and military bombing ranges involves the risk of exposing field personnel to toxic chemicals, radioactive materials, or unexploded munitions. Time-consuming and costly measures are required to provide protection from those hazards. Therefore, there is a growing interest in developing remotely controlled sensors and sensor platforms that can be employed in site characterization surveys. A specialized ground-penetrating radar has been developed to operate on a remotely controlled vehicle for the non-intrusive subsurface characterization of buried waste sites. Improved radar circuits provide enhanced performance, and an embedded microprocessor dynamically optimizes operation. The radar unit is packaged to survive chemical contamination and decontamination

  19. Sensing performance of plasma-enhanced chemical vapor deposition SiC-SiO2-SiC horizontal slot waveguides

    NARCIS (Netherlands)

    Pandraud, G.; Margallo-Balbas, E.; Sarro, P.M.

    2012-01-01

    We have studied, for the first time, the sensing capabilities of plasma-enhanced chemical vapor deposition (PECVD) SiC-SiO2-SiC horizontal slot waveguides. Optical propagation losses were measured to be 23.9 dB?cm for the quasi-transverse magnetic mode. To assess the potential of this device as a

  20. The structure and growth mechanism of Si nanoneedles prepared by plasma-enhanced chemical vapor deposition

    Czech Academy of Sciences Publication Activity Database

    Červenka, Jiří; Ledinský, Martin; Stuchlík, Jiří; Stuchlíková, The-Ha; Bakardjieva, Snejana; Hruška, Karel; Fejfar, Antonín; Kočka, Jan

    2010-01-01

    Roč. 21, č. 41 (2010), 415604/1-415604/7 ISSN 0957-4484 R&D Projects: GA MŠk(CZ) LC06040; GA AV ČR KAN400100701; GA MŠk LC510 EU Projects: European Commission(XE) 240826 - PolySiMode Institutional research plan: CEZ:AV0Z10100521; CEZ:AV0Z40320502 Keywords : nanoneedles * nanowires * silicon * plasma * chemical vapor deposition * crystal structure * growth * phonon * SEM * Raman Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.644, year: 2010

  1. Prehospital use of plasma: the blood bankers' perspective.

    Science.gov (United States)

    Hervig, Tor; Doughty, Heidi; Ness, Paul; Badloe, John F; Berseus, Olle; Glassberg, Elon; Heier, Hans E

    2014-05-01

    At the 2013 Traumatic Hemostasis and Oxygenation Research Network's Remote Damage Control Resuscitation symposium, a panel of senior blood bankers with both civilian and military background was invited to discuss their willingness and ability to supply prehospital plasma for resuscitation of massively bleeding casualties and to comment on the optimal preparations for such situations. Available evidence indicates that prehospital use of plasma may improve remote damage control resuscitation, although level I evidence is lacking. This practice is well established in several military services and is also being introduced in civilian settings. There are few, if any, clinical contraindications to the prehospital use of plasma, except for blood group incompatibility and the danger of transfusion-induced acute lung injury, which can be circumvented in various ways. However, the choice of plasma source, plasma preparation, and logistics including stock management require consideration. Staff training should include hemovigilance and traceability as well as recognition and management of eventual adverse effects. Prehospital use of plasma should occur within the framework of clinical algorithms and prospective clinical studies. Clinicians have an ethical responsibility to both patients and donors; therefore, the introduction of new clinical capabilities of transfusion must be safe, efficacious, and sustainable. The panel agreed that although these problems need further attention and scientific studies, now is the time for both military and civilian transfusion systems to prepare for prehospital use of plasma in massively bleeding casualties.

  2. Remote maintenance ''lessons learned'' on prototypical reprocessing equipment

    International Nuclear Information System (INIS)

    Kring, C.T.; Schrock, S.L.

    1990-01-01

    Hardware representative of essentially every major equipment item necessary for reprocessing breeder reactor nuclear fuel has been installed and tested for remote maintainability. This testing took place in a cold mock-up of a remotely maintained hot cell operated by the Consolidated Fuel Reprocessing Program (CFRP) within the Fuel Recycle Division at Oak Ridge National Laboratory (ORNL). The reprocessing equipment tested included a Disassembly System, a Shear System, a Dissolver System, an Automated Sampler System, removable Equipment Racks on which various chemical process equipment items were mounted, and an advanced servomanipulator (ASM). These equipment items were disassembled and reassembled remotely by using the remote handling systems that are available within the cold mock-up area. This paper summarizes the ''lessons learned'' as a result of the numerous maintenance activities associated with each of these equipment items. 4 refs., 3 figs., 1 tab

  3. Atmospheric plasma generates oxygen atoms as oxidizing species in aqueous solutions

    International Nuclear Information System (INIS)

    Hefny, Mohamed Mokhtar; Pattyn, Cedric; Benedikt, Jan; Lukes, Petr

    2016-01-01

    A remote microscale atmospheric pressure plasma jet ( µ APPJ) with He, He/H 2 O, He/O 2 , and He/O 2 /H 2 O gas mixtures was used to study the transport of reactive species from the gas phase into the liquid and the following aqueous phase chemistry. The effects induced by the µ APPJ in water were quantitatively studied using phenol as a chemical probe and by measuring H 2 O 2 concentration and pH values. These results were combined with the analysis of the absolute densities of the reactive species and the modeling of convective/diffusion transport and recombination reactions in the effluent of the plasma jet. Additionally, modified plasma jets were used to show that the role of emitted photons in aqueous chemistry is negligible for these plasma sources. The fastest phenol degradation was measured for the He/O 2 plasma, followed by He/H 2 O, He/O 2 /H 2 O, and He plasmas. The modeled quantitative flux of O atoms into the liquid in the He/O 2 plasma case was highly comparable with the phenol degradation rate and showed a very high transfer efficiency of reactive species from the plasma into the liquid, where more than half of the O atoms leaving the jet nozzle entered the liquid. The results indicate that the high oxidative effect of He/O 2 plasma was primarily due to solvated O atoms, whereas OH radicals dominated the oxidative effects induced in water by plasmas with other gas mixtures. These findings help to understand, in a quantitative way, the complex interaction of cold atmospheric plasmas with aqueous solutions and will allow a better understanding of the interaction of these plasmas with water or buffered solutions containing biological macromolecules, microorganisms, or even eukaryotic cells. Additionally, the µ APPJ He/O 2 plasma source seems to be an ideal tool for the generation of O atoms in aqueous solutions for any future studies of their reactivity. (paper)

  4. Detection of emission sources using passive-remote Fourier transform infrared spectroscopy

    International Nuclear Information System (INIS)

    Demirgian, J.C.; Macha, S.M.; Darby, S.M.; Ditillo, J.

    1995-01-01

    The detection and identification of toxic chemicals released in the environment is important for public safety. Passive-remote Fourier transform infrared (FTIR) spectrometers can be used to detect these releases. Their primary advantages are their small size and ease of setup and use. Open-path FTIR spectrometers are used to detect concentrations of pollutants from a fixed frame of reference. These instruments detect plumes, but they are too large and difficult to aim to be used to track a plume to its source. Passive remote FTIR spectrometers contain an interferometer, optics, and a detector. They can be used on tripods and in some cases can be hand-held. A telescope can be added to most units. We will discuss the capability of passive-remote FTIR spectrometers to detect the origin of plumes. Low concentration plumes were released using a custom-constructed vaporizer. These plumes were detected with different spectrometers from different distances. Passive-remote spectrometers were able to detect small 10 cm on a side chemical releases at concentration-pathlengths at the low parts per million-meter (ppm-m) level

  5. Modified Brokk Demolition Machine with Remote Operator Console. Innovative Technology Summary Report

    International Nuclear Information System (INIS)

    2001-01-01

    The Low-Cost D and D System modifies a commercially available BROKK demolition system for remote viewing and long tether remote operation that provides a portable facility camera pod and interfaces with the Compact Remote Operator Console (TMS Tech ID 2180) to extend the applicability of the BROKK system to projects that require removal of the operator from the work area due to exposure to radiological, chemical, or industrial hazards. The modified BROKK has been integrated with the Compact Remote Operator Console to provide a true remotely operated low-cost D and D system applicable to a wide range of small D and D demolition tasks across the DOE complex

  6. Concept for a hyperspectral remote sensing algorithm for floating marine macro plastics

    NARCIS (Netherlands)

    Goddijn-Murphy, Lonneke; Peters, Steef; van Sebille, Erik; James, Neil A.; Gibb, Stuart

    2018-01-01

    There is growing global concern over the chemical, biological and ecological impact of plastics in the ocean. Remote sensing has the potential to provide long-term, global monitoring but for marine plastics it is still in its early stages. Some progress has been made in hyperspectral remote sensing

  7. Spectroscopic ellipsometry on Si/SiO2/graphene tri-layer system exposed to downstream hydrogen plasma: Effects of hydrogenation and chemical sputtering

    International Nuclear Information System (INIS)

    Eren, Baran; Fu, Wangyang; Marot, Laurent; Calame, Michel; Steiner, Roland; Meyer, Ernst

    2015-01-01

    In this work, the optical response of graphene to hydrogen plasma treatment is investigated with spectroscopic ellipsometry measurements. Although the electronic transport properties and Raman spectrum of graphene change after plasma hydrogenation, ellipsometric parameters of the Si/SiO2/graphene tri-layer system do not change. This is attributed to plasma hydrogenated graphene still being electrically conductive, since the light absorption of conducting 2D materials does not depend on the electronic band structure. A change in the light transmission can only be observed when higher energy hydrogen ions (30 eV) are employed, which chemically sputter the graphene layer. An optical contrast is still apparent after sputtering due to the remaining traces of graphene and hydrocarbons on the surface. In brief, plasma treatment does not change the light transmission of graphene; and when it does, this is actually due to plasma damage rather than plasma hydrogenation

  8. Study of plasma-wall interactions in Tore-supra; Etude des phenomenes d'interaction plasma/paroi dans Tore Supra

    Energy Technology Data Exchange (ETDEWEB)

    Ruggieri, R

    2000-01-01

    In tokamaks the interaction between wall and plasma generates impurities that affect the thermonuclear fusion. This thesis is divided into 2 parts. The first part describes the physico-chemical processes that are involved in chemical erosion, the second part deals with the study of the wear of Tore-supra's walls due to chemical erosion. Chapter 1 presents the wall-plasma interaction and reviews the different processes between plasma and carbon that occur in Tore-supra. Chapter 2 considers the various crystallographic and electronic structures of the carbon that interferes with Tore-supra plasma, the evolution of these structures during irradiation and their temperature dependence are studied. Chapter 3 presents a crystallo-chemical study of graphite samples that have undergone different surface treatments: ionic bombardment, annealing and air exposure. This experimental study has been performed by using energy-loss spectroscopy. It is shown that air exposure modifies the crystallo-chemical structure of surfaces, so it is necessary to prevent air from contaminating wall samples from Tore-supra. Chapter 4 presents a parametric study of chemical erosion rate of plasma facing components (LPM) of Tore-supra. A relation such as Y{sub cd4}{alpha}{gamma}{sup -0.1} gives a good agreement for chemical erosion rate between measurements and the numerical values of the simulation. (A.C.)

  9. Plasma Mass Filters For Nuclear Waste Reprocessing

    International Nuclear Information System (INIS)

    Fetterman, Abraham J.; Fisch, Nathaniel J.

    2011-01-01

    Practical disposal of nuclear waste requires high-throughput separation techniques. The most dangerous part of nuclear waste is the fission product, which contains the most active and mobile radioisotopes and produces most of the heat. We suggest that the fission products could be separated as a group from nuclear waste using plasma mass filters. Plasmabased processes are well suited to separating nuclear waste, because mass rather than chemical properties are used for separation. A single plasma stage can replace several stages of chemical separation, producing separate streams of bulk elements, fission products, and actinoids. The plasma mass filters may have lower cost and produce less auxiliary waste than chemical processing plants. Three rotating plasma configurations are considered that act as mass filters: the plasma centrifuge, the Ohkawa filter, and the asymmetric centrifugal trap.

  10. Modeling of Plasma-Induced Ignition and Combustion

    National Research Council Canada - National Science Library

    Boyd, Iain D; Keidar, Michael

    2008-01-01

    .... Phenomena that must be considered in an electrothermal chemical gun model include the initial capillary plasma properties, the plasma-air interaction, plasma sheath effects, and the plasma-propellant interaction itself...

  11. Mini-lidar sensor for the remote stand-off sensing of chemical/biological substances and method for sensing same

    Science.gov (United States)

    Ray, Mark D.; Sedlacek, Arthur J.

    2003-08-19

    A method and apparatus for remote, stand-off, and high efficiency spectroscopic detection of biological and chemical substances. The apparatus including an optical beam transmitter which transmits a beam having an axis of transmission to a target, the beam comprising at least a laser emission. An optical detector having an optical detection path to the target is provided for gathering optical information. The optical detection path has an axis of optical detection. A beam alignment device fixes the transmitter proximal to the detector and directs the beam to the target along the optical detection path such that the axis of transmission is within the optical detection path. Optical information gathered by the optical detector is analyzed by an analyzer which is operatively connected to the detector.

  12. THE PLASMA ENVIRONMENT IN COMETS OVER A WIDE RANGE OF HELIOCENTRIC DISTANCES: APPLICATION TO COMET C/2006 P1 (MCNAUGHT)

    Energy Technology Data Exchange (ETDEWEB)

    Shou, Y.; Combi, M.; Gombosi, T.; Toth, G. [Department of Atmospheric, Oceanic and Space Sciences, University of Michigan, Ann Arbor, MI (United States); Jia, Y.-D. [IGPP, and EPSS, University of California, Los Angeles, CA 90095 (United States); Rubin, M. [Physikalisches Institut, University of Bern, Sidlerstrasse. 5, CH-3012 Bern (Switzerland)

    2015-08-20

    On 2007 January 12, comet C/2006 P1 (McNaught) passed its perihelion at 0.17 AU. Abundant remote observations offer plenty of information on the neutral composition and neutral velocities within 1 million kilometers of the comet nucleus. In early February, the Ulysses spacecraft made an in situ measurement of the ion composition, plasma velocity, and magnetic field when passing through the distant ion tail and the ambient solar wind. The measurement by Ulysses was made when the comet was at around 0.8 AU. With the constraints provided by remote and in situ observations, we simulated the plasma environment of Comet C/2006 P1 (McNaught) using a multi-species comet MHD model over a wide range of heliocentric distances from 0.17 to 1.75 AU. The solar wind interaction of the comet at various locations is characterized and typical subsolar standoff distances of the bow shock and contact surface are presented and compared to analytic solutions. We find the variation in the bow shock standoff distances at different heliocentric distances is smaller than the contact surface. In addition, we modified the multi-species model for the case when the comet was at 0.7 AU and achieved comparable water group ion abundances, proton densities, plasma velocities, and plasma temperatures to the Ulysses/SWICS and SWOOPS observations. We discuss the dominating chemical reactions throughout the comet-solar wind interaction region and demonstrate the link between the ion composition near the comet and in the distant tail as measured by Ulysses.

  13. THE PLASMA ENVIRONMENT IN COMETS OVER A WIDE RANGE OF HELIOCENTRIC DISTANCES: APPLICATION TO COMET C/2006 P1 (MCNAUGHT)

    International Nuclear Information System (INIS)

    Shou, Y.; Combi, M.; Gombosi, T.; Toth, G.; Jia, Y.-D.; Rubin, M.

    2015-01-01

    On 2007 January 12, comet C/2006 P1 (McNaught) passed its perihelion at 0.17 AU. Abundant remote observations offer plenty of information on the neutral composition and neutral velocities within 1 million kilometers of the comet nucleus. In early February, the Ulysses spacecraft made an in situ measurement of the ion composition, plasma velocity, and magnetic field when passing through the distant ion tail and the ambient solar wind. The measurement by Ulysses was made when the comet was at around 0.8 AU. With the constraints provided by remote and in situ observations, we simulated the plasma environment of Comet C/2006 P1 (McNaught) using a multi-species comet MHD model over a wide range of heliocentric distances from 0.17 to 1.75 AU. The solar wind interaction of the comet at various locations is characterized and typical subsolar standoff distances of the bow shock and contact surface are presented and compared to analytic solutions. We find the variation in the bow shock standoff distances at different heliocentric distances is smaller than the contact surface. In addition, we modified the multi-species model for the case when the comet was at 0.7 AU and achieved comparable water group ion abundances, proton densities, plasma velocities, and plasma temperatures to the Ulysses/SWICS and SWOOPS observations. We discuss the dominating chemical reactions throughout the comet-solar wind interaction region and demonstrate the link between the ion composition near the comet and in the distant tail as measured by Ulysses

  14. Characterization of Carbon-Contaminated B4C-Coated Optics after Chemically Selective Cleaning with Low-Pressure RF Plasma.

    Science.gov (United States)

    Moreno Fernández, H; Rogler, D; Sauthier, G; Thomasset, M; Dietsch, R; Carlino, V; Pellegrin, E

    2018-01-22

    Boron carbide (B 4 C) is one of the few materials that is expected to be most resilient with respect to the extremely high brilliance of the photon beam generated by free electron lasers (FELs) and is thus of considerable interest for optical applications in this field. However, as in the case of many other optics operated at light source facilities, B 4 C-coated optics are subject to ubiquitous carbon contaminations. Carbon contaminations represent a serious issue for the operation of FEL beamlines due to severe reduction of photon flux, beam coherence, creation of destructive interference, and scattering losses. A variety of B 4 C cleaning technologies were developed at different laboratories with varying success. We present a study regarding the low-pressure RF plasma cleaning of carbon contaminated B 4 C test samples via inductively coupled O 2 /Ar, H 2 /Ar, and pure O 2 RF plasma produced following previous studies using the same ibss GV10x downstream plasma source. Results regarding the chemistry, morphology as well as other aspects of the B 4 C optical coating before and after the plasma cleaning are reported. We conclude that among the above plasma processes only plasma based on pure O 2 feedstock gas exhibits the required chemical selectivity for maintaining the integrity of the B 4 C optical coatings.

  15. Rf-biasing of highly idealized plasmas

    NARCIS (Netherlands)

    Westermann, R.H.J.; Blauw, M.A.; Goedheer, W.J.; Sanden, van de M.C.M.; Schmidt, J.; Simek, M.; Pekarek, S.; Prukner, V.

    2007-01-01

    Remote plasmas, which are subjected to a radio-frequency (RF) biased surface, have been investigated theoretically and experimentally for decades. The relation between the complex power (DC) voltage characteristics, the ion energy distribution and control losses of the ion bombardment are of

  16. PULSION registered HP: Tunable, High Productivity Plasma Doping

    International Nuclear Information System (INIS)

    Felch, S. B.; Torregrosa, F.; Etienne, H.; Spiegel, Y.; Roux, L.; Turnbaugh, D.

    2011-01-01

    Plasma doping has been explored for many implant applications for over two decades and is now being used in semiconductor manufacturing for two applications: DRAM polysilicon counter-doping and contact doping. The PULSION HP is a new plasma doping tool developed by Ion Beam Services for high-volume production that enables customer control of the dominant mechanism--deposition, implant, or etch. The key features of this tool are a proprietary, remote RF plasma source that enables a high density plasma with low chamber pressure, resulting in a wide process space, and special chamber and wafer electrode designs that optimize doping uniformity.

  17. Decontamination of Chemical/Biological Warfare (CBW) Agents Using an Atmospheric Pressure Plasma Jet (APPJ)

    Science.gov (United States)

    Herrmann, Hans W.

    1998-11-01

    The atmospheric pressure plasma jet (APPJ) is a non-thermal, high pressure, uniform glow discharge that produces a high velocity effluent stream of highly reactive chemical species. The discharge operates on a feedstock gas (e.g. He/O_2/H_2O) which flows between an outer, grounded, cylindrical electrode and an inner, coaxial electrode powered at 13.56 MHz RF. While passing through the plasma, the feedgas becomes excited, dissociated or ionized by electron impact. Once the gas exits the discharge volume, ions and electrons are rapidly lost by recombination, but the fast-flowing effluent still contains metastables (e.g. O2*, He*) and radicals (e.g. O, OH). These reactive species have been shown to be effective neutralizers of surrogates for anthrax spores, mustard blister agent and VX nerve gas. Unlike conventional, wet decontamination methods, the plasma effluent does not cause corrosion of most surfaces and does not damage wiring, electronics, nor most plastics. This makes it highly suitable for decontamination of high value sensitive equipment such as is found in vehicle interiors (i.e. tanks, planes...) for which there is currently no good decontamination technique. Furthermore, the reactive species rapidly degrade into harmless products leaving no lingering residue or harmful byproducts. Physics of the APPJ will be discussed and results of surface decontamination experiments using simulant and actual CBW agents will be presented.

  18. Remote application of an oscillatory system for density measurements

    International Nuclear Information System (INIS)

    Fortsch, E.M.; Wade, M.A.

    1974-01-01

    An Anton-Paar densimeter was modified for remote use and installed in the Remote Analytical Facility of the Idaho Chemical Processing Plant. This instrument determines density by measuring the deviation in resonant frequency of a hollow-glass mechanical oscillator when filled with sample material. The volume of the oscillator is constant and any change in its frequency is due to the sample. The change in frequency is a measure of the mass of the sample. Since there is no need to measure either volume or mass, the remote manipulations are simplified. This unit replaced existing falling-drop equipment with a reduction in cost and improvement of precision. The remote unit is used routinely 24 h a day with a precision of better than +- 5 x 10 -4 g/ml

  19. Liquid steel analysis by laser-induced plasma spectroscopy

    International Nuclear Information System (INIS)

    Gruber, J.

    2002-11-01

    When a nanosecond pulsed laser is focused onto a sample and the intensity exceeds a certain threshold, material is vaporized and a plasma is formed above the sample surface. The laser-light becomes increasingly absorbed by inverse bremsstrahlung and by photo-excitation and photo-ionization of atoms and molecules. The positive feedback, by which the number of energetic electrons for ionization is increased in an avalanche-like manner under the influence of laser-light, is the so-called optical breakdown. Radiating excited atoms and ions within the expanding plasma plume produce a characteristic optical emission spectrum. A spectroscopic analysis of this optical emission of the laser-induced plasma permits a qualitative and quantitative chemical analysis of the investigated sample. This technique is therefore often called laser-induced plasma spectroscopy (LIPS) or laser-induced breakdown spectroscopy (LIBS). LIPS is a fast non-contact technique, by which solid, liquid or gaseous samples can be analyzed with respect to their chemical composition. Hence, it is an appropriate tool for the rapid in-situ analysis of not easily accessible surfaces for process control in industrial environments. In this work, LIPS was studied as a technique to determine the chemical composition of solid and liquid steel. A LIPS set-up was designed and built for the remote and continuous in-situ analysis of the steel melt. Calibration curves were prepared for the LIPS analysis of Cr, Mn, Ni and Cu in solid steel using reference samples with known composition. In laboratory experiments an induction furnace was used to melt steel samples in crucibles, which were placed at a working distance of 1.5 m away from the LIPS apparatus. The response of the LIPS system was monitored on-line during the addition of pure elements to the liquid steel bath within certain concentration ranges (Cr: 0.11 - 13.8 wt%, Cu: 0.044 - 0.54 wt%, Mn: 1.38 - 2.5 wt%, Ni: 0.049 - 5.92 wt%). The analysis of an element

  20. Multi-mode remote participation on the GOLEM tokamak

    Czech Academy of Sciences Publication Activity Database

    Svoboda, V.; Huang, B.; Mlynář, Jan; Pokol, G.I.; Stöckel, Jan; Vondrášek, G.

    2011-01-01

    Roč. 86, 6-8 (2011), s. 1310-1314 ISSN 0920-3796. [Symposium on Fusion Technology (SOFT) /26th./. Porto, 27.09.2010-01.10.2010] Institutional research plan: CEZ:AV0Z20430508 Keywords : Tokamak * remote participation * education Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 1.490, year: 2011 http://www.sciencedirect.com/science/article/pii/S0920379611002390

  1. Tools for remote collaboration on the DIII-D national fusion facility

    International Nuclear Information System (INIS)

    McHarg, B.B. Jr.; Greenwood, D.

    1999-01-01

    The DIII-D national fusion facility, a tokamak experiment funded by the US Department of Energy and operated by General Atomics (GA), is an international resource for plasma physics and fusion energy science research. This facility has a long history of collaborations with scientists from a wide variety of laboratories and universities from around the world. That collaboration has mostly been conducted by travel to and participation at the DIII-D site. Many new developments in the computing and technology fields are now facilitating collaboration from remote sites, thus reducing some of the needs to travel to the experiment. Some of these developments include higher speed wide area networks, powerful workstations connected within a distributed computing environment, network based audio/video capabilities, and the use of the world wide web. As the number of collaborators increases, the need for remote tools become important options to efficiently utilize the DIII-D facility. In the last two years a joint study by GA, Princeton Plasma Physics Laboratory (PPPL), Lawrence Livermore National Laboratory (LLNL), and Oak Ridge National Laboratory (ORNL) has introduced remote collaboration tools into the DIII-D environment and studied their effectiveness. These tools have included the use of audio/video for communication from the DIII-D control room, the broadcast of meetings, use of inter-process communication software to post events to the network during a tokamak shot, the creation of a DCE (distributed computing environment) cell for creating a common collaboratory environment, distributed use of computer cycles, remote data access, and remote display of results. This study also included sociological studies of how scientists in this environment work together as well as apart. (orig.)

  2. pypk - A Python extension module to handle chemical kinetics in plasma physics modeling

    Directory of Open Access Journals (Sweden)

    2008-06-01

    Full Text Available PLASMAKIN is a package to handle physical and chemical data used in plasma physics modeling and to compute gas-phase and gas-surface kinetics data: particle production and loss rates, photon emission spectra and energy exchange rates. A large number of species properties and reaction types are supported, namely: gas or electron temperature dependent collision rate coefficients, vibrational and cascade levels, evaluation of branching ratios, superelastic and other reverse processes, three-body collisions, radiation imprisonment and photoelectric emission. Support of non-standard rate coefficient functions can be handled by a user-supplied shared library.

    The main block of the PLASMAKIN package is a Fortran module that can be included in an user's program or compiled as a shared library, libpk. pypk is a new addition to the package and provides access to libpk from Python programs. It is build on top of the ctypes foreign function library module and is prepared to work with several Fortran compilers. However pypk is more than a wrapper and provides its own classes and functions taking advantage of Python language characteristics. Integration with Python tools allows substantial productivity gains on program development and insight on plasma physics problems.

  3. New remote maintenance approach for TPX

    International Nuclear Information System (INIS)

    Davis, F.C.; Walker, M.S.; Brown, T.G.

    1993-01-01

    The Tokamak Physics Experiment (TPX), to be located at Princeton Plasma Physics Laboratory, will be a steady-state, high-performance fusion machine. It will use hydrogen fuel during its original operation, deuterium-deuterium (D-D) fuel for an extended intermediate period of time and then a limited number of runs using deuterium-tritium (D-T) fuel. The D-D operations wig generate neutron fluxes that will activate materials of the vacuum vessel. From that point on, the resulting ionizing radiation, which will continue to increase, particularly during D-T operation, will prevent personnel access into the vessel to perform either scheduled or unscheduled maintenance activities. All activities performed within the vacuum vessel must be accomplished using remote means. TPX has taken a new approach for remote maintenance of the components within the vacuum vessel. This document describes the system that is being developed

  4. QUIC: a chemical kinetics code for use with the chemical equilibrium code QUIL

    International Nuclear Information System (INIS)

    Lunsford, J.L.

    1977-10-01

    A chemical rate kinetics code QUIC is described, along with a support code RATE. QUIC is designed to allow chemical kinetics calculations on a wide variety of chemical environments while operating in the overlay environment of the chemical equilibrium code QUIL. QUIC depends upon a rate-data library called LIBR. This library is maintained by RATE. RATE enters into the library all reactions in a standardized format. The code QUIC, operating in conjunction with QUIL, is interactive and written to be used from a remote terminal, with paging control provided. Plotted output is also available

  5. Room temperature synthesis of porous SiO2 thin films by plasma enhanced chemical vapor deposition

    OpenAIRE

    Barranco Quero, Ángel; Cotrino Bautista, José; Yubero Valencia, Francisco; Espinós, J. P.; Rodríguez González-Elipe, Agustín

    2004-01-01

    Synthesis of porous SiO2 thin films in room temperature was carried out using plasma enhanced chemical vapor deposition (CVD) in an electron cyclotron resonance microwave reactor with a downstream configuration.The gas adsorption properties and the type of porosity of the SiO2 thin films were assessed by adsorption isotherms of toluene at room temperature.The method could also permit the tailoring synthesis of thin films when both composition and porosity can be simultaneously and independent...

  6. Remote maintenance of Compact Ignition Tokamak ex-vessel systems

    International Nuclear Information System (INIS)

    DePew, R.E.; Macdonald, D.

    1989-01-01

    The use of deuterium-tritium (D-T) fuel in the Compact Ignition Tokamak (CIT) will require applying remote handling technology for ex-vessel maintenance and replacement of machine components. Highly activated and contaminated components of the fusion device's auxiliary systems, such as diagnostics and RF heating, must be replaced using remotely operated maintenance equipment in the test cell. Throughout the CIT remote maintenance (RM) studies conducted to date, computer modeling has been used extensively to investigate manipulator access in these complex, tightly packed, and cluttered surroundings. A recent refinement of computer modeling involves the use of an intelligent engineering work station for realtime interactive display of task simulations. This paper discusses the use of three-dimensional (3-D) kinematic computer models of the CIT machines that are proving to be powerful tools in our efforts to evaluate RM requirements. This presentation includes a video-taped simulation of remote replacement of a plasma viewing assembly. The simulation illustrates some of the constraints associated with typical RM activities and the ways in which computer modeling enhances the design process. 1 ref., 3 figs

  7. Support and development for remote collaborations in fusion research

    International Nuclear Information System (INIS)

    Casper, T.A.; Jong, R.A.; Meyer, W.H.; Moller, J.M.

    2000-01-01

    Major fusion experiments and modeling efforts rely on joint research of scientists from several locations around the world. A variety of software tools are in use to provide remote interactive access to facilities and data are routinely available over wide-area-network connections to researchers. Audio and video communications, monitoring of control room information and synchronization of remote sites with experimental operations all enhance participation during experiments. Remote distributed computing capabilities allow utilization of off-site computers that now help support the demands of control room analyses and plasma modeling. A collaborative software development project is currently using object technologies with CORBA-based communications to build a network executable transport code that further demonstrates the ability to utilize geographically dispersed resources. Development to extend these concepts with security and naming services and possible applications to instrumentation systems has been initiated. An Information Technology Initiative is deploying communication systems, ISDN (telephone) and IP (network) audio/video (A/V) and web browser-based, to build the infrastructure needed to support remote physics meetings, seminars and interactive discussions

  8. Support and development for remote collaboration in fusion research

    International Nuclear Information System (INIS)

    Casper, T A; Jong, R A; Meyer, W H; Moller, J M

    1999-01-01

    Major fusion experiments and modeling efforts rely on joint research of scientists from several locations around the world. A variety of software tools are in use to provide remote interactive access to facilities and data are routinely available over wide-area-network connections to researchers. Audio and video communications, monitoring of control room information and synchronization of remote sites with experimental operations all enhance participation during experiments. Remote distributed computing capabilities allow utilization of off-site computers that now help support the demands of control room analyses and plasma modeling. A collaborative software development project is currently using object technologies with CORBA-based communications to build a network executable transport code that further demonstrates the ability to utilize geographically dispersed resources. Development to extend these concepts with security and naming services and possible applications to instrumentation systems has been initiated. An Information Technology Initiative is deploying communication systems, ISDN (telephone) and IP (network) audio/video (A/V) and web browser-based, to build the infrastructure needed to support remote physics meetings, seminars and interactive discussions

  9. Remote automatic control scheme for plasma arc cutting of contaminated waste

    International Nuclear Information System (INIS)

    Dudar, A.M.; Ward, C.R.; Kriikku, E.M.

    1993-01-01

    The Robotics Development Group at the Savannah River Technology Center has developed and implemented a scheme to perform automatic cutting of metallic contaminated waste. The scheme employs a plasma arc cutter in conjunction with a laser ranging sensor attached to a robotic manipulator called the Telerobot. A software algorithm using proportional control is then used to perturb the robot's trajectory in such a way as to regulate the plasma arc standoff and the robot's speed in order to achieve automatic plasma arc cuts. The scheme has been successfully tested on simulated waste materials and the results have been very favorable. This report details the development and testing of the scheme

  10. Structural and chemical analysis of annealed plasma-enhanced atomic layer deposition aluminum nitride films

    Energy Technology Data Exchange (ETDEWEB)

    Broas, Mikael, E-mail: mikael.broas@aalto.fi; Vuorinen, Vesa [Department of Electrical Engineering and Automation, Aalto University, P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland); Sippola, Perttu; Pyymaki Perros, Alexander; Lipsanen, Harri [Department of Micro- and Nanosciences, Aalto University, P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland); Sajavaara, Timo [Department of Physics, University of Jyväskylä, P.O. Box 35, FIN-40014 Jyväskylä (Finland); Paulasto-Kröckel, Mervi [Department of Electrical Engineering and Automation, Aalto University. P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland)

    2016-07-15

    Plasma-enhanced atomic layer deposition was utilized to grow aluminum nitride (AlN) films on Si from trimethylaluminum and N{sub 2}:H{sub 2} plasma at 200 °C. Thermal treatments were then applied on the films which caused changes in their chemical composition and nanostructure. These changes were observed to manifest in the refractive indices and densities of the films. The AlN films were identified to contain light element impurities, namely, H, C, and excess N due to nonideal precursor reactions. Oxygen contamination was also identified in the films. Many of the embedded impurities became volatile in the elevated annealing temperatures. Most notably, high amounts of H were observed to desorb from the AlN films. Furthermore, dinitrogen triple bonds were identified with infrared spectroscopy in the films. The triple bonds broke after annealing at 1000 °C for 1 h which likely caused enhanced hydrolysis of the films. The nanostructure of the films was identified to be amorphous in the as-deposited state and to become nanocrystalline after 1 h of annealing at 1000 °C.

  11. [Use of Remote Sensing for Crop and Soil Analysis

    Science.gov (United States)

    Johannsen, Chris J.

    1997-01-01

    The primary agricultural objective of this research is to determine what soil and crop information can be verified from remotely sensed images during the growing season. Specifically: (1) Elements of crop stress due to drought, weeds, disease and nutrient deficiencies will be documented with ground truth over specific agricultural sites and (2) Use of remote sensing with GPS and GIS technology for providing a safe and environmentally friendly application of fertilizers and chemicals will be documented.

  12. Treatment of Candida albicans biofilms with low-temperature plasma induced by dielectric barrier discharge and atmospheric pressure plasma jet

    International Nuclear Information System (INIS)

    Koban, Ina; Welk, Alexander; Meisel, Peter; Holtfreter, Birte; Kocher, Thomas; Matthes, Rutger; Huebner, Nils-Olaf; Kramer, Axel; Sietmann, Rabea; Kindel, Eckhard; Weltmann, Klaus-Dieter

    2010-01-01

    Because of some disadvantages of chemical disinfection in dental practice (especially denture cleaning), we investigated the effects of physical methods on Candida albicans biofilms. For this purpose, the antifungal efficacy of three different low-temperature plasma devices (an atmospheric pressure plasma jet and two different dielectric barrier discharges (DBDs)) on Candida albicans biofilms grown on titanium discs in vitro was investigated. As positive treatment controls, we used 0.1% chlorhexidine digluconate (CHX) and 0.6% sodium hypochlorite (NaOCl). The corresponding gas streams without plasma ignition served as negative treatment controls. The efficacy of the plasma treatment was determined evaluating the number of colony-forming units (CFU) recovered from titanium discs. The plasma treatment reduced the CFU significantly compared to chemical disinfectants. While 10 min CHX or NaOCl exposure led to a CFU log 10 reduction factor of 1.5, the log 10 reduction factor of DBD plasma was up to 5. In conclusion, the use of low-temperature plasma is a promising physical alternative to chemical antiseptics for dental practice.

  13. Treatment of Candida albicans biofilms with low-temperature plasma induced by dielectric barrier discharge and atmospheric pressure plasma jet

    Energy Technology Data Exchange (ETDEWEB)

    Koban, Ina; Welk, Alexander; Meisel, Peter; Holtfreter, Birte; Kocher, Thomas [Unit of Periodontology, Dental School, University of Greifswald, Rotgerberstr. 8, 17475 Greifswald (Germany); Matthes, Rutger; Huebner, Nils-Olaf; Kramer, Axel [Institute for Hygiene and Environmental Medicine, University of Greifswald, Walther-Rathenau-Str. 49 a, 17487 Greifswald (Germany); Sietmann, Rabea [Institute of Microbiology, University of Greifswald, Friedrich-Ludwig-Jahn-Str. 15, 17487 Greifswald (Germany); Kindel, Eckhard; Weltmann, Klaus-Dieter, E-mail: ina.koban@uni-greifswald.d [Leibniz Institute for Plasma Science and Technology (INP), Felix-Hausdorff-Str. 2, 17489 Greifswald (Germany)

    2010-07-15

    Because of some disadvantages of chemical disinfection in dental practice (especially denture cleaning), we investigated the effects of physical methods on Candida albicans biofilms. For this purpose, the antifungal efficacy of three different low-temperature plasma devices (an atmospheric pressure plasma jet and two different dielectric barrier discharges (DBDs)) on Candida albicans biofilms grown on titanium discs in vitro was investigated. As positive treatment controls, we used 0.1% chlorhexidine digluconate (CHX) and 0.6% sodium hypochlorite (NaOCl). The corresponding gas streams without plasma ignition served as negative treatment controls. The efficacy of the plasma treatment was determined evaluating the number of colony-forming units (CFU) recovered from titanium discs. The plasma treatment reduced the CFU significantly compared to chemical disinfectants. While 10 min CHX or NaOCl exposure led to a CFU log{sub 10} reduction factor of 1.5, the log{sub 10} reduction factor of DBD plasma was up to 5. In conclusion, the use of low-temperature plasma is a promising physical alternative to chemical antiseptics for dental practice.

  14. Applications of non-equilibrium plasma in chemical processes

    International Nuclear Information System (INIS)

    Patino, P.; Castro, A.

    2003-01-01

    By means of optical emission spectroscopy the population of O( 3 P) in a non-equilibrium, high voltage, oxygen plasma, and O( 3 P), H and OH in another of steam in radio frequency, have been followed. Reactions of both plasmas with liquid hydrocarbons have produced oxidation and/or hydrogenation, depending on the conditions of each one. (Author)

  15. Capacitive chemical sensor

    Science.gov (United States)

    Manginell, Ronald P; Moorman, Matthew W; Wheeler, David R

    2014-05-27

    A microfabricated capacitive chemical sensor can be used as an autonomous chemical sensor or as an analyte-sensitive chemical preconcentrator in a larger microanalytical system. The capacitive chemical sensor detects changes in sensing film dielectric properties, such as the dielectric constant, conductivity, or dimensionality. These changes result from the interaction of a target analyte with the sensing film. This capability provides a low-power, self-heating chemical sensor suitable for remote and unattended sensing applications. The capacitive chemical sensor also enables a smart, analyte-sensitive chemical preconcentrator. After sorption of the sample by the sensing film, the film can be rapidly heated to release the sample for further analysis. Therefore, the capacitive chemical sensor can optimize the sample collection time prior to release to enable the rapid and accurate analysis of analytes by a microanalytical system.

  16. Microwave plasma chemical synthesis of nanocrystalline carbon film structures and study their properties

    Science.gov (United States)

    Bushuev, N.; Yafarov, R.; Timoshenkov, V.; Orlov, S.; Starykh, D.

    2015-08-01

    The self-organization effect of diamond nanocrystals in polymer-graphite and carbon films is detected. The carbon materials deposition was carried from ethanol vapors out at low pressure using a highly non-equilibrium microwave plasma. Deposition processes of carbon film structures (diamond, graphite, graphene) is defined. Deposition processes of nanocrystalline structures containing diamond and graphite phases in different volume ratios is identified. The solid film was obtained under different conditions of microwave plasma chemical synthesis. We investigated the electrical properties of the nanocrystalline carbon films and identified it's from various factors. Influence of diamond-graphite film deposition mode in non-equilibrium microwave plasma at low pressure on emission characteristics was established. This effect is justified using the cluster model of the structure of amorphous carbon. It was shown that the reduction of bound hydrogen in carbon structures leads to a decrease in the threshold electric field of emission from 20-30 V/m to 5 V/m. Reducing the operating voltage field emission can improve mechanical stability of the synthesized film diamond-graphite emitters. Current density emission at least 20 A/cm2 was obtained. Nanocrystalline carbon film materials can be used to create a variety of functional elements in micro- and nanoelectronics and photonics such as cold electron source for emission in vacuum devices, photonic devices, cathodoluminescent flat display, highly efficient white light sources. The obtained graphene carbon net structure (with a net size about 6 μm) may be used for the manufacture of large-area transparent electrode for solar cells and cathodoluminescent light sources

  17. Investigation of the surface chemical and electronic states of pyridine-capped CdSe nanocrystal films after plasma treatments using H2, O2, and Ar gases

    International Nuclear Information System (INIS)

    Wang, Seok-Joo; Kim, Hyuncheol; Park, Hyung-Ho; Lee, Young-Su; Jeon, Hyeongtag; Chang, Ho Jung

    2010-01-01

    Surface chemical bonding and the electronic states of pyridine-capped CdSe nanocrystal films were evaluated using x-ray photoelectron spectroscopy and ultraviolet photoelectron spectroscopy before and after plasma treatments using H 2 , O 2 , and Ar gases from the viewpoint of studying the effects of surface capping organic molecules and surface oxidation. Surface capping organic molecules could be removed during the plasma treatment due to the chemical reactivity, ion energy transfer, and vacuum UV (VUV) of the plasma gases. With O 2 plasma treatment, surface capping organic molecules were effectively removed but substantial oxidation of CdSe occurred during the plasma treatment. The valence band maximum energy (E VBM ) of CdSe nanocrystal films mainly depends on the apparent size of pyridine-capped CdSe nanocrystals, which controls the interparticle distance, and also on the oxidation of CdSe nanocrystals. Cd-rich surface in O 2 and H 2 plasma treatments partially would compensate for the decrease in E VBM . After Ar plasma treatment, the smallest value of E VBM resulted from high VUV photon flux, short wavelength, and ion energy transfer. The surface bonding states of CdSe had a strong influence on the electronic structure with the efficient strip of capping molecules as well as different surface oxidations and surface capping molecule contents.

  18. Progress In Magnetized Target Fusion Driven by Plasma Liners

    Science.gov (United States)

    Thio, Francis Y. C.; Kirkpatrick, Ronald C.; Knapp, Charles E.; Cassibry, Jason; Eskridge, Richard; Lee, Michael; Smith, James; Martin, Adam; Wu, S. T.; Schmidt, George; hide

    2001-01-01

    Magnetized target fusion (MTF) attempts to combine the favorable attributes of magnetic confinement fusion (MCF) for energy confinement with the attributes of inertial confinement fusion (ICF) for efficient compression heating and wall-free containment of the fusing plasma. It uses a material liner to compress and contain a magnetized plasma. For practical applications, standoff drivers to deliver the imploding momentum flux to the target plasma remotely are required. Spherically converging plasma jets have been proposed as standoff drivers for this purpose. The concept involves the dynamic formation of a spherical plasma liner by the merging of plasma jets, and the use of the liner so formed to compress a spheromak or a field reversed configuration (FRC).

  19. In-vessel remote maintenance of the Compact Ignition Tokamak

    International Nuclear Information System (INIS)

    Tabor, M.A.; Hager, E.R.; Creedon, R.L.; Fisher, M.V.; Atkin, S.D.

    1987-01-01

    The Compact Ignition Tokamak (CIT) is the first deuterium-tritium (D-T) fusion device that will study the physics of an ignited plasma. The ability of the tokamak vacuum vessel to be maintained remotely while under vacuum has not been fully demonstrated on previous machines, and this ability will be critical to the efficient and safe operation of ignition devices. Although manned entry into the CIT vacuum vessel will be possible during the nonactivated stages of operation, remotely automated equipment will be used to assist in initial assembly of the vessel as well as to maintain all in-vessel components once the D-T burn is achieved. Remote maintenance and operation will be routinely required for replacement of thermal protection tiles, inspection of components, leak detection, and repair welding activities. Conceptual design to support these remote maintenance activities has been integrated with the conceptual design of the in-vessel components to provide a complete and practical remote maintenance system for CIT. The primary remote assembly and maintenance operations on CIT will be accomplished through two dedicated 37- x 100-cm ports on the main toroidal vessel. Each port contains a single articulated boom manipulator (ABM), which is capable of accessing half of the torus. The proposed ABM consists of a movable carriage assembly, telescoping two-part mast, and articulated link sections. 1 ref

  20. Spaceborne Remote Sensing of Aerosol Type: Global Distribution, Model Evaluation and Translation into Chemical Speciation

    Science.gov (United States)

    Kacenelenbogen, M. S.; Tan, Q.; Johnson, M. S.; Burton, S. P.; Redemann, J.; Hasekamp, O. P.; Dawson, K. W.; Hair, J. W.; Ferrare, R. A.; Butler, C. F.; Holben, B. N.; Beyersdorf, A. J.; Ziemba, L. D.; Froyd, K. D.; Dibb, J. E.; Shingler, T.; Sorooshian, A.; Jimenez, J. L.; Campuzano Jost, P.; Jacob, D.; Kim, P. S.; Travis, K.; Lacagnina, C.

    2016-12-01

    It is essential to evaluate and refine aerosol classification methods applied to passive satellite remote sensing. We have developed an aerosol classification algorithm (called Specified Clustering and Mahalanobis Classification, SCMC) that assigns an aerosol type to multi-parameter retrievals by spaceborne, airborne or ground-based passive remote sensing instruments [1]. The aerosol types identified by our scheme are pure dust, polluted dust, urban-industrial/developed economy, urban-industrial/developing economy, dark biomass smoke, light biomass smoke and pure marine. We apply the SCMC method to inversions from the ground-based AErosol RObotic NETwork (AERONET [2]) and retrievals from the space-borne Polarization and Directionality of Earth's Reflectances instrument (POLDER, [3]). The POLDER retrievals that we use differ from the standard POLDER retrievals [4] as they make full use of multi-angle, multispectral polarimetric data [5]. We analyze agreement in the aerosol types inferred from both AERONET and POLDER and evaluate GEOS-Chem [6] simulations over the globe. Finally, we use in-situ observations from the SEAC4RS airborne field experiment to bridge the gap between remote sensing-inferred qualitative SCMC aerosol types and their corresponding quantitative chemical speciation. We apply the SCMC method to airborne in-situ observations from the NASA Langley Aerosol Research Group Experiment (LARGE, [7]) and the Differential Aerosol Sizing and Hygroscopicity Spectrometer Probe (DASH-SP, [8]) instruments; we then relate each coarsely defined SCMC type to a sum of percentage of individual aerosol species, using in-situ observations from the Particle Analysis by Laser Mass Spectrometry (PALMS, [9]), the Soluble Acidic Gases and Aerosol (SAGA, [10]), and the High - Resolution Time - of - Flight Aerosol Mass Spectrometer (HR ToF AMS, [11]). [1] Russell P. B., et al., JGR, 119.16 (2014) [2] Holben B. N., et al., RSE, 66.1 (1998) [3] Tanré D., et al., AMT, 4.7 (2011

  1. Spectroscopic ellipsometry on Si/SiO{sub 2}/graphene tri-layer system exposed to downstream hydrogen plasma: Effects of hydrogenation and chemical sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Eren, Baran [Department of Physics, University of Basel, Klingelbergstrasse 82, CH-4056 Basel (Switzerland); Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States); Fu, Wangyang; Marot, Laurent, E-mail: laurent.marot@unibas.ch; Calame, Michel; Steiner, Roland; Meyer, Ernst [Department of Physics, University of Basel, Klingelbergstrasse 82, CH-4056 Basel (Switzerland)

    2015-01-05

    In this work, the optical response of graphene to hydrogen plasma treatment is investigated with spectroscopic ellipsometry measurements. Although the electronic transport properties and Raman spectrum of graphene change after plasma hydrogenation, ellipsometric parameters of the Si/SiO2/graphene tri-layer system do not change. This is attributed to plasma hydrogenated graphene still being electrically conductive, since the light absorption of conducting 2D materials does not depend on the electronic band structure. A change in the light transmission can only be observed when higher energy hydrogen ions (30 eV) are employed, which chemically sputter the graphene layer. An optical contrast is still apparent after sputtering due to the remaining traces of graphene and hydrocarbons on the surface. In brief, plasma treatment does not change the light transmission of graphene; and when it does, this is actually due to plasma damage rather than plasma hydrogenation.

  2. Chemical fate of the nicotinic acetylcholinergic radiotracer [123I]5-IA-85380 in baboon brain and plasma

    International Nuclear Information System (INIS)

    Baldwin, Ronald M.; Zoghbi, Sami S.; Staley, Julie K.; Brenner, Eric; Al-Tikriti, Mohammed S.; Amici, Louis; Fujita, Masahiro; Innis, Robert B.; Tamagnan, Gilles

    2006-01-01

    The fate of the nicotinic acetylcholinergic receptor radiotracer [ 123 I]5-IA-85380 ([ 123 I]5-IA) was studied in baboon by analyzing the chemical composition of brain tissue and plasma after intravenous administration of the tracer. Acetonitrile denaturation and high-performance liquid chromatography (HPLC) analysis showed predominantly unchanged (91-98%) parent tracer in all brain tissues examined, compared to significant metabolism (23% parent) in the plasma at 90 min postinjection, and control tissue recovery of 95-98%. [ 123 I]5-IA was distributed to the thalamus with a standardized uptake value of 9.2 (0.04% dose/g) or a concentration 5.8 times higher than that of the cerebellum. The HPLC behavior of a synthesized sample of one hypothesized metabolite, 5-iodo-3-pyridinol (5-IP), was consistent with plasma radiometabolite fraction. Since only parent radiotracer compound was found in brain tissue, these results add confidence that information derived from single photon emission computed tomography images of 123 I activity in the brain after [ 123 I]5-IA administration can be interpreted as distribution of an intact radiotracer

  3. Sticking non-stick: Surface and Structure control of Diamond-like Carbon in Plasma Enhanced Chemical Vapour Deposition

    Science.gov (United States)

    Jones, B. J.; Nelson, N.

    2016-10-01

    This short review article explores the practical use of diamond-like carbon (DLC) produced by plasma enhanced chemical vapour deposition (PECVD). Using as an example issues relating to the DLC coating of a hand-held surgical device, we draw on previous works using atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, tensiometry and electron paramagnetic resonance. Utilising data from these techniques, we examine the surface structure, substrate-film interface and thin film microstructure, such as sp2/sp3 ratio (graphitic/diamond-like bonding ratio) and sp2 clustering. We explore the variations in parameters describing these characteristics, and relate these to the final device properties such as friction, wear resistance, and diffusion barrier integrity. The material and device characteristics are linked to the initial plasma and substrate conditions.

  4. Sticking non-stick: Surface and Structure control of Diamond-like Carbon in Plasma Enhanced Chemical Vapour Deposition

    International Nuclear Information System (INIS)

    Jones, B J; Nelson, N

    2016-01-01

    This short review article explores the practical use of diamond-like carbon (DLC) produced by plasma enhanced chemical vapour deposition (PECVD). Using as an example issues relating to the DLC coating of a hand-held surgical device, we draw on previous works using atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, tensiometry and electron paramagnetic resonance. Utilising data from these techniques, we examine the surface structure, substrate-film interface and thin film microstructure, such as sp 2 /sp 3 ratio (graphitic/diamond-like bonding ratio) and sp 2 clustering. We explore the variations in parameters describing these characteristics, and relate these to the final device properties such as friction, wear resistance, and diffusion barrier integrity. The material and device characteristics are linked to the initial plasma and substrate conditions. (paper)

  5. Thermal Plasma decomposition of fluoriated greenhouse gases

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Soo Seok; Watanabe, Takayuki [Tokyo Institute of Technology, Yokohama (Japan); Park, Dong Wha [Inha University, Incheon (Korea, Republic of)

    2012-02-15

    Fluorinated compounds mainly used in the semiconductor industry are potent greenhouse gases. Recently, thermal plasma gas scrubbers have been gradually replacing conventional burn-wet type gas scrubbers which are based on the combustion of fossil fuels because high conversion efficiency and control of byproduct generation are achievable in chemically reactive high temperature thermal plasma. Chemical equilibrium composition at high temperature and numerical analysis on a complex thermal flow in the thermal plasma decomposition system are used to predict the process of thermal decomposition of fluorinated gas. In order to increase economic feasibility of the thermal plasma decomposition process, increase of thermal efficiency of the plasma torch and enhancement of gas mixing between the thermal plasma jet and waste gas are discussed. In addition, noble thermal plasma systems to be applied in the thermal plasma gas treatment are introduced in the present paper.

  6. The plasma universe

    International Nuclear Information System (INIS)

    Faelthammar, C.G.

    1989-12-01

    The term 'Plasma Universe', coined by Hannes Alfven, emphasices the fact that plasma phenomena discovered in the laboratory and in accessible regions of space. must be important also in the rest of the universe, which consists almost entirely of matter in the plasma state. Relevant aspect of this concept will be discussed. They include the response of the plasma to electric currents, the support of magnetic-field aligned electric fields, violation of the frozen-field condition, rapid release of magnetically stored energy, acceleration of charged particles, chemical separation, and filamentary and cellular structures. (authors)

  7. Chaos and Structures in Nonlinear Plasmas

    Science.gov (United States)

    Chen, James

    In recent decades, the concepts and applications of chaos, complexity, and nonlinear dynamics have profoundly influenced scientific as well as literary thinking. Some aspects of these concepts are used in almost all of the geophysical disciplines. Chaos and Structures in Nonlinear Plasmas, written by two respected plasma physicists, focuses on nonlinear phenomena in laboratory and space plasmas, which are rich in nonlinear and complex collective effects. Chaos is treated only insofar as it relates to some aspects of nonlinear plasma physics.At the outset, the authors note that plasma physics research has made fundamental contributions to modern nonlinear sciences. For example, the Poincare surface of section technique was extensively used in studies of stochastic field lines in magnetically confined plasmas and turbulence. More generally, nonlinearity in plasma waves and wave-wave and wave-particle interactions critically determines the propagation of energy through a plasma medium. The book also makes it clear that the importance of understanding nonlinear waves goes beyond plasma physics, extending to such diverse fields as solid state physics, fluid dynamics, atmospheric physics, and optics. In space physics, non-linear plasma physics is essential for interpreting in situ as well as remote-sensing data.

  8. Proton-sensing transistor systems for detecting ion leakage from plasma membranes under chemical stimuli.

    Science.gov (United States)

    Imaizumi, Yuki; Goda, Tatsuro; Schaffhauser, Daniel F; Okada, Jun-Ichi; Matsumoto, Akira; Miyahara, Yuji

    2017-03-01

    The membrane integrity of live cells is routinely evaluated for cytotoxicity induced by chemical or physical stimuli. Recent progress in bioengineering means that high-quality toxicity validation is required. Here, we report a pH-sensitive transistor system developed for the continuous monitoring of ion leakage from cell membranes upon challenge by toxic compounds. Temporal changes in pH were generated with high reproducibility via periodic flushing of HepG2 cells on a gate insulator of a proton-sensitive field-effect transistor with isotonic buffer solutions with/without NH 4 Cl. The pH transients at the point of NH 4 Cl addition/withdrawal originated from the free permeation of NH 3 across the semi-permeable plasma membranes, and the proton sponge effect produced by the ammonia equilibrium. Irreversible attenuation of the pH transient was observed when the cells were subjected to a membrane-toxic reagent. Experiments and simulations proved that the decrease in the pH transient was proportional to the area of the ion-permeable pores on the damaged plasma membranes. The pH signal was correlated with the degree of hemolysis produced by the model reagents. The pH assay was sensitive to the formation of molecularly sized pores that were otherwise not measurable via detection of the leakage of hemoglobin, because the hydrodynamic radius of hemoglobin was greater than 3.1nm in the hemolysis assay. The pH transient was not disturbed by inherent ion-transporter activity. The ISFET assay was applied to a wide variety of cell types. The system presented here is fast, sensitive, practical and scalable, and will be useful for validating cytotoxins and nanomaterials. The plasma membrane toxicity and hemolysis are widely and routinely evaluated in biomaterials science and biomedical engineering. Despite the recent development of a variety of methods/materials for efficient gene/drug delivery systems to the cytosol, the methodologies for safety validation remain unchanged in

  9. Conceptual studies of plasma engineering test facility

    International Nuclear Information System (INIS)

    Hiraoka, Toru; Tazima, Teruhiko; Sugihara, Masayoshi; Kasai, Masao; Shinya, Kichiro

    1979-04-01

    Conceptual studies have been made of a Plasma Engineering Test Facility, which is to be constructed following JT-60 prior to the experimental power reactor. The physical aim of this machine is to examine self-ignition conditions. This machine possesses all essential technologies for reactor plasma, i.e. superconducting magnet, remote maintenance, shielding, blanket test modules, tritium handling. Emphasis in the conceptual studies was on structural consistency of the machine and whether the machine would be constructed practically. (author)

  10. Some aspects of plasma thermodynamics

    International Nuclear Information System (INIS)

    Gorgoraki, V.I.

    1986-01-01

    The objective reasons which have inhibited the development of a plasma-thermodynamics theory are discussed and the authors formulate the fundamental principles which can be the basis of a common plasma-thermodynamics theory. Two kinds of thermodynamic equilibrium plasmas are discussed, an isothermal plasma and a nonisothermal plasma. An isothermal plasma is a high-temperature plasma; the Saha-Eggert equation describes its behavior. A nonisothermal plasma is a low-temperature plasma, and the reactions taking place therein are purely plasma-chemical. The ionization equilibrium and the composition of such a plasma can be found with the aid of the equations presented in this paper

  11. Remote metrology system (RMS) design concept

    International Nuclear Information System (INIS)

    1995-01-01

    A 3D remote metrology system (RMS) is needed to map the interior plasma-facing components of the International Thermonuclear Experimental Reactor (ITER). The performance and survival of these components within the reactor vessel are strongly dependent on their precise alignment and positioning with respect to the plasma edge. Without proper positioning and alignment, plasma-facing surfaces will erode rapidly. A RMS design involving Coleman Research Corporation (CRC) fiber optic coherent laser radar (CLR) technology is examined in this study. The fiber optic CLR approach was selected because its high precision should be able to meet the ITER 0.1 mm accuracy requirement and because the CLR's fiber optic implementation allows a 3D scanner to operate remotely from the RMS system's vulnerable components. This design study has largely verified that a fiber optic CLR based RMS can survive the ITER environment and map the ITER interior at the required accuracy at a one measurement/cm 2 density with a total measurement time of less than one hour from each of six or more vertically deployed measurement probes. The design approach employs a sealed and pressurized measurement probe which is attached with an umbilical spiral bellows conduit. This conduit bears fiber optic and electronic links plus a stream of air to lower the temperature in the interior of the probe. Lowering the probe temperature is desirable because probe electromechanical components which could survive the radiation environment often were not rated for the 200 C temperature. The tip of the probe whose outer shell has a flexible bellows joint can swivel in two degrees of freedom to allow mapping operations at each probe deployment level. This design study has concluded that the most successful scanner design will involve a hybrid AO beam deflector and mechanical scanner

  12. Remote metrology system (RMS) design concept

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1995-10-19

    A 3D remote metrology system (RMS) is needed to map the interior plasma-facing components of the International Thermonuclear Experimental Reactor (ITER). The performance and survival of these components within the reactor vessel are strongly dependent on their precise alignment and positioning with respect to the plasma edge. Without proper positioning and alignment, plasma-facing surfaces will erode rapidly. A RMS design involving Coleman Research Corporation (CRC) fiber optic coherent laser radar (CLR) technology is examined in this study. The fiber optic CLR approach was selected because its high precision should be able to meet the ITER 0.1 mm accuracy requirement and because the CLR`s fiber optic implementation allows a 3D scanner to operate remotely from the RMS system`s vulnerable components. This design study has largely verified that a fiber optic CLR based RMS can survive the ITER environment and map the ITER interior at the required accuracy at a one measurement/cm{sup 2} density with a total measurement time of less than one hour from each of six or more vertically deployed measurement probes. The design approach employs a sealed and pressurized measurement probe which is attached with an umbilical spiral bellows conduit. This conduit bears fiber optic and electronic links plus a stream of air to lower the temperature in the interior of the probe. Lowering the probe temperature is desirable because probe electromechanical components which could survive the radiation environment often were not rated for the 200 C temperature. The tip of the probe whose outer shell has a flexible bellows joint can swivel in two degrees of freedom to allow mapping operations at each probe deployment level. This design study has concluded that the most successful scanner design will involve a hybrid AO beam deflector and mechanical scanner.

  13. Nanostructure and optical properties of CeO{sub 2} thin films obtained by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Barreca, D.; Bruno, G.; Gasparotto, A.; Losurdo, M.; Tondello, E

    2003-12-15

    In the present study, Spectroscopic Ellipsometry (SE) is used to investigate the interrelations between nanostructure and optical properties of CeO{sub 2} thin films deposited by Plasma-Enhanced Chemical Vapor Deposition (PE-CVD). The layers were synthesized in Ar and Ar-O{sub 2} plasmas on Si(100) substrates at temperatures lower than 300 deg. C. Both the real and imaginary parts of the complex dielectric functions and, subsequently, the optical constants of the films are derived up to 6.0 eV photon energy. Particular attention is devoted to the influence of synthesis conditions and sample properties on the optical response, taking into account the effects of surface roughness and SiO{sub 2} interface layer on Si.

  14. The component content of active particles in a plasma-chemical reactor based on volume barrier discharge

    Science.gov (United States)

    Soloshenko, I. A.; Tsiolko, V. V.; Pogulay, S. S.; Terent'yeva, A. G.; Bazhenov, V. Yu; Shchedrin, A. I.; Ryabtsev, A. V.; Kuzmichev, A. I.

    2007-02-01

    In this paper the results of theoretical and experimental studies of the component content of active particles formed in a plasma-chemical reactor composed of a multiple-cell generator of active particles, based on volume barrier discharge, and a working chamber are presented. For calculation of the content of uncharged plasma components an approach is proposed which is based on averaging of the power introduced over the entire volume. Advantages of such an approach lie in an absence of fitting parameters, such as the dimensions of microdischarges, their surface density and rate of breakdown. The calculation and the experiment were accomplished with the use of dry air (20% relative humidity) as the plasma generating medium. Concentrations of O3, HNO3, HNO2, N2 O5 and NO3 were measured experimentally in the discharge volume and working chamber for the residence time of particles on a discharge of 0.3 s and more and discharge specific power of 1.5 W cm-3. It has been determined that the best agreement between the calculation and the experiment occurs at calculated gas medium temperatures in the discharge plasma of about 400-425 K, which correspond to the experimentally measured rotational temperature of nitrogen. In most cases the calculated concentrations of O3, HNO3, HNO2, N2O5 and NO3 for the barrier discharge and the working chamber are in fairly good agreement with the respective measured values.

  15. The component content of active particles in a plasma-chemical reactor based on volume barrier discharge

    International Nuclear Information System (INIS)

    Soloshenko, I A; Tsiolko, V V; Pogulay, S S; Terent'yeva, A G; Bazhenov, V Yu; Shchedrin, A I; Ryabtsev, A V; Kuzmichev, A I

    2007-01-01

    In this paper the results of theoretical and experimental studies of the component content of active particles formed in a plasma-chemical reactor composed of a multiple-cell generator of active particles, based on volume barrier discharge, and a working chamber are presented. For calculation of the content of uncharged plasma components an approach is proposed which is based on averaging of the power introduced over the entire volume. Advantages of such an approach lie in an absence of fitting parameters, such as the dimensions of microdischarges, their surface density and rate of breakdown. The calculation and the experiment were accomplished with the use of dry air (20% relative humidity) as the plasma generating medium. Concentrations of O 3 , HNO 3 , HNO 2 , N 2 O 5 and NO 3 were measured experimentally in the discharge volume and working chamber for the residence time of particles on a discharge of 0.3 s and more and discharge specific power of 1.5 W cm -3 . It has been determined that the best agreement between the calculation and the experiment occurs at calculated gas medium temperatures in the discharge plasma of about 400-425 K, which correspond to the experimentally measured rotational temperature of nitrogen. In most cases the calculated concentrations of O 3 , HNO 3 , HNO 2 , N 2 O 5 and NO 3 for the barrier discharge and the working chamber are in fairly good agreement with the respective measured values

  16. Surface modification of gutta-percha cones by non-thermal plasma

    Energy Technology Data Exchange (ETDEWEB)

    Prado, Maíra, E-mail: maira@metalmat.ufrj.br [Department of Metallurgic and Materials Engineering, Federal University of Rio de Janeiro – UFRJ, Rio de Janeiro, RJ (Brazil); Menezes, Marilia Santana de Oliveira [Department of Metallurgic and Materials Engineering, Federal University of Rio de Janeiro – UFRJ, Rio de Janeiro, RJ (Brazil); Gomes, Brenda Paula Figueiredo de Almeida [Department of Restorative Dentistry, Endodontics Division, Piracicaba Dental School, State University of Campinas - UNICAMP, Piracicaba, SP (Brazil); Barbosa, Carlos Augusto de Melo [Department of Clinical Dentistry, Endodontic Division, Federal University of Rio de Janeiro – UFRJ, Rio de Janeiro, RJ (Brazil); Athias, Leonardo [Social Indicators Division, Brazilian Institute of Geography and Statistics, Rio de Janeiro, RJ (Brazil); Simão, Renata Antoun [Department of Metallurgic and Materials Engineering, Federal University of Rio de Janeiro – UFRJ, Rio de Janeiro, RJ (Brazil)

    2016-11-01

    This study was designed to evaluate the effects of Oxygen and Argon plasma on gutta-percha surfaces. A total of 185 flat smooth gutta-percha surfaces were used. Samples were divided into groups: control: no plasma treatment; Oxygen: treatment with Oxygen plasma for 1 min; Argon: treatment with Argon plasma for 1 min. Samples were evaluated topographically by scanning electron microscopy and atomic force microscopy; and chemically by Fourier Transform-infrared Spectroscopy. A goniometer was used to determine the surface free energy and the wettability of the endodontic sealers. Additionally 60 bovine teeth were filled using pellets of gutta-percha (control, oxygen and argon plasma) and the sealers. Teeth were evaluated by push-out and microleakage tests. Data were statistically analyzed using specific tests. Argon plasma did not change the surface topography, while Oxygen plasma led to changes. Both treatments chemically modified the gutta-percha surface. Argon and Oxygen plasma increased the surface free energy and favored the wettability of AH Plus and Pulp Canal Sealer EWT. Regarding bond strength analysis, for AH Plus sealer, both plasma treatments on gutta-percha favored the bond strength to dentin. However, for Pulp Canal Sealer, there is no statistically significant influence. For leakage test, dye penetration occurred between sealer and dentin in all groups. In conclusion, Oxygen plasma led to both topographic and chemical changes in the gutta-percha surface, while Argon plasma caused only chemical changes. Both treatments increased the surface free energy, favoring the wettability of AH Plus and Pulp Canal Sealer EWT sealers and influenced positively in the adhesion and leakage. - Highlights: • Argon plasma did not change the gutta-percha surface. • Oxygen plasma led to topographic changes. • Both treatments chemically modified the gutta-percha surface. • Treatments increased the surface free energy and favored the wettability of sealers. • Plasma

  17. Surface modification of gutta-percha cones by non-thermal plasma

    International Nuclear Information System (INIS)

    Prado, Maíra; Menezes, Marilia Santana de Oliveira; Gomes, Brenda Paula Figueiredo de Almeida; Barbosa, Carlos Augusto de Melo; Athias, Leonardo; Simão, Renata Antoun

    2016-01-01

    This study was designed to evaluate the effects of Oxygen and Argon plasma on gutta-percha surfaces. A total of 185 flat smooth gutta-percha surfaces were used. Samples were divided into groups: control: no plasma treatment; Oxygen: treatment with Oxygen plasma for 1 min; Argon: treatment with Argon plasma for 1 min. Samples were evaluated topographically by scanning electron microscopy and atomic force microscopy; and chemically by Fourier Transform-infrared Spectroscopy. A goniometer was used to determine the surface free energy and the wettability of the endodontic sealers. Additionally 60 bovine teeth were filled using pellets of gutta-percha (control, oxygen and argon plasma) and the sealers. Teeth were evaluated by push-out and microleakage tests. Data were statistically analyzed using specific tests. Argon plasma did not change the surface topography, while Oxygen plasma led to changes. Both treatments chemically modified the gutta-percha surface. Argon and Oxygen plasma increased the surface free energy and favored the wettability of AH Plus and Pulp Canal Sealer EWT. Regarding bond strength analysis, for AH Plus sealer, both plasma treatments on gutta-percha favored the bond strength to dentin. However, for Pulp Canal Sealer, there is no statistically significant influence. For leakage test, dye penetration occurred between sealer and dentin in all groups. In conclusion, Oxygen plasma led to both topographic and chemical changes in the gutta-percha surface, while Argon plasma caused only chemical changes. Both treatments increased the surface free energy, favoring the wettability of AH Plus and Pulp Canal Sealer EWT sealers and influenced positively in the adhesion and leakage. - Highlights: • Argon plasma did not change the gutta-percha surface. • Oxygen plasma led to topographic changes. • Both treatments chemically modified the gutta-percha surface. • Treatments increased the surface free energy and favored the wettability of sealers. • Plasma

  18. Controlled surface diffusion in plasma-enhanced chemical vapor deposition of GaN nanowires

    International Nuclear Information System (INIS)

    Hou, W C; Hong, Franklin Chau-Nan

    2009-01-01

    This study investigates the growth of GaN nanowires by controlling the surface diffusion of Ga species on sapphire in a plasma-enhanced chemical vapor deposition (CVD) system. Under nitrogen-rich growth conditions, Ga has a tendency to adsorb on the substrate surface diffusing to nanowires to contribute to their growth. The significance of surface diffusion on the growth of nanowires is dependent on the environment of the nanowire on the substrate surface as well as the gas phase species and compositions. Under nitrogen-rich growth conditions, the growth rate is strongly dependent on the surface diffusion of gallium, but the addition of 5% hydrogen in nitrogen plasma instantly diminishes the surface diffusion effect. Gallium desorbs easily from the surface by reaction with hydrogen. On the other hand, under gallium-rich growth conditions, nanowire growth is shown to be dominated by the gas phase deposition, with negligible contribution from surface diffusion. This is the first study reporting the inhibition of surface diffusion effects by hydrogen addition, which can be useful in tailoring the growth and characteristics of nanowires. Without any evidence of direct deposition on the nanowire surface, gallium and nitrogen are shown to dissolve into the catalyst for growing the nanowires at 900 deg. C.

  19. Remote sensing of atmospheric chemistry; Proceedings of the Meeting, Orlando, FL, Apr. 1-3, 1991

    Science.gov (United States)

    McElroy, James L.; McNeal, Robert J.

    The present volume on remote sensing of atmospheric chemistry discusses special remote sensing space observations and field experiments to study chemical change in the atmosphere, network monitoring for detection of stratospheric chemical change, stratospheric chemistry studies, and the combining of model, in situ, and remote sensing in atmospheric chemistry. Attention is given to the measurement of tropospheric carbon monoxide using gas filter radiometers, long-path differential absorption measurements of tropospheric molecules, air quality monitoring with the differential optical absorption spectrometer, and a characterization of tropospheric methane through space-based remote sensing. Topics addressed include microwave limb sounder experiments for UARS and EOS, an overview of the spectroscopy of the atmosphere using an FIR emission experiment, the detection of stratospheric ozone trends by ground-based microwave observations, and a FIR Fabry-Perot spectrometer for OH measurements. (For individual items see A93-31377 to A93-31412)

  20. Plasma for electrification of chemical industry: a case study on CO2 reduction

    Science.gov (United States)

    van Rooij, G. J.; Akse, H. N.; Bongers, W. A.; van de Sanden, M. C. M.

    2018-01-01

    Significant growth of the share of (intermittent) renewable power in the chemical industry is imperative to meet increasingly stricter limits on CO2 exhaust that are being implemented within Europe. This paper aims to evaluate the potential of a plasma process that converts input CO2 into a pure stream of CO to aid in renewable energy penetration in this sector. A realistic process design is constructed to serve as a basis for an economical analysis. The manufacturing cost price of CO is estimated at 1.2 kUS ton-1 CO. A sensitivity analysis shows that separation is the dominant cost factor, so that improving conversion is currently more effective to lower the price than e.g. energy efficiency.

  1. Plasma chemical and electrical modelling of a negative DC corona in pure oxygen

    Energy Technology Data Exchange (ETDEWEB)

    Soria, C [Departamento de Electronica y Electromagnetismo, Universidad de Sevilla, Av. Reina Mercedes s/n, 41012 Sevilla (Spain); Pontiga, F [Departamento de FIsica Aplicada II, Universidad de Sevilla, Av. Reina Mercedes s/n, 41012 Sevilla (Spain); Castellanos, A [Departamento de Electronica y Electromagnetismo, Universidad de Sevilla, Av. Reina Mercedes s/n, 41012 Sevilla (Spain)

    2004-02-01

    A complex plasma chemical and electrical model of a negative stationary wire-to-cylinder corona discharge in pure oxygen is presented. The corona discharge is assumed to have axial and azimuthal symmetry. The experimental current-voltage characteristic is required as input data, but there are no other adjustable or empirical parameters. The experimental validation of the results of the model comes from its prediction of the ozone concentration. The role played by different reactions and species is analysed in detail using the results of the simulation. The effect of the gas temperature and of the decomposition of ozone at the electrodes is also investigated. The agreement between the model and the experiments is excellent when the effect of ozone decomposition at the electrodes is taken into account.

  2. Plasma chemical and electrical modelling of a negative DC corona in pure oxygen

    International Nuclear Information System (INIS)

    Soria, C; Pontiga, F; Castellanos, A

    2004-01-01

    A complex plasma chemical and electrical model of a negative stationary wire-to-cylinder corona discharge in pure oxygen is presented. The corona discharge is assumed to have axial and azimuthal symmetry. The experimental current-voltage characteristic is required as input data, but there are no other adjustable or empirical parameters. The experimental validation of the results of the model comes from its prediction of the ozone concentration. The role played by different reactions and species is analysed in detail using the results of the simulation. The effect of the gas temperature and of the decomposition of ozone at the electrodes is also investigated. The agreement between the model and the experiments is excellent when the effect of ozone decomposition at the electrodes is taken into account

  3. Remote removal of contaminated equipment from a radiochemical engineering cell

    International Nuclear Information System (INIS)

    Scharnhorst, N.L.; Bryan, G.H.; Bjorklund, W.J.

    1984-01-01

    Large-scale vitrification equipment in a radioactive cell was dismantled and packed for burial through the use of viewing windows with manipulators, two overhead cranes, and unique tools for disassembling, grabbing, and handling the equipment components. An air-driven reciprocating hacksaw, remotely placed and operated, was used to cut large structural members inaccessible by the manipulators. Remotely operated circular saws and a plasma torch were used to cut calciners, furnaces, storage vessels, piping, pumps, and structural members into pieces that were placed safely into shielded burial boxes. This engineering effort was accomplished without any exposure problems in approximately 17 months, which required almost 10 equivalent worker-years. 8 figures, 1 table

  4. LiF enhanced nucleation of the low temperature microcrystalline silicon prepared by plasma enhanced chemical vapour deposition

    Czech Academy of Sciences Publication Activity Database

    Stuchlík, Jiří; Ledinský, Martin; Honda, Shinya; Drbohlav, Ivo; Mates, Tomáš; Fejfar, Antonín; Hruška, Karel; Stuchlíková, The-Ha; Kočka, Jan

    2009-01-01

    Roč. 517, č. 24 (2009), s. 6829-6832 ISSN 0040-6090 R&D Projects: GA AV ČR KAN400100701; GA ČR(CZ) GD202/05/H003; GA MŠk LC510; GA AV ČR IAA1010413 Institutional research plan: CEZ:AV0Z10100521 Keywords : amorphous hydrogenated silicon * atomic force microscopy * plasma-enhanced chemical vapour deposition, * nucleation * Raman scattering * lithium fluoride Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.727, year: 2009

  5. Remote sampling and analysis of highly radioactive samples in shielded boxes

    International Nuclear Information System (INIS)

    Kirpikov, D.A.; Miroshnichenko, I.V.; Pykhteev, O.Yu.

    2010-01-01

    The sampling procedure used for highly radioactive coolant water is associated with high risk of personnel irradiation and uncontrolled radioactive contamination. Remote sample manipulation with provision for proper radiation shielding is intended for safety enhancement of the sampling procedure. The sampling lines are located in an isolated compartment, a shielded box. Various equipment which enables remote or automatic sample manipulation is used for this purpose. The main issues of development of the shielded box equipment intended for a wider ranger of remote chemical analyses and manipulation techniques for highly radioactive water samples are considered in the paper. There were three principal directions of work: Transfer of chemical analysis performed in the laboratory inside the shielded box; Prevalence of computer-aided and remote techniques of highly radioactive sample manipulation inside the shielded box; and, Increase in control over sampling and determination of thermal-hydraulic parameters of the coolant water in the sampling lines. The developed equipment and solutions enable remote chemical analysis in the restricted volume of the shielded box by using ion-chromatographic, amperometrical, fluorimetric, flow injection, phototurbidimetric, conductometric and potentiometric methods. Extent of control performed in the shielded box is determined taking into account the requirements of the regulatory documents as well as feasibility and cost of the technical adaptation of various methods to the shielded box conditions. The work resulted in highly precise determination of more than 15 indexes of the coolant water quality performed in on-line mode in the shielded box. It averages to 80% of the total extent of control performed at the prototype reactor plants. The novel solutions for highly radioactive sample handling are implemented in the shielded box (for example, packaging, sample transportation to the laboratory, volume measurement). The shielded box is

  6. Ultraviolet electroluminescence from nitrogen-doped ZnO-based heterojuntion light-emitting diodes prepared by remote plasma in situ atomic layer-doping technique.

    Science.gov (United States)

    Chien, Jui-Fen; Liao, Hua-Yang; Yu, Sheng-Fu; Lin, Ray-Ming; Shiojiri, Makoto; Shyue, Jing-Jong; Chen, Miin-Jang

    2013-01-23

    Remote plasma in situ atomic layer doping technique was applied to prepare an n-type nitrogen-doped ZnO (n-ZnO:N) layer upon p-type magnesium-doped GaN (p-GaN:Mg) to fabricate the n-ZnO:N/p-GaN:Mg heterojuntion light-emitting diodes. The room-temperature electroluminescence exhibits a dominant ultraviolet peak at λ ≈ 370 nm from ZnO band-edge emission and suppressed luminescence from GaN, as a result of the decrease in electron concentration in ZnO and reduced electron injection from n-ZnO:N to p-GaN:Mg because of the nitrogen incorporation. The result indicates that the in situ atomic layer doping technique is an effective approach to tailoring the electrical properties of materials in device applications.

  7. Modeling of thermal plasma arc technology FY 1994 report

    International Nuclear Information System (INIS)

    Hawkes, G.L.; Nguyen, H.D.; Paik, S.; McKellar, M.G.

    1995-03-01

    The thermal plasma arc process is under consideration to thermally treat hazardous and radioactive waste. A computer model for the thermal plasma arc technology was designed as a tool to aid in the development and use of the plasma arc-Joule beating process. The value of this computer model is to: (a) aid in understanding the plasma arc-Joule beating process as applied to buried waste or exhumed buried waste, (b) help design melter geometry and electrode configuration, (c) calculate the process capability of vitrifying waste (i.e., tons/hour), (d) develop efficient plasma and melter operating conditions to optimize the process and/or reduce safety hazards, (e) calculate chemical reactions during treatment of waste to track chemical composition of off-gas products, and composition of final vitrified waste form and (f) help compare the designs of different plasma-arc facilities. A steady-state model of a two-dimensional axisymmetric transferred plasma arc has been developed and validated. A parametric analysis was performed that studied the effects of arc length, plasma gas composition, and input power on the temperatures and velocity profiles of the slag and plasma gas. A two-dimensional transient thermo-fluid model of the US Bureau of Mines plasma arc melter has been developed. This model includes the growth of a slag pool. The thermo-fluid model is used to predict the temperature and pressure fields within a plasma arc furnace. An analysis was performed to determine the effects of a molten metal pool on the temperature, velocity, and voltage fields within the slag. A robust and accurate model for the chemical equilibrium calculations has been selected to determine chemical composition of final waste form and off-gas based on the temperatures and pressures within the plasma-arc furnace. A chemical database has been selected. The database is based on the materials to be processed in the plasma arc furnaces

  8. Energy band structure and electrical properties of Ga-oxide/GaN interface formed by remote oxygen plasma

    Science.gov (United States)

    Yamamoto, Taishi; Taoka, Noriyuki; Ohta, Akio; Truyen, Nguyen Xuan; Yamada, Hisashi; Takahashi, Tokio; Ikeda, Mitsuhisa; Makihara, Katsunori; Nakatsuka, Osamu; Shimizu, Mitsuaki; Miyazaki, Seiichi

    2018-06-01

    The energy band structure of a Ga-oxide/GaN structure formed by remote oxygen plasma exposure and the electrical interface properties of the GaN metal–oxide–semiconductor (MOS) capacitors with the SiO2/Ga-oxide/GaN structures with postdeposition annealing (PDA) at various temperatures have been investigated. Reflection high-energy electron diffraction and X-ray photoelectron spectroscopy clarified that the formed Ga-oxide layer is neither a single nor polycrystalline phase with high crystallinity. We found that the energy band offsets at the conduction band minimum and at the valence band maximum between the Ga-oxide layer and the GaN surface were 0.4 and 1.2 ± 0.2 eV, respectively. Furthermore, capacitance–voltage (C–V) characteristics revealed that the interface trap density (D it) is lower than the evaluation limit of Terman method without depending on the PDA temperatures, and that the SiO2/Ga-oxide stack can work as a protection layer to maintain the low D it, avoiding the significant decomposition of GaN at the high PDA temperature of 800 °C.

  9. Development of a gas-cylinder-free plasma desorption/ionization system for on-site detection of chemical warfare agents.

    Science.gov (United States)

    Iwai, Takahiro; Kakegawa, Ken; Aida, Mari; Nagashima, Hisayuki; Nagoya, Tomoki; Kanamori-Kataoka, Mieko; Miyahara, Hidekazu; Seto, Yasuo; Okino, Akitoshi

    2015-06-02

    A gas-cylinder-free plasma desorption/ionization system was developed to realize a mobile on-site analytical device for detection of chemical warfare agents (CWAs). In this system, the plasma source was directly connected to the inlet of a mass spectrometer. The plasma can be generated with ambient air, which is drawn into the discharge region by negative pressure in the mass spectrometer. High-power density pulsed plasma of 100 kW could be generated by using a microhollow cathode and a laboratory-built high-intensity pulsed power supply (pulse width: 10-20 μs; repetition frequency: 50 Hz). CWAs were desorbed and protonated in the enclosed space adjacent to the plasma source. Protonated sample molecules were introduced to the mass spectrometer by airflow through the discharge region. To evaluate the analytical performance of this device, helium and air plasma were directly irradiated to CWAs in the gas-cylinder-free plasma desorption/ionization system and the protonated molecules were analyzed by using an ion-trap mass spectrometer. A blister agent (nitrogen mustard 3) and nerve gases [cyclohexylsarin (GF), tabun (GA), and O-ethyl S-2-N,N-diisopropylaminoethyl methylphosphonothiolate (VX)] in solution in n-hexane were applied to the Teflon rod and used as test samples, after solvent evaporation. As a result, protonated molecules of CWAs were successfully observed as the characteristic ion peaks at m/z 204, 181, 163, and 268, respectively. In air plasma, the limits of detection were estimated to be 22, 20, 4.8, and 1.0 pmol, respectively, which were lower than those obtained with helium plasma. To achieve quantitative analysis, calibration curves were made by using CWA stimulant dipinacolyl methylphosphonate as an internal standard; straight correlation lines (R(2) = 0.9998) of the peak intensity ratios (target per internal standard) were obtained. Remarkably, GA and GF gave protonated dimer ions, and the ratios of the protonated dimer ions to the protonated

  10. Magnetized Target Fusion Driven by Plasma Liners

    Science.gov (United States)

    Thio, Y. C. Francis; Cassibry, Jason; Eskridge, Richard; Kirkpatrick, Ronald C.; Knapp, Charles E.; Lee, Michael; Martin, Adam; Smith, James; Wu, S. T.; Rodgers, Stephen L. (Technical Monitor)

    2001-01-01

    For practical applications of magnetized target fusion, standoff drivers to deliver the imploding momentum flux to the target plasma remotely are required. Quasi-spherically converging plasma jets have been proposed as standoff drivers for this purpose. The concept involves the dynamic formation of a quasi-spherical plasma liner by the merging of plasma jets, and the use of the liner so formed to compress a spheromak or a field reversed configuration (FRC). Theoretical analysis and computer modeling of the concept are presented. It is shown that, with the appropriate choice of the flow parameters in the liner and the target, the impact between the liner and the target plasma can be made to be shockless in the liner or to generate at most a very weak shock in the liner. Additional information is contained in the original extended abstract.

  11. The growth of axially modulated p–n GaN nanowires by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Tung-Hsien; Hong, Franklin Chau-Nan

    2013-01-01

    Due to the n-type characteristics of intrinsic gallium nitride, p-type gallium nitride (GaN) is more difficult to synthesize than n-type gallium nitride in forming the p–n junctions for optoelectronic applications. For the growth of the p-type gallium nitride, magnesium is used as the dopant. The Mg-doped GaN nanowires (NWs) have been synthesized on (111)-oriented n + -silicon substrates by plasma-enhanced chemical vapor deposition. The scanning electron microscope images showed that the GaN NWs were bent at high Mg doping levels, and the transmission electron microscope characterization indicated that single-crystalline GaN NWs grew along < 0001 > orientation. As shown by energy dispersive spectroscopy, the Mg doping levels in GaN NWs increased with increasing partial pressure of magnesium nitride, which was employed as the dopant precursor for p-GaN NW growth. Photoluminescence measurements suggested the presence of both p- and n‐type GaN NWs. Furthermore, the GaN NWs with axial p–n junctions were aligned between either two-Ni or two-Al electrodes by applying alternating current voltages. The current–voltage characteristics have confirmed the formation of axial p–n junctions in GaN nanowires. - Highlights: ► Grow axially modulated GaN nanowires by plasma-enhanced chemical vapor deposition ► Control the Mg concentration of GaN nanowires by tuning Mg 3 N 2 temperature ► Align the GaN nanowires by applying alternating current voltages between electrodes

  12. Plasma-assisted partial oxidation of methane at low temperatures: numerical analysis of gas-phase chemical mechanism

    Energy Technology Data Exchange (ETDEWEB)

    Goujard, Valentin; Nozaki, Tomohiro; Yuzawa, Shuhei; Okazaki, Ken [Department of Mechanical and Control Engineering, Tokyo Institute of Technology, 2-12-1 O-okayama, Meguro, 1528552, Tokyo (Japan); Agiral, Anil, E-mail: tnozaki@mech.titech.ac.jp [Mesoscale Chemical Systems, MESA Institute for Nanotechnology, Faculty of Science and Technology, University of Twente, PO Box 217, 7500 AE, Enschede (Netherlands)

    2011-07-13

    Methane partial oxidation was investigated using a plasma microreactor. The experiments were performed at 5 and 300 deg. C. Microreactor configuration allows an efficient evacuation of the heat generated by methane partial oxidation and dielectric barrier discharges, allowing at the same time a better temperature control. At 5 deg. C, liquid condensation of low vapour pressure compounds, such as formaldehyde and methanol, occurs. {sup 1}H-NMR analysis allowed us to demonstrate significant CH{sub 3}OOH formation during plasma-assisted partial oxidation of methane. Conversion and product selectivity were discussed for both temperatures. In the second part of this work, a numerical simulation was performed and a gas-phase chemical mechanism was proposed and discussed. From the comparison between the experimental results and the simulation it was found that CH{sub 3}OO{center_dot} formation has a determinant role in oxygenated compound production, since its fast formation disfavoured radical recombination. At 5 deg. C the oxidation leads mainly towards oxygenated compound formation, and plasma dissociation was the major phenomenon responsible for CH{sub 4} conversion. At 300 deg. C, higher CH{sub 4} conversion resulted from oxidative reactions induced by {center_dot}OH radicals with a chemistry predominantly oxidative, producing CO, H{sub 2}, CO{sub 2} and H{sub 2}O.

  13. Low-Pressure H2, NH3 Microwave Plasma Treatment of Polytetrafluoroethylene (PTFE) Powders: Chemical, Thermal and Wettability Analysis

    Science.gov (United States)

    Hunke, Harald; Soin, Navneet; Shah, Tahir H.; Kramer, Erich; Pascual, Alfons; Karuna, Mallampalli Sri Lakshmi; Siores, Elias

    2015-01-01

    Functionalization of Polytetrafluoroethylene (PTFE) powders of ~6 μm particle size is carried out using low-pressure 2.45 GHz H2, NH3 microwave plasmas for various durations (2.5, 10 h) to chemically modify their surface and alter their surface energy. The X-ray Photoelectron Spectroscopy (XPS) analyses reveal that plasma treatment leads to significant defluorination (F/C atomic ratio of 1.13 and 1.30 for 10 h NH3 and H2 plasma treatments, respectively vs. 1.86 for pristine PTFE), along with the incorporation of functional polar moieties on the surface, resulting in enhanced wettability. Analysis of temperature dependent XPS revealed a loss of surface moieties above 200 °C, however, the functional groups are not completely removable even at higher temperatures (>300 °C), thus enabling the use of plasma treated PTFE powders as potential tribological fillers in high temperature engineering polymers. Ageing studies carried over a period of 12 months revealed that while the surface changes degenerate over time, again, they are not completely reversible. These functionalised PTFE powders can be further used for applications into smart, high performance materials such as tribological fillers for engineering polymers and bio-medical, bio-material applications.

  14. Remote Assessment of Lunar Resource Potential

    Science.gov (United States)

    Taylor, G. Jeffrey

    1992-01-01

    Assessing the resource potential of the lunar surface requires a well-planned program to determine the chemical and mineralogical composition of the Moon's surface at a range of scales. The exploration program must include remote sensing measurements (from both Earth's surface and lunar orbit), robotic in situ analysis of specific places, and eventually, human field work by trained geologists. Remote sensing data is discussed. Resource assessment requires some idea of what resources will be needed. Studies thus far have concentrated on oxygen and hydrogen production for propellant and life support, He-3 for export as fuel for nuclear fusion reactors, and use of bulk regolith for shielding and construction materials. The measurement requirements for assessing these resources are given and discussed briefly.

  15. Morphologic and Chemical Properties of PMMA/ATH Layers with Enhanced Abrasion Resistance Realised by Cold Plasma Spraying at Atmospheric Pressure

    Directory of Open Access Journals (Sweden)

    L. Wallenhorst

    2018-01-01

    Full Text Available This study investigated the morphologic and chemical properties of coatings based on PMMA/ATH powder and deposited by cold plasma spraying on wood and glass. Since the deposition of pure PMMA/ATH powder with air as process gas yielded coatings with insufficient abrasion resistance, two modifications of the basic process were investigated. Previous studies showed that replacing air as process gas with forming gas did not enhance the abrasion resistance, but the addition of a phenol-formaldehyde resin (PF succeeded in stabilising the particle coatings. In this work, results from morphologic and chemical analysis suggested an encasement of the PMMA/ATH particles by plasma-modified PF and thus a fusion of individual particles, explaining the enhanced bonding. Moreover, adhesion tests confirmed an outstanding bonding between the coating and wood as well as glass, which is assumed to result from interactions between the PF’s hydroxyl groups and functional groups on the substrates’ surfaces. Studies on the wettability revealed a hydrophobic character of such coatings, therefore generally indicating a possible application, for example, to reduce water uptake by wooden materials.

  16. Optical and passivating properties of hydrogenated amorphous silicon nitride deposited by plasma enhanced chemical vapour deposition for application on silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Wight, Daniel Nilsen

    2008-07-01

    quality, etch rate. The response of these parameters to high temperature anneals were correlated with structural changes in the silicon nitride films as measured by using the hydrogen bond concentration. Plasma enhanced chemical vapour deposition allows continuous variation in nearly all deposition parameters. The parameters studied in this work are the gas flow ratios and excitation power. In both direct and remote deposition systems, the increase in deposition power density lead to higher activation of ammonia which in turn lead to augmented incorporation of nitrogen into the films and thus lower refractive index. For a direct system, the same parameter change lead to a drastic fall in passivation quality of Czochralski silicon attributed to an increase in ion bombardment as well as the general observation that as deposited passivation tends to increase with refractive index. Silicon nitride films with variations in refractive index were also made by varying the silane-to-ammonia gas flow ratio. This simple parameter adjustment makes plasma enhanced chemical vapour deposited silicon nitride applicable to double layer anti-reflective coatings simulated in this work. The films were found to have an etch rate in 5% hydrofluoric acid that decreased with increasing refractive index. This behaviour is attributed to the decreasing concentration of nitrogen-to-hydrogen bonds in the films. Such bonds at the surface of silicon nitride have been suggested to be involved in the main reaction mechanism when etching silicon nitride in hydrofluoric acid. Annealing the films lead to a drastic fall in etch rates and was linked to the release of hydrogen from the nitrogen-hydrogen bonds. (author). 115 refs., 35 figs., 6 tabs

  17. FPGA remote update for nuclear environments

    Energy Technology Data Exchange (ETDEWEB)

    Fernandes, Ana; Pereira, Rita C.; Sousa, Jorge; Carvalho, Paulo F.; Correia, Miguel; Rodrigues, Antonio P.; Carvalho, Bernardo B.; Goncalves, Bruno [Instituto de Plasmasbe Fusao Nuclear, Instituto Superior Tecnico, Universidade de Lisboa, 1049-001 Lisboa, (Portugal); Correia, Carlos M.B.A. [Centro de Instrumentacao, Dept. de Fisica, Universidade de Coimbra, 3004-516 Coimbra, (Portugal)

    2015-07-01

    The Instituto de Plasmas e Fusao Nuclear (IPFN) has developed dedicated re-configurable modules based on field programmable gate array (FPGA) devices for several nuclear fusion machines worldwide. Moreover, new Advanced Telecommunication Computing Architecture (ATCA) based modules developed by IPFN are already included in the ITER catalogue. One of the requirements for re-configurable modules operating in future nuclear environments including ITER is the remote update capability. Accordingly, this work presents an alternative method for FPGA remote programing to be implemented in new ATCA based re-configurable modules. FPGAs are volatile devices and their programming code is usually stored in dedicated flash memories for properly configuration during module power-on. The presented method is capable to store new FPGA codes in Serial Peripheral Interface (SPI) flash memories using the PCIexpress (PCIe) network established on the ATCA back-plane, linking data acquisition endpoints and the data switch blades. The method is based on the Xilinx Quick Boot application note, adapted to PCIe protocol and ATCA based modules. (authors)

  18. Properties of plasma assisted chemical vapor deposited coatings of titanium boride on Ti--6Al--4V alloy substrates

    International Nuclear Information System (INIS)

    Otter, F.A.; Amisola, G.B.; Roman, W.C.; Hay, S.O.

    1992-01-01

    Coatings prepared in a radio-frequency-plasma (plasma assisted chemical vapor deposition) reactor employing in situ laser diagnostics have been tested and characterized. Detailed characterization studies are important to relate gas phase laser diagnostic studies and concurrent heterogeneous modeling efforts to coating characteristics. Establishing how deposition conditions are correlated with coating properties is expected to provide needed methodology for scale up of applications in the hard face protective coating area. After a brief discussion of preparation conditions and mechanical test results, we present results of chemical and physical measurements on these coatings. Measurement techniques include x-ray diffraction, Dektak surface roughness, scanning tunneling microscopy, scanning electron microscopy, and SEI, Auger electron spectroscopy, x-ray photoelectron spectroscopy, and Rutherford backscattering spectroscopy. The coatings (∼20 μm thick) are very hard (40 GPa at depths over 100 nm), adherent (60 N on scratch test), and sand erosion resistant (>40x as durable as Ti-6Al-4V). They are highly oriented with the c axis (hexagonal-close-packed) normal to the coating surface, rough (∼1 μm), and off-stoichiometry (TiB 2.2 )

  19. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    International Nuclear Information System (INIS)

    Sulyaeva, Veronica S.; Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A.; Kesler, Valerii G.; Kirienko, Viktor V.

    2014-01-01

    Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC x N y films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC x N y films were found to be high optical transparent layers (93%). • BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9

  20. Relationship between deprotection and film thickness loss during plasma etching of positive tone chemically amplified resists

    International Nuclear Information System (INIS)

    Mahorowala, A.P.; Medeiros, D.R.

    2001-01-01

    Positive tone chemically amplified (CA) resists have demonstrated the sensitivity, contrast, and resolution necessary to print state-of-the-art subwavelength features using 248 nm and more recently 193 nm lithography. These materials are also being considered for printing sub-100 nm features with 157 nm and next-generation lithography technologies such as extreme ultraviolet and electron beam projection lithography. The basis for solubility differential and image formation in these resists is the acid catalyzed deprotection of labile protecting groups of an inherently base soluble polymer. The deprotection is effected by the photochemical generation of strong acid during the exposure process. Such acid-catalyzed deprotection reactions can also occur in unexposed resist areas when etched in a plasma. This can be due to UV exposure, high-energy ion bombardment, elevated substrate temperatures, or interaction of the resist surface with plasma species to form acidic moieties. Deprotection has been associated with resist mass loss and film shrinkage during plasma etching, leaving inadequate masking material for the entire etch step. In this article, we report the film thickness loss of several unexposed CA resists as a function of etch time in a variety of plasmas and correlate these data with film composition, monitored by Fourier transform infrared spectroscopy. These results are compared with theoretical predictions based on generally accepted deprotection mechanisms. Our findings indicate that the 'acidic' nature of certain plasmas such as Cl 2 /O 2 can result in deprotection in the resist film, even in the absence of a photoacid generator. Additionally, the data suggest that the nature of the resist polymer and, in turn, the identity of the deprotection products directly influence resist mass loss and etch rate linearity, both of which can be controlled by careful selection of resist materials

  1. Characterization of Wet Air Plasma Jet Powered by Sinusoidal High Voltage and Nanosecond Pulses for Plasma Agricultural Application

    Science.gov (United States)

    Takashima, Keisuke; Shimada, Keisuke; Konishi, Hideaki; Kaneko, Toshiro

    2015-09-01

    Not only for the plasma sterilization but also for many of plasma life-science applications, atmospheric pressure plasma devices that allowed us to control its state and reactive species production are deserved to resolve the roles of the chemical species. Influence of the hydroxyl radical and ozone on germination of conidia of a strawberry pathogen is presented. Water addition to air plasma jet significantly improves germination suppression performance, while measured reactive oxygen species (ROS) are reduced. Although the results show a negative correlation between ROS and the germination suppression, this infers the importance of chemical composition generated by plasma. For further control of the plasma product, a plasma jet powered by sinusoidal high voltage and nanosecond pulses is developed and characterized with the voltage-charge Lissajous. Control of breakdown phase and discharge power by pulse-imposed phase is presented. This work is supported by JSPS KAKENHI Grant-in-Aid for Young Scientists (B) Grant Number 15K17480 and Exploratory Research Grant Number 23644199.

  2. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Y.; Song, W.; Lee, S. Y.; Jeon, C.; Jung, W.; Kim, M.; Park, C.-Y.

    2011-01-01

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 deg. C down to 450 deg. C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω/sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  3. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Kim, Y.; Song, W.; Lee, S. Y.; Jeon, C.; Jung, W.; Kim, M.; Park, C.-Y.

    2011-06-01

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 °C down to 450 °C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω/sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  4. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  5. Ex-vessel remote maintenance design for the Compact Ignition Tokamak

    International Nuclear Information System (INIS)

    Spampinato, P.T.; Macdonald, D.

    1987-01-01

    The use of deuterium-tritium (D-T) fuel for operation of the Compact Ignition Tokamak (CIT) imposes a requirement for remote handling technology to carry out maintenance operations on auxiliary machine components. These operations consist of removing and repairing components such as diagnostics and radio frequency (rf) heating modules using remotely operated maintenance equipment. The major equipment that is being developed to accomplish maintenance external to the plasma chamber includes the bridge-mounted manipulator system for test cell operations, decontamination (decon) equipment, hot cell equipment, and solid rad-waste handling equipment. Wherever possible, the project will use commercially available equipment. Several areas of the maintenance system design have been addressed in fiscal year (FY) 1987. These included conceptual designs of manipulator systems, the start of a remote equipment research and development (R and D) program, and definition of the hot cell, decon, and equipment repair facility requirements. The manipulator work included investigating transporters and viewing/lighting subsystems. In each case, existing commercial units are being assessed initially, along with viable alternative approaches. R and D work also included demonstrations of remote handling operations on full-size, partial mock-ups of the CIT machine at the Oak Ridge National Laboratory (ORNL) Remote Operations and Maintenance Development Facility

  6. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  7. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  8. Diagnostics of atmospheric pressure air plasmas

    International Nuclear Information System (INIS)

    Laux, C.O.; Kruger, C.H.; Zare, R.N.

    2001-01-01

    Atmospheric pressure air plasmas are often thought to be in Local Thermodynamics Equilibrium (LTE) owing to fast interspecies collisional exchanges at high pressure. As will be seen here, this assumption cannot be relied upon, particularly with respect to optical diagnostics. Large velocity gradients in flowing plasmas and/or elevated electron temperatures created by electrical discharges can result in large departures from chemical and thermal equilibrium. Diagnostic techniques based on optical emission spectroscopy (OES) and Cavity Ring-Down Spectroscopy (CRDS) have been developed and applied at Stanford University to the investigation of atmospheric pressure plasmas under conditions ranging from thermal and chemical equilibrium to thermochemical nonequilibrium. This article presents a review of selected temperature and species concentration measurement techniques useful for the study of air and nitrogen plasmas

  9. Effects of chemical sympathectomy on the increases in plasma catecholamines and dopamine-beta-hydroxylase induced by forced immobilization and insulin-induced hypoglycemia: origin and fate of plasma dopamine-beta-hydroxylase.

    Science.gov (United States)

    Israel, A S; Barbella, Y R; Cubeddu, L X

    1982-06-01

    The effect of acute stresses on plasma norepinephrine, epinephrine and dopamine-beta-hydroxylase (DBH) were evaluated in control and 6-hydroxydopamine-treated, awake cannulated guinea pigs. Forced immobolization for 1 hr caused a 3- and 5-fold increase in plasma DBH and norepinephrine, respectively. Pretreatment with 6-hydroxydopamine (23 mg/kg b.wt.i.a., 72 and 48 hr before stress) reduced by 70% the increase in plasma DBH and totally prevented the rise in plasma catecholamines evoked by the restraining stress. Injection of insulin (5 U/kg b.wt.i.a.) induced a 60% decrease in blood glucose, a 1-fold increase in plasma DBH and a selective 4-fold increase in plasma epinephrine; these effects were not modified by chemical sympathectomy. Our results indicate that forced immobilization and hypoglycemia produce a preferential activation of the sympathetic postganglionic nerves and of the adrenal medulla, respectively, and that in guinea pigs both stresses increase plasma DBH. The kinetics of disappearance of plasma DBH were studied after subjecting the guinea pigs for 1 hr to forced immobilization. Although 7 of 12 animals showed a biphasic rate of fall of plasma DBH, in each case there was a rapid initial fall possibly due to the "distribution" of the enzyme with a T1/2 of 1.65 hr. Similar findings were observed in 6-hydroxydopamine-treated guinea pigs. These results suggest that the distribution of DBH is the most important process in reducing the augmented plasma DBH levels elicited by a short-term stress and that this process is not dependent on the integrity of the sympathetic nerves nor on the adrenal or sympathetic origin of the enzyme. This study supports the view that the ratio, content of releasable DBH present in sympathetic nerves and adrenal glands/total circulating pool of DBH, is the factor that determines whether an increase in plasma DBH would occur in animals exposed to an acute stress.

  10. Remote Access Revolution: Chemical Crystallographers Enter a New Era at Diamond Light Source Beamline I19

    Directory of Open Access Journals (Sweden)

    Natalie T. Johnson

    2017-12-01

    Full Text Available Since the inception of the use of synchrotron radiation in the structural characterisation of crystalline materials by single-crystal diffraction in the late 20th century, the field has undergone an explosion of technological developments. These cover all aspects of the experiments performed, from the construction of the storage rings and insertion devices, to the end user functionalities in the experimental hutches. Developments in automation have most frequently been driven by the macromolecular crystallography community. The drive towards greater access to ever-brighter X-ray sources has benefited the entire field. Herein, we detail the revolution that is now occurring within the chemical crystallography community, utilising many of the tools developed by their more biologically oriented colleagues, along with specialised functionalities that are tailored to the small-molecule world. We discuss the benefits of utilising the advanced features of Diamond Light Source beamline I19 in the newly developed remote access mode and the step-change in productivity that can be established as a result.

  11. Modelling of microwave induced plasmas : the interplay between electromagnetism, plasma chemistry and transport

    NARCIS (Netherlands)

    Jimenez-Diaz, M.

    2011-01-01

    In this thesis we report on a theoretical/numerical study that is concerned with Microwave Induced Plasmas (MIPs) in general, and the application of a MIP to the Plasma-activated Chemical Vapour Deposition (PCVD) process that is used at Draka Comteq for the production of optical fibres in

  12. A remote characterization system for subsurface mapping of buried waste sites

    International Nuclear Information System (INIS)

    Sandness, G.A.; Bennett, D.W.

    1992-10-01

    Mapping of buried objects and regions of chemical and radiological contamination is required at US Department of Energy (DOE) buried waste sites. The DOE Office of Technology Development Robotics Integrated Program has initiated a project to develop and demonstrate a remotely controlled subsurface sensing system, called the Remote Characterization System (RCS). This project, a collaborative effort by five of the National Laboratories, involves the development of a unique low-signature survey vehicle, a base station, radio telemetry data links, satellite-based vehicle tracking, stereo vision, and sensors for non-invasive inspection of the surface and subsurface. To minimize interference with on-board sensors, the survey vehicle has been constructed predominatantly of non-metallic materials. The vehicle is self-propelled and will be guided by an operator located at a remote base station. The RCS sensors will be environmentally sealed and internally cooled to preclude contamination during use. Ground-penetrating radar, magnetometers, and conductivity devices are planned for geophysical surveys. Chemical and radiological sensors will be provided to locate hot spots and to provide isotopic concentration data

  13. Structured nanocarbon on various metal foils by microwave plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Rius, G; Yoshimura, M

    2013-01-01

    We present a versatile process for the engineering of nanostructures made of crystalline carbon on metal foils. The single step process by microwave plasma-enhance chemical vapor deposition is demonstrated for various substrate materials, such as Ni or Cu. Either carbon nanotubes (CNT) or carbon nanowalls (CNW) are obtained under same growth conditions and without the need of additional catalyst. The use of spacer and insulator implies a certain control over the kind of allotropes that are obtained. High density and large surface area are morphological characteristics of the thus obtained C products. The possibility of application on many metals, and in the alloy composition, on as-delivered commercially available foils indicates that this strategy can be adapted to a bunch of specific applications, while the production of C nanostructures is of remarkable simplicity.

  14. High temperature divertor plasma operation

    International Nuclear Information System (INIS)

    Ohyabu, Nobuyoshi.

    1991-02-01

    High temperature divertor plasma operation has been proposed, which is expected to enhance the core energy confinement and eliminates the heat removal problem. In this approach, the heat flux is guided through divertor channel to a remote area with a large target surface, resulting in low heat load on the target plate. This allows pumping of the particles escaping from the core and hence maintaining of the high divertor temperature, which is comparable to the core temperature. The energy confinement is then determined by the diffusion coefficient of the core plasma, which has been observed to be much lower than the thermal diffusivity. (author)

  15. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  16. Quantum chemical estimation of sorption/desorption of H{sub 2} and H{sub 2}O (gas) at the plasma-wall interface

    Energy Technology Data Exchange (ETDEWEB)

    Nagasaki, Shinya; Tsushima, Satoru; Tanaka, Masataka; Umemura, Yasuhiro [Tokyo Univ. (Japan). Faculty of Engineering

    1996-10-01

    By using MOPAC Code, we estimated the charge density of SiO{sub 2}-Al{sub 2}O{sub 3}-SiO{sub 2} metal oxide. We could find that the such quantum chemical calculation is a fruitful tool for understanding the plasma-wall interactions from the microscopic point of view. (author)

  17. Organo-Functionalization of Silicon Nanocrystals Synthesized by Inductively Coupled Plasma Chemical Vapor Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Don-Sung; Choe, Dong-Hoe; Jeong, Hyun-Dam [Chonnam National University, Gwangju (Korea, Republic of); Yoo, Seung-Wan; Kim, Jung-Hyung [Korea Research Institute of Standards and Science, Daejeon (Korea, Republic of)

    2016-05-15

    Octadecyl-terminated silicon nanocrystals (ODE-Si NCs) are obtained via a surface-initiated thermal hydrosilylation reaction on hydride-terminated Si NCs (H-Si NCs). Pristine Si NCs were synthesized at the gram scale by using inductively coupled plasma chemical vapor deposition (ICP-CVD) . The H-Si NCs were produced through a chemical etching process with hydrofluoric acid (HF), ethanol (EtOH), and distilled water (d-H{sub 2}O). The results obtained from X-ray diffraction (XRD) and field emission scanning electron microscopy (FE-SEM) indicate that the synthesized Si NCs obtained via ICP-CVD have diamond cubic-structured silicon with a grain size of 10 nm and a densely packed Si NC array consisting of individual NCs. Organo-functionalized Si NCs, i.e., ODE-Si NCs, are well soluble in organic solvent whereas pristine Si NCs synthesized through ICP-CVD are not. The surface chemistry of the ODE-Si NCs was confirmed via Fourier transform infrared spectroscopy (FT-IR), proton nuclear magnetic resonance spectroscopy ({sup 1}H-NMR), and field emission transmission electron microscopy (FE-TEM). Thereby, these newly synthesized and scalable organo-functionalized Si NCs are applicable as raw materials for practical use in devices by tuning the surface chemistry with various capping molecules.

  18. Online tuning of impedance matching circuit for long pulse inductively coupled plasma source operation—An alternate approach

    International Nuclear Information System (INIS)

    Sudhir, Dass; Bandyopadhyay, M.; Chakraborty, A.; Kraus, W.; Gahlaut, A.; Bansal, G.

    2014-01-01

    Impedance matching circuit between radio frequency (RF) generator and the plasma load, placed between them, determines the RF power transfer from RF generator to the plasma load. The impedance of plasma load depends on the plasma parameters through skin depth and plasma conductivity or resistivity. Therefore, for long pulse operation of inductively coupled plasmas, particularly for high power (∼100 kW or more) where plasma load condition may vary due to different reasons (e.g., pressure, power, and thermal), online tuning of impedance matching circuit is necessary through feedback. In fusion grade ion source operation, such online methodology through feedback is not present but offline remote tuning by adjusting the matching circuit capacitors and tuning the driving frequency of the RF generator between the ion source operation pulses is envisaged. The present model is an approach for remote impedance tuning methodology for long pulse operation and corresponding online impedance matching algorithm based on RF coil antenna current measurement or coil antenna calorimetric measurement may be useful in this regard

  19. fabrics induced by cold plasma treatments

    Indian Academy of Sciences (India)

    Some selective cold plasma processing modify specific surface properties of ... obtain information on the chemical and physical processing involved in ... charges of suitable gases. such plasma species can give rise to several concurrent.

  20. Oxygen Plasma Treatment of Rubber Surface by the Atmospheric Pressure Cold Plasma Torch

    DEFF Research Database (Denmark)

    Lee, Bong-ju; Kusano, Yukihiro; Kato, Nobuko

    1997-01-01

    adhesive. The adhesion property was improved by treatment of the rubber compound with plasma containing oxygen radicals. Physical and chemical changes of the rubber surface as a result of the plasma treatment were analyzed by field emission scanning electron microscopy (FE-SEM) and fourier transform......A new application of the atmospheric cold plasma torch has been investigated. Namely, the surface treatment of an air-exposed vulcanized rubber compound. The effect of plasma treatment was evaluated by the bondability of the treated rubber compound with another rubber compound using a polyurethane...

  1. Operation Request Gatekeeper: A software system for remote access control of diagnostic instruments in fusion experiments

    International Nuclear Information System (INIS)

    Abla, G.; Schissel, D. P.; Fredian, T. W.; Stillerman, J. A.; Greenwald, M. J.; Stepanov, D. N.; Ciarlette, D. J.

    2010-01-01

    Tokamak diagnostic settings are repeatedly modified to meet the changing needs of each experiment. Enabling the remote diagnostic control has significant challenges due to security and efficiency requirements. The Operation Request Gatekeeper (ORG) is a software system that addresses the challenges of remotely but securely submitting modification requests. The ORG provides a framework for screening all the requests before they enter the secure machine zone and are executed by performing user authentication and authorization, grammar validation, and validity checks. A prototype ORG was developed for the ITER CODAC that satisfies their initial requirements for remote request submission and has been tested with remote control of the KSTAR Plasma Control System. This paper describes the software design principles and implementation of ORG as well as worldwide test results.

  2. Plasma technology

    International Nuclear Information System (INIS)

    Drouet, M.G.

    1984-03-01

    IREQ was contracted by the Canadian Electrical Association to review plasma technology and assess the potential for application of this technology in Canada. A team of experts in the various aspects of this technology was assembled and each team member was asked to contribute to this report on the applications of plasma pertinent to his or her particular field of expertise. The following areas were examined in detail: iron, steel and strategic-metals production; surface treatment by spraying; welding and cutting; chemical processing; drying; and low-temperature treatment. A large market for the penetration of electricity has been identified. To build up confidence in the technology, support should be provided for selected R and D projects, plasma torch demonstrations at full power, and large-scale plasma process testing

  3. Remote ignitability analysis of high-level radioactive waste

    International Nuclear Information System (INIS)

    Lundholm, C.W.; Morgan, J.M.; Shurtliff, R.M.; Trejo, L.E.

    1992-09-01

    The Idaho Chemical Processing Plant (ICPP), was used to reprocess nuclear fuel from government owned reactors to recover the unused uranium-235. These processes generated highly radioactive liquid wastes which are stored in large underground tanks prior to being calcined into a granular solid. The Resource Conservation and Recovery Act (RCRA) and state/federal clean air statutes require waste characterization of these high level radioactive wastes for regulatory permitting and waste treatment purposes. The determination of the characteristic of ignitability is part of the required analyses prior to calcination and waste treatment. To perform this analysis in a radiologically safe manner, a remoted instrument was needed. The remote ignitability Method and Instrument will meet the 60 deg. C. requirement as prescribed for the ignitability in method 1020 of SW-846. The method for remote use will be equivalent to method 1020 of SW-846

  4. Heteroepitaxial Growth of Germanium-on-Silicon Using Ultrahigh-Vacuum Chemical Vapor Deposition with RF Plasma Enhancement

    Science.gov (United States)

    Alharthi, Bader; Grant, Joshua M.; Dou, Wei; Grant, Perry C.; Mosleh, Aboozar; Du, Wei; Mortazavi, Mansour; Li, Baohua; Naseem, Hameed; Yu, Shui-Qing

    2018-05-01

    Germanium (Ge) films have been grown on silicon (Si) substrate by ultrahigh-vacuum chemical vapor deposition with plasma enhancement (PE). Argon plasma was generated using high-power radiofrequency (50 W) to assist in germane decomposition at low temperature. The growth temperature was varied in the low range of 250°C to 450°C to make this growth process compatible with complementary metal-oxide-semiconductor technology. The material and optical properties of the grown Ge films were investigated. The material quality was determined by Raman and x-ray diffraction techniques, revealing growth of crystalline films in the temperature range of 350°C to 450°C. Photoluminescence spectra revealed improved optical quality at growth temperatures of 400°C and 450°C. Furthermore, material quality study using transmission electron microscopy revealed existence of defects in the Ge layer grown at 400°C. Based on the etch pit density, the average threading dislocation density in the Ge layer obtained at this growth temperature was measured to be 4.5 × 108 cm-2. This result was achieved without any material improvement steps such as use of graded buffer or thermal annealing. Comparison between PE and non-plasma-enhanced growth, in the same machine at otherwise the same growth conditions, indicated increased growth rate and improved material and optical qualities for PE growth.

  5. Thermal plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Heberlein, J.; Pfender, E.

    1993-01-01

    Thermal plasmas, with temperatures up to and even exceeding 10 4 K, are capable of producing high density vapor phase precursors for the deposition of relatively thick films. Although this technology is still in its infancy, it will fill the void between the relatively slow deposition processes such as physical vapor deposition and the high rate thermal spray deposition processes. In this chapter, the present state-of-the-art of this field is reviewed with emphasis on the various types of reactors proposed for this emerging technology. Only applications which attracted particular attention, namely diamond and high T c superconducting film deposition, are discussed in greater detail. (orig.)

  6. Radiofrequency cold plasma nitrided carbon steel: Microstructural and micromechanical characterizations

    International Nuclear Information System (INIS)

    Bouanis, F.Z.; Bentiss, F.; Bellayer, S.; Vogt, J.B.; Jama, C.

    2011-01-01

    Highlights: → C38 carbon steel samples were plasma nitrided using a radiofrequency (rf) nitrogen plasma discharge. → RF plasma treatment enables nitriding for non-heated substrates. → The morphological and chemical analyses show the formation of a uniform thickness on the surface of the nitrided C38 steel. → Nitrogen plasma active species diffuse into the samples and lead to the formation of Fe x N. → The increase in microhardness values for nitrided samples with plasma processing time is interpreted by the formation of a thicker nitrided layer on the steel surface. - Abstract: In this work, C38 carbon steel was plasma nitrided using a radiofrequency (rf) nitrogen plasma discharge on non-heated substrates. General characterizations were performed to compare the chemical compositions, the microstructures and hardness of the untreated and plasma treated surfaces. The plasma nitriding was carried out on non-heated substrates at a pressure of 16.8 Pa, using N 2 gas. Surface characterizations before and after N 2 plasma treatment were performed by means of the electron probe microanalysis (EPMA), X-ray photoelectron spectroscopy (XPS) and Vickers microhardness measurements. The morphological and chemical analysis showed the formation of a uniform structure on the surface of the nitrided sample with enrichment in nitrogen when compared to untreated sample. The thickness of the nitride layer formed depends on the treatment time duration and is approximately 14 μm for 10 h of plasma treatment. XPS was employed to obtain chemical-state information of the plasma nitrided steel surfaces. The micromechanical results show that the surface microhardness increases as the plasma-processing time increases to reach, 1487 HV 0.005 at a plasma processing time of 8 h.

  7. Radiofrequency cold plasma nitrided carbon steel: Microstructural and micromechanical characterizations

    Energy Technology Data Exchange (ETDEWEB)

    Bouanis, F.Z. [Universite Lille Nord de France, F-59000 Lille (France); Unite Materiaux et Transformations (UMET), Ingenierie des Systemes Polymeres, CNRS UMR 8207, ENSCL, BP 90108, F-59652 Villeneuve d' Ascq Cedex (France); Bentiss, F. [Laboratoire de Chimie de Coordination et d' Analytique, Faculte des Sciences, Universite Chouaib Doukkali, B.P. 20, M-24000 El Jadida (Morocco); Bellayer, S.; Vogt, J.B. [Universite Lille Nord de France, F-59000 Lille (France); Unite Materiaux et Transformations (UMET), Ingenierie des Systemes Polymeres, CNRS UMR 8207, ENSCL, BP 90108, F-59652 Villeneuve d' Ascq Cedex (France); Jama, C., E-mail: charafeddine.jama@ensc-lille.fr [Universite Lille Nord de France, F-59000 Lille (France); Unite Materiaux et Transformations (UMET), Ingenierie des Systemes Polymeres, CNRS UMR 8207, ENSCL, BP 90108, F-59652 Villeneuve d' Ascq Cedex (France)

    2011-05-16

    Highlights: {yields} C38 carbon steel samples were plasma nitrided using a radiofrequency (rf) nitrogen plasma discharge. {yields} RF plasma treatment enables nitriding for non-heated substrates. {yields} The morphological and chemical analyses show the formation of a uniform thickness on the surface of the nitrided C38 steel. {yields} Nitrogen plasma active species diffuse into the samples and lead to the formation of Fe{sub x}N. {yields} The increase in microhardness values for nitrided samples with plasma processing time is interpreted by the formation of a thicker nitrided layer on the steel surface. - Abstract: In this work, C38 carbon steel was plasma nitrided using a radiofrequency (rf) nitrogen plasma discharge on non-heated substrates. General characterizations were performed to compare the chemical compositions, the microstructures and hardness of the untreated and plasma treated surfaces. The plasma nitriding was carried out on non-heated substrates at a pressure of 16.8 Pa, using N{sub 2} gas. Surface characterizations before and after N{sub 2} plasma treatment were performed by means of the electron probe microanalysis (EPMA), X-ray photoelectron spectroscopy (XPS) and Vickers microhardness measurements. The morphological and chemical analysis showed the formation of a uniform structure on the surface of the nitrided sample with enrichment in nitrogen when compared to untreated sample. The thickness of the nitride layer formed depends on the treatment time duration and is approximately 14 {mu}m for 10 h of plasma treatment. XPS was employed to obtain chemical-state information of the plasma nitrided steel surfaces. The micromechanical results show that the surface microhardness increases as the plasma-processing time increases to reach, 1487 HV{sub 0.005} at a plasma processing time of 8 h.

  8. Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth

    NARCIS (Netherlands)

    Profijt, H. B.; M. C. M. van de Sanden,; Kessele, W. M. M.

    2013-01-01

    Two substrate-biasing techniques, i.e., substrate-tuned biasing and RF biasing, have been implemented in a remote plasma configuration, enabling control of the ion energy during plasma-assisted atomic layer deposition (ALD). With both techniques, substrate bias voltages up to -200 V have been

  9. Proceedings (slides, posters) of the 7. IAEA Technical Meeting on Control, Data Acquisition, and Remote Participation for Fusion Research

    International Nuclear Information System (INIS)

    2009-01-01

    The main objective of this meeting is to present and discuss new developments and perspectives in the areas of control, data acquisition and remote participation for nuclear research around the world. The following topics have been covered: 1) plasma control, 2) machine control, monitoring, safety and remote manipulation, 3) data acquisition and signal processing, 4) database techniques for information storage and retrieval, 5) advanced computing and massive data analysis, 6) remote participation and virtual laboratory, 7) fast network technology and its application, and 8) ITER

  10. Plasma filtering techniques for nuclear waste remediation.

    Science.gov (United States)

    Gueroult, Renaud; Hobbs, David T; Fisch, Nathaniel J

    2015-10-30

    Nuclear waste cleanup is challenged by the handling of feed stocks that are both unknown and complex. Plasma filtering, operating on dissociated elements, offers advantages over chemical methods in processing such wastes. The costs incurred by plasma mass filtering for nuclear waste pretreatment, before ultimate disposal, are similar to those for chemical pretreatment. However, significant savings might be achieved in minimizing the waste mass. This advantage may be realized over a large range of chemical waste compositions, thereby addressing the heterogeneity of legacy nuclear waste. Copyright © 2015 Elsevier B.V. All rights reserved.

  11. Degradation of sulfur dioxide using plasma technology; Degradacion de dioxido de azufre empleando tecnologia de plasma

    Energy Technology Data Exchange (ETDEWEB)

    Estrada M, N.; Garcia E, R. [Instituto Tecnologico de Toluca, Av. Tecnologico s/n, Ex-Rancho La Virgen, 52140 Metepec, Estado de Mexico (Mexico); Pacheco P, M.; Valdivia B, R.; Pacheco S, J., E-mail: nadiaemz@yahoo.com.mx [ININ, Carretera Mexico-Toluca s/n, 52750 Ocoyoacac, Estado de Mexico (Mexico)

    2013-07-01

    This paper presents the electro-chemical study performed for sulfur dioxide (SO{sub 2}) treatment using non thermal plasma coupled to a nano structured fluid bed enhancing the toxic gas removal and the adsorption of acids formed during plasma treatment, more of 80% of removal was obtained. Non thermal plasma was ignited by dielectric barrier discharge (Dbd). The research was developed through an analysis of the chemical kinetics of the process and experimental study of degradation; in each experiment the electrical parameters and the influence of carbon nano structures were monitored to establish the optimal conditions of degradation. We compared the theoretical and experimental results to conclude whether the proposed model is correct for degradation. (Author)

  12. Microwave plasma emerging technologies for chemical processes

    NARCIS (Netherlands)

    de la Fuente, Javier F.; Kiss, Anton A.; Radoiu, Marilena T.; Stefanidis, Georgios D.

    2017-01-01

    Microwave plasma (MWP) technology is currently being used in application fields such as semiconductor and material processing, diamond film deposition and waste remediation. Specific advantages of the technology include the enablement of a high energy density source and a highly reactive medium,

  13. Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Gates, S. M.; Neumayer, D. A.; Sherwood, M. H.; Grill, A.; Wang, X.; Sankarapandian, M.

    2007-01-01

    The preparation of ultralow dielectric constant porous silicon, carbon, oxygen, hydrogen alloy dielectrics, called 'pSiCOH', using a production 200 mm plasma enhanced chemical vapor deposition tool and a thermal treatment is reported here. The effect of deposition temperature on the pSiCOH film is examined using Fourier transform infrared (FTIR) spectroscopy, dielectric constant (k), and film shrinkage measurements. For all deposition temperatures, carbon in the final porous film is shown to be predominantly Si-CH 3 species, and lower k is shown to correlate with increased concentration of Si-CH 3 . NMR and FTIR spectroscopies clearly detect the loss of a removable, unstable, hydrocarbon (CH x ) phase during the thermal treatment. Also detected are increased cross-linking of the Si-O skeleton, and concentration changes for three distinct structures of carbon. In the as deposited films, deposition temperature also affects the hydrocarbon (CH x ) content and the presence of C=O and C=C functional groups

  14. Physico-chemical studies of laser-induced plasmas for quantitative analysis of materials in nuclear systems

    International Nuclear Information System (INIS)

    Saad, Rawad

    2014-01-01

    Laser Induced Breakdown Spectroscopy (LIBS) is a multi-elemental analysis technique very well suited for analysis in hostile environments particularly in the nuclear industry. Quantitative measurements are frequently performed on liquid or solid samples but in some cases, atypical signal behaviors were observed in the LIBS experiment. To avoid or minimize any impact on measurement accuracy, it is necessary to improve the understanding of these phenomena. In the framework of a three-year PhD thesis, the objective was to study the chemical reactions occurring within laser-generated plasma in a LIBS analysis. Experiments on a model material (pure aluminum sample) highlighted the dynamics of molecular recombination according to different ambient gas. The temporal evolution of Al I atomic emission lines and molecular bands of AlO and AlN were studied. A collisional excitation effect was identified for a peculiar electronic energy level of aluminum in the case of a nitrogen atmosphere. This effect disappeared in air. The aluminum plasma was also imaged during its expansion under the different atmospheres in order to localize the areas in which the molecular recombination process takes place. Spectacular particle projections have been highlighted. (author) [fr

  15. Distributed chemical computing using ChemStar: an open source java remote method invocation architecture applied to large scale molecular data from PubChem.

    Science.gov (United States)

    Karthikeyan, M; Krishnan, S; Pandey, Anil Kumar; Bender, Andreas; Tropsha, Alexander

    2008-04-01

    We present the application of a Java remote method invocation (RMI) based open source architecture to distributed chemical computing. This architecture was previously employed for distributed data harvesting of chemical information from the Internet via the Google application programming interface (API; ChemXtreme). Due to its open source character and its flexibility, the underlying server/client framework can be quickly adopted to virtually every computational task that can be parallelized. Here, we present the server/client communication framework as well as an application to distributed computing of chemical properties on a large scale (currently the size of PubChem; about 18 million compounds), using both the Marvin toolkit as well as the open source JOELib package. As an application, for this set of compounds, the agreement of log P and TPSA between the packages was compared. Outliers were found to be mostly non-druglike compounds and differences could usually be explained by differences in the underlying algorithms. ChemStar is the first open source distributed chemical computing environment built on Java RMI, which is also easily adaptable to user demands due to its "plug-in architecture". The complete source codes as well as calculated properties along with links to PubChem resources are available on the Internet via a graphical user interface at http://moltable.ncl.res.in/chemstar/.

  16. Modification of optical and electrical properties of chemical bath deposited SnS using O{sub 2} plasma treatments

    Energy Technology Data Exchange (ETDEWEB)

    Gómez, A. [Facultad de Ciencias, Universidad Autónoma del Estado de México, Estado de México, México (Mexico); Martínez, H., E-mail: hm@fis.unam.mx [Instituto de Ciencias Fisicas, Universidad Nacional Autónoma de México, Apartado Postal 48-3, 62251, Cuernavaca, Morelos (Mexico); Calixto-Rodríguez, M. [Centro de Investigación en Energía, Universidad Autónoma del Estado de México, Estado de México, México (Mexico); Avellaneda, D. [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, México (Mexico); Reyes, P.G. [Facultad de Ciencias, Universidad Autónoma del Estado de México, Estado de México, México (Mexico); Flores, O. [Instituto de Ciencias Fisicas, Universidad Nacional Autónoma de México, Apartado Postal 48-3, 62251, Cuernavaca, Morelos (Mexico)

    2013-06-15

    In this paper, we report modifications of structural and optical, electrical properties that occur in tin sulphide (SnS) treated in O{sub 2} plasma. The SnS thin films were deposited by chemical bath deposition technique. The samples were treated in an O{sub 2} plasma discharge at 3 Torr of pressure discharge, a discharge voltage of 2.5 kV and 20 mA of discharge current. The prepared and treated thin films were characterized by X-ray diffraction, scanning electron microscopy and energy dispersive X-ray analysis. The photoconductivity and electrical effects of SnS have been studied. The SnS thin films had an orthorhombic crystalline structure. With the plasma treatment the optical gap and electrical properties of the SnS films changed from 1.61 to 1.84 eV, for 3.9 × 10{sup 5} to 10.42 Ω cm, respectively. These changes can be attributed to an increase in electron density, percolation effects due to porosity, surface degradation/etching that is an increase in surface roughness, where some structural changes related to crystallinity occurs like a high grain size as revealed by SEM images.

  17. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    Energy Technology Data Exchange (ETDEWEB)

    Sulyaeva, Veronica S., E-mail: veronica@niic.nsc.ru [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A. [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kesler, Valerii G. [Laboratory of Physical Principles for Integrated Microelectronics, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation); Kirienko, Viktor V. [Laboratory of Nonequilibrium Semiconductors Systems, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation)

    2014-05-02

    Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers (93%). • BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9.

  18. The European contribution to the ITER Remote Maintenance

    Energy Technology Data Exchange (ETDEWEB)

    Damiani, C., E-mail: carlo.damiani@f4e.europa.eu [Fusion for Energy, Torres Diagonal Litoral B3, Josep Pla 2, 08019 Barcelona (Spain); Annino, C.; Balagué, S.; Bates, P.; Ceccanti, F.; Di Mascio, T.; Dubus, G.; Esqué, S.; Gonzalez, C.; Lewczanin, M.; Locke, D.; Mont, L.; Olajos, K.; Ranz, R.; Shuff, R.; Puiu, A.; Van Hille, C.; Van Uffelen, M. [Fusion for Energy, Torres Diagonal Litoral B3, Josep Pla 2, 08019 Barcelona (Spain); Choi, C.H.; Friconneau, J.P. [ITER Organization, Route de Vinon sur Verdon, 13115 Saint Paul Lez Durance (France); and others

    2014-10-15

    Highlights: •The article introduces the needs for remote maintenance in ITER. •It also discusses some of the issues related to the cultural transition from tokamaks as plasma physics to nuclear reactors. •It highlights the related cultural change and the implications on plant topology and maintenance. •Then, it presents those remote handling systems that will be procured by Europe. •The article emphasises the need of a major involvement of industries from now on. -- Abstract: For a first-of-a-kind nuclear fusion reactor like ITER, remote maintainability of neutron-activated components is one of the key aspects of plant design and operations, and a fundamental ingredient for the demonstration of long-term viability of fusion as energy source. The European Domestic Agency (EU DA, i.e. Fusion for Energy, F4E) is providing important support to the ITER Organisation (IO) in specifying the functional requirements of the Remote Handling (RH) Procurement Packages (i.e. the subsystems allocated to EU DA belonging to the overall ITER Remote Maintenance Systems IRMS), and in performing design and R and D activities – with the support of national laboratories and industries – in order to define a sound concept for these packages. Furthermore, domestic industries are being involved in the subsequent detailed design, validation, manufacturing and installation activities, in order to actually fulfil our procurement-in-kind obligations. After an introduction to ITER Remote Maintenance, this paper will present status and next stages for the RH systems allocated to EU DA, and will also illustrate complementary aspects related to cross cutting technologies like radiation tolerant components and RH control systems. Finally, the way all these efforts are coordinated will be presented together with the overall implementation scenario and key milestones.

  19. Exploring Chemical and Thermal Non-equilibrium in Nitrogen Arcs

    International Nuclear Information System (INIS)

    Ghorui, S; Das, A K

    2012-01-01

    Plasma torches operating with nitrogen are of special importance as they can operate with usual tungsten based refractory electrodes and offer radical rich non-oxidizing high temperature environment for plasma chemistry. Strong gradients in temperature as well as species densities and huge convective fluxes lead to varying degrees of chemical non-equilibrium in associated regions. An axi-symmetric two-temperature chemical non-equilibrium model of a nitrogen plasma torch has been developed to understand the effects of thermal and chemical non-equilibrium in arcs. A 2-D finite volume CFD code in association with a non-equilibrium property routine enabled extraction of steady state self-consistent distributions of various plasma quantities inside the torch under various thermal and chemical non-equilibrium conditions. Chemical non-equilibrium has been incorporated through computation of diffusive and convective fluxes in each finite volume cell in every iteration and associating corresponding thermodynamic and transport properties through the scheme of 'chemical non-equilibrium parameter' introduced by Ghorui et. al. Recombination coefficient data from Nahar et. al. and radiation data from Krey and Morris have been used in the simulation. Results are presented for distributions of temperature, pressure, velocity, current density, electric potential, species densities and chemical non-equilibrium effects. Obtained results are compared with similar results under LTE.

  20. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  1. Optical diagnostics of atmospheric pressure air plasmas

    International Nuclear Information System (INIS)

    Laux, C O; Spence, T G; Kruger, C H; Zare, R N

    2003-01-01

    Atmospheric pressure air plasmas are often thought to be in local thermodynamic equilibrium owing to fast interspecies collisional exchange at high pressure. This assumption cannot be relied upon, particularly with respect to optical diagnostics. Velocity gradients in flowing plasmas and/or elevated electron temperatures created by electrical discharges can result in large departures from chemical and thermal equilibrium. This paper reviews diagnostic techniques based on optical emission spectroscopy and cavity ring-down spectroscopy that we have found useful for making temperature and concentration measurements in atmospheric pressure plasmas under conditions ranging from thermal and chemical equilibrium to thermochemical nonequilibrium

  2. Improvement of confinement characteristics of tokamak plasma by controlling plasma-wall interactions

    International Nuclear Information System (INIS)

    Sengoku, Seio

    1985-08-01

    Relation between plasma-wall interactions and confinement characteristics of a tokamak plasma with respect to both impurity and fuel particle controls is discussed. Following results are obtained from impurity control studies: (1) Ion sputtering is the dominant mechanism of impurity release in a steady state tokamak discharge. (2) By applying carbon coating on entire first wall of DIVA tokamak, dominant radiative region is concentrated more in boundary plasma resulting a hot peripheral plasma with cold boundary plasma. (3) A physical model of divertor functions about impurity control is empilically obtained. By a computer simulation based on above model with respect to divertor functions for JT-60 tokamak, it is found that the allowable electron temperature of the divertor plasma is not restricted by a condition that the impurity release due to ion sputtering does not increase continuously. (4) Dense and cold divertor plasma accompanied with strong remote radiative cooling was diagnosed along the magnetic field line in the simple poloidal divertor of DOUBLET III tokamak. Strong particle recycling region is found to be localized near the divertor plate. by and from particle control studies: (1) The INTOR scaling on energy confinement time is applicable to high density region when a core plasma is fueled directly by solid deuterium pellet injection in DOUBLET III tokamak. (2) As remarkably demonstrated by direct fueling with pellet injection, energy confinement characteristics can be improved at high density range by decreasing particle deposition at peripheral plasma in order to reduce plasma-wall interaction. (3) If the particle deposition at boundary layer is necessarily reduced, the electron temperature at the boundary or divertor region increases due to decrease of the particle recycling and the electron density there. (J.P.N.)

  3. Risk and cost tradeoffs for remote retrieval of buried waste

    International Nuclear Information System (INIS)

    Hyde, R.A.; Grienbenow, B.E.; Nickelson, D.F.

    1994-01-01

    The Buried Waste Integrated Demonstration is supporting the development, demonstration, testing, and evaluation of a suite of technologies that, when integrated with commercially available technologies, form a comprehensive system for the remediation of radioactive and hazardous buried waste. As a part of the program's technology development, remote retrieval equipment is being developed and tested for the remediation of buried waste. During remedial planning, several factors are considered when choosing remote versus manual retrieval systems. Time that workers are exposed to radioactivity, chemicals, air particulate, and industrial hazards is one consideration. The generation of secondary waste is also a consideration because it amounts to more waste to treat and some wastes may require special handling or treatment. Cost is also a big factor in determining whether remote or manual operations will be used. Other considerations include implementability, effectiveness, and the number of required personnel. This paper investigates each of these areas to show the risk and cost benefits and limitations for remote versus manual retrieval of buried waste

  4. Metal-boride phase formation on tungsten carbide (WC-Co) during microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Johnston, Jamin M.; Catledge, Shane A., E-mail: catledge@uab.edu

    2016-02-28

    Graphical abstract: - Highlights: • A detailed phase analysis after PECVD boriding shows WCoB, CoB and/or W{sub 2}CoB{sub 2}. • EDS of PECVD borides shows boron diffusion into the carbide grain structure. • Nanoindentation hardness and modulus of borides is 23–27 GPa and 600–780 GPa. • Scratch testing shows hard coating with cracking at 40N and spallation at 70N. - Abstract: Strengthening of cemented tungsten carbide by boriding is used to improve the wear resistance and lifetime of carbide tools; however, many conventional boriding techniques render the bulk carbide too brittle for extreme conditions, such as hard rock drilling. This research explored the variation in metal-boride phase formation during the microwave plasma enhanced chemical vapor deposition process at surface temperatures from 700 to 1100 °C. We showed several well-adhered metal-boride surface layers consisting of WCoB, CoB and/or W{sub 2}CoB{sub 2} with average hardness from 23 to 27 GPa and average elastic modulus of 600–730 GPa. The metal-boride interlayer was shown to be an effective diffusion barrier against elemental cobalt; migration of elemental cobalt to the surface of the interlayer was significantly reduced. A combination of glancing angle X-ray diffraction, electron dispersive spectroscopy, nanoindentation and scratch testing was used to evaluate the surface composition and material properties. An evaluation of the material properties shows that plasma enhanced chemical vapor deposited borides formed at substrate temperatures of 800 °C, 850 °C, 900 °C and 1000 °C strengthen the material by increasing the hardness and elastic modulus of cemented tungsten carbide. Additionally, these boride surface layers may offer potential for adhesion of ultra-hard carbon coatings.

  5. Metal-boride phase formation on tungsten carbide (WC-Co) during microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Johnston, Jamin M.; Catledge, Shane A.

    2016-01-01

    Graphical abstract: - Highlights: • A detailed phase analysis after PECVD boriding shows WCoB, CoB and/or W_2CoB_2. • EDS of PECVD borides shows boron diffusion into the carbide grain structure. • Nanoindentation hardness and modulus of borides is 23–27 GPa and 600–780 GPa. • Scratch testing shows hard coating with cracking at 40N and spallation at 70N. - Abstract: Strengthening of cemented tungsten carbide by boriding is used to improve the wear resistance and lifetime of carbide tools; however, many conventional boriding techniques render the bulk carbide too brittle for extreme conditions, such as hard rock drilling. This research explored the variation in metal-boride phase formation during the microwave plasma enhanced chemical vapor deposition process at surface temperatures from 700 to 1100 °C. We showed several well-adhered metal-boride surface layers consisting of WCoB, CoB and/or W_2CoB_2 with average hardness from 23 to 27 GPa and average elastic modulus of 600–730 GPa. The metal-boride interlayer was shown to be an effective diffusion barrier against elemental cobalt; migration of elemental cobalt to the surface of the interlayer was significantly reduced. A combination of glancing angle X-ray diffraction, electron dispersive spectroscopy, nanoindentation and scratch testing was used to evaluate the surface composition and material properties. An evaluation of the material properties shows that plasma enhanced chemical vapor deposited borides formed at substrate temperatures of 800 °C, 850 °C, 900 °C and 1000 °C strengthen the material by increasing the hardness and elastic modulus of cemented tungsten carbide. Additionally, these boride surface layers may offer potential for adhesion of ultra-hard carbon coatings.

  6. Remote methods for decontamination and decommissioning operations

    International Nuclear Information System (INIS)

    DeVore, J.R.

    1986-01-01

    Three methods for the decontamination and decommissioning of nuclear facilities are described along with operational experience associated with each method. Each method described in some way reduces radiation exposure to the operating personnel involved. Electrochemical decontamination of process tanks is described using an in-situ method. Descriptions of two processes, electropolishing and cerium redox decontamination, are listed. A method of essentially smokeless cutting of process piping using a plasma-arc cutting torch is described. In one technique, piping is cut remotely from a distance using a specially modified torch holder. In another technique, cutting is done with master-slave manipulators inside a hot cell. Finally, a method for remote cutting and scarification of contaminated concrete is described. This system, which utilizes high-pressure water jets, is coupled to a cutting head or rotating scarification head. The system is suited for cutting contaminated concrete for removal or removing a thin layer in a controlled manner for decontamination. 4 refs., 6 figs

  7. Remote methods for decontamination and decommissioning operations

    International Nuclear Information System (INIS)

    DeVore, J.R.

    1986-01-01

    Three methods for the decontamination and decommissioning of nuclear facilities are described along with operational experience associated with each method. Each method described in some way reduces radiation exposure to the operating personnel involved. Electrochemical decontamination of process tanks is described using an in-situ method. Descriptions of two processes, electropolishing and cerium redox decontamination, are listed. A method of essentially smokeless cutting of process piping using a plasma-arc cutting torch is described. In one technique, piping is cut remotely from a distance using a specially modified torch holder. In another technique, cutting is done with master-slave manipulators inside a hot cell. Finally, a method for remote cutting and scarification of contaminated concrete is described. This system, which utilizes high-pressure water jets, is coupled to a cutting head or rotating scarification head. The system is suited for cutting contaminated concrete for removal or removing a thin layer in a controlled manner for decontamination

  8. Low-Energy Electron Scattering Data for Chemical Plasma Treatment of Biomass

    International Nuclear Information System (INIS)

    Lima, Marco A.P.

    2014-01-01

    Full text: Replacing fossil fuels with biofuels from renewable sources is an important goal for reducing greenhouse gas emissions. Many countries are already using few percent of ethanol in the gasoline and few of them, with more aggressive programs, have developed flex fuel engines that can run with any mixture of gasoline and ethanol. An important point is how to produce ethanol in a sustainable way and with which technology? Biomass is a good candidate since it has cellulose and hemicellulose as source of sugars. In order to liberate these sugars for fermentation, it is important to learn how to separate the main components. Chemical routes (acid treatment) and biological routes (enzymatic hydrolysis) are combined and used for these purposes. Atmospheric plasmas can be useful for attacking the biomass in a controlled manner and low-energy electrons may have an important role in the process. Recently we have been studying the interaction of electrons with lignin subunits (phenol, guaiacol, p-coumaryl alcohol), cellulose components, β-D-glucose and cellobiose (β(1 - 4) linked glucose dimer) and hemicellulose components (β-D-xylose). We also obtained results for the amylose subunits α-D-glucose and maltose (α(1 - 4) linked glucose dimer). Altogether, the resonance spectra of lignin, cellulose and hemicellulose components establish a physical–chemical basis for electron-induced biomass pretreatment that could be applied to biofuel production. In my talk I will give a progress report on this matter. We will also discuss microsolvation effects on the electron-phenol scattering process and present our strategy to study molecular dissociation through electronic excitation of low energy triplet states. (author)

  9. Plasma polymerization by Softplasma

    DEFF Research Database (Denmark)

    Jiang, J.; Wu, Zhenning; Benter, Maike

    2008-01-01

    , external electrode, and electrodeless microwave or high frequency reactors. [3] Softplasma™ is an internal electrode plasma setup powered by low frequenc~ gower supply. It was developed in late 90s for surface treatment of silicone rubber. [ ]- 5] It is a low pressure, low electron density, 3D homogenous......In the late 19th century, the first depositions - known today as plasma polymers, were reported. In the last century, more and more research has been put into plasma polymers. Many different deposition systems have been developed. [1, 2] Shi F. F. broadly classified them into internal electrode...... plasma. In this study, we are presenting the surface modification"pf polymers by plasma polymerization using Softplasma™. Softplasma™ can be used for two major types of polymerization: polymerization of vinyl monomers, where plasma acts as initiator; chemical vapour deposition, where plasma acts...

  10. Chemical-induced Vitiligo

    Science.gov (United States)

    Harris, John E.

    2016-01-01

    Synopsis Chemical-induced depigmentation of the skin has been recognized for over 75 years, first as an occupational hazard but then extending to those using household commercial products as common as hair dyes. Since their discovery, these chemicals have been used therapeutically in patients with severe vitiligo to depigment their remaining skin and improve their appearance. The importance of recognizing this phenomenon was highlighted during an outbreak of vitiligo in Japan during the summer of 2013, when over 16,000 users of a new skin lightening cosmetic cream developed skin depigmentation at the site of contact with the cream and many in remote areas as well. Depigmenting chemicals appear to be analogs of the amino acid tyrosine that disrupt melanogenesis and result in autoimmunity and melanocyte destruction. Because chemical-induced depigmentation is clinically and histologically indistinguishable from non-chemically induced vitiligo, and because these chemicals appear to induce melanocyte autoimmunity, this phenomenon should be known as “chemical-induced vitiligo”, rather than less accurate terms that have been previously used. PMID:28317525

  11. Role of chlorine in the nanocrystalline silicon film formation by rf plasma-enhanced chemical vapor deposition of chlorinated materials

    International Nuclear Information System (INIS)

    Shirai, Hajime

    2004-01-01

    We demonstrate the disorder-induced low-temperature crystallization in the nanocrystalline silicon film growth by rf plasma-enhanced chemical vapor deposition of H 2 -diluted SiH 2 Cl 2 and SiCl 4 . The combination of the chemical reactivity of SiCld (d: dangling bond) and SiHCl complexes and the release of the disorder-induced stress near the growing surface tightly correlate with the phase transitionity of SiCld and SiHCl complexes near the growing surface with the aid of atomic hydrogen, which induce higher degree of disorder in the a-Si network. These features are most prominent in the SiCl 4 compared with those of SiH 2 Cl 2 and SiH 4 , which preferentially enhance the nanocrystalline Si formation

  12. The operational challenge of remote maintenance

    International Nuclear Information System (INIS)

    Forsythe, L.

    2015-01-01

    Full text of publication follows. With the declining supply of fossil fuels that are used in power stations today, and the ongoing concern over climate change, nuclear fusion is one of the most promising options for generating large amounts of carbon-free energy in the future. Fuel supplies for fusion will be available for millions of years, with 1 kg of fusion fuel providing the same amount of energy as 10 thousand tonnes of fossil fuel. Unlike conventional fission reactors, the radioactive waste produced from fusion is short-lived, and will be safe to dispose of conventionally within 100 years. Although fusion has the huge advantage of being a carbon free energy source, there are complexities when it comes to maintaining the machine. Due to the nature of the fusion reaction, the components within the area of the reactor vacuum vessel become radioactive, which requires maintenance and modifications to be conducted remotely to protect human operators. Remote maintenance is the use of manipulators to carry out tasks in challenging or hostile environments, which would otherwise cause harm to humans. Remote handling slave manipulators do the work of a human by mimicking the movements from a master robotic manipulator that is controlled by a human operator. This means that remote handling can be used in many other areas other too, such as space; fission power plants; sub-sea; and nuclear material handling or in adverse environments such as: low or high temperature; chemically contaminated; combustible and low oxygen environments. Remote maintenance requires specialist engineers to take into consideration many aspects normally taken for granted when carrying out a task manually. Constraints such as the space around us; viewing and lighting; the human body's dexterity and flexibility; the weight and centre of gravity of tools and components, and how we securely grip and handle them; and access to fixings and fastenings. All these are factors that require careful

  13. Microwave plasma induced surface modification of diamond-like carbon films

    Science.gov (United States)

    Rao Polaki, Shyamala; Kumar, Niranjan; Gopala Krishna, Nanda; Madapu, Kishore; Kamruddin, Mohamed; Dash, Sitaram; Tyagi, Ashok Kumar

    2017-12-01

    Tailoring the surface of diamond-like carbon (DLC) film is technically relevant for altering the physical and chemical properties, desirable for useful applications. A physically smooth and sp3 dominated DLC film with tetrahedral coordination was prepared by plasma-enhanced chemical vapor deposition technique. The surface of the DLC film was exposed to hydrogen, oxygen and nitrogen plasma for physical and chemical modifications. The surface modification was based on the concept of adsorption-desorption of plasma species and surface entities of films. Energetic chemical species of microwave plasma are adsorbed, leading to desorbtion of the surface carbon atoms due to energy and momentum exchange. The interaction of such reactive species with DLC films enhanced the roughness, surface defects and dangling bonds of carbon atoms. Adsorbed hydrogen, oxygen and nitrogen formed a covalent network while saturating the dangling carbon bonds around the tetrahedral sp3 valency. The modified surface chemical affinity depends upon the charge carriers and electron covalency of the adsorbed atoms. The contact angle of chemically reconstructed surface increases when a water droplet interacts either through hydrogen or van dear Waals bonding. These weak interactions influenced the wetting property of the DLC surface to a great extent.

  14. Introduction to complex plasmas

    International Nuclear Information System (INIS)

    Bonitz, Michael; Ludwig, Patrick; Horing, Norman

    2010-01-01

    Complex plasmas differ from traditional plasmas in many ways: these are low-temperature high pressure systems containing nanometer to micrometer size particles which may be highly charged and strongly interacting. The particles may be chemically reacting or be in contact with solid surfaces, and the electrons may show quantum behaviour. These interesting properties have led to many applications of complex plasmas in technology, medicine and science. Yet complex plasmas are extremely complicated, both experimentally and theoretically, and require a variety of new approaches which go beyond standard plasma physics courses. This book fills this gap presenting an introduction to theory, experiment and computer simulation in this field. Based on tutorial lectures at a very successful recent Summer Institute, the presentation is ideally suited for graduate students, plasma physicists and experienced undergraduates. (orig.)

  15. Introduction to Complex Plasmas

    CERN Document Server

    Bonitz, Michael; Ludwig, Patrick

    2010-01-01

    Complex plasmas differ from traditional plasmas in many ways: these are low-temperature high pressure systems containing nanometer to micrometer size particles which may be highly charged and strongly interacting. The particles may be chemically reacting or be in contact with solid surfaces, and the electrons may show quantum behaviour. These interesting properties have led to many applications of complex plasmas in technology, medicine and science. Yet complex plasmas are extremely complicated, both experimentally and theoretically, and require a variety of new approaches which go beyond standard plasma physics courses. This book fills this gap presenting an introduction to theory, experiment and computer simulation in this field. Based on tutorial lectures at a very successful recent Summer Institute, the presentation is ideally suited for graduate students, plasma physicists and experienced undergraduates.

  16. Correlation between the plasma characteristics and the surface chemistry of plasma-treated polymers through partial least-squares analysis.

    Science.gov (United States)

    Mavadat, Maryam; Ghasemzadeh-Barvarz, Massoud; Turgeon, Stéphane; Duchesne, Carl; Laroche, Gaétan

    2013-12-23

    We investigated the effect of various plasma parameters (relative density of atomic N and H, plasma temperature, and vibrational temperature) and process conditions (pressure and H2/(N2 + H2) ratio) on the chemical composition of modified poly(tetrafluoroethylene) (PTFE). The plasma parameters were measured by means of near-infrared (NIR) and UV-visible emission spectroscopy with and without actinometry. The process conditions of the N2-H2 microwave discharges were set at various pressures ranging from 100 to 2000 mTorr and H2/(N2+H2) gas mixture ratios between 0 and 0.4. The surface chemical composition of the modified polymers was determined by X-ray photoelectron spectroscopy (XPS). A mathematical model was constructed using the partial least-squares regression algorithm to correlate the plasma information (process condition and plasma parameters as determined by emission spectroscopy) with the modified surface characteristics. To construct the model, a set of data input variables containing process conditions and plasma parameters were generated, as well as a response matrix containing the surface composition of the polymer. This model was used to predict the composition of PTFE surfaces subjected to N2-H2 plasma treatment. Contrary to what is generally accepted in the literature, the present data demonstrate that hydrogen is not directly involved in the defluorination of the surface but rather produces atomic nitrogen and/or NH radicals that are shown to be at the origin of fluorine atom removal from the polymer surface. The results show that process conditions alone do not suffice in predicting the surface chemical composition and that the plasma characteristics, which cannot be easily correlated with these conditions, should be considered. Process optimization and control would benefit from plasma diagnostics, particularly infrared emission spectroscopy.

  17. Metalorganic chemical vapor deposition of Er{sub 2}O{sub 3} thin films: Correlation between growth process and film properties

    Energy Technology Data Exchange (ETDEWEB)

    Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)], E-mail: michelaria.giangregorio@ba.imip.cnr.it; Losurdo, Maria; Sacchetti, Alberto; Capezzuto, Pio; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)

    2009-02-27

    Er{sub 2}O{sub 3} thin films have been grown by metalorganic chemical vapor deposition (MOCVD) at 600 deg. C on different substrates, including glass, Si (100) and sapphire (0001) using tris(isopropylcyclopentadienyl)erbium and O{sub 2}. The effects of growth parameters such as the substrate, the O{sub 2} plasma activation and the temperature of organometallic precursor injection, on the nucleation/growth kinetics and, consequently, on film properties have been investigated. Specifically, very smooth (111)-oriented Er{sub 2}O{sub 3} thin films (the root mean square roughness is 0.3 nm) are achieved on Si (100), {alpha}-Al{sub 2}O{sub 3} (0001) and amorphous glass by MOCVD. Growth under O{sub 2} remote plasma activation results in an increase in growth rate and in (100)-oriented Er{sub 2}O{sub 3} films with high refractive index and transparency in the visible photon energy range.

  18. In situ x-ray photoelectron spectroscopy and capacitance voltage characterization of plasma treatments for Al{sub 2}O{sub 3}/AlGaN/GaN stacks

    Energy Technology Data Exchange (ETDEWEB)

    Qin, Xiaoye; Lucero, Antonio; Azcatl, Angelica; Kim, Jiyoung; Wallace, Robert M. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, Texas 75080 (United States)

    2014-07-07

    We investigate the Al{sub 2}O{sub 3}/AlGaN/GaN metal-oxide-semiconductor structure pretreated by O{sub 2} anneals, N{sub 2} remote plasma, and forming gas remote plasma prior to atomic layer deposition of Al{sub 2}O{sub 3} using in situ X-ray photoelectron spectroscopy, low energy electron diffraction, and capacitance- voltage measurements. Plasma pretreatments reduce the Ga-oxide/oxynitride formation and the interface state density, while inducing a threshold voltage instability.

  19. Simultaneous determination of clebopride and a major metabolite N-desbenzylclebopride in plasma by capillary gas chromatography-negative-ion chemical ionization mass spectrometry.

    Science.gov (United States)

    Robinson, P R; Jones, M D; Maddock, J; Rees, L W

    1991-03-08

    A procedure for the simultaneous assay of clebopride and its major metabolite N-desbenzylclebopride in plasma has been developed. The method utilizes capillary gas chromatography-negative-ion chemical ionization mass spectrometry with selected-ion monitoring of characteristic ions. Employing 2-ethoxy analogues as internal standards, the benzamides were extracted from basified plasma using dichloromethane. Subsequent reaction with heptafluorobutyric anhydride produced volatile mono- and diheptafluorobutyryl derivatives of clebopride and N-desbenzylclebopride, respectively. The methane negative-ion mass spectra of these derivatives exhibited intense high-mass ions ideal for specific quantitation of low levels in biological fluids. Using this procedure the recovery of the drug and metabolite from human plasma was found to be 84.4 +/- 1.5% (n = 3) and 77.4 +/- 4.7% (n = 3), respectively, at 0.5 ng/ml. Measurement of both compounds down to 0.10 ng/ml with a coefficient of variation of less than 10.5% is described. Plasma levels are reported in four volunteers up to 24 h following oral administration of 1 mg of clebopride malate salt.

  20. Remote sensing investigations at a hazardous-waste landfill

    Science.gov (United States)

    Stohr, C.; Su, W.-J.; DuMontelle, P.B.; Griffin, R.A.

    1987-01-01

    In 1976 state licensed landfilling of industrial chemicals was begun above an abandoned, underground coal mine in Illinois. Five years later organic chemical pollutants were discovered in a monitoring well, suggesting migration 100 to 1000 times faster than predicted by laboratory tests. Remote sensing contributed to the determination of the causes of faster-than-predicted pollutant migration at the hazardous-waste landfill. Aerial and satellite imagery were employed to supplement field studies of local surface and groundwater hydrology, and to chronicle site history. Drainage impediments and depressions in the trench covers collected runoff, allowing rapid recharge of surface waters to some burial trenches. These features can be more effectively identified by photointerpretation than by conventional field reconnaissance. A ground-based, post-sunset survey of the trench covers that showed that a distinction between depressions which hold moisture at the surface from freely-draining depressions which permit rapid recharge to the burial trenches could be made using thermal infrared imagery.In 1976 state licensed landfilling of industrial chemicals was begun above an abandoned, underground coal mine in Illinois. Five years later organic chemical pollutants were discovered in a monitoring well, suggesting migration 100 to 1000 times faster than predicted by laboratory tests. Remote sensing contributed to the determination of the causes of faster-than-predicted pollutant migration at the hazardous-waste landfill. Aerial and satellite imagery were employed to supplement field studies of local surface and groundwater hydrology, and to chronicle site history. Drainage impediments and depressions in the trench covers collected runoff, allowing rapid recharge of surface waters to some burial trenches.

  1. Stress hysteresis and mechanical properties of plasma-enhanced chemical vapor deposited dielectric films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.; Kamarajugadda, Mallika; Bozeman, Steven P.; Stearns, Laura C.

    2004-02-01

    A comprehensive survey is described of the responses of three plasma-enhanced chemical vapor deposited dielectric film systems to thermal cycling and indentation contact. All three films—silicon oxide, silicon nitride, and silicon oxy-nitride—exhibited significant nonequilibrium permanent changes in film stress on thermal cycling or annealing. The linear relationship between stress and temperature changed after the films were annealed at 300 °C, representing a structural alteration in the film reflecting a change in coefficient of thermal expansion or biaxial modulus. A double-substrate method was used to deduce both thermoelastic properties before and after the anneal of selected films and the results were compared with the modulus deconvoluted from small-scale depth-sensing indentation experiments (nanoindentation). Rutherford backscattering spectrometry and hydrogen forward scattering were used to deduce the composition of the films and it was found that all the films contained significant amounts of hydrogen.

  2. Status on underwater plasma arc cutting in KHI, 3

    International Nuclear Information System (INIS)

    Abe, Tadashi; Aota, Toshiichi; Nishizaki, Tadashi; Nakayama, Shigeru; Yamashita, Seiji

    1983-01-01

    In Kawasaki Heavy Industries, Ltd., the development of a remote dismantling system by underwater plasma arc cutting process has been advanced, expecting its application to the dismantling and removal of nuclear reactor facilities. In the previous two reports, the fundamental experimental results such as the comparison of the cutting capability in air and in water were shown, but this time, the remote automatic cutting of wedge-shaped specimens was carried out, using a newly installed manipulator for underwater works, therefore its outline is reported. Also the cutting experiment by overhead position and vertical position was performed by using the same equipment, and comparison was made with the cutting capability by downhand and horizontal positions. It is important to grasp the cutting characteristics in the case of upward advancing and downward advancing cuttings by overhead and vertical positions when the cutting of pressure vessels and horizontal pipes into rings is supposed. The experimental apparatus, the cutting conditions, the testing method and the test results of the cutting capability test, the test of changing direction during cutting, and the remote cutting of pipes into rings are described. The underwater plasma arc cutting can cut all metals, the cutting speed is relatively high, and the apparatus is simple and compact. (Kako, I.)

  3. Resolving the nanostructure of plasma-enhanced chemical vapor deposited nanocrystalline SiOx layers for application in solar cells

    Science.gov (United States)

    Klingsporn, M.; Kirner, S.; Villringer, C.; Abou-Ras, D.; Costina, I.; Lehmann, M.; Stannowski, B.

    2016-06-01

    Nanocrystalline silicon suboxides (nc-SiOx) have attracted attention during the past years for the use in thin-film silicon solar cells. We investigated the relationships between the nanostructure as well as the chemical, electrical, and optical properties of phosphorous, doped, nc-SiO0.8:H fabricated by plasma-enhanced chemical vapor deposition. The nanostructure was varied through the sample series by changing the deposition pressure from 533 to 1067 Pa. The samples were then characterized by X-ray photoelectron spectroscopy, spectroscopic ellipsometry, Raman spectroscopy, aberration-corrected high-resolution transmission electron microscopy, selected-area electron diffraction, and a specialized plasmon imaging method. We found that the material changed with increasing pressure from predominantly amorphous silicon monoxide to silicon dioxide containing nanocrystalline silicon. The nanostructure changed from amorphous silicon filaments to nanocrystalline silicon filaments, which were found to cause anisotropic electron transport.

  4. Plasma-polymerized hexamethyldisilazane treated by nitrogen plasma immersion ion implantation technique

    Energy Technology Data Exchange (ETDEWEB)

    Honda, R Y; Mota, R P; Batocki, R G S; Santos, D C R; Nicoleti, T; Kostov, K G; Kayama, M E; Algatti, M A [Laboratorio de Plasma, Faculdade de Engenharia, UNESP, Av. Dr Ariberto Pereira da Cunha-333, 12516-410, Guaratingueta, SP (Brazil); Cruz, N C [Laboratorio de Plasmas Tecnologicos, Unidade Diferenciada, UNESP, Av. Tres de Marco-511, 18085-180, Sorocaba, SP (Brazil); Ruggiero, L, E-mail: honda@feg.unesp.b [Faculdade de Ciencias, UNESP, Av. Luis E. Carrijo Coube 14-1, 17033-360, Bauru, SP (Brazil)

    2009-05-01

    This paper describes the effect of nitrogen Plasma Immersion Ion Implantation (PIII) on chemical structure, refraction index and surface hardness of plasma-polymerized hexamethyldisilazane (PPHMDSN) thin films. Firstly, polymeric films were deposited at 13.56 MHz radiofrequency (RF) Plasma Enhanced Chemical Vapour Deposition (PECVD) and then, were treated by nitrogen PIII from 15 to 60 min. Fourier Transformed Infrared (FTIR) spectroscopy was employed to analyse the molecular structure of the samples, and it revealed that vibrations modes at 3350 cm{sup -1}, 2960 cm{sup -1}, 1650 cm{sup -1}, 1250 cm{sup -1} and 1050 cm{sup -1} were altered by nitrogen PIII. Visible-ultraviolet (vis-UV) spectroscopy was used to evaluate film refractive index and the results showed a slight increase from 1.6 to 1.8 following the implantation time. Nanoindentation revealed a surface hardness rise from 0.5 to 2.3 GPa as PIII treatment time increased. These results indicate nitrogen PIII is very promising in improving optical and mechanical properties of PPHMDSN films.

  5. Electro Thermal Chemical Gun Technology Study

    National Research Council Canada - National Science Library

    Diamond, P

    1999-01-01

    .... Michael Stroscio. Electro Thermal Chemical (ETC) gun technology refers to the use of plasma devices in place of traditional chemical ignitors to initiate the burning of high energy propellants in a controlled manner...

  6. Computational study of sheath structure in oxygen containing plasmas at medium pressures

    Science.gov (United States)

    Hrach, Rudolf; Novak, Stanislav; Ibehej, Tomas; Hrachova, Vera

    2016-09-01

    Plasma mixtures containing active species are used in many plasma-assisted material treatment technologies. The analysis of such systems is rather difficult, as both physical and chemical processes affect plasma properties. A combination of experimental and computational approaches is the best suited, especially at higher pressures and/or in chemically active plasmas. The first part of our study of argon-oxygen mixtures was based on experimental results obtained in the positive column of DC glow discharge. The plasma was analysed by the macroscopic kinetic approach which is based on the set of chemical reactions in the discharge. The result of this model is a time evolution of the number densities of each species. In the second part of contribution the detailed analysis of processes taking place during the interaction of oxygen containing plasma with immersed substrates was performed, the results of the first model being the input parameters. The used method was the particle simulation technique applied to multicomponent plasma. The sheath structure and fluxes of charged particles to substrates were analysed in the dependence on plasma pressure, plasma composition and surface geometry.

  7. Thermostructural and mechanical aspects of the TFTR plasma limiter design

    International Nuclear Information System (INIS)

    Condolff, R.; Fixler, S.

    1977-01-01

    This paper presents the preliminary mechanical and thermostructural aspects of the TFTR (TOKAMAK Fusion Test Reactor) plasma limiter design. The evolution of the limiter design is traced through the various stages from conceptual design to the present state. Results of parametric limiter blade studies are presented. Design criteria, requirements, design loads (mechanical and thermal), material considerations, and remote handling problems are described. The design approach used to achieve a satisfactory plasma limiter and blade is discussed

  8. Thermostructural and mechanical aspects of the TFTR plasma limiter design

    International Nuclear Information System (INIS)

    Condolff, R.; Fixler, S.

    1978-01-01

    This paper presents the preliminary mechanical and thermostructural aspects of the TFTR (TOKAMAK Fusion Test Reactor) plasma limiter design. The evolution of the limiter design is traced through the various stages from conceptual design to the present state. Results of parametric limiter blade studies are presented. Design criteria, requirements, design loads (mechanical and thermal), material considerations, and remote handling problems are described. The design approach used to achieve a satisfactory plasma limiter and blade is discussed

  9. Chemical compatibility and properties of suspension plasma-sprayed SrTiO3-based anodes for intermediate-temperature solid oxide fuel cells

    Science.gov (United States)

    Zhang, Shan-Lin; Li, Cheng-Xin; Li, Chang-Jiu

    2014-10-01

    La-doped strontium titanate (LST) is a promising, redox-stable perovskite material for direct hydrocarbon oxidation anodes in intermediate-temperature solid oxide fuel cells (IT-SOFCs). In this study, nano-sized LST and Sm-doped ceria (SDC) powders are produced by the sol-gel and glycine-nitrate processes, respectively. The chemical compatibility between LST and electrolyte materials is studied. A LST-SDC composite anode is prepared by suspension plasma spraying (SPS). The effects of annealing conditions on the phase structure, microstructure, and chemical stability of the LST-SDC composite anode are investigated. The results indicate that the suspension plasma-sprayed LST-SDC anode has the same phase structure as the original powders. LST exhibits a good chemical compatibility with SDC and Mg/Sr-doped lanthanum gallate (LSGM). The anode has a porosity of ∼40% with a finely porous structure that provides high gas permeability and a long three-phase boundary for the anode reaction. Single cells assembled with the LST-SDC anode, La0.8Sr0.2Ga0.8Mg0.2O3 electrolyte, and La0.8Sr0.2CoO3-SDC cathode show a good performance at 650-800 °C. The annealing reduces the impedances due to the enhancement in the bonding between the particles in the anode and interface of anode and LSGM electrolyte, thus improving the output performance of the cell.

  10. Incorporating a constrained optimization algorithm into remote sensing/precision agriculture methodology

    Science.gov (United States)

    Moreenthaler, George W.; Khatib, Nader; Kim, Byoungsoo

    2003-08-01

    For two decades now, the use of Remote Sensing/Precision Agriculture to improve farm yields while reducing the use of polluting chemicals and the limited water supply has been a major goal. With world population growing exponentially, arable land being consumed by urbanization, and an unfavorable farm economy, farm efficiency must increase to meet future food requirements and to make farming a sustainable, profitable occupation. "Precision Agriculture" refers to a farming methodology that applies nutrients and moisture only where and when they are needed in the field. The real goal is to increase farm profitability by identifying the additional treatments of chemicals and water that increase revenues more than they increase costs and do no exceed pollution standards (constrained optimization). Even though the economic and environmental benefits appear to be great, Remote Sensing/Precision Agriculture has not grown as rapidly as early advocates envisioned. Technology for a successful Remote Sensing/Precision Agriculture system is now in place, but other needed factors have been missing. Commercial satellite systems can now image the Earth (multi-spectrally) with a resolution as fine as 2.5 m. Precision variable dispensing systems using GPS are now available and affordable. Crop models that predict yield as a function of soil, chemical, and irrigation parameter levels have been developed. Personal computers and internet access are now in place in most farm homes and can provide a mechanism for periodically disseminating advice on what quantities of water and chemicals are needed in specific regions of each field. Several processes have been selected that fuse the disparate sources of information on the current and historic states of the crop and soil, and the remaining resource levels available, with the critical decisions that farmers are required to make. These are done in a way that is easy for the farmer to understand and profitable to implement. A "Constrained

  11. Influence of plasma density on the chemical composition and structural properties of pulsed laser deposited TiAlN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Quiñones-Galván, J. G.; Camps, Enrique [Departamento de Física, Instituto Nacional de Investigaciones Nucleares, Apartado Postal 18-1027, México D.F. C.P. 11801 (Mexico); Muhl, S. [Instituto de Investigaciones en Materiales, UNAM, México D.F. C.P. 04510 (Mexico); Flores, M. [Departamento de Ingeniería de Proyectos, CUCEI, Universidad de Guadalajara, Apdo. Postal 307, C.P. 45101 Zapopan, Jalisco (Mexico); Campos-González, E. [Departamento de Física, CINVESTAV-IPN, Apdo. Postal 14-740, México D.F. 07360 (Mexico)

    2014-05-15

    Incorporation of substitutional Al into the TiN lattice of the ternary alloy TiAlN results in a material with improved properties compared to TiN. In this work, TiAlN thin films were grown by the simultaneous ablation of Ti and Al targets in a nitrogen containing reactive atmosphere. The deposit was formed on silicon substrates at low deposition temperature (200 °C). The dependence of the Al content of the films was studied as a function of the ion density of the plasma produced by the laser ablation of the Al target. The plasma parameters were measured by means of a planar Langmuir probe and optical emission spectroscopy. The chemical composition of the films was measured by energy dispersive X-ray spectroscopy. The results showed a strong dependence of the amount of aluminum incorporated in the films with the plasma density. The structural characterization of the deposits was carried out by Raman spectroscopy, X-ray diffraction, and transmission electron microscopy, where the substitutional incorporation of the Al into the TiN was demonstrated.

  12. Chemical corrosion by chlorides on ancient-like bronzes and treatment by hydrogen glow discharge plasma

    Science.gov (United States)

    Papadopoulou, O.; Novakovic, J.; Vassiliou, P.; Filippaki, E.; Bassiakos, Y.

    2013-12-01

    Three representative ancient-like bronzes are employed for the chemical synthesis of Cu2(OH)3Cl rich patinas in order to study the influence of the alloying elements in the evolution of the chloride attack and to further conduct stabilization treatment via Hydrogen Glow Discharge Plasma (HGDP) at low temperature and pressure. The corrosion behavior of specimens having Sn and Pb as main alloying elements is governed by a decuprification mechanism and by the formation of Sn-Pb-O enriched barrier layers. In the case of the Zn containing alloy, dezincification is more pronounced at the corrosion initial stages, and copper species predominate the corrosion products evolution. A three-hour HGDP treatment leads to Cu+ production and metallic Cu, Sn, Zn, and Pb redeposition, as a result of metal cation reduction. This process is accompanied by partial removal of Cl species, O diminution, and change in coloration. The further increase of the Cl/O atomic ratio measured on the post-treated surfaces leads to the formation of nantokite and thus to the conclusion that the stabilization of objects with extensive Cl attack is not feasible by HGDP without preliminary chemical treatment.

  13. Plasma treatment of polymers for improved adhesion

    International Nuclear Information System (INIS)

    Kelber, J.A.

    1988-01-01

    A variety of plasma treatments of polymer sufaces for improved adhesion are reviewed: noble and reactive has treatment of fluoropolymers; noble and reactive treatment of polyolefins, and plasma-induced amination of polymer fibers. The plasma induced surface chemical and morphological changer are discussed, as are the mechanisms of adhersion to polymeric adhesives, particularly epoxy. Noble has plasma eching of fluoropolymers produces a partially defluorinated, textured surface. The mechanical interlocking of this textured surface is the primary cause of improved adhsion to epoxy. Reactive has plasma also induce defluorination, but oxygen containing gases cause continual ablation of the fluoropolymer surface. Noble and reactive gas (except for hydrogen) etching of polyolefins results in surface oxidation and imrprove adhesion via hydrogen bonding of these exygen containing groups across the interface. The introduction of amine groups to a polymer surface by ammonia or amine plasma treatment generally results in improved adhesion to epoxy. However, amine-epoxy ring interactions can be severely effected by steric factors due to chemical group surrounding the amine

  14. Remote triggering of high voltage systems by laser-induced plasmas

    CSIR Research Space (South Africa)

    West, NJ

    2008-08-01

    Full Text Available -induced plasma was found to play a significant role in the breakdown process – best results being obtained when the laser was focused in the centre of the gap. Finally, the shape of the laser-induced arc is dependant on the applied electric field. When the field...

  15. Remote Sensing

    CERN Document Server

    Khorram, Siamak; Koch, Frank H; van der Wiele, Cynthia F

    2012-01-01

    Remote Sensing provides information on how remote sensing relates to the natural resources inventory, management, and monitoring, as well as environmental concerns. It explains the role of this new technology in current global challenges. "Remote Sensing" will discuss remotely sensed data application payloads and platforms, along with the methodologies involving image processing techniques as applied to remotely sensed data. This title provides information on image classification techniques and image registration, data integration, and data fusion techniques. How this technology applies to natural resources and environmental concerns will also be discussed.

  16. Frequency-dependent absorbance of broadband terahertz wave in dense plasma sheet

    Science.gov (United States)

    Peng, Yan; Qi, Binbin; Jiang, Xiankai; Zhu, Zhi; Zhao, Hongwei; Zhu, Yiming

    2018-05-01

    Due to the ability of accurate fingerprinting and low-ionization for different substances, terahertz (THz) technology has a lot of crucial applications in material analysis, information transfer, and safety inspection, etc. However, the spectral characteristic of atmospheric gas and ionized gas has not been widely investigated, which is important for the remote sensing application. Here, in this paper, we investigate the absorbance of broadband terahertz wave in dense plasma sheet generated by femtosecond laser pulses. It was found that as the terahertz wave transmits through the plasma sheet formed, respectively, in carbon dioxide, oxygen, argon and nitrogen, spectrum presents completely different and frequency-dependent absorbance. The reasons for these absorption peaks are related to the molecular polarity, electric charge, intermolecular and intramolecular interactions, and collisional absorption of gas molecules. These results have significant implications for the remote sensing of gas medium.

  17. The plasma physics of plasma processing

    International Nuclear Information System (INIS)

    Shohet, L.

    1991-01-01

    Plasma processing is used for producing new materials with unusual and superior properties, for developing new chemical compounds and processes, for machining, and for altering and refining materials and surfaces. It has direct applications to semiconductor fabrication, materials synthesis, welding, lighting, polymers, anti-corrosion coatings, machine tools, metallurgy, electrical and electronics devices, hazardous waste removal, high performance ceramics, and many other items in both high-technology and the more traditional industries. Plasma processing takes on a wide variety of apparently different forms in industry, but the techniques share many common characteristics and problems. Control of the generation and flux of ions, electrons and free radicals in the plasma and their incidence on a surface is vital. Diagnostics, sensors, modeling techniques, and associated statistical methods are needed. However, without an in-depth understanding of the variety of phenomena taking place and their application to the industrial environment, advances in this technology, and its efficient use, will occur at a diminishing rate

  18. Virtual reality applications in remote handling development for tokamaks in India

    International Nuclear Information System (INIS)

    Dutta, Pramit; Rastogi, Naveen; Gotewal, Krishan Kumar

    2017-01-01

    Highlights: • Evaluation of Virtual Reality (VR) in design and operation phases of Remote Handling (RH) equipment for tokamak. • VR based centralized facility, to cater RH development and operation, is setup at Institute for Plasma Research, India. • The VR facility system architecture and components are discussed. • Introduction to various VR applications developed for design and development of tokamak RH equipment. - Abstract: A tokamak is a plasma confinement device that can be used to achieve magnetically confined nuclear fusion within a reactor. Owing to the harsh environment, Remote Handling (RH) systems are used for inspection and maintenance of the tokamak in-vessel components. As the number of in-vessel components requiring RH maintenance is large, physical prototyping of all strategies becomes a major challenge. The operation of RH systems poses further challenge as all equipment have to be controlled remotely within very strict accuracy limits with minimum reliance on the available camera feedback. In both design and operation phases of RH equipment, application of Virtual Reality (VR) becomes imperative. The scope of this paper is to introduce some applications of VR in the design and operation cycle of RH, which are not available commercially. The paper discusses the requirement of VR as a tool for RH equipment design and operation. The details of a comprehensive VR facility that has been established to support the RH development for Indian tokamaks are also presented. Further, various cases studies are provided to highlight the utilization of this VR facility within phases of RH development and operation.

  19. Virtual reality applications in remote handling development for tokamaks in India

    Energy Technology Data Exchange (ETDEWEB)

    Dutta, Pramit, E-mail: pramitd@ipr.res.in; Rastogi, Naveen; Gotewal, Krishan Kumar

    2017-05-15

    Highlights: • Evaluation of Virtual Reality (VR) in design and operation phases of Remote Handling (RH) equipment for tokamak. • VR based centralized facility, to cater RH development and operation, is setup at Institute for Plasma Research, India. • The VR facility system architecture and components are discussed. • Introduction to various VR applications developed for design and development of tokamak RH equipment. - Abstract: A tokamak is a plasma confinement device that can be used to achieve magnetically confined nuclear fusion within a reactor. Owing to the harsh environment, Remote Handling (RH) systems are used for inspection and maintenance of the tokamak in-vessel components. As the number of in-vessel components requiring RH maintenance is large, physical prototyping of all strategies becomes a major challenge. The operation of RH systems poses further challenge as all equipment have to be controlled remotely within very strict accuracy limits with minimum reliance on the available camera feedback. In both design and operation phases of RH equipment, application of Virtual Reality (VR) becomes imperative. The scope of this paper is to introduce some applications of VR in the design and operation cycle of RH, which are not available commercially. The paper discusses the requirement of VR as a tool for RH equipment design and operation. The details of a comprehensive VR facility that has been established to support the RH development for Indian tokamaks are also presented. Further, various cases studies are provided to highlight the utilization of this VR facility within phases of RH development and operation.

  20. Simulation of two-dimensional interior ballistics model of solid propellant electrothermal-chemical launch with discharge rod plasma generator

    Directory of Open Access Journals (Sweden)

    Yan-jie Ni

    2017-08-01

    Full Text Available Instead of the capillary plasma generator (CPG, a discharge rod plasma generator (DRPG is used in the 30 mm electrothermal-chemical (ETC gun to improve the ignition uniformity of the solid propellant. An axisymmetric two-dimensional interior ballistics model of the solid propellant ETC gun (2D-IB-SPETCG is presented to describe the process of the ETC launch. Both calculated pressure and projectile muzzle velocity accord well with the experimental results. The feasibility of the 2D-IB-SPETCG model is proved. Depending on the experimental data and initial parameters, detailed distribution of the ballistics parameters can be simulated. With the distribution of pressure and temperature of the gas phase and the propellant, the influence of plasma during the ignition process can be analyzed. Because of the radial flowing plasma, the propellant in the area of the DRPG is ignited within 0.01 ms, while all propellant in the chamber is ignited within 0.09 ms. The radial ignition delay time is much less than the axial delay time. During the ignition process, the radial pressure difference is less than 5  MPa at the place 0.025 m away from the breech. The radial ignition uniformity is proved. The temperature of the gas increases from several thousand K (conventional ignition to several ten thousand K (plasma ignition. Compare the distribution of the density and temperature of the gas, we know that low density and high temperature gas appears near the exits of the DRPG, while high density and low temperature gas appears at the wall near the breech. The simulation of the 2D-IB-SPETCG model is an effective way to investigate the interior ballistics process of the ETC launch. The 2D-IB-SPETC model can be used for prediction and improvement of experiments.